mips: fixed & added new instructions. also updated Ocaml/Python/Java bindings
diff --git a/arch/Mips/MipsDisassembler.c b/arch/Mips/MipsDisassembler.c
index 1f2f837..cd7c71f 100644
--- a/arch/Mips/MipsDisassembler.c
+++ b/arch/Mips/MipsDisassembler.c
@@ -67,6 +67,9 @@
 static DecodeStatus DecodeFCCRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
 
+static DecodeStatus DecodeCCRegisterClass(MCInst *Inst,
+		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
+
 static DecodeStatus DecodeFGRCCRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
 
@@ -128,6 +131,9 @@
 static DecodeStatus DecodeMem(MCInst *Inst,
 		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
 
+static DecodeStatus DecodeCachePref(MCInst *Inst,
+		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
+
 static DecodeStatus DecodeMSA128Mem(MCInst *Inst,
 		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
 
@@ -140,6 +146,12 @@
 static DecodeStatus DecodeFMem(MCInst *Inst, unsigned Insn,
 		uint64_t Address, MCRegisterInfo *Decoder);
 
+static DecodeStatus DecodeCOP2Mem(MCInst *Inst, unsigned Insn,
+		uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeCOP3Mem(MCInst *Inst, unsigned Insn,
+		uint64_t Address, MCRegisterInfo *Decoder);
+
 static DecodeStatus DecodeSpecial3LlSc(MCInst *Inst,
 		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
 
@@ -241,27 +253,20 @@
 
 void Mips_init(MCRegisterInfo *MRI)
 {
-	// InitMCRegisterInfo(MipsRegDesc, 317,
-	// RA, PC,
-	// MipsMCRegisterClasses, 34,
-	// MipsRegUnitRoots, 196,
-	// MipsRegDiffLists,
-	// MipsRegStrings,
-	// MipsSubRegIdxLists, 12,
-	// MipsSubRegIdxRanges,   MipsRegEncodingTable);
+	// InitMCRegisterInfo(MipsRegDesc, 394, RA, PC,
+	// 		MipsMCRegisterClasses, 48,
+	// 		MipsRegUnitRoots,
+	// 		273,
+	// 		MipsRegDiffLists,
+	// 		MipsRegStrings,
+	// 		MipsSubRegIdxLists,
+	// 		12,
+	// 		MipsSubRegIdxRanges,
+	// 		MipsRegEncodingTable);
 
-	// InitMCRegisterInfo(MipsRegDesc, 386,
-	//		RA, PC,
-	//		MipsMCRegisterClasses, 47,
-	//		MipsRegUnitRoots, 265,
-	//		MipsRegDiffLists,
-	//		MipsRegStrings,
-	//		MipsSubRegIdxLists, 12,
-	//		MipsSubRegIdxRanges, MipsRegEncodingTable);
-
-	MCRegisterInfo_InitMCRegisterInfo(MRI, MipsRegDesc, 386,
+	MCRegisterInfo_InitMCRegisterInfo(MRI, MipsRegDesc, 394,
 			0, 0, 
-			MipsMCRegisterClasses, 47,
+			MipsMCRegisterClasses, 48,
 			0, 0, 
 			MipsRegDiffLists,
 			0, 
@@ -498,7 +503,7 @@
 
 	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
 	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
-	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) * 4;
 	bool HasRs = false;
 
 	if (Rs >= Rt) {
@@ -534,7 +539,7 @@
 
 	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
 	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
-	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) * 4;
 	bool HasRs = false;
 
 	if (Rs >= Rt) {
@@ -571,7 +576,7 @@
 
 	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
 	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
-	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) * 4;
 	bool HasRs = false;
 
 	if (Rt == 0)
@@ -613,7 +618,7 @@
 
 	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
 	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
-	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) * 4;
 
 	if (Rt == 0)
 		return MCDisassembler_Fail;
@@ -651,7 +656,7 @@
 
 	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
 	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
-	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) * 4;
 	bool HasRs = false;
 	bool HasRt = false;
 
@@ -697,7 +702,7 @@
 
 	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
 	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
-	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) * 4;
 	bool HasRs = false;
 
 	if (Rt == 0)
@@ -820,6 +825,19 @@
 	return MCDisassembler_Success;
 }
 
+static DecodeStatus DecodeCCRegisterClass(MCInst *Inst,
+		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	unsigned Reg;
+
+	if (RegNo > 7)
+		return MCDisassembler_Fail;
+
+	Reg = getReg(Decoder, Mips_CCRegClassID, RegNo);
+	MCOperand_CreateReg0(Inst, Reg);
+	return MCDisassembler_Success;
+}
+
 static DecodeStatus DecodeFGRCCRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder)
 {
@@ -854,6 +872,22 @@
 	return MCDisassembler_Success;
 }
 
+static DecodeStatus DecodeCachePref(MCInst *Inst,
+		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	int Offset = SignExtend32(Insn & 0xffff, 16);
+	unsigned Hint = fieldFromInstruction(Insn, 16, 5);
+	unsigned Base = fieldFromInstruction(Insn, 21, 5);
+
+	Base = getReg(Decoder, Mips_GPR32RegClassID, Base);
+
+	MCOperand_CreateReg0(Inst, Base);
+	MCOperand_CreateImm0(Inst, Offset);
+	MCOperand_CreateImm0(Inst, Hint);
+
+	return MCDisassembler_Success;
+}
+
 static DecodeStatus DecodeMSA128Mem(MCInst *Inst, unsigned Insn,
 		uint64_t Address, MCRegisterInfo *Decoder)
 {
@@ -886,15 +920,15 @@
 			break;
 		case Mips_LD_H:
 		case Mips_ST_H:
-			MCOperand_CreateImm0(Inst, Offset << 1);
+			MCOperand_CreateImm0(Inst, Offset * 2);
 			break;
 		case Mips_LD_W:
 		case Mips_ST_W:
-			MCOperand_CreateImm0(Inst, Offset << 2);
+			MCOperand_CreateImm0(Inst, Offset * 4);
 			break;
 		case Mips_LD_D:
 		case Mips_ST_D:
-			MCOperand_CreateImm0(Inst, Offset << 3);
+			MCOperand_CreateImm0(Inst, Offset * 8);
 			break;
 	}
 
@@ -955,6 +989,40 @@
 	return MCDisassembler_Success;
 }
 
+static DecodeStatus DecodeCOP2Mem(MCInst *Inst,
+		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	int Offset = SignExtend32(Insn & 0xffff, 16);
+	unsigned Reg = fieldFromInstruction(Insn, 16, 5);
+	unsigned Base = fieldFromInstruction(Insn, 21, 5);
+
+	Reg = getReg(Decoder, Mips_COP2RegClassID, Reg);
+	Base = getReg(Decoder, Mips_GPR32RegClassID, Base);
+
+	MCOperand_CreateReg0(Inst, Reg);
+	MCOperand_CreateReg0(Inst, Base);
+	MCOperand_CreateImm0(Inst, Offset);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeCOP3Mem(MCInst *Inst,
+		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	int Offset = SignExtend32(Insn & 0xffff, 16);
+	unsigned Reg = fieldFromInstruction(Insn, 16, 5);
+	unsigned Base = fieldFromInstruction(Insn, 21, 5);
+
+	Reg = getReg(Decoder, Mips_COP3RegClassID, Reg);
+	Base = getReg(Decoder, Mips_GPR32RegClassID, Base);
+
+	MCOperand_CreateReg0(Inst, Reg);
+	MCOperand_CreateReg0(Inst, Base);
+	MCOperand_CreateImm0(Inst, Offset);
+
+	return MCDisassembler_Success;
+}
+
 static DecodeStatus DecodeSpecial3LlSc(MCInst *Inst,
 		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder)
 {
@@ -1131,7 +1199,7 @@
 static DecodeStatus DecodeBranchTarget(MCInst *Inst,
 		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder)
 {
-	int32_t BranchOffset = (SignExtend32(Offset, 16) << 2) + 4;
+	int32_t BranchOffset = (SignExtend32(Offset, 16) * 4) + 4;
 	MCOperand_CreateImm0(Inst, BranchOffset);
 
 	return MCDisassembler_Success;
@@ -1149,7 +1217,7 @@
 static DecodeStatus DecodeBranchTarget21(MCInst *Inst,
 		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder)
 {
-	int32_t BranchOffset = SignExtend32(Offset, 21) << 2;
+	int32_t BranchOffset = SignExtend32(Offset, 21) * 4;
 
 	MCOperand_CreateImm0(Inst, BranchOffset);
 
@@ -1159,7 +1227,7 @@
 static DecodeStatus DecodeBranchTarget26(MCInst *Inst,
 		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder)
 {
-	int32_t BranchOffset = SignExtend32(Offset, 26) << 2;
+	int32_t BranchOffset = SignExtend32(Offset, 26) * 4;
 
 	MCOperand_CreateImm0(Inst, BranchOffset);
 	return MCDisassembler_Success;
@@ -1168,7 +1236,7 @@
 static DecodeStatus DecodeBranchTargetMM(MCInst *Inst,
 		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder)
 {
-	int32_t BranchOffset = SignExtend32(Offset, 16) << 1;
+	int32_t BranchOffset = SignExtend32(Offset, 16) * 2;
 	MCOperand_CreateImm0(Inst, BranchOffset);
 
 	return MCDisassembler_Success;
@@ -1219,14 +1287,14 @@
 static DecodeStatus DecodeSimm19Lsl2(MCInst *Inst,
 		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder)
 {
-	MCOperand_CreateImm0(Inst, SignExtend32(Insn, 19) << 2);
+	MCOperand_CreateImm0(Inst, SignExtend32(Insn, 19) * 4);
 	return MCDisassembler_Success;
 }
 
 static DecodeStatus DecodeSimm18Lsl3(MCInst *Inst,
 		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder)
 {
-	MCOperand_CreateImm0(Inst, SignExtend32(Insn, 18) << 3);
+	MCOperand_CreateImm0(Inst, SignExtend32(Insn, 18) * 8);
 	return MCDisassembler_Success;
 }
 
diff --git a/arch/Mips/MipsGenAsmWriter.inc b/arch/Mips/MipsGenAsmWriter.inc
index 5d4de76..4f262af 100644
--- a/arch/Mips/MipsGenAsmWriter.inc
+++ b/arch/Mips/MipsGenAsmWriter.inc
@@ -25,90 +25,90 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    8918U,	// DBG_VALUE
+    9110U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    8911U,	// BUNDLE
-    8928U,	// LIFETIME_START
-    8898U,	// LIFETIME_END
+    9103U,	// BUNDLE
+    9120U,	// LIFETIME_START
+    9090U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
     0U,	// LOAD_STACK_GUARD
-    21407U,	// ABSQ_S_PH
+    21425U,	// ABSQ_S_PH
     17795U,	// ABSQ_S_QB
-    24372U,	// ABSQ_S_W
+    24564U,	// ABSQ_S_W
     33574461U,	// ADD
     18064U,	// ADDIUPC
-    33575644U,	// ADDQH_PH
-    33575761U,	// ADDQH_R_PH
-    33578479U,	// ADDQH_R_W
-    33578082U,	// ADDQH_W
-    33575718U,	// ADDQ_PH
-    33575817U,	// ADDQ_S_PH
-    33578784U,	// ADDQ_S_W
+    33575662U,	// ADDQH_PH
+    33575779U,	// ADDQH_R_PH
+    33578671U,	// ADDQH_R_W
+    33578274U,	// ADDQH_W
+    33575736U,	// ADDQ_PH
+    33575835U,	// ADDQ_S_PH
+    33578976U,	// ADDQ_S_W
     33572524U,	// ADDSC
     33571204U,	// ADDS_A_B
     33572649U,	// ADDS_A_D
-    33574589U,	// ADDS_A_H
-    33577790U,	// ADDS_A_W
+    33574607U,	// ADDS_A_H
+    33577982U,	// ADDS_A_W
     33571672U,	// ADDS_S_B
     33573738U,	// ADDS_S_D
-    33575146U,	// ADDS_S_H
-    33578834U,	// ADDS_S_W
+    33575164U,	// ADDS_S_H
+    33579026U,	// ADDS_S_W
     33571887U,	// ADDS_U_B
     33574205U,	// ADDS_U_D
-    33575424U,	// ADDS_U_H
-    33579252U,	// ADDS_U_W
+    33575442U,	// ADDS_U_H
+    33579444U,	// ADDS_U_W
     33572095U,	// ADDUH_QB
     33572203U,	// ADDUH_R_QB
-    33575916U,	// ADDU_PH
+    33575934U,	// ADDU_PH
     33572308U,	// ADDU_QB
-    33575861U,	// ADDU_S_PH
+    33575879U,	// ADDU_S_PH
     33572249U,	// ADDU_S_QB
     570442365U,	// ADDVI_B
     570444081U,	// ADDVI_D
-    570445717U,	// ADDVI_H
-    570449127U,	// ADDVI_W
+    570445735U,	// ADDVI_H
+    570449319U,	// ADDVI_W
     33571965U,	// ADDV_B
     33574305U,	// ADDV_D
-    33575502U,	// ADDV_H
-    33579352U,	// ADDV_W
+    33575520U,	// ADDV_H
+    33579544U,	// ADDV_W
     33572563U,	// ADDWC
     33571186U,	// ADD_A_B
     33572630U,	// ADD_A_D
-    33574571U,	// ADD_A_H
-    33577771U,	// ADD_A_W
+    33574589U,	// ADD_A_H
+    33577963U,	// ADD_A_W
     33574461U,	// ADD_MM
-    33576129U,	// ADDi
-    33576129U,	// ADDi_MM
-    33577533U,	// ADDiu
-    33577533U,	// ADDiu_MM
-    33577487U,	// ADDu
-    33577487U,	// ADDu_MM
+    33576147U,	// ADDi
+    33576147U,	// ADDi_MM
+    33577725U,	// ADDiu
+    33577725U,	// ADDiu_MM
+    33577679U,	// ADDu
+    33577679U,	// ADDu_MM
     0U,	// ADJCALLSTACKDOWN
     0U,	// ADJCALLSTACKUP
-    33576478U,	// ALIGN
+    33576610U,	// ALIGN
     18056U,	// ALUIPC
     33574483U,	// AND
     33574483U,	// AND64
     570442224U,	// ANDI_B
     33574483U,	// AND_MM
-    33577615U,	// AND_V
+    33577807U,	// AND_V
     0U,	// AND_V_D_PSEUDO
     0U,	// AND_V_H_PSEUDO
     0U,	// AND_V_W_PSEUDO
-    1107317959U,	// ANDi
-    1107317959U,	// ANDi64
-    1107317959U,	// ANDi_MM
+    1107317977U,	// ANDi
+    1107317977U,	// ANDi64
+    1107317977U,	// ANDi_MM
     1107316321U,	// APPEND
     33571566U,	// ASUB_S_B
     33573568U,	// ASUB_S_D
-    33574978U,	// ASUB_S_H
-    33578614U,	// ASUB_S_W
+    33574996U,	// ASUB_S_H
+    33578806U,	// ASUB_S_W
     33571781U,	// ASUB_U_B
     33574035U,	// ASUB_U_D
-    33575266U,	// ASUB_U_H
-    33579082U,	// ASUB_U_W
+    33575284U,	// ASUB_U_H
+    33579274U,	// ASUB_U_W
     0U,	// ATOMIC_CMP_SWAP_I16
     0U,	// ATOMIC_CMP_SWAP_I32
     0U,	// ATOMIC_CMP_SWAP_I64
@@ -141,244 +141,270 @@
     0U,	// ATOMIC_SWAP_I32
     0U,	// ATOMIC_SWAP_I64
     0U,	// ATOMIC_SWAP_I8
-    33576239U,	// AUI
+    33576257U,	// AUI
     18049U,	// AUIPC
     33571652U,	// AVER_S_B
     33573718U,	// AVER_S_D
-    33575116U,	// AVER_S_H
-    33578814U,	// AVER_S_W
+    33575134U,	// AVER_S_H
+    33579006U,	// AVER_S_W
     33571867U,	// AVER_U_B
     33574185U,	// AVER_U_D
-    33575404U,	// AVER_U_H
-    33579232U,	// AVER_U_W
+    33575422U,	// AVER_U_H
+    33579424U,	// AVER_U_W
     33571594U,	// AVE_S_B
     33573650U,	// AVE_S_D
-    33575048U,	// AVE_S_H
-    33578696U,	// AVE_S_W
+    33575066U,	// AVE_S_H
+    33578888U,	// AVE_S_W
     33571809U,	// AVE_U_B
     33574117U,	// AVE_U_D
-    33575336U,	// AVE_U_H
-    33579164U,	// AVE_U_W
-    23101U,	// AddiuRxImmX16
-    154173U,	// AddiuRxPcImmX16
-    69229117U,	// AddiuRxRxImm16
-    2120253U,	// AddiuRxRxImmX16
-    4217405U,	// AddiuRxRyOffMemX16
-    287289U,	// AddiuSpImm16
-    418361U,	// AddiuSpImmX16
-    33577487U,	// AdduRxRyRz16
+    33575354U,	// AVE_U_H
+    33579356U,	// AVE_U_W
+    23293U,	// AddiuRxImmX16
+    154365U,	// AddiuRxPcImmX16
+    69229309U,	// AddiuRxRxImm16
+    2120445U,	// AddiuRxRxImmX16
+    4217597U,	// AddiuRxRyOffMemX16
+    287481U,	// AddiuSpImm16
+    418553U,	// AddiuSpImmX16
+    33577679U,	// AdduRxRyRz16
     2117203U,	// AndRxRxRy16
     0U,	// B
-    33577486U,	// BADDu
-    415061U,	// BAL
+    33577678U,	// BADDu
+    415079U,	// BAL
     411192U,	// BALC
-    1107318301U,	// BALIGN
+    1107318433U,	// BALIGN
     0U,	// BAL_BR
     411171U,	// BC
-    25255U,	// BC1EQZ
-    20116U,	// BC1F
-    20116U,	// BC1F_MM
-    25239U,	// BC1NEZ
-    22995U,	// BC1T
-    22995U,	// BC1T_MM
-    25263U,	// BC2EQZ
-    25247U,	// BC2NEZ
+    20116U,	// BC0F
+    21976U,	// BC0FL
+    23169U,	// BC0T
+    22105U,	// BC0TL
+    25447U,	// BC1EQZ
+    20122U,	// BC1F
+    21983U,	// BC1FL
+    20122U,	// BC1F_MM
+    25431U,	// BC1NEZ
+    23175U,	// BC1T
+    22112U,	// BC1TL
+    23175U,	// BC1T_MM
+    25455U,	// BC2EQZ
+    20128U,	// BC2F
+    21990U,	// BC2FL
+    25439U,	// BC2NEZ
+    23181U,	// BC2T
+    22119U,	// BC2TL
+    20134U,	// BC3F
+    21997U,	// BC3FL
+    23187U,	// BC3T
+    22126U,	// BC3TL
     570442293U,	// BCLRI_B
     570444025U,	// BCLRI_D
-    570445661U,	// BCLRI_H
-    570449071U,	// BCLRI_W
+    570445679U,	// BCLRI_H
+    570449263U,	// BCLRI_W
     33571533U,	// BCLR_B
     33573492U,	// BCLR_D
-    33574945U,	// BCLR_H
-    33578530U,	// BCLR_W
-    33576621U,	// BEQ
-    33576621U,	// BEQ64
+    33574963U,	// BCLR_H
+    33578722U,	// BCLR_W
+    33576764U,	// BEQ
+    33576764U,	// BEQ64
     33572518U,	// BEQC
+    33576525U,	// BEQL
     18016U,	// BEQZALC
     18159U,	// BEQZC
-    33576621U,	// BEQ_MM
+    18159U,	// BEQZC_MM
+    33576764U,	// BEQ_MM
     33572391U,	// BGEC
     33572537U,	// BGEUC
-    25022U,	// BGEZ
-    25022U,	// BGEZ64
-    21855U,	// BGEZAL
+    25214U,	// BGEZ
+    25214U,	// BGEZ64
+    21873U,	// BGEZAL
     17989U,	// BGEZALC
-    21855U,	// BGEZAL_MM
+    22069U,	// BGEZALL
+    23144U,	// BGEZALS_MM
+    21873U,	// BGEZAL_MM
     18138U,	// BGEZC
-    25022U,	// BGEZ_MM
-    25082U,	// BGTZ
-    25082U,	// BGTZ64
+    22149U,	// BGEZL
+    25214U,	// BGEZ_MM
+    25274U,	// BGTZ
+    25274U,	// BGTZ64
     18025U,	// BGTZALC
     18166U,	// BGTZC
-    25082U,	// BGTZ_MM
+    22163U,	// BGTZL
+    25274U,	// BGTZ_MM
     1646281242U,	// BINSLI_B
     1646282974U,	// BINSLI_D
-    1646284610U,	// BINSLI_H
-    1646288020U,	// BINSLI_W
+    1646284628U,	// BINSLI_H
+    1646288212U,	// BINSLI_W
     2183152301U,	// BINSL_B
     2183154086U,	// BINSL_D
-    2183155636U,	// BINSL_H
-    2183159090U,	// BINSL_W
+    2183155654U,	// BINSL_H
+    2183159282U,	// BINSL_W
     1646281303U,	// BINSRI_B
     1646283019U,	// BINSRI_D
-    1646284655U,	// BINSRI_H
-    1646288065U,	// BINSRI_W
+    1646284673U,	// BINSRI_H
+    1646288257U,	// BINSRI_W
     2183152349U,	// BINSR_B
     2183154342U,	// BINSR_D
-    2183155761U,	// BINSR_H
-    2183159380U,	// BINSR_W
-    23255U,	// BITREV
-    22093U,	// BITSWAP
-    25028U,	// BLEZ
-    25028U,	// BLEZ64
+    2183155779U,	// BINSR_H
+    2183159572U,	// BINSR_W
+    23447U,	// BITREV
+    22225U,	// BITSWAP
+    25220U,	// BLEZ
+    25220U,	// BLEZ64
     17998U,	// BLEZALC
     18145U,	// BLEZC
-    25028U,	// BLEZ_MM
+    22156U,	// BLEZL
+    25220U,	// BLEZ_MM
     33572531U,	// BLTC
     33572544U,	// BLTUC
-    25088U,	// BLTZ
-    25088U,	// BLTZ64
-    21863U,	// BLTZAL
+    25280U,	// BLTZ
+    25280U,	// BLTZ64
+    21881U,	// BLTZAL
     18034U,	// BLTZALC
-    21863U,	// BLTZAL_MM
+    22078U,	// BLTZALL
+    23153U,	// BLTZALS_MM
+    21881U,	// BLTZAL_MM
     18173U,	// BLTZC
-    25088U,	// BLTZ_MM
+    22170U,	// BLTZL
+    25280U,	// BLTZ_MM
     1646281358U,	// BMNZI_B
-    2183158472U,	// BMNZ_V
+    2183158664U,	// BMNZ_V
     1646281350U,	// BMZI_B
-    2183158458U,	// BMZ_V
+    2183158650U,	// BMZ_V
     33574527U,	// BNE
     33574527U,	// BNE64
     33572397U,	// BNEC
     570442232U,	// BNEGI_B
     570443973U,	// BNEGI_D
-    570445609U,	// BNEGI_H
-    570449019U,	// BNEGI_W
+    570445627U,	// BNEGI_H
+    570449211U,	// BNEGI_W
     33571288U,	// BNEG_B
     33573037U,	// BNEG_D
-    33574673U,	// BNEG_H
-    33578002U,	// BNEG_W
+    33574691U,	// BNEG_H
+    33578194U,	// BNEG_W
+    33576402U,	// BNEL
     18007U,	// BNEZALC
     18152U,	// BNEZC
+    18152U,	// BNEZC_MM
     33574527U,	// BNE_MM
     33572551U,	// BNVC
     17573U,	// BNZ_B
     19998U,	// BNZ_D
-    21110U,	// BNZ_H
-    23233U,	// BNZ_V
-    24985U,	// BNZ_W
+    21128U,	// BNZ_H
+    23425U,	// BNZ_V
+    25177U,	// BNZ_W
     33572557U,	// BOVC
     409767U,	// BPOSGE32
     0U,	// BPOSGE32_PSEUDO
-    21820U,	// BREAK
-    21820U,	// BREAK_MM
+    21838U,	// BREAK
+    21838U,	// BREAK_MM
     1646281217U,	// BSELI_B
     0U,	// BSEL_D_PSEUDO
     0U,	// BSEL_FD_PSEUDO
     0U,	// BSEL_FW_PSEUDO
     0U,	// BSEL_H_PSEUDO
-    2183158430U,	// BSEL_V
+    2183158622U,	// BSEL_V
     0U,	// BSEL_W_PSEUDO
     570442347U,	// BSETI_B
     570444063U,	// BSETI_D
-    570445699U,	// BSETI_H
-    570449109U,	// BSETI_W
+    570445717U,	// BSETI_H
+    570449301U,	// BSETI_W
     33571749U,	// BSET_B
     33573854U,	// BSET_D
-    33575234U,	// BSET_H
-    33578988U,	// BSET_W
+    33575252U,	// BSET_H
+    33579180U,	// BSET_W
     17567U,	// BZ_B
     19982U,	// BZ_D
-    21104U,	// BZ_H
-    23220U,	// BZ_V
-    24979U,	// BZ_W
-    100688357U,	// BeqzRxImm16
-    25061U,	// BeqzRxImmX16
+    21122U,	// BZ_H
+    23412U,	// BZ_V
+    25171U,	// BZ_W
+    100688549U,	// BeqzRxImm16
+    25253U,	// BeqzRxImmX16
     278904U,	// Bimm16
     409976U,	// BimmX16
-    100688330U,	// BnezRxImm16
-    25034U,	// BnezRxImmX16
-    8890U,	// Break16
-    549363U,	// Bteqz16
-    134239853U,	// BteqzT8CmpX16
-    134239492U,	// BteqzT8CmpiX16
-    134240735U,	// BteqzT8SltX16
-    134239522U,	// BteqzT8SltiX16
-    134240843U,	// BteqzT8SltiuX16
-    134240879U,	// BteqzT8SltuX16
-    418291U,	// BteqzX16
-    549336U,	// Btnez16
-    167794285U,	// BtnezT8CmpX16
-    167793924U,	// BtnezT8CmpiX16
-    167795167U,	// BtnezT8SltX16
-    167793954U,	// BtnezT8SltiX16
-    167795275U,	// BtnezT8SltiuX16
-    167795311U,	// BtnezT8SltuX16
-    418264U,	// BtnezX16
+    100688522U,	// BnezRxImm16
+    25226U,	// BnezRxImmX16
+    9082U,	// Break16
+    549555U,	// Bteqz16
+    134239985U,	// BteqzT8CmpX16
+    134239510U,	// BteqzT8CmpiX16
+    134240927U,	// BteqzT8SltX16
+    134239540U,	// BteqzT8SltiX16
+    134241035U,	// BteqzT8SltiuX16
+    134241071U,	// BteqzT8SltuX16
+    418483U,	// BteqzX16
+    549528U,	// Btnez16
+    167794417U,	// BtnezT8CmpX16
+    167793942U,	// BtnezT8CmpiX16
+    167795359U,	// BtnezT8SltX16
+    167793972U,	// BtnezT8SltiX16
+    167795467U,	// BtnezT8SltiuX16
+    167795503U,	// BtnezT8SltuX16
+    418456U,	// BtnezX16
     0U,	// BuildPairF64
     0U,	// BuildPairF64_64
     36472U,	// CACHE
     36472U,	// CACHE_R6
     18768U,	// CEIL_L_D64
-    22608U,	// CEIL_L_S
+    22751U,	// CEIL_L_S
     19944U,	// CEIL_W_D32
     19944U,	// CEIL_W_D64
     19944U,	// CEIL_W_MM
-    22930U,	// CEIL_W_S
-    22930U,	// CEIL_W_S_MM
+    23073U,	// CEIL_W_S
+    23073U,	// CEIL_W_S_MM
     33571364U,	// CEQI_B
     33573096U,	// CEQI_D
-    33574732U,	// CEQI_H
-    33578142U,	// CEQI_W
+    33574750U,	// CEQI_H
+    33578334U,	// CEQI_W
     33571518U,	// CEQ_B
     33573399U,	// CEQ_D
-    33574923U,	// CEQ_H
-    33578418U,	// CEQ_W
+    33574941U,	// CEQ_H
+    33578610U,	// CEQ_W
     16437U,	// CFC1
     16437U,	// CFC1_MM
     16738U,	// CFCMSA
-    1107321457U,	// CINS
-    1107321413U,	// CINS32
+    1107321649U,	// CINS
+    1107321605U,	// CINS32
     19404U,	// CLASS_D
-    22782U,	// CLASS_S
+    22925U,	// CLASS_S
     33571603U,	// CLEI_S_B
     33573659U,	// CLEI_S_D
-    33575057U,	// CLEI_S_H
-    33578705U,	// CLEI_S_W
+    33575075U,	// CLEI_S_H
+    33578897U,	// CLEI_S_W
     570442730U,	// CLEI_U_B
     570445038U,	// CLEI_U_D
-    570446257U,	// CLEI_U_H
-    570450085U,	// CLEI_U_W
+    570446275U,	// CLEI_U_H
+    570450277U,	// CLEI_U_W
     33571585U,	// CLE_S_B
     33573641U,	// CLE_S_D
-    33575039U,	// CLE_S_H
-    33578687U,	// CLE_S_W
+    33575057U,	// CLE_S_H
+    33578879U,	// CLE_S_W
     33571800U,	// CLE_U_B
     33574108U,	// CLE_U_D
-    33575327U,	// CLE_U_H
-    33579155U,	// CLE_U_W
-    22068U,	// CLO
-    22068U,	// CLO_MM
-    22068U,	// CLO_R6
+    33575345U,	// CLE_U_H
+    33579347U,	// CLE_U_W
+    22200U,	// CLO
+    22200U,	// CLO_MM
+    22200U,	// CLO_R6
     33571623U,	// CLTI_S_B
     33573679U,	// CLTI_S_D
-    33575077U,	// CLTI_S_H
-    33578725U,	// CLTI_S_W
+    33575095U,	// CLTI_S_H
+    33578917U,	// CLTI_S_W
     570442750U,	// CLTI_U_B
     570445058U,	// CLTI_U_D
-    570446277U,	// CLTI_U_H
-    570450105U,	// CLTI_U_W
+    570446295U,	// CLTI_U_H
+    570450297U,	// CLTI_U_W
     33571691U,	// CLT_S_B
     33573757U,	// CLT_S_D
-    33575165U,	// CLT_S_H
-    33578853U,	// CLT_S_W
+    33575183U,	// CLT_S_H
+    33579045U,	// CLT_S_W
     33571918U,	// CLT_U_B
     33574236U,	// CLT_U_D
-    33575455U,	// CLT_U_H
-    33579283U,	// CLT_U_W
-    25056U,	// CLZ
-    25056U,	// CLZ_MM
-    25056U,	// CLZ_R6
+    33575473U,	// CLT_U_H
+    33579475U,	// CLT_U_W
+    25248U,	// CLZ
+    25248U,	// CLZ_MM
+    25248U,	// CLZ_R6
     33572141U,	// CMPGDU_EQ_QB
     33572046U,	// CMPGDU_LE_QB
     33572260U,	// CMPGDU_LT_QB
@@ -389,453 +415,453 @@
     17641U,	// CMPU_LE_QB
     17855U,	// CMPU_LT_QB
     33573388U,	// CMP_EQ_D
-    21295U,	// CMP_EQ_PH
-    33577145U,	// CMP_EQ_S
+    21313U,	// CMP_EQ_PH
+    33577288U,	// CMP_EQ_S
     33572958U,	// CMP_F_D
-    33576956U,	// CMP_F_S
+    33577099U,	// CMP_F_S
     33572802U,	// CMP_LE_D
-    21191U,	// CMP_LE_PH
-    33576877U,	// CMP_LE_S
+    21209U,	// CMP_LE_PH
+    33577020U,	// CMP_LE_S
     33573879U,	// CMP_LT_D
-    21464U,	// CMP_LT_PH
-    33577240U,	// CMP_LT_S
+    21482U,	// CMP_LT_PH
+    33577383U,	// CMP_LT_S
     33572976U,	// CMP_SAF_D
-    33576966U,	// CMP_SAF_S
+    33577109U,	// CMP_SAF_S
     33573415U,	// CMP_SEQ_D
-    33577164U,	// CMP_SEQ_S
+    33577307U,	// CMP_SEQ_S
     33572839U,	// CMP_SLE_D
-    33576906U,	// CMP_SLE_S
+    33577049U,	// CMP_SLE_S
     33573906U,	// CMP_SLT_D
-    33577259U,	// CMP_SLT_S
+    33577402U,	// CMP_SLT_S
     33573463U,	// CMP_SUEQ_D
-    33577195U,	// CMP_SUEQ_S
+    33577338U,	// CMP_SUEQ_S
     33572887U,	// CMP_SULE_D
-    33576937U,	// CMP_SULE_S
+    33577080U,	// CMP_SULE_S
     33573954U,	// CMP_SULT_D
-    33577290U,	// CMP_SULT_S
+    33577433U,	// CMP_SULT_S
     33573345U,	// CMP_SUN_D
-    33577118U,	// CMP_SUN_S
+    33577261U,	// CMP_SUN_S
     33573443U,	// CMP_UEQ_D
-    33577184U,	// CMP_UEQ_S
+    33577327U,	// CMP_UEQ_S
     33572867U,	// CMP_ULE_D
-    33576926U,	// CMP_ULE_S
+    33577069U,	// CMP_ULE_S
     33573934U,	// CMP_ULT_D
-    33577279U,	// CMP_ULT_S
+    33577422U,	// CMP_ULT_S
     33573327U,	// CMP_UN_D
-    33577108U,	// CMP_UN_S
-    8976U,	// CONSTPOOL_ENTRY
+    33577251U,	// CMP_UN_S
+    9168U,	// CONSTPOOL_ENTRY
     0U,	// COPY_FD_PSEUDO
     0U,	// COPY_FW_PSEUDO
     738214802U,	// COPY_S_B
     738216890U,	// COPY_S_D
-    738218287U,	// COPY_S_H
-    738221997U,	// COPY_S_W
+    738218305U,	// COPY_S_H
+    738222189U,	// COPY_S_W
     738215017U,	// COPY_U_B
     738217357U,	// COPY_U_D
-    738218554U,	// COPY_U_H
-    738222404U,	// COPY_U_W
+    738218572U,	// COPY_U_H
+    738222596U,	// COPY_U_W
     704592U,	// CTC1
     704592U,	// CTC1_MM
     16746U,	// CTCMSA
-    22410U,	// CVT_D32_S
-    23418U,	// CVT_D32_W
-    23418U,	// CVT_D32_W_MM
-    21827U,	// CVT_D64_L
-    22410U,	// CVT_D64_S
-    23418U,	// CVT_D64_W
-    22410U,	// CVT_D_S_MM
+    22553U,	// CVT_D32_S
+    23610U,	// CVT_D32_W
+    23610U,	// CVT_D32_W_MM
+    21845U,	// CVT_D64_L
+    22553U,	// CVT_D64_S
+    23610U,	// CVT_D64_W
+    22553U,	// CVT_D_S_MM
     18789U,	// CVT_L_D64
     18789U,	// CVT_L_D64_MM
-    22629U,	// CVT_L_S
-    22629U,	// CVT_L_S_MM
+    22772U,	// CVT_L_S
+    22772U,	// CVT_L_S_MM
     19127U,	// CVT_S_D32
     19127U,	// CVT_S_D32_MM
     19127U,	// CVT_S_D64
-    21836U,	// CVT_S_L
-    24173U,	// CVT_S_W
-    24173U,	// CVT_S_W_MM
+    21854U,	// CVT_S_L
+    24365U,	// CVT_S_W
+    24365U,	// CVT_S_W_MM
     19965U,	// CVT_W_D32
     19965U,	// CVT_W_D64
     19965U,	// CVT_W_MM
-    22951U,	// CVT_W_S
-    22951U,	// CVT_W_S_MM
+    23094U,	// CVT_W_S
+    23094U,	// CVT_W_S_MM
     18948U,	// C_EQ_D32
     18948U,	// C_EQ_D64
-    22705U,	// C_EQ_S
+    22848U,	// C_EQ_S
     18519U,	// C_F_D32
     18519U,	// C_F_D64
-    22517U,	// C_F_S
+    22660U,	// C_F_S
     18362U,	// C_LE_D32
     18362U,	// C_LE_D64
-    22437U,	// C_LE_S
+    22580U,	// C_LE_S
     19439U,	// C_LT_D32
     19439U,	// C_LT_D64
-    22800U,	// C_LT_S
+    22943U,	// C_LT_S
     18353U,	// C_NGE_D32
     18353U,	// C_NGE_D64
-    22428U,	// C_NGE_S
+    22571U,	// C_NGE_S
     18388U,	// C_NGLE_D32
     18388U,	// C_NGLE_D64
-    22455U,	// C_NGLE_S
+    22598U,	// C_NGLE_S
     18805U,	// C_NGL_D32
     18805U,	// C_NGL_D64
-    22645U,	// C_NGL_S
+    22788U,	// C_NGL_S
     19430U,	// C_NGT_D32
     19430U,	// C_NGT_D64
-    22791U,	// C_NGT_S
+    22934U,	// C_NGT_S
     18398U,	// C_OLE_D32
     18398U,	// C_OLE_D64
-    22465U,	// C_OLE_S
+    22608U,	// C_OLE_S
     19465U,	// C_OLT_D32
     19465U,	// C_OLT_D64
-    22818U,	// C_OLT_S
+    22961U,	// C_OLT_S
     18974U,	// C_SEQ_D32
     18974U,	// C_SEQ_D64
-    22723U,	// C_SEQ_S
+    22866U,	// C_SEQ_S
     18589U,	// C_SF_D32
     18589U,	// C_SF_D64
-    22563U,	// C_SF_S
+    22706U,	// C_SF_S
     19002U,	// C_UEQ_D32
     19002U,	// C_UEQ_D64
-    22743U,	// C_UEQ_S
+    22886U,	// C_UEQ_S
     18426U,	// C_ULE_D32
     18426U,	// C_ULE_D64
-    22485U,	// C_ULE_S
+    22628U,	// C_ULE_S
     19493U,	// C_ULT_D32
     19493U,	// C_ULT_D64
-    22838U,	// C_ULT_S
+    22981U,	// C_ULT_S
     18887U,	// C_UN_D32
     18887U,	// C_UN_D64
-    22668U,	// C_UN_S
-    22125U,	// CmpRxRy16
-    234902788U,	// CmpiRxImm16
-    21764U,	// CmpiRxImmX16
-    418395U,	// Constant32
+    22811U,	// C_UN_S
+    22257U,	// CmpRxRy16
+    234902806U,	// CmpiRxImm16
+    21782U,	// CmpiRxImmX16
+    418587U,	// Constant32
     33574460U,	// DADD
-    33576128U,	// DADDi
-    33577532U,	// DADDiu
-    33577493U,	// DADDu
-    7132383U,	// DAHI
-    33576485U,	// DALIGN
-    7132444U,	// DATI
-    33576238U,	// DAUI
-    22092U,	// DBITSWAP
-    22067U,	// DCLO
-    22067U,	// DCLO_R6
-    25055U,	// DCLZ
-    25055U,	// DCLZ_R6
-    33577695U,	// DDIV
-    33577603U,	// DDIVU
-    9002U,	// DERET
-    9002U,	// DERET_MM
-    1107321475U,	// DEXT
-    1107321450U,	// DEXTM
-    1107321488U,	// DEXTU
-    414915U,	// DI
-    1107321463U,	// DINS
-    1107321443U,	// DINSM
-    1107321481U,	// DINSU
-    33577696U,	// DIV
-    33577604U,	// DIVU
+    33576146U,	// DADDi
+    33577724U,	// DADDiu
+    33577685U,	// DADDu
+    7132401U,	// DAHI
+    33576617U,	// DALIGN
+    7132462U,	// DATI
+    33576256U,	// DAUI
+    22224U,	// DBITSWAP
+    22199U,	// DCLO
+    22199U,	// DCLO_R6
+    25247U,	// DCLZ
+    25247U,	// DCLZ_R6
+    33577887U,	// DDIV
+    33577795U,	// DDIVU
+    9194U,	// DERET
+    9194U,	// DERET_MM
+    1107321667U,	// DEXT
+    1107321642U,	// DEXTM
+    1107321680U,	// DEXTU
+    414933U,	// DI
+    1107321655U,	// DINS
+    1107321635U,	// DINSM
+    1107321673U,	// DINSU
+    33577888U,	// DIV
+    33577796U,	// DIVU
     33571712U,	// DIV_S_B
     33573800U,	// DIV_S_D
-    33575186U,	// DIV_S_H
-    33578896U,	// DIV_S_W
+    33575204U,	// DIV_S_H
+    33579088U,	// DIV_S_W
     33571927U,	// DIV_U_B
     33574267U,	// DIV_U_D
-    33575464U,	// DIV_U_H
-    33579314U,	// DIV_U_W
-    414915U,	// DI_MM
+    33575482U,	// DIV_U_H
+    33579506U,	// DIV_U_W
+    414933U,	// DI_MM
     33571164U,	// DLSA
     33571164U,	// DLSA_R6
     1107312649U,	// DMFC0
     16443U,	// DMFC1
     1107312854U,	// DMFC2
     33574505U,	// DMOD
-    33577507U,	// DMODU
+    33577699U,	// DMODU
     1107312656U,	// DMTC0
     704598U,	// DMTC1
     1107312861U,	// DMTC2
-    33576122U,	// DMUH
-    33577525U,	// DMUHU
-    33576461U,	// DMUL
-    23017U,	// DMULT
-    23163U,	// DMULTu
-    33577569U,	// DMULU
-    33576461U,	// DMUL_R6
+    33576140U,	// DMUH
+    33577717U,	// DMUHU
+    33576565U,	// DMUL
+    23209U,	// DMULT
+    23355U,	// DMULTu
+    33577761U,	// DMULU
+    33576565U,	// DMUL_R6
     33573708U,	// DOTP_S_D
-    33575106U,	// DOTP_S_H
-    33578764U,	// DOTP_S_W
+    33575124U,	// DOTP_S_H
+    33578956U,	// DOTP_S_W
     33574175U,	// DOTP_U_D
-    33575394U,	// DOTP_U_H
-    33579222U,	// DOTP_U_W
+    33575412U,	// DOTP_U_H
+    33579414U,	// DOTP_U_W
     2183154421U,	// DPADD_S_D
-    2183155819U,	// DPADD_S_H
-    2183159467U,	// DPADD_S_W
+    2183155837U,	// DPADD_S_H
+    2183159659U,	// DPADD_S_W
     2183154888U,	// DPADD_U_D
-    2183156107U,	// DPADD_U_H
-    2183159935U,	// DPADD_U_W
-    33575975U,	// DPAQX_SA_W_PH
-    33576058U,	// DPAQX_S_W_PH
-    33578224U,	// DPAQ_SA_L_W
-    33576017U,	// DPAQ_S_W_PH
-    33576303U,	// DPAU_H_QBL
-    33576636U,	// DPAU_H_QBR
-    33576096U,	// DPAX_W_PH
-    33575965U,	// DPA_W_PH
-    22130U,	// DPOP
-    33575990U,	// DPSQX_SA_W_PH
-    33576072U,	// DPSQX_S_W_PH
-    33578237U,	// DPSQ_SA_L_W
-    33576045U,	// DPSQ_S_W_PH
+    2183156125U,	// DPADD_U_H
+    2183160127U,	// DPADD_U_W
+    33575993U,	// DPAQX_SA_W_PH
+    33576076U,	// DPAQX_S_W_PH
+    33578416U,	// DPAQ_SA_L_W
+    33576035U,	// DPAQ_S_W_PH
+    33576321U,	// DPAU_H_QBL
+    33576779U,	// DPAU_H_QBR
+    33576114U,	// DPAX_W_PH
+    33575983U,	// DPA_W_PH
+    22262U,	// DPOP
+    33576008U,	// DPSQX_SA_W_PH
+    33576090U,	// DPSQX_S_W_PH
+    33578429U,	// DPSQ_SA_L_W
+    33576063U,	// DPSQ_S_W_PH
     2183154388U,	// DPSUB_S_D
-    2183155798U,	// DPSUB_S_H
-    2183159434U,	// DPSUB_S_W
+    2183155816U,	// DPSUB_S_H
+    2183159626U,	// DPSUB_S_W
     2183154855U,	// DPSUB_U_D
-    2183156086U,	// DPSUB_U_H
-    2183159902U,	// DPSUB_U_W
-    33576315U,	// DPSU_H_QBL
-    33576648U,	// DPSU_H_QBR
-    33576107U,	// DPSX_W_PH
-    33576086U,	// DPS_W_PH
-    1107318617U,	// DROTR
+    2183156104U,	// DPSUB_U_H
+    2183160094U,	// DPSUB_U_W
+    33576333U,	// DPSU_H_QBL
+    33576791U,	// DPSU_H_QBR
+    33576125U,	// DPSX_W_PH
+    33576104U,	// DPS_W_PH
+    1107318760U,	// DROTR
     1107312833U,	// DROTR32
-    33577739U,	// DROTRV
-    21117U,	// DSBH
-    25132U,	// DSDIV
+    33577931U,	// DROTRV
+    21135U,	// DSBH
+    25324U,	// DSDIV
     20040U,	// DSHD
-    1107318273U,	// DSLL
+    1107318343U,	// DSLL
     1107312817U,	// DSLL32
-    268457473U,	// DSLL64_32
-    33577701U,	// DSLLV
+    268457543U,	// DSLL64_32
+    33577893U,	// DSLLV
     1107312982U,	// DSRA
     1107312799U,	// DSRA32
-    33577680U,	// DSRAV
-    1107318279U,	// DSRL
+    33577872U,	// DSRAV
+    1107318355U,	// DSRL
     1107312825U,	// DSRL32
-    33577708U,	// DSRLV
+    33577900U,	// DSRLV
     33572375U,	// DSUB
-    33577472U,	// DSUBu
-    25118U,	// DUDIV
-    25133U,	// DivRxRy16
-    25119U,	// DivuRxRy16
-    8960U,	// EHB
-    414927U,	// EI
-    414927U,	// EI_MM
-    9003U,	// ERET
-    9003U,	// ERET_MM
-    1107321476U,	// EXT
-    1107318439U,	// EXTP
-    1107318365U,	// EXTPDP
-    33577723U,	// EXTPDPV
-    33577732U,	// EXTPV
-    33578957U,	// EXTRV_RS_W
-    33578511U,	// EXTRV_R_W
-    33575195U,	// EXTRV_S_H
-    33579394U,	// EXTRV_W
-    1107320770U,	// EXTR_RS_W
-    1107320314U,	// EXTR_R_W
-    1107316950U,	// EXTR_S_H
-    1107320413U,	// EXTR_W
-    1107321469U,	// EXTS
-    1107321421U,	// EXTS32
-    1107321476U,	// EXT_MM
+    33577664U,	// DSUBu
+    25310U,	// DUDIV
+    25325U,	// DivRxRy16
+    25311U,	// DivuRxRy16
+    9152U,	// EHB
+    414945U,	// EI
+    414945U,	// EI_MM
+    9195U,	// ERET
+    9195U,	// ERET_MM
+    1107321668U,	// EXT
+    1107318582U,	// EXTP
+    1107318497U,	// EXTPDP
+    33577915U,	// EXTPDPV
+    33577924U,	// EXTPV
+    33579149U,	// EXTRV_RS_W
+    33578703U,	// EXTRV_R_W
+    33575213U,	// EXTRV_S_H
+    33579586U,	// EXTRV_W
+    1107320962U,	// EXTR_RS_W
+    1107320506U,	// EXTR_R_W
+    1107316968U,	// EXTR_S_H
+    1107320605U,	// EXTR_W
+    1107321661U,	// EXTS
+    1107321613U,	// EXTS32
+    1107321668U,	// EXT_MM
     0U,	// ExtractElementF64
     0U,	// ExtractElementF64_64
     0U,	// FABS_D
     19396U,	// FABS_D32
     19396U,	// FABS_D64
     19396U,	// FABS_MM
-    22775U,	// FABS_S
-    22775U,	// FABS_S_MM
+    22918U,	// FABS_S
+    22918U,	// FABS_S_MM
     0U,	// FABS_W
     33572734U,	// FADD_D
     33572735U,	// FADD_D32
     33572735U,	// FADD_D64
     33572735U,	// FADD_MM
-    33576853U,	// FADD_S
-    33576853U,	// FADD_S_MM
-    33577859U,	// FADD_W
+    33576996U,	// FADD_S
+    33576996U,	// FADD_S_MM
+    33578051U,	// FADD_W
     33572968U,	// FCAF_D
-    33577978U,	// FCAF_W
+    33578170U,	// FCAF_W
     33573398U,	// FCEQ_D
-    33578417U,	// FCEQ_W
+    33578609U,	// FCEQ_W
     19403U,	// FCLASS_D
-    24537U,	// FCLASS_W
+    24729U,	// FCLASS_W
     33572812U,	// FCLE_D
-    33577901U,	// FCLE_W
+    33578093U,	// FCLE_W
     33573889U,	// FCLT_D
-    33578996U,	// FCLT_W
-    991927U,	// FCMP_D32
-    991927U,	// FCMP_D32_MM
-    991927U,	// FCMP_D64
-    1122999U,	// FCMP_S32
-    1122999U,	// FCMP_S32_MM
+    33579188U,	// FCLT_W
+    992119U,	// FCMP_D32
+    992119U,	// FCMP_D32_MM
+    992119U,	// FCMP_D64
+    1123191U,	// FCMP_S32
+    1123191U,	// FCMP_S32_MM
     33572908U,	// FCNE_D
-    33577935U,	// FCNE_W
+    33578127U,	// FCNE_W
     33573508U,	// FCOR_D
-    33578546U,	// FCOR_W
+    33578738U,	// FCOR_W
     33573454U,	// FCUEQ_D
-    33578433U,	// FCUEQ_W
+    33578625U,	// FCUEQ_W
     33572878U,	// FCULE_D
-    33577917U,	// FCULE_W
+    33578109U,	// FCULE_W
     33573945U,	// FCULT_D
-    33579012U,	// FCULT_W
+    33579204U,	// FCULT_W
     33572924U,	// FCUNE_D
-    33577951U,	// FCUNE_W
+    33578143U,	// FCUNE_W
     33573337U,	// FCUN_D
-    33578323U,	// FCUN_W
+    33578515U,	// FCUN_W
     33574331U,	// FDIV_D
     33574332U,	// FDIV_D32
     33574332U,	// FDIV_D64
     33574332U,	// FDIV_MM
-    33577326U,	// FDIV_S
-    33577326U,	// FDIV_S_MM
-    33579378U,	// FDIV_W
-    33574853U,	// FEXDO_H
-    33578339U,	// FEXDO_W
+    33577469U,	// FDIV_S
+    33577469U,	// FDIV_S_MM
+    33579570U,	// FDIV_W
+    33574871U,	// FEXDO_H
+    33578531U,	// FEXDO_W
     33572621U,	// FEXP2_D
     0U,	// FEXP2_D_1_PSEUDO
-    33577762U,	// FEXP2_W
+    33577954U,	// FEXP2_W
     0U,	// FEXP2_W_1_PSEUDO
     18829U,	// FEXUPL_D
-    23833U,	// FEXUPL_W
+    24025U,	// FEXUPL_W
     19092U,	// FEXUPR_D
-    24130U,	// FEXUPR_W
+    24322U,	// FEXUPR_W
     19334U,	// FFINT_S_D
-    24430U,	// FFINT_S_W
+    24622U,	// FFINT_S_W
     19813U,	// FFINT_U_D
-    24860U,	// FFINT_U_W
+    25052U,	// FFINT_U_W
     18839U,	// FFQL_D
-    23843U,	// FFQL_W
+    24035U,	// FFQL_W
     19102U,	// FFQR_D
-    24140U,	// FFQR_W
+    24332U,	// FFQR_W
     17047U,	// FILL_B
     18814U,	// FILL_D
     0U,	// FILL_FD_PSEUDO
     0U,	// FILL_FW_PSEUDO
-    20382U,	// FILL_H
-    23818U,	// FILL_W
+    20400U,	// FILL_H
+    24010U,	// FILL_W
     18180U,	// FLOG2_D
-    23321U,	// FLOG2_W
+    23513U,	// FLOG2_W
     18778U,	// FLOOR_L_D64
-    22618U,	// FLOOR_L_S
+    22761U,	// FLOOR_L_S
     19954U,	// FLOOR_W_D32
     19954U,	// FLOOR_W_D64
     19954U,	// FLOOR_W_MM
-    22940U,	// FLOOR_W_S
-    22940U,	// FLOOR_W_S_MM
+    23083U,	// FLOOR_W_S
+    23083U,	// FLOOR_W_S_MM
     2183153542U,	// FMADD_D
-    2183158667U,	// FMADD_W
+    2183158859U,	// FMADD_W
     33572659U,	// FMAX_A_D
-    33577800U,	// FMAX_A_W
+    33577992U,	// FMAX_A_W
     33574406U,	// FMAX_D
-    33579403U,	// FMAX_W
+    33579595U,	// FMAX_W
     33572639U,	// FMIN_A_D
-    33577780U,	// FMIN_A_W
+    33577972U,	// FMIN_A_W
     33573311U,	// FMIN_D
-    33578315U,	// FMIN_W
+    33578507U,	// FMIN_W
     19915U,	// FMOV_D32
     19915U,	// FMOV_D32_MM
     19915U,	// FMOV_D64
-    22901U,	// FMOV_S
-    22901U,	// FMOV_S_MM
+    23044U,	// FMOV_S
+    23044U,	// FMOV_S_MM
     2183153500U,	// FMSUB_D
-    2183158625U,	// FMSUB_W
+    2183158817U,	// FMSUB_W
     33573295U,	// FMUL_D
     33573296U,	// FMUL_D32
     33573296U,	// FMUL_D64
     33573296U,	// FMUL_MM
-    33577086U,	// FMUL_S
-    33577086U,	// FMUL_S_MM
-    33578299U,	// FMUL_W
+    33577229U,	// FMUL_S
+    33577229U,	// FMUL_S_MM
+    33578491U,	// FMUL_W
     18606U,	// FNEG_D32
     18606U,	// FNEG_D64
     18606U,	// FNEG_MM
-    22579U,	// FNEG_S
-    22579U,	// FNEG_S_MM
+    22722U,	// FNEG_S
+    22722U,	// FNEG_S_MM
     18940U,	// FRCP_D
-    23916U,	// FRCP_W
+    24108U,	// FRCP_W
     19551U,	// FRINT_D
-    24606U,	// FRINT_W
+    24798U,	// FRINT_W
     19579U,	// FRSQRT_D
-    24634U,	// FRSQRT_W
+    24826U,	// FRSQRT_W
     33572987U,	// FSAF_D
-    33577986U,	// FSAF_W
+    33578178U,	// FSAF_W
     33573426U,	// FSEQ_D
-    33578425U,	// FSEQ_W
+    33578617U,	// FSEQ_W
     33572850U,	// FSLE_D
-    33577909U,	// FSLE_W
+    33578101U,	// FSLE_W
     33573917U,	// FSLT_D
-    33579004U,	// FSLT_W
+    33579196U,	// FSLT_W
     33572916U,	// FSNE_D
-    33577943U,	// FSNE_W
+    33578135U,	// FSNE_W
     33573516U,	// FSOR_D
-    33578554U,	// FSOR_W
+    33578746U,	// FSOR_W
     19570U,	// FSQRT_D
     19571U,	// FSQRT_D32
     19571U,	// FSQRT_D64
     19571U,	// FSQRT_MM
-    22878U,	// FSQRT_S
-    22878U,	// FSQRT_S_MM
-    24625U,	// FSQRT_W
+    23021U,	// FSQRT_S
+    23021U,	// FSQRT_S_MM
+    24817U,	// FSQRT_W
     33572692U,	// FSUB_D
     33572693U,	// FSUB_D32
     33572693U,	// FSUB_D64
     33572693U,	// FSUB_MM
-    33576835U,	// FSUB_S
-    33576835U,	// FSUB_S_MM
-    33577817U,	// FSUB_W
+    33576978U,	// FSUB_S
+    33576978U,	// FSUB_S_MM
+    33578009U,	// FSUB_W
     33573475U,	// FSUEQ_D
-    33578442U,	// FSUEQ_W
+    33578634U,	// FSUEQ_W
     33572899U,	// FSULE_D
-    33577926U,	// FSULE_W
+    33578118U,	// FSULE_W
     33573966U,	// FSULT_D
-    33579021U,	// FSULT_W
+    33579213U,	// FSULT_W
     33572933U,	// FSUNE_D
-    33577960U,	// FSUNE_W
+    33578152U,	// FSUNE_W
     33573356U,	// FSUN_D
-    33578331U,	// FSUN_W
+    33578523U,	// FSUN_W
     19345U,	// FTINT_S_D
-    24441U,	// FTINT_S_W
+    24633U,	// FTINT_S_W
     19824U,	// FTINT_U_D
-    24871U,	// FTINT_U_W
-    33574930U,	// FTQ_H
-    33578451U,	// FTQ_W
+    25063U,	// FTINT_U_W
+    33574948U,	// FTQ_H
+    33578643U,	// FTQ_W
     19167U,	// FTRUNC_S_D
-    24213U,	// FTRUNC_S_W
+    24405U,	// FTRUNC_S_W
     19634U,	// FTRUNC_U_D
-    24681U,	// FTRUNC_U_W
-    304108795U,	// GotPrologue16
+    24873U,	// FTRUNC_U_W
+    304108813U,	// GotPrologue16
     33573611U,	// HADD_S_D
-    33575009U,	// HADD_S_H
-    33578657U,	// HADD_S_W
+    33575027U,	// HADD_S_H
+    33578849U,	// HADD_S_W
     33574078U,	// HADD_U_D
-    33575297U,	// HADD_U_H
-    33579125U,	// HADD_U_W
+    33575315U,	// HADD_U_H
+    33579317U,	// HADD_U_W
     33573578U,	// HSUB_S_D
-    33574988U,	// HSUB_S_H
-    33578624U,	// HSUB_S_W
+    33575006U,	// HSUB_S_H
+    33578816U,	// HSUB_S_W
     33574045U,	// HSUB_U_D
-    33575276U,	// HSUB_U_H
-    33579092U,	// HSUB_U_W
+    33575294U,	// HSUB_U_H
+    33579284U,	// HSUB_U_W
     33571982U,	// ILVEV_B
     33574322U,	// ILVEV_D
-    33575519U,	// ILVEV_H
-    33579369U,	// ILVEV_W
+    33575537U,	// ILVEV_H
+    33579561U,	// ILVEV_W
     33571510U,	// ILVL_B
     33573303U,	// ILVL_D
-    33574845U,	// ILVL_H
-    33578307U,	// ILVL_W
+    33574863U,	// ILVL_H
+    33578499U,	// ILVL_W
     33571262U,	// ILVOD_B
     33572776U,	// ILVOD_D
-    33574647U,	// ILVOD_H
-    33577892U,	// ILVOD_W
+    33574665U,	// ILVOD_H
+    33578084U,	// ILVOD_W
     33571558U,	// ILVR_B
     33573551U,	// ILVR_D
-    33574970U,	// ILVR_H
-    33578597U,	// ILVR_W
-    1107321458U,	// INS
+    33574988U,	// ILVR_H
+    33578789U,	// ILVR_W
+    1107321650U,	// INS
     9585589U,	// INSERT_B
     0U,	// INSERT_B_VIDX_PSEUDO
     9587816U,	// INSERT_D
@@ -844,48 +870,51 @@
     0U,	// INSERT_FD_VIDX_PSEUDO
     0U,	// INSERT_FW_PSEUDO
     0U,	// INSERT_FW_VIDX_PSEUDO
-    9589074U,	// INSERT_H
+    9589092U,	// INSERT_H
     0U,	// INSERT_H_VIDX_PSEUDO
-    9592871U,	// INSERT_W
+    9593063U,	// INSERT_W
     0U,	// INSERT_W_VIDX_PSEUDO
-    2120467U,	// INSV
+    2120659U,	// INSV
     11682247U,	// INSVE_B
     11683918U,	// INSVE_D
-    11685632U,	// INSVE_H
-    11688945U,	// INSVE_W
-    1107321458U,	// INS_MM
-    415033U,	// J
-    415066U,	// JAL
-    22345U,	// JALR
-    415561U,	// JALR16_MM
-    22345U,	// JALR64
+    11685650U,	// INSVE_H
+    11689137U,	// INSVE_W
+    1107321650U,	// INS_MM
+    415051U,	// J
+    415084U,	// JAL
+    22488U,	// JALR
+    415704U,	// JALR16_MM
+    22488U,	// JALR64
     0U,	// JALR64Pseudo
     0U,	// JALRPseudo
+    23162U,	// JALRS_MM
     17592U,	// JALR_HB
-    22345U,	// JALR_MM
-    418221U,	// JALX
-    415066U,	// JAL_MM
+    22488U,	// JALR_MM
+    416354U,	// JALS_MM
+    418413U,	// JALX
+    415084U,	// JAL_MM
     17982U,	// JIALC
     17971U,	// JIC
-    415557U,	// JR
-    415557U,	// JR64
+    415700U,	// JR
+    415700U,	// JR64
+    415531U,	// JRADDIUSP
     410801U,	// JR_HB
     410801U,	// JR_HB_R6
-    415557U,	// JR_MM
-    415033U,	// J_MM
-    1332570U,	// Jal16
-    1463642U,	// JalB16
-    8952U,	// JrRa16
-    8943U,	// JrcRa16
-    418322U,	// JrcRx16
+    415700U,	// JR_MM
+    415051U,	// J_MM
+    1332588U,	// Jal16
+    1463660U,	// JalB16
+    9144U,	// JrRa16
+    9135U,	// JrcRa16
+    418514U,	// JrcRx16
     409601U,	// JumpLinkReg16
     12600513U,	// LB
     12600513U,	// LB64
-    337666483U,	// LBUX
+    337666675U,	// LBUX
     12600513U,	// LB_MM
-    12605947U,	// LBu
-    12605947U,	// LBu64
-    12605947U,	// LBu_MM
+    12606139U,	// LBu
+    12606139U,	// LBu64
+    12606139U,	// LBu_MM
     12602959U,	// LD
     12599337U,	// LDC1
     12599337U,	// LDC164
@@ -895,36 +924,36 @@
     12599548U,	// LDC3
     16873U,	// LDI_B
     18622U,	// LDI_D
-    20258U,	// LDI_H
-    23668U,	// LDI_W
-    12604854U,	// LDL
+    20276U,	// LDI_H
+    23860U,	// LDI_W
+    12604872U,	// LDL
     18043U,	// LDPC
-    12605187U,	// LDR
+    12605330U,	// LDR
     337657961U,	// LDXC1
     337657961U,	// LDXC164
     12599727U,	// LD_B
     12601241U,	// LD_D
-    12603112U,	// LD_H
-    12606357U,	// LD_W
-    4217405U,	// LEA_ADDiu
-    4217404U,	// LEA_ADDiu64
-    4217405U,	// LEA_ADDiu_MM
-    12604046U,	// LH
-    12604046U,	// LH64
-    337666472U,	// LHX
-    12604046U,	// LH_MM
-    12606000U,	// LHu
-    12606000U,	// LHu64
-    12606000U,	// LHu_MM
-    12604925U,	// LL
+    12603130U,	// LD_H
+    12606549U,	// LD_W
+    4217597U,	// LEA_ADDiu
+    4217596U,	// LEA_ADDiu64
+    4217597U,	// LEA_ADDiu_MM
+    12604064U,	// LH
+    12604064U,	// LH64
+    337666664U,	// LHX
+    12604064U,	// LH_MM
+    12606192U,	// LHu
+    12606192U,	// LHu64
+    12606192U,	// LHu_MM
+    12604977U,	// LL
     12602958U,	// LLD
     12602958U,	// LLD_R6
-    12604925U,	// LL_MM
-    12604925U,	// LL_R6
+    12604977U,	// LL_MM
+    12604977U,	// LL_R6
     12599303U,	// LOAD_ACC128
     12599303U,	// LOAD_ACC64
     12599303U,	// LOAD_ACC64DSP
-    12605048U,	// LOAD_CCOND_DSP
+    12605180U,	// LOAD_CCOND_DSP
     0U,	// LONG_BRANCH_ADDiu
     0U,	// LONG_BRANCH_DADDiu
     0U,	// LONG_BRANCH_LUi
@@ -933,95 +962,95 @@
     337657975U,	// LUXC1
     337657975U,	// LUXC164
     337657975U,	// LUXC1_MM
-    14701876U,	// LUi
-    14701876U,	// LUi64
-    14701876U,	// LUi_MM
-    12607904U,	// LW
-    12607904U,	// LW64
+    14701894U,	// LUi
+    14701894U,	// LUi64
+    14701894U,	// LUi_MM
+    12608096U,	// LW
+    12608096U,	// LW64
     12599389U,	// LWC1
     12599389U,	// LWC1_MM
     12599524U,	// LWC2
     12599524U,	// LWC2_R6
     12599560U,	// LWC3
-    12604947U,	// LWL
-    12604947U,	// LWL64
-    12604947U,	// LWL_MM
+    12605051U,	// LWL
+    12605051U,	// LWL64
+    12605051U,	// LWL_MM
     18080U,	// LWPC
-    12605287U,	// LWR
-    12605287U,	// LWR64
-    12605287U,	// LWR_MM
+    12605430U,	// LWR
+    12605430U,	// LWR64
+    12605430U,	// LWR_MM
     18073U,	// LWUPC
-    12606090U,	// LWU_MM
-    337666489U,	// LWX
+    12606282U,	// LWU_MM
+    337666681U,	// LWX
     337657989U,	// LWXC1
     337657989U,	// LWXC1_MM
-    12607904U,	// LW_MM
-    12606090U,	// LWu
+    12608096U,	// LW_MM
+    12606282U,	// LWu
     12600513U,	// LbRxRyOffMemX16
-    12605947U,	// LbuRxRyOffMemX16
-    12604046U,	// LhRxRyOffMemX16
-    12606000U,	// LhuRxRyOffMemX16
-    234902779U,	// LiRxImm16
-    21745U,	// LiRxImmAlignX16
-    21755U,	// LiRxImmX16
+    12606139U,	// LbuRxRyOffMemX16
+    12604064U,	// LhRxRyOffMemX16
+    12606192U,	// LhuRxRyOffMemX16
+    234902797U,	// LiRxImm16
+    21763U,	// LiRxImmAlignX16
+    21773U,	// LiRxImmX16
     14696736U,	// LoadAddr32Imm
     12599584U,	// LoadAddr32Reg
-    14701819U,	// LoadImm32Reg
-    21759U,	// LoadImm64Reg
-    1597856U,	// LwConstant32
-    67133856U,	// LwRxPcTcp16
-    24992U,	// LwRxPcTcpX16
-    12607904U,	// LwRxRyOffMemX16
-    371220896U,	// LwRxSpImmX16
+    14701837U,	// LoadImm32Reg
+    21777U,	// LoadImm64Reg
+    1598048U,	// LwConstant32
+    67134048U,	// LwRxPcTcp16
+    25184U,	// LwRxPcTcpX16
+    12608096U,	// LwRxRyOffMemX16
+    371221088U,	// LwRxSpImmX16
     20034U,	// MADD
     2183153804U,	// MADDF_D
-    2183157786U,	// MADDF_S
-    2183155702U,	// MADDR_Q_H
-    2183159196U,	// MADDR_Q_W
-    23068U,	// MADDU
-    33577500U,	// MADDU_DSP
-    23068U,	// MADDU_MM
+    2183157929U,	// MADDF_S
+    2183155720U,	// MADDR_Q_H
+    2183159388U,	// MADDR_Q_W
+    23260U,	// MADDU
+    33577692U,	// MADDU_DSP
+    23260U,	// MADDU_MM
     2183152764U,	// MADDV_B
     2183155104U,	// MADDV_D
-    2183156301U,	// MADDV_H
-    2183160151U,	// MADDV_W
+    2183156319U,	// MADDV_H
+    2183160343U,	// MADDV_W
     33572743U,	// MADD_D32
     33572743U,	// MADD_D32_MM
     33572743U,	// MADD_D64
     33574466U,	// MADD_DSP
     20034U,	// MADD_MM
-    2183155672U,	// MADD_Q_H
-    2183159166U,	// MADD_Q_W
-    33576852U,	// MADD_S
-    33576852U,	// MADD_S_MM
-    33576384U,	// MAQ_SA_W_PHL
-    33576717U,	// MAQ_SA_W_PHR
-    33576412U,	// MAQ_S_W_PHL
-    33576745U,	// MAQ_S_W_PHR
+    2183155690U,	// MADD_Q_H
+    2183159358U,	// MADD_Q_W
+    33576995U,	// MADD_S
+    33576995U,	// MADD_S_MM
+    33576436U,	// MAQ_SA_W_PHL
+    33576860U,	// MAQ_SA_W_PHR
+    33576464U,	// MAQ_S_W_PHL
+    33576888U,	// MAQ_S_W_PHR
     33572684U,	// MAXA_D
-    33576825U,	// MAXA_S
+    33576968U,	// MAXA_S
     33571633U,	// MAXI_S_B
     33573689U,	// MAXI_S_D
-    33575087U,	// MAXI_S_H
-    33578735U,	// MAXI_S_W
+    33575105U,	// MAXI_S_H
+    33578927U,	// MAXI_S_W
     570442760U,	// MAXI_U_B
     570445068U,	// MAXI_U_D
-    570446287U,	// MAXI_U_H
-    570450115U,	// MAXI_U_W
+    570446305U,	// MAXI_U_H
+    570450307U,	// MAXI_U_W
     33571214U,	// MAX_A_B
     33572660U,	// MAX_A_D
-    33574599U,	// MAX_A_H
-    33577801U,	// MAX_A_W
+    33574617U,	// MAX_A_H
+    33577993U,	// MAX_A_W
     33574407U,	// MAX_D
-    33577392U,	// MAX_S
+    33577535U,	// MAX_S
     33571721U,	// MAX_S_B
     33573809U,	// MAX_S_D
-    33575206U,	// MAX_S_H
-    33578916U,	// MAX_S_W
+    33575224U,	// MAX_S_H
+    33579108U,	// MAX_S_W
     33571936U,	// MAX_U_B
     33574276U,	// MAX_U_D
-    33575473U,	// MAX_U_H
-    33579323U,	// MAX_U_W
+    33575491U,	// MAX_U_H
+    33579515U,	// MAX_U_W
     1107312650U,	// MFC0
     16444U,	// MFC1
     16444U,	// MFC1_MM
@@ -1029,116 +1058,116 @@
     16450U,	// MFHC1_D32
     16450U,	// MFHC1_D64
     16450U,	// MFHC1_MM
-    414949U,	// MFHI
-    414949U,	// MFHI16_MM
-    414949U,	// MFHI64
-    21733U,	// MFHI_DSP
-    414949U,	// MFHI_MM
-    415289U,	// MFLO
-    415289U,	// MFLO16_MM
-    415289U,	// MFLO64
-    22073U,	// MFLO_DSP
-    415289U,	// MFLO_MM
+    414967U,	// MFHI
+    414967U,	// MFHI16_MM
+    414967U,	// MFHI64
+    21751U,	// MFHI_DSP
+    414967U,	// MFHI_MM
+    415421U,	// MFLO
+    415421U,	// MFLO16_MM
+    415421U,	// MFLO64
+    22205U,	// MFLO_DSP
+    415421U,	// MFLO_MM
     33572669U,	// MINA_D
-    33576817U,	// MINA_S
+    33576960U,	// MINA_S
     33571613U,	// MINI_S_B
     33573669U,	// MINI_S_D
-    33575067U,	// MINI_S_H
-    33578715U,	// MINI_S_W
+    33575085U,	// MINI_S_H
+    33578907U,	// MINI_S_W
     570442740U,	// MINI_U_B
     570445048U,	// MINI_U_D
-    570446267U,	// MINI_U_H
-    570450095U,	// MINI_U_W
+    570446285U,	// MINI_U_H
+    570450287U,	// MINI_U_W
     33571195U,	// MIN_A_B
     33572640U,	// MIN_A_D
-    33574580U,	// MIN_A_H
-    33577781U,	// MIN_A_W
+    33574598U,	// MIN_A_H
+    33577973U,	// MIN_A_W
     33573312U,	// MIN_D
-    33577093U,	// MIN_S
+    33577236U,	// MIN_S
     33571643U,	// MIN_S_B
     33573699U,	// MIN_S_D
-    33575097U,	// MIN_S_H
-    33578755U,	// MIN_S_W
+    33575115U,	// MIN_S_H
+    33578947U,	// MIN_S_W
     33571858U,	// MIN_U_B
     33574166U,	// MIN_U_D
-    33575385U,	// MIN_U_H
-    33579213U,	// MIN_U_W
+    33575403U,	// MIN_U_H
+    33579405U,	// MIN_U_W
     0U,	// MIPSeh_return32
     0U,	// MIPSeh_return64
     33574506U,	// MOD
     33572373U,	// MODSUB
-    33577508U,	// MODU
+    33577700U,	// MODU
     33571576U,	// MOD_S_B
     33573632U,	// MOD_S_D
-    33575030U,	// MOD_S_H
-    33578678U,	// MOD_S_W
+    33575048U,	// MOD_S_H
+    33578870U,	// MOD_S_W
     33571791U,	// MOD_U_B
     33574099U,	// MOD_U_D
-    33575318U,	// MOD_U_H
-    33579146U,	// MOD_U_W
+    33575336U,	// MOD_U_H
+    33579338U,	// MOD_U_W
     20110U,	// MOVE16_MM
-    23190U,	// MOVE_V
+    23382U,	// MOVE_V
     33573029U,	// MOVF_D32
     33573029U,	// MOVF_D32_MM
     33573029U,	// MOVF_D64
-    33574560U,	// MOVF_I
-    33574560U,	// MOVF_I64
-    33574560U,	// MOVF_I_MM
-    33577003U,	// MOVF_S
-    33577003U,	// MOVF_S_MM
+    33574578U,	// MOVF_I
+    33574578U,	// MOVF_I64
+    33574578U,	// MOVF_I_MM
+    33577146U,	// MOVF_S
+    33577146U,	// MOVF_S_MM
     33573364U,	// MOVN_I64_D64
-    33576493U,	// MOVN_I64_I
-    33576493U,	// MOVN_I64_I64
-    33577129U,	// MOVN_I64_S
+    33576625U,	// MOVN_I64_I
+    33576625U,	// MOVN_I64_I64
+    33577272U,	// MOVN_I64_S
     33573364U,	// MOVN_I_D32
     33573364U,	// MOVN_I_D32_MM
     33573364U,	// MOVN_I_D64
-    33576493U,	// MOVN_I_I
-    33576493U,	// MOVN_I_I64
-    33576493U,	// MOVN_I_MM
-    33577129U,	// MOVN_I_S
-    33577129U,	// MOVN_I_S_MM
+    33576625U,	// MOVN_I_I
+    33576625U,	// MOVN_I_I64
+    33576625U,	// MOVN_I_MM
+    33577272U,	// MOVN_I_S
+    33577272U,	// MOVN_I_S_MM
     33574027U,	// MOVT_D32
     33574027U,	// MOVT_D32_MM
     33574027U,	// MOVT_D64
-    33577461U,	// MOVT_I
-    33577461U,	// MOVT_I64
-    33577461U,	// MOVT_I_MM
-    33577318U,	// MOVT_S
-    33577318U,	// MOVT_S_MM
+    33577653U,	// MOVT_I
+    33577653U,	// MOVT_I64
+    33577653U,	// MOVT_I_MM
+    33577461U,	// MOVT_S
+    33577461U,	// MOVT_S_MM
     33574447U,	// MOVZ_I64_D64
-    33579526U,	// MOVZ_I64_I
-    33579526U,	// MOVZ_I64_I64
-    33577419U,	// MOVZ_I64_S
+    33579718U,	// MOVZ_I64_I
+    33579718U,	// MOVZ_I64_I64
+    33577562U,	// MOVZ_I64_S
     33574447U,	// MOVZ_I_D32
     33574447U,	// MOVZ_I_D32_MM
     33574447U,	// MOVZ_I_D64
-    33579526U,	// MOVZ_I_I
-    33579526U,	// MOVZ_I_I64
-    33579526U,	// MOVZ_I_MM
-    33577419U,	// MOVZ_I_S
-    33577419U,	// MOVZ_I_S_MM
+    33579718U,	// MOVZ_I_I
+    33579718U,	// MOVZ_I_I64
+    33579718U,	// MOVZ_I_MM
+    33577562U,	// MOVZ_I_S
+    33577562U,	// MOVZ_I_S_MM
     17949U,	// MSUB
     2183153795U,	// MSUBF_D
-    2183157777U,	// MSUBF_S
-    2183155691U,	// MSUBR_Q_H
-    2183159185U,	// MSUBR_Q_W
-    23047U,	// MSUBU
-    33577479U,	// MSUBU_DSP
-    23047U,	// MSUBU_MM
+    2183157920U,	// MSUBF_S
+    2183155709U,	// MSUBR_Q_H
+    2183159377U,	// MSUBR_Q_W
+    23239U,	// MSUBU
+    33577671U,	// MSUBU_DSP
+    23239U,	// MSUBU_MM
     2183152755U,	// MSUBV_B
     2183155095U,	// MSUBV_D
-    2183156292U,	// MSUBV_H
-    2183160142U,	// MSUBV_W
+    2183156310U,	// MSUBV_H
+    2183160334U,	// MSUBV_W
     33572701U,	// MSUB_D32
     33572701U,	// MSUB_D32_MM
     33572701U,	// MSUB_D64
     33572381U,	// MSUB_DSP
     17949U,	// MSUB_MM
-    2183155662U,	// MSUB_Q_H
-    2183159156U,	// MSUB_Q_W
-    33576834U,	// MSUB_S
-    33576834U,	// MSUB_S_MM
+    2183155680U,	// MSUB_Q_H
+    2183159348U,	// MSUB_Q_W
+    33576977U,	// MSUB_S
+    33576977U,	// MSUB_S_MM
     1107312657U,	// MTC0
     704599U,	// MTC1
     704599U,	// MTC1_MM
@@ -1146,138 +1175,138 @@
     81993U,	// MTHC1_D32
     81993U,	// MTHC1_D64
     704585U,	// MTHC1_MM
-    414955U,	// MTHI
-    414955U,	// MTHI64
-    709867U,	// MTHI_DSP
-    414955U,	// MTHI_MM
-    710245U,	// MTHLIP
-    415302U,	// MTLO
-    415302U,	// MTLO64
-    710214U,	// MTLO_DSP
-    415302U,	// MTLO_MM
+    414973U,	// MTHI
+    414973U,	// MTHI64
+    709885U,	// MTHI_DSP
+    414973U,	// MTHI_MM
+    710377U,	// MTHLIP
+    415434U,	// MTLO
+    415434U,	// MTLO64
+    710346U,	// MTLO_DSP
+    415434U,	// MTLO_MM
     409629U,	// MTM0
     409747U,	// MTM1
     409840U,	// MTM2
     409635U,	// MTP0
     409753U,	// MTP1
     409846U,	// MTP2
-    33576123U,	// MUH
-    33577526U,	// MUHU
-    33576462U,	// MUL
-    33576425U,	// MULEQ_S_W_PHL
-    33576758U,	// MULEQ_S_W_PHR
-    33576327U,	// MULEU_S_PH_QBL
-    33576660U,	// MULEU_S_PH_QBR
-    33575884U,	// MULQ_RS_PH
-    33578935U,	// MULQ_RS_W
-    33575828U,	// MULQ_S_PH
-    33578794U,	// MULQ_S_W
-    33574913U,	// MULR_Q_H
-    33578407U,	// MULR_Q_W
-    33576030U,	// MULSAQ_S_W_PH
-    33576005U,	// MULSA_W_PH
-    23018U,	// MULT
-    33577596U,	// MULTU_DSP
-    33577450U,	// MULT_DSP
-    23018U,	// MULT_MM
-    23164U,	// MULTu
-    23164U,	// MULTu_MM
-    33577563U,	// MULU
+    33576141U,	// MUH
+    33577718U,	// MUHU
+    33576566U,	// MUL
+    33576477U,	// MULEQ_S_W_PHL
+    33576901U,	// MULEQ_S_W_PHR
+    33576345U,	// MULEU_S_PH_QBL
+    33576803U,	// MULEU_S_PH_QBR
+    33575902U,	// MULQ_RS_PH
+    33579127U,	// MULQ_RS_W
+    33575846U,	// MULQ_S_PH
+    33578986U,	// MULQ_S_W
+    33574931U,	// MULR_Q_H
+    33578599U,	// MULR_Q_W
+    33576048U,	// MULSAQ_S_W_PH
+    33576023U,	// MULSA_W_PH
+    23210U,	// MULT
+    33577788U,	// MULTU_DSP
+    33577642U,	// MULT_DSP
+    23210U,	// MULT_MM
+    23356U,	// MULTu
+    23356U,	// MULTu_MM
+    33577755U,	// MULU
     33571991U,	// MULV_B
     33574339U,	// MULV_D
-    33575528U,	// MULV_H
-    33579386U,	// MULV_W
-    33576462U,	// MUL_MM
-    33575701U,	// MUL_PH
-    33574882U,	// MUL_Q_H
-    33578376U,	// MUL_Q_W
-    33576462U,	// MUL_R6
-    33575796U,	// MUL_S_PH
-    414949U,	// Mfhi16
-    415289U,	// Mflo16
+    33575546U,	// MULV_H
+    33579578U,	// MULV_W
+    33576566U,	// MUL_MM
+    33575719U,	// MUL_PH
+    33574900U,	// MUL_Q_H
+    33578568U,	// MUL_Q_W
+    33576566U,	// MUL_R6
+    33575814U,	// MUL_S_PH
+    414967U,	// Mfhi16
+    415421U,	// Mflo16
     20110U,	// Move32R16
     20110U,	// MoveR3216
-    23018U,	// MultRxRy16
-    17619434U,	// MultRxRyRz16
-    23164U,	// MultuRxRy16
-    17619580U,	// MultuRxRyRz16
+    23210U,	// MultRxRy16
+    17619626U,	// MultRxRyRz16
+    23356U,	// MultuRxRy16
+    17619772U,	// MultuRxRyRz16
     16798U,	// NLOC_B
     18286U,	// NLOC_D
-    20183U,	// NLOC_H
-    23402U,	// NLOC_W
+    20201U,	// NLOC_H
+    23594U,	// NLOC_W
     16806U,	// NLZC_B
     18294U,	// NLZC_D
-    20191U,	// NLZC_H
-    23410U,	// NLZC_W
+    20209U,	// NLZC_H
+    23602U,	// NLZC_W
     33572751U,	// NMADD_D32
     33572751U,	// NMADD_D32_MM
     33572751U,	// NMADD_D64
-    33576851U,	// NMADD_S
-    33576851U,	// NMADD_S_MM
+    33576994U,	// NMADD_S
+    33576994U,	// NMADD_S_MM
     33572709U,	// NMSUB_D32
     33572709U,	// NMSUB_D32_MM
     33572709U,	// NMSUB_D64
-    33576833U,	// NMSUB_S
-    33576833U,	// NMSUB_S_MM
+    33576976U,	// NMSUB_S
+    33576976U,	// NMSUB_S_MM
     0U,	// NOP
-    33576783U,	// NOR
-    33576783U,	// NOR64
+    33576926U,	// NOR
+    33576926U,	// NOR64
     570442311U,	// NORI_B
-    33576783U,	// NOR_MM
-    33577638U,	// NOR_V
+    33576926U,	// NOR_MM
+    33577830U,	// NOR_V
     0U,	// NOR_V_D_PSEUDO
     0U,	// NOR_V_H_PSEUDO
     0U,	// NOR_V_W_PSEUDO
-    20134U,	// NegRxRy16
-    23024U,	// NotRxRy16
-    33576784U,	// OR
-    33576784U,	// OR64
+    20152U,	// NegRxRy16
+    23216U,	// NotRxRy16
+    33576927U,	// OR
+    33576927U,	// OR64
     570442312U,	// ORI_B
-    33576784U,	// OR_MM
-    33577639U,	// OR_V
+    33576927U,	// OR_MM
+    33577831U,	// OR_V
     0U,	// OR_V_D_PSEUDO
     0U,	// OR_V_H_PSEUDO
     0U,	// OR_V_W_PSEUDO
-    1107318039U,	// ORi
-    1107318039U,	// ORi64
-    1107318039U,	// ORi_MM
-    2119504U,	// OrRxRxRy16
-    33575690U,	// PACKRL_PH
-    8964U,	// PAUSE
+    1107318057U,	// ORi
+    1107318057U,	// ORi64
+    1107318057U,	// ORi_MM
+    2119647U,	// OrRxRxRy16
+    33575708U,	// PACKRL_PH
+    9156U,	// PAUSE
     33571973U,	// PCKEV_B
     33574313U,	// PCKEV_D
-    33575510U,	// PCKEV_H
-    33579360U,	// PCKEV_W
+    33575528U,	// PCKEV_H
+    33579552U,	// PCKEV_W
     33571253U,	// PCKOD_B
     33572767U,	// PCKOD_D
-    33574638U,	// PCKOD_H
-    33577883U,	// PCKOD_W
+    33574656U,	// PCKOD_H
+    33578075U,	// PCKOD_W
     17325U,	// PCNT_B
     19543U,	// PCNT_D
-    20810U,	// PCNT_H
-    24598U,	// PCNT_W
-    33575654U,	// PICK_PH
+    20828U,	// PCNT_H
+    24790U,	// PCNT_W
+    33575672U,	// PICK_PH
     33572105U,	// PICK_QB
-    22131U,	// POP
-    21926U,	// PRECEQU_PH_QBL
+    22263U,	// POP
+    21944U,	// PRECEQU_PH_QBL
     16676U,	// PRECEQU_PH_QBLA
-    22259U,	// PRECEQU_PH_QBR
+    22402U,	// PRECEQU_PH_QBR
     16709U,	// PRECEQU_PH_QBRA
-    21966U,	// PRECEQ_W_PHL
-    22299U,	// PRECEQ_W_PHR
-    21911U,	// PRECEU_PH_QBL
+    22018U,	// PRECEQ_W_PHL
+    22442U,	// PRECEQ_W_PHR
+    21929U,	// PRECEU_PH_QBL
     16660U,	// PRECEU_PH_QBLA
-    22244U,	// PRECEU_PH_QBR
+    22387U,	// PRECEU_PH_QBR
     16693U,	// PRECEU_PH_QBRA
-    33575606U,	// PRECRQU_S_QB_PH
-    33578026U,	// PRECRQ_PH_W
-    33575579U,	// PRECRQ_QB_PH
-    33578057U,	// PRECRQ_RS_PH_W
-    33575593U,	// PRECR_QB_PH
-    1107319834U,	// PRECR_SRA_PH_W
-    1107319863U,	// PRECR_SRA_R_PH_W
-    36506U,	// PREF
-    36506U,	// PREF_R6
+    33575624U,	// PRECRQU_S_QB_PH
+    33578218U,	// PRECRQ_PH_W
+    33575597U,	// PRECRQ_QB_PH
+    33578249U,	// PRECRQ_RS_PH_W
+    33575611U,	// PRECR_QB_PH
+    1107320026U,	// PRECR_SRA_PH_W
+    1107320055U,	// PRECR_SRA_R_PH_W
+    36524U,	// PREF
+    36524U,	// PREF_R6
     1107316312U,	// PREPEND
     0U,	// PseudoCMPU_EQ_QB
     0U,	// PseudoCMPU_LE_QB
@@ -1316,38 +1345,38 @@
     0U,	// PseudoSDIV
     0U,	// PseudoUDIV
     17925U,	// RADDU_W_QB
-    14702233U,	// RDDSP
-    22368U,	// RDHWR
-    22368U,	// RDHWR64
-    21513U,	// REPLV_PH
+    14702365U,	// RDDSP
+    22511U,	// RDHWR
+    22511U,	// RDHWR64
+    21531U,	// REPLV_PH
     17905U,	// REPLV_QB
-    14701304U,	// REPL_PH
+    14701322U,	// REPL_PH
     14697755U,	// REPL_QB
     19552U,	// RINT_D
-    22870U,	// RINT_S
-    1107318618U,	// ROTR
-    33577740U,	// ROTRV
-    33577740U,	// ROTRV_MM
-    1107318618U,	// ROTR_MM
+    23013U,	// RINT_S
+    1107318761U,	// ROTR
+    33577932U,	// ROTRV
+    33577932U,	// ROTRV_MM
+    1107318761U,	// ROTR_MM
     18757U,	// ROUND_L_D64
-    22597U,	// ROUND_L_S
+    22740U,	// ROUND_L_S
     19933U,	// ROUND_W_D32
     19933U,	// ROUND_W_D64
     19933U,	// ROUND_W_MM
-    22919U,	// ROUND_W_S
-    22919U,	// ROUND_W_S_MM
+    23062U,	// ROUND_W_S
+    23062U,	// ROUND_W_S_MM
     0U,	// Restore16
     0U,	// RestoreX16
     0U,	// RetRA
     0U,	// RetRA16
     1107313506U,	// SAT_S_B
     1107315572U,	// SAT_S_D
-    570446068U,	// SAT_S_H
-    1107320668U,	// SAT_S_W
+    570446086U,	// SAT_S_H
+    1107320860U,	// SAT_S_W
     1107313733U,	// SAT_U_B
     1107316051U,	// SAT_U_D
-    570446358U,	// SAT_U_H
-    1107321098U,	// SAT_U_W
+    570446376U,	// SAT_U_H
+    1107321290U,	// SAT_U_W
     12600849U,	// SB
     12600849U,	// SB64
     12600849U,	// SB_MM
@@ -1357,104 +1386,104 @@
     1754799U,	// SC_MM
     1754799U,	// SC_R6
     12602991U,	// SD
-    415318U,	// SDBBP
-    415318U,	// SDBBP_R6
+    415450U,	// SDBBP
+    415450U,	// SDBBP_R6
     12599343U,	// SDC1
     12599343U,	// SDC164
     12599343U,	// SDC1_MM
     12599504U,	// SDC2
     12599504U,	// SDC2_R6
     12599554U,	// SDC3
-    25133U,	// SDIV
-    25133U,	// SDIV_MM
-    12604859U,	// SDL
-    12605192U,	// SDR
+    25325U,	// SDIV
+    25325U,	// SDIV_MM
+    12604877U,	// SDL
+    12605335U,	// SDR
     337657968U,	// SDXC1
     337657968U,	// SDXC164
     17580U,	// SEB
     17580U,	// SEB64
     17580U,	// SEB_MM
-    21129U,	// SEH
-    21129U,	// SEH64
-    21129U,	// SEH_MM
-    33579499U,	// SELEQZ
-    33579499U,	// SELEQZ64
+    21147U,	// SEH
+    21147U,	// SEH64
+    21147U,	// SEH_MM
+    33579691U,	// SELEQZ
+    33579691U,	// SELEQZ64
     33574437U,	// SELEQZ_D
-    33577409U,	// SELEQZ_S
-    33579472U,	// SELNEZ
-    33579472U,	// SELNEZ64
+    33577552U,	// SELEQZ_S
+    33579664U,	// SELNEZ
+    33579664U,	// SELNEZ64
     33574420U,	// SELNEZ_D
-    33577399U,	// SELNEZ_S
+    33577542U,	// SELNEZ_S
     2183154030U,	// SEL_D
-    2183157870U,	// SEL_S
-    33576626U,	// SEQ
-    33576202U,	// SEQi
-    12604598U,	// SH
-    12604598U,	// SH64
+    2183158013U,	// SEL_S
+    33576769U,	// SEQ
+    33576220U,	// SEQi
+    12604616U,	// SH
+    12604616U,	// SH64
     570442193U,	// SHF_B
-    570445578U,	// SHF_H
-    570448907U,	// SHF_W
-    22079U,	// SHILO
-    23283U,	// SHILOV
-    33575935U,	// SHLLV_PH
+    570445596U,	// SHF_H
+    570449099U,	// SHF_W
+    22211U,	// SHILO
+    23475U,	// SHILOV
+    33575953U,	// SHLLV_PH
     33572327U,	// SHLLV_QB
-    33575872U,	// SHLLV_S_PH
-    33578905U,	// SHLLV_S_W
-    1107317487U,	// SHLL_PH
+    33575890U,	// SHLLV_S_PH
+    33579097U,	// SHLLV_S_W
+    1107317505U,	// SHLL_PH
     1107313938U,	// SHLL_QB
-    1107317609U,	// SHLL_S_PH
-    1107320569U,	// SHLL_S_W
-    33575925U,	// SHRAV_PH
+    1107317627U,	// SHLL_S_PH
+    1107320761U,	// SHLL_S_W
+    33575943U,	// SHRAV_PH
     33572317U,	// SHRAV_QB
-    33575773U,	// SHRAV_R_PH
+    33575791U,	// SHRAV_R_PH
     33572215U,	// SHRAV_R_QB
-    33578500U,	// SHRAV_R_W
-    1107317394U,	// SHRA_PH
+    33578692U,	// SHRAV_R_W
+    1107317412U,	// SHRA_PH
     1107313861U,	// SHRA_QB
-    1107317562U,	// SHRA_R_PH
+    1107317580U,	// SHRA_R_PH
     1107314004U,	// SHRA_R_QB
-    1107320282U,	// SHRA_R_W
-    33575955U,	// SHRLV_PH
+    1107320474U,	// SHRA_R_W
+    33575973U,	// SHRLV_PH
     33572347U,	// SHRLV_QB
-    1107317505U,	// SHRL_PH
+    1107317523U,	// SHRL_PH
     1107313956U,	// SHRL_QB
-    12604598U,	// SH_MM
+    12604616U,	// SH_MM
     1814053352U,	// SLDI_B
     1814055101U,	// SLDI_D
-    1814056737U,	// SLDI_H
-    1814060147U,	// SLDI_W
+    1814056755U,	// SLDI_H
+    1814060339U,	// SLDI_W
     2350924206U,	// SLD_B
     2350925720U,	// SLD_D
-    2350927591U,	// SLD_H
-    2350930836U,	// SLD_W
-    1107318274U,	// SLL
-    402675202U,	// SLL64_32
-    402675202U,	// SLL64_64
+    2350927609U,	// SLD_H
+    2350931028U,	// SLD_W
+    1107318344U,	// SLL
+    402675272U,	// SLL64_32
+    402675272U,	// SLL64_64
     570442250U,	// SLLI_B
     570443982U,	// SLLI_D
-    570445618U,	// SLLI_H
-    570449028U,	// SLLI_W
-    33577702U,	// SLLV
-    33577702U,	// SLLV_MM
+    570445636U,	// SLLI_H
+    570449220U,	// SLLI_W
+    33577894U,	// SLLV
+    33577894U,	// SLLV_MM
     33571487U,	// SLL_B
     33573254U,	// SLL_D
-    33574822U,	// SLL_H
-    1107318274U,	// SLL_MM
-    33578258U,	// SLL_W
-    33577439U,	// SLT
-    33577439U,	// SLT64
-    33577439U,	// SLT_MM
-    33576226U,	// SLTi
-    33576226U,	// SLTi64
-    33576226U,	// SLTi_MM
-    33577547U,	// SLTiu
-    33577547U,	// SLTiu64
-    33577547U,	// SLTiu_MM
-    33577583U,	// SLTu
-    33577583U,	// SLTu64
-    33577583U,	// SLTu_MM
+    33574840U,	// SLL_H
+    1107318344U,	// SLL_MM
+    33578450U,	// SLL_W
+    33577631U,	// SLT
+    33577631U,	// SLT64
+    33577631U,	// SLT_MM
+    33576244U,	// SLTi
+    33576244U,	// SLTi64
+    33576244U,	// SLTi_MM
+    33577739U,	// SLTiu
+    33577739U,	// SLTiu64
+    33577739U,	// SLTiu_MM
+    33577775U,	// SLTu
+    33577775U,	// SLTu64
+    33577775U,	// SLTu_MM
     33574532U,	// SNE
-    33576147U,	// SNEi
+    33576165U,	// SNEi
     0U,	// SNZ_B_PSEUDO
     0U,	// SNZ_D_PSEUDO
     0U,	// SNZ_H_PSEUDO
@@ -1462,125 +1491,125 @@
     0U,	// SNZ_W_PSEUDO
     738214497U,	// SPLATI_B
     738216213U,	// SPLATI_D
-    738217849U,	// SPLATI_H
-    738221259U,	// SPLATI_W
+    738217867U,	// SPLATI_H
+    738221451U,	// SPLATI_W
     201343900U,	// SPLAT_B
     201346005U,	// SPLAT_D
-    201347385U,	// SPLAT_H
-    201351139U,	// SPLAT_W
+    201347403U,	// SPLAT_H
+    201351331U,	// SPLAT_W
     1107312983U,	// SRA
     570442208U,	// SRAI_B
     570443957U,	// SRAI_D
-    570445593U,	// SRAI_H
-    570449003U,	// SRAI_W
+    570445611U,	// SRAI_H
+    570449195U,	// SRAI_W
     1107313196U,	// SRARI_B
     1107314928U,	// SRARI_D
-    570445652U,	// SRARI_H
-    1107319974U,	// SRARI_W
+    570445670U,	// SRARI_H
+    1107320166U,	// SRARI_W
     33571525U,	// SRAR_B
     33573484U,	// SRAR_D
-    33574937U,	// SRAR_H
-    33578522U,	// SRAR_W
-    33577681U,	// SRAV
-    33577681U,	// SRAV_MM
+    33574955U,	// SRAR_H
+    33578714U,	// SRAR_W
+    33577873U,	// SRAV
+    33577873U,	// SRAV_MM
     33571223U,	// SRA_B
     33572677U,	// SRA_D
-    33574608U,	// SRA_H
+    33574626U,	// SRA_H
     1107312983U,	// SRA_MM
-    33577810U,	// SRA_W
-    1107318280U,	// SRL
+    33578002U,	// SRA_W
+    1107318356U,	// SRL
     570442258U,	// SRLI_B
     570443990U,	// SRLI_D
-    570445626U,	// SRLI_H
-    570449036U,	// SRLI_W
+    570445644U,	// SRLI_H
+    570449228U,	// SRLI_W
     1107313214U,	// SRLRI_B
     1107314946U,	// SRLRI_D
-    570445670U,	// SRLRI_H
-    1107319992U,	// SRLRI_W
+    570445688U,	// SRLRI_H
+    1107320184U,	// SRLRI_W
     33571541U,	// SRLR_B
     33573500U,	// SRLR_D
-    33574953U,	// SRLR_H
-    33578538U,	// SRLR_W
-    33577709U,	// SRLV
-    33577709U,	// SRLV_MM
+    33574971U,	// SRLR_H
+    33578730U,	// SRLR_W
+    33577901U,	// SRLV
+    33577901U,	// SRLV_MM
     33571494U,	// SRL_B
     33573279U,	// SRL_D
-    33574829U,	// SRL_H
-    1107318280U,	// SRL_MM
-    33578283U,	// SRL_W
-    8985U,	// SSNOP
+    33574847U,	// SRL_H
+    1107318356U,	// SRL_MM
+    33578475U,	// SRL_W
+    9177U,	// SSNOP
     12599303U,	// STORE_ACC128
     12599303U,	// STORE_ACC64
     12599303U,	// STORE_ACC64DSP
-    12605064U,	// STORE_CCOND_DSP
+    12605196U,	// STORE_CCOND_DSP
     12600255U,	// ST_B
     12602501U,	// ST_D
-    12603740U,	// ST_H
-    12607556U,	// ST_W
+    12603758U,	// ST_H
+    12607748U,	// ST_W
     33572376U,	// SUB
-    33575634U,	// SUBQH_PH
-    33575749U,	// SUBQH_R_PH
-    33578468U,	// SUBQH_R_W
-    33578073U,	// SUBQH_W
-    33575709U,	// SUBQ_PH
-    33575806U,	// SUBQ_S_PH
-    33578774U,	// SUBQ_S_W
+    33575652U,	// SUBQH_PH
+    33575767U,	// SUBQH_R_PH
+    33578660U,	// SUBQH_R_W
+    33578265U,	// SUBQH_W
+    33575727U,	// SUBQ_PH
+    33575824U,	// SUBQ_S_PH
+    33578966U,	// SUBQ_S_W
     33571897U,	// SUBSUS_U_B
     33574215U,	// SUBSUS_U_D
-    33575434U,	// SUBSUS_U_H
-    33579262U,	// SUBSUS_U_W
+    33575452U,	// SUBSUS_U_H
+    33579454U,	// SUBSUS_U_W
     33571700U,	// SUBSUU_S_B
     33573788U,	// SUBSUU_S_D
-    33575174U,	// SUBSUU_S_H
-    33578884U,	// SUBSUU_S_W
+    33575192U,	// SUBSUU_S_H
+    33579076U,	// SUBSUU_S_W
     33571662U,	// SUBS_S_B
     33573728U,	// SUBS_S_D
-    33575136U,	// SUBS_S_H
-    33578824U,	// SUBS_S_W
+    33575154U,	// SUBS_S_H
+    33579016U,	// SUBS_S_W
     33571877U,	// SUBS_U_B
     33574195U,	// SUBS_U_D
-    33575414U,	// SUBS_U_H
-    33579242U,	// SUBS_U_W
+    33575432U,	// SUBS_U_H
+    33579434U,	// SUBS_U_W
     33572085U,	// SUBUH_QB
     33572191U,	// SUBUH_R_QB
-    33575907U,	// SUBU_PH
+    33575925U,	// SUBU_PH
     33572299U,	// SUBU_QB
-    33575850U,	// SUBU_S_PH
+    33575868U,	// SUBU_S_PH
     33572238U,	// SUBU_S_QB
     570442356U,	// SUBVI_B
     570444072U,	// SUBVI_D
-    570445708U,	// SUBVI_H
-    570449118U,	// SUBVI_W
+    570445726U,	// SUBVI_H
+    570449310U,	// SUBVI_W
     33571956U,	// SUBV_B
     33574296U,	// SUBV_D
-    33575493U,	// SUBV_H
-    33579343U,	// SUBV_W
+    33575511U,	// SUBV_H
+    33579535U,	// SUBV_W
     33572376U,	// SUB_MM
-    33577473U,	// SUBu
-    33577473U,	// SUBu_MM
+    33577665U,	// SUBu
+    33577665U,	// SUBu_MM
     337657982U,	// SUXC1
     337657982U,	// SUXC164
     337657982U,	// SUXC1_MM
-    12607908U,	// SW
-    12607908U,	// SW64
+    12608100U,	// SW
+    12608100U,	// SW64
     12599395U,	// SWC1
     12599395U,	// SWC1_MM
     12599530U,	// SWC2
     12599530U,	// SWC2_R6
     12599566U,	// SWC3
-    12604952U,	// SWL
-    12604952U,	// SWL64
-    12604952U,	// SWL_MM
-    12605292U,	// SWR
-    12605292U,	// SWR64
-    12605292U,	// SWR_MM
+    12605056U,	// SWL
+    12605056U,	// SWL64
+    12605056U,	// SWL_MM
+    12605435U,	// SWR
+    12605435U,	// SWR64
+    12605435U,	// SWR_MM
     337657996U,	// SWXC1
     337657996U,	// SWXC1_MM
-    12607908U,	// SW_MM
-    418389U,	// SYNC
-    418389U,	// SYNC_MM
-    415224U,	// SYSCALL
-    415224U,	// SYSCALL_MM
+    12608100U,	// SW_MM
+    418581U,	// SYNC
+    418581U,	// SYNC_MM
+    415276U,	// SYSCALL
+    415276U,	// SYSCALL_MM
     0U,	// SZ_B_PSEUDO
     0U,	// SZ_D_PSEUDO
     0U,	// SZ_H_PSEUDO
@@ -1589,108 +1618,112 @@
     0U,	// Save16
     0U,	// SaveX16
     12600849U,	// SbRxRyOffMemX16
-    418316U,	// SebRx16
-    418328U,	// SehRx16
-    1941989U,	// SelBeqZ
-    1941962U,	// SelBneZ
-    455186029U,	// SelTBteqZCmp
-    455185668U,	// SelTBteqZCmpi
-    455186911U,	// SelTBteqZSlt
-    455185698U,	// SelTBteqZSlti
-    455187019U,	// SelTBteqZSltiu
-    455187055U,	// SelTBteqZSltu
-    488740461U,	// SelTBtneZCmp
-    488740100U,	// SelTBtneZCmpi
-    488741343U,	// SelTBtneZSlt
-    488740130U,	// SelTBtneZSlti
-    488741451U,	// SelTBtneZSltiu
-    488741487U,	// SelTBtneZSltu
-    12604598U,	// ShRxRyOffMemX16
-    1107318274U,	// SllX16
-    2120422U,	// SllvRxRy16
-    21813727U,	// SltCCRxRy16
-    23007U,	// SltRxRy16
-    21812514U,	// SltiCCRxImmX16
-    234902818U,	// SltiRxImm16
-    21794U,	// SltiRxImmX16
-    21813835U,	// SltiuCCRxImmX16
-    234904139U,	// SltiuRxImm16
-    23115U,	// SltiuRxImmX16
-    21813871U,	// SltuCCRxRy16
-    23151U,	// SltuRxRy16
-    21813871U,	// SltuRxRyRz16
+    418508U,	// SebRx16
+    418520U,	// SehRx16
+    1942181U,	// SelBeqZ
+    1942154U,	// SelBneZ
+    455186161U,	// SelTBteqZCmp
+    455185686U,	// SelTBteqZCmpi
+    455187103U,	// SelTBteqZSlt
+    455185716U,	// SelTBteqZSlti
+    455187211U,	// SelTBteqZSltiu
+    455187247U,	// SelTBteqZSltu
+    488740593U,	// SelTBtneZCmp
+    488740118U,	// SelTBtneZCmpi
+    488741535U,	// SelTBtneZSlt
+    488740148U,	// SelTBtneZSlti
+    488741643U,	// SelTBtneZSltiu
+    488741679U,	// SelTBtneZSltu
+    12604616U,	// ShRxRyOffMemX16
+    1107318344U,	// SllX16
+    2120614U,	// SllvRxRy16
+    21813919U,	// SltCCRxRy16
+    23199U,	// SltRxRy16
+    21812532U,	// SltiCCRxImmX16
+    234902836U,	// SltiRxImm16
+    21812U,	// SltiRxImmX16
+    21814027U,	// SltiuCCRxImmX16
+    234904331U,	// SltiuRxImm16
+    23307U,	// SltiuRxImmX16
+    21814063U,	// SltuCCRxRy16
+    23343U,	// SltuRxRy16
+    21814063U,	// SltuRxRyRz16
     1107312983U,	// SraX16
-    2120401U,	// SravRxRy16
-    1107318280U,	// SrlX16
-    2120429U,	// SrlvRxRy16
-    33577473U,	// SubuRxRyRz16
-    12607908U,	// SwRxRyOffMemX16
-    371220900U,	// SwRxSpImmX16
+    2120593U,	// SravRxRy16
+    1107318356U,	// SrlX16
+    2120621U,	// SrlvRxRy16
+    33577665U,	// SubuRxRyRz16
+    12608100U,	// SwRxRyOffMemX16
+    371221092U,	// SwRxSpImmX16
     0U,	// TAILCALL
     0U,	// TAILCALL64_R
     0U,	// TAILCALL_R
-    1107318455U,	// TEQ
-    14701840U,	// TEQI
-    14701840U,	// TEQI_MM
-    1107318455U,	// TEQ_MM
+    1107318598U,	// TEQ
+    14701858U,	// TEQI
+    14701858U,	// TEQI_MM
+    1107318598U,	// TEQ_MM
     1107316339U,	// TGE
-    14701773U,	// TGEI
-    14703172U,	// TGEIU
-    14703172U,	// TGEIU_MM
-    14701773U,	// TGEI_MM
-    1107319338U,	// TGEU
-    1107319338U,	// TGEU_MM
+    14701791U,	// TGEI
+    14703364U,	// TGEIU
+    14703364U,	// TGEIU_MM
+    14701791U,	// TGEI_MM
+    1107319530U,	// TGEU
+    1107319530U,	// TGEU_MM
     1107316339U,	// TGE_MM
-    8980U,	// TLBP
-    8991U,	// TLBR
-    8970U,	// TLBWI
-    8996U,	// TLBWR
-    1107319268U,	// TLT
-    14701864U,	// TLTI
-    14703186U,	// TLTIU_MM
-    14701864U,	// TLTI_MM
-    1107319413U,	// TLTU
-    1107319413U,	// TLTU_MM
-    1107319268U,	// TLT_MM
+    9172U,	// TLBP
+    9172U,	// TLBP_MM
+    9183U,	// TLBR
+    9183U,	// TLBR_MM
+    9162U,	// TLBWI
+    9162U,	// TLBWI_MM
+    9188U,	// TLBWR
+    9188U,	// TLBWR_MM
+    1107319460U,	// TLT
+    14701882U,	// TLTI
+    14703378U,	// TLTIU_MM
+    14701882U,	// TLTI_MM
+    1107319605U,	// TLTU
+    1107319605U,	// TLTU_MM
+    1107319460U,	// TLT_MM
     1107316361U,	// TNE
-    14701785U,	// TNEI
-    14701785U,	// TNEI_MM
+    14701803U,	// TNEI
+    14701803U,	// TNEI_MM
     1107316361U,	// TNE_MM
     0U,	// TRAP
     18746U,	// TRUNC_L_D64
-    22586U,	// TRUNC_L_S
+    22729U,	// TRUNC_L_S
     19922U,	// TRUNC_W_D32
     19922U,	// TRUNC_W_D64
     19922U,	// TRUNC_W_MM
-    22908U,	// TRUNC_W_S
-    22908U,	// TRUNC_W_S_MM
-    14703186U,	// TTLTIU
-    25119U,	// UDIV
-    25119U,	// UDIV_MM
-    33577561U,	// V3MULU
+    23051U,	// TRUNC_W_S
+    23051U,	// TRUNC_W_S_MM
+    14703378U,	// TTLTIU
+    25311U,	// UDIV
+    25311U,	// UDIV_MM
+    33577753U,	// V3MULU
     33570839U,	// VMM0
-    33577576U,	// VMULU
+    33577768U,	// VMULU
     2183152080U,	// VSHF_B
     2183153813U,	// VSHF_D
-    2183155465U,	// VSHF_H
-    2183158794U,	// VSHF_W
-    9008U,	// WAIT
-    416217U,	// WAIT_MM
-    14702240U,	// WRDSP
-    21123U,	// WSBH
-    21123U,	// WSBH_MM
-    33576788U,	// XOR
-    33576788U,	// XOR64
+    2183155483U,	// VSHF_H
+    2183158986U,	// VSHF_W
+    9200U,	// WAIT
+    416409U,	// WAIT_MM
+    14702372U,	// WRDSP
+    21141U,	// WSBH
+    21141U,	// WSBH_MM
+    33576931U,	// XOR
+    33576931U,	// XOR64
     570442319U,	// XORI_B
-    33576788U,	// XOR_MM
-    33577645U,	// XOR_V
+    33576931U,	// XOR_MM
+    33577837U,	// XOR_V
     0U,	// XOR_V_D_PSEUDO
     0U,	// XOR_V_H_PSEUDO
     0U,	// XOR_V_W_PSEUDO
-    1107318038U,	// XORi
-    1107318038U,	// XORi64
-    1107318038U,	// XORi_MM
-    2119508U,	// XorRxRxRy16
+    1107318056U,	// XORi
+    1107318056U,	// XORi64
+    1107318056U,	// XORi_MM
+    2119651U,	// XorRxRxRy16
     0U
   };
 
@@ -1856,14 +1889,28 @@
     0U,	// BALIGN
     0U,	// BAL_BR
     0U,	// BC
+    0U,	// BC0F
+    0U,	// BC0FL
+    0U,	// BC0T
+    0U,	// BC0TL
     0U,	// BC1EQZ
     0U,	// BC1F
+    0U,	// BC1FL
     0U,	// BC1F_MM
     0U,	// BC1NEZ
     0U,	// BC1T
+    0U,	// BC1TL
     0U,	// BC1T_MM
     0U,	// BC2EQZ
+    0U,	// BC2F
+    0U,	// BC2FL
     0U,	// BC2NEZ
+    0U,	// BC2T
+    0U,	// BC2TL
+    0U,	// BC3F
+    0U,	// BC3FL
+    0U,	// BC3T
+    0U,	// BC3TL
     0U,	// BCLRI_B
     0U,	// BCLRI_D
     0U,	// BCLRI_H
@@ -1875,8 +1922,10 @@
     0U,	// BEQ
     0U,	// BEQ64
     0U,	// BEQC
+    0U,	// BEQL
     0U,	// BEQZALC
     0U,	// BEQZC
+    0U,	// BEQZC_MM
     0U,	// BEQ_MM
     0U,	// BGEC
     0U,	// BGEUC
@@ -1884,13 +1933,17 @@
     0U,	// BGEZ64
     0U,	// BGEZAL
     0U,	// BGEZALC
+    0U,	// BGEZALL
+    0U,	// BGEZALS_MM
     0U,	// BGEZAL_MM
     0U,	// BGEZC
+    0U,	// BGEZL
     0U,	// BGEZ_MM
     0U,	// BGTZ
     0U,	// BGTZ64
     0U,	// BGTZALC
     0U,	// BGTZC
+    0U,	// BGTZL
     0U,	// BGTZ_MM
     0U,	// BINSLI_B
     0U,	// BINSLI_D
@@ -1914,6 +1967,7 @@
     0U,	// BLEZ64
     0U,	// BLEZALC
     0U,	// BLEZC
+    0U,	// BLEZL
     0U,	// BLEZ_MM
     0U,	// BLTC
     0U,	// BLTUC
@@ -1921,8 +1975,11 @@
     0U,	// BLTZ64
     0U,	// BLTZAL
     0U,	// BLTZALC
+    0U,	// BLTZALL
+    0U,	// BLTZALS_MM
     0U,	// BLTZAL_MM
     0U,	// BLTZC
+    0U,	// BLTZL
     0U,	// BLTZ_MM
     0U,	// BMNZI_B
     0U,	// BMNZ_V
@@ -1939,8 +1996,10 @@
     0U,	// BNEG_D
     0U,	// BNEG_H
     0U,	// BNEG_W
+    0U,	// BNEL
     0U,	// BNEZALC
     0U,	// BNEZC
+    0U,	// BNEZC_MM
     0U,	// BNE_MM
     0U,	// BNVC
     0U,	// BNZ_B
@@ -2542,14 +2601,17 @@
     0U,	// JALR64
     0U,	// JALR64Pseudo
     0U,	// JALRPseudo
+    0U,	// JALRS_MM
     0U,	// JALR_HB
     0U,	// JALR_MM
+    0U,	// JALS_MM
     0U,	// JALX
     0U,	// JAL_MM
     0U,	// JIALC
     0U,	// JIC
     0U,	// JR
     0U,	// JR64
+    0U,	// JRADDIUSP
     0U,	// JR_HB
     0U,	// JR_HB_R6
     0U,	// JR_MM
@@ -3323,9 +3385,13 @@
     0U,	// TGEU_MM
     0U,	// TGE_MM
     0U,	// TLBP
+    0U,	// TLBP_MM
     0U,	// TLBR
+    0U,	// TLBR_MM
     0U,	// TLBWI
+    0U,	// TLBWI_MM
     0U,	// TLBWR
+    0U,	// TLBWR_MM
     0U,	// TLT
     0U,	// TLTI
     0U,	// TLTIU_MM
@@ -3805,606 +3871,633 @@
   /* 3715 */ 's', 'n', 'e', 9, 0,
   /* 3720 */ 't', 'n', 'e', 9, 0,
   /* 3725 */ 'm', 'o', 'v', 'e', 9, 0,
-  /* 3731 */ 'b', 'c', '1', 'f', 9, 0,
-  /* 3737 */ 'p', 'r', 'e', 'f', 9, 0,
-  /* 3743 */ 'm', 'o', 'v', 'f', 9, 0,
-  /* 3749 */ 'n', 'e', 'g', 9, 0,
-  /* 3754 */ 'a', 'd', 'd', '_', 'a', '.', 'h', 9, 0,
-  /* 3763 */ 'm', 'i', 'n', '_', 'a', '.', 'h', 9, 0,
-  /* 3772 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'h', 9, 0,
-  /* 3782 */ 'm', 'a', 'x', '_', 'a', '.', 'h', 9, 0,
-  /* 3791 */ 's', 'r', 'a', '.', 'h', 9, 0,
-  /* 3798 */ 'n', 'l', 'o', 'c', '.', 'h', 9, 0,
-  /* 3806 */ 'n', 'l', 'z', 'c', '.', 'h', 9, 0,
-  /* 3814 */ 's', 'l', 'd', '.', 'h', 9, 0,
-  /* 3821 */ 'p', 'c', 'k', 'o', 'd', '.', 'h', 9, 0,
-  /* 3830 */ 'i', 'l', 'v', 'o', 'd', '.', 'h', 9, 0,
-  /* 3839 */ 'i', 'n', 's', 'v', 'e', '.', 'h', 9, 0,
-  /* 3848 */ 'v', 's', 'h', 'f', '.', 'h', 9, 0,
-  /* 3856 */ 'b', 'n', 'e', 'g', '.', 'h', 9, 0,
-  /* 3864 */ 's', 'r', 'a', 'i', '.', 'h', 9, 0,
-  /* 3872 */ 's', 'l', 'd', 'i', '.', 'h', 9, 0,
-  /* 3880 */ 'b', 'n', 'e', 'g', 'i', '.', 'h', 9, 0,
-  /* 3889 */ 's', 'l', 'l', 'i', '.', 'h', 9, 0,
-  /* 3897 */ 's', 'r', 'l', 'i', '.', 'h', 9, 0,
-  /* 3905 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'h', 9, 0,
-  /* 3915 */ 'c', 'e', 'q', 'i', '.', 'h', 9, 0,
-  /* 3923 */ 's', 'r', 'a', 'r', 'i', '.', 'h', 9, 0,
-  /* 3932 */ 'b', 'c', 'l', 'r', 'i', '.', 'h', 9, 0,
-  /* 3941 */ 's', 'r', 'l', 'r', 'i', '.', 'h', 9, 0,
-  /* 3950 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'h', 9, 0,
-  /* 3960 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'h', 9, 0,
-  /* 3970 */ 'b', 's', 'e', 't', 'i', '.', 'h', 9, 0,
-  /* 3979 */ 's', 'u', 'b', 'v', 'i', '.', 'h', 9, 0,
-  /* 3988 */ 'a', 'd', 'd', 'v', 'i', '.', 'h', 9, 0,
-  /* 3997 */ 'f', 'i', 'l', 'l', '.', 'h', 9, 0,
-  /* 4005 */ 's', 'l', 'l', '.', 'h', 9, 0,
-  /* 4012 */ 's', 'r', 'l', '.', 'h', 9, 0,
-  /* 4019 */ 'b', 'i', 'n', 's', 'l', '.', 'h', 9, 0,
-  /* 4028 */ 'i', 'l', 'v', 'l', '.', 'h', 9, 0,
-  /* 4036 */ 'f', 'e', 'x', 'd', 'o', '.', 'h', 9, 0,
-  /* 4045 */ 'm', 's', 'u', 'b', '_', 'q', '.', 'h', 9, 0,
-  /* 4055 */ 'm', 'a', 'd', 'd', '_', 'q', '.', 'h', 9, 0,
-  /* 4065 */ 'm', 'u', 'l', '_', 'q', '.', 'h', 9, 0,
-  /* 4074 */ 'm', 's', 'u', 'b', 'r', '_', 'q', '.', 'h', 9, 0,
-  /* 4085 */ 'm', 'a', 'd', 'd', 'r', '_', 'q', '.', 'h', 9, 0,
-  /* 4096 */ 'm', 'u', 'l', 'r', '_', 'q', '.', 'h', 9, 0,
-  /* 4106 */ 'c', 'e', 'q', '.', 'h', 9, 0,
-  /* 4113 */ 'f', 't', 'q', '.', 'h', 9, 0,
-  /* 4120 */ 's', 'r', 'a', 'r', '.', 'h', 9, 0,
-  /* 4128 */ 'b', 'c', 'l', 'r', '.', 'h', 9, 0,
-  /* 4136 */ 's', 'r', 'l', 'r', '.', 'h', 9, 0,
-  /* 4144 */ 'b', 'i', 'n', 's', 'r', '.', 'h', 9, 0,
-  /* 4153 */ 'i', 'l', 'v', 'r', '.', 'h', 9, 0,
-  /* 4161 */ 'a', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
-  /* 4171 */ 'h', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
-  /* 4181 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
-  /* 4192 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'h', 9, 0,
-  /* 4202 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'h', 9, 0,
-  /* 4213 */ 'm', 'o', 'd', '_', 's', '.', 'h', 9, 0,
-  /* 4222 */ 'c', 'l', 'e', '_', 's', '.', 'h', 9, 0,
-  /* 4231 */ 'a', 'v', 'e', '_', 's', '.', 'h', 9, 0,
-  /* 4240 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'h', 9, 0,
-  /* 4250 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'h', 9, 0,
-  /* 4260 */ 'c', 'l', 't', 'i', '_', 's', '.', 'h', 9, 0,
-  /* 4270 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'h', 9, 0,
-  /* 4280 */ 'm', 'i', 'n', '_', 's', '.', 'h', 9, 0,
-  /* 4289 */ 'd', 'o', 't', 'p', '_', 's', '.', 'h', 9, 0,
-  /* 4299 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'h', 9, 0,
-  /* 4309 */ 'e', 'x', 't', 'r', '_', 's', '.', 'h', 9, 0,
-  /* 4319 */ 's', 'u', 'b', 's', '_', 's', '.', 'h', 9, 0,
-  /* 4329 */ 'a', 'd', 'd', 's', '_', 's', '.', 'h', 9, 0,
-  /* 4339 */ 's', 'a', 't', '_', 's', '.', 'h', 9, 0,
-  /* 4348 */ 'c', 'l', 't', '_', 's', '.', 'h', 9, 0,
-  /* 4357 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'h', 9, 0,
-  /* 4369 */ 'd', 'i', 'v', '_', 's', '.', 'h', 9, 0,
-  /* 4378 */ 'e', 'x', 't', 'r', 'v', '_', 's', '.', 'h', 9, 0,
-  /* 4389 */ 'm', 'a', 'x', '_', 's', '.', 'h', 9, 0,
-  /* 4398 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'h', 9, 0,
-  /* 4408 */ 's', 'p', 'l', 'a', 't', '.', 'h', 9, 0,
-  /* 4417 */ 'b', 's', 'e', 't', '.', 'h', 9, 0,
-  /* 4425 */ 'p', 'c', 'n', 't', '.', 'h', 9, 0,
-  /* 4433 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'h', 9, 0,
-  /* 4443 */ 's', 't', '.', 'h', 9, 0,
-  /* 4449 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
-  /* 4459 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
-  /* 4469 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
-  /* 4480 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'h', 9, 0,
-  /* 4490 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'h', 9, 0,
-  /* 4501 */ 'm', 'o', 'd', '_', 'u', '.', 'h', 9, 0,
-  /* 4510 */ 'c', 'l', 'e', '_', 'u', '.', 'h', 9, 0,
-  /* 4519 */ 'a', 'v', 'e', '_', 'u', '.', 'h', 9, 0,
-  /* 4528 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'h', 9, 0,
-  /* 4538 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'h', 9, 0,
-  /* 4548 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'h', 9, 0,
-  /* 4558 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'h', 9, 0,
-  /* 4568 */ 'm', 'i', 'n', '_', 'u', '.', 'h', 9, 0,
-  /* 4577 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'h', 9, 0,
-  /* 4587 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'h', 9, 0,
-  /* 4597 */ 's', 'u', 'b', 's', '_', 'u', '.', 'h', 9, 0,
-  /* 4607 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'h', 9, 0,
-  /* 4617 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'h', 9, 0,
-  /* 4629 */ 's', 'a', 't', '_', 'u', '.', 'h', 9, 0,
-  /* 4638 */ 'c', 'l', 't', '_', 'u', '.', 'h', 9, 0,
-  /* 4647 */ 'd', 'i', 'v', '_', 'u', '.', 'h', 9, 0,
-  /* 4656 */ 'm', 'a', 'x', '_', 'u', '.', 'h', 9, 0,
-  /* 4665 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'h', 9, 0,
-  /* 4675 */ 'm', 's', 'u', 'b', 'v', '.', 'h', 9, 0,
-  /* 4684 */ 'm', 'a', 'd', 'd', 'v', '.', 'h', 9, 0,
-  /* 4693 */ 'p', 'c', 'k', 'e', 'v', '.', 'h', 9, 0,
-  /* 4702 */ 'i', 'l', 'v', 'e', 'v', '.', 'h', 9, 0,
-  /* 4711 */ 'm', 'u', 'l', 'v', '.', 'h', 9, 0,
-  /* 4719 */ 'b', 'z', '.', 'h', 9, 0,
-  /* 4725 */ 'b', 'n', 'z', '.', 'h', 9, 0,
-  /* 4732 */ 'd', 's', 'b', 'h', 9, 0,
-  /* 4738 */ 'w', 's', 'b', 'h', 9, 0,
-  /* 4744 */ 's', 'e', 'h', 9, 0,
-  /* 4749 */ 'l', 'h', 9, 0,
-  /* 4753 */ 's', 'h', 'r', 'a', '.', 'p', 'h', 9, 0,
-  /* 4762 */ 'p', 'r', 'e', 'c', 'r', 'q', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
-  /* 4776 */ 'p', 'r', 'e', 'c', 'r', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
-  /* 4789 */ 'p', 'r', 'e', 'c', 'r', 'q', 'u', '_', 's', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
-  /* 4806 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 'p', 'h', 9, 0,
-  /* 4817 */ 's', 'u', 'b', 'q', 'h', '.', 'p', 'h', 9, 0,
-  /* 4827 */ 'a', 'd', 'd', 'q', 'h', '.', 'p', 'h', 9, 0,
-  /* 4837 */ 'p', 'i', 'c', 'k', '.', 'p', 'h', 9, 0,
-  /* 4846 */ 's', 'h', 'l', 'l', '.', 'p', 'h', 9, 0,
-  /* 4855 */ 'r', 'e', 'p', 'l', '.', 'p', 'h', 9, 0,
-  /* 4864 */ 's', 'h', 'r', 'l', '.', 'p', 'h', 9, 0,
-  /* 4873 */ 'p', 'a', 'c', 'k', 'r', 'l', '.', 'p', 'h', 9, 0,
-  /* 4884 */ 'm', 'u', 'l', '.', 'p', 'h', 9, 0,
-  /* 4892 */ 's', 'u', 'b', 'q', '.', 'p', 'h', 9, 0,
-  /* 4901 */ 'a', 'd', 'd', 'q', '.', 'p', 'h', 9, 0,
-  /* 4910 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 'p', 'h', 9, 0,
-  /* 4921 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'p', 'h', 9, 0,
-  /* 4932 */ 's', 'u', 'b', 'q', 'h', '_', 'r', '.', 'p', 'h', 9, 0,
-  /* 4944 */ 'a', 'd', 'd', 'q', 'h', '_', 'r', '.', 'p', 'h', 9, 0,
-  /* 4956 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'p', 'h', 9, 0,
-  /* 4968 */ 's', 'h', 'l', 'l', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4979 */ 'm', 'u', 'l', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4989 */ 's', 'u', 'b', 'q', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 5000 */ 'a', 'd', 'd', 'q', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 5011 */ 'm', 'u', 'l', 'q', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 5022 */ 'a', 'b', 's', 'q', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 5033 */ 's', 'u', 'b', 'u', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 5044 */ 'a', 'd', 'd', 'u', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 5055 */ 's', 'h', 'l', 'l', 'v', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 5067 */ 'm', 'u', 'l', 'q', '_', 'r', 's', '.', 'p', 'h', 9, 0,
-  /* 5079 */ 'c', 'm', 'p', '.', 'l', 't', '.', 'p', 'h', 9, 0,
-  /* 5090 */ 's', 'u', 'b', 'u', '.', 'p', 'h', 9, 0,
-  /* 5099 */ 'a', 'd', 'd', 'u', '.', 'p', 'h', 9, 0,
-  /* 5108 */ 's', 'h', 'r', 'a', 'v', '.', 'p', 'h', 9, 0,
-  /* 5118 */ 's', 'h', 'l', 'l', 'v', '.', 'p', 'h', 9, 0,
-  /* 5128 */ 'r', 'e', 'p', 'l', 'v', '.', 'p', 'h', 9, 0,
-  /* 5138 */ 's', 'h', 'r', 'l', 'v', '.', 'p', 'h', 9, 0,
-  /* 5148 */ 'd', 'p', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 5158 */ 'd', 'p', 'a', 'q', 'x', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 5173 */ 'd', 'p', 's', 'q', 'x', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 5188 */ 'm', 'u', 'l', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 5200 */ 'd', 'p', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 5213 */ 'm', 'u', 'l', 's', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 5228 */ 'd', 'p', 's', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 5241 */ 'd', 'p', 'a', 'q', 'x', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 5255 */ 'd', 'p', 's', 'q', 'x', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 5269 */ 'd', 'p', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 5279 */ 'd', 'p', 'a', 'x', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 5290 */ 'd', 'p', 's', 'x', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 5301 */ 's', 'h', 9, 0,
-  /* 5305 */ 'd', 'm', 'u', 'h', 9, 0,
-  /* 5311 */ 'd', 'a', 'd', 'd', 'i', 9, 0,
-  /* 5318 */ 'a', 'n', 'd', 'i', 9, 0,
-  /* 5324 */ 't', 'g', 'e', 'i', 9, 0,
-  /* 5330 */ 's', 'n', 'e', 'i', 9, 0,
-  /* 5336 */ 't', 'n', 'e', 'i', 9, 0,
-  /* 5342 */ 'd', 'a', 'h', 'i', 9, 0,
-  /* 5348 */ 'm', 'f', 'h', 'i', 9, 0,
-  /* 5354 */ 'm', 't', 'h', 'i', 9, 0,
-  /* 5360 */ '.', 'a', 'l', 'i', 'g', 'n', 32, '2', 10, 9, 'l', 'i', 9, 0,
-  /* 5374 */ 'd', 'l', 'i', 9, 0,
-  /* 5379 */ 'c', 'm', 'p', 'i', 9, 0,
-  /* 5385 */ 's', 'e', 'q', 'i', 9, 0,
-  /* 5391 */ 't', 'e', 'q', 'i', 9, 0,
-  /* 5397 */ 'x', 'o', 'r', 'i', 9, 0,
-  /* 5403 */ 'd', 'a', 't', 'i', 9, 0,
-  /* 5409 */ 's', 'l', 't', 'i', 9, 0,
-  /* 5415 */ 't', 'l', 't', 'i', 9, 0,
-  /* 5421 */ 'd', 'a', 'u', 'i', 9, 0,
-  /* 5427 */ 'l', 'u', 'i', 9, 0,
-  /* 5432 */ 'j', 9, 0,
-  /* 5435 */ 'b', 'r', 'e', 'a', 'k', 9, 0,
-  /* 5442 */ 'c', 'v', 't', '.', 'd', '.', 'l', 9, 0,
-  /* 5451 */ 'c', 'v', 't', '.', 's', '.', 'l', 9, 0,
-  /* 5460 */ 'b', 'a', 'l', 9, 0,
-  /* 5465 */ 'j', 'a', 'l', 9, 0,
-  /* 5470 */ 'b', 'g', 'e', 'z', 'a', 'l', 9, 0,
-  /* 5478 */ 'b', 'l', 't', 'z', 'a', 'l', 9, 0,
-  /* 5486 */ 'd', 'p', 'a', 'u', '.', 'h', '.', 'q', 'b', 'l', 9, 0,
-  /* 5498 */ 'd', 'p', 's', 'u', '.', 'h', '.', 'q', 'b', 'l', 9, 0,
-  /* 5510 */ 'm', 'u', 'l', 'e', 'u', '_', 's', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
-  /* 5526 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
-  /* 5541 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
-  /* 5557 */ 'l', 'd', 'l', 9, 0,
-  /* 5562 */ 's', 'd', 'l', 9, 0,
-  /* 5567 */ 'm', 'a', 'q', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
-  /* 5581 */ 'p', 'r', 'e', 'c', 'e', 'q', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
-  /* 5595 */ 'm', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
-  /* 5608 */ 'm', 'u', 'l', 'e', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
-  /* 5623 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 9, 0,
-  /* 5632 */ 'd', 's', 'l', 'l', 9, 0,
-  /* 5638 */ 'd', 's', 'r', 'l', 9, 0,
-  /* 5644 */ 'd', 'm', 'u', 'l', 9, 0,
-  /* 5650 */ 'l', 'w', 'l', 9, 0,
-  /* 5655 */ 's', 'w', 'l', 9, 0,
-  /* 5660 */ 'b', 'a', 'l', 'i', 'g', 'n', 9, 0,
-  /* 5668 */ 'd', 'a', 'l', 'i', 'g', 'n', 9, 0,
-  /* 5676 */ 'm', 'o', 'v', 'n', 9, 0,
-  /* 5682 */ 'd', 'c', 'l', 'o', 9, 0,
-  /* 5688 */ 'm', 'f', 'l', 'o', 9, 0,
-  /* 5694 */ 's', 'h', 'i', 'l', 'o', 9, 0,
-  /* 5701 */ 'm', 't', 'l', 'o', 9, 0,
-  /* 5707 */ 'd', 'b', 'i', 't', 's', 'w', 'a', 'p', 9, 0,
-  /* 5717 */ 's', 'd', 'b', 'b', 'p', 9, 0,
-  /* 5724 */ 'e', 'x', 't', 'p', 'd', 'p', 9, 0,
-  /* 5732 */ 'm', 't', 'h', 'l', 'i', 'p', 9, 0,
-  /* 5740 */ 'c', 'm', 'p', 9, 0,
-  /* 5745 */ 'd', 'p', 'o', 'p', 9, 0,
-  /* 5751 */ 'l', 'o', 'a', 'd', '_', 'c', 'c', 'o', 'n', 'd', '_', 'd', 's', 'p', 9, 0,
-  /* 5767 */ 's', 't', 'o', 'r', 'e', '_', 'c', 'c', 'o', 'n', 'd', '_', 'd', 's', 'p', 9, 0,
-  /* 5784 */ 'r', 'd', 'd', 's', 'p', 9, 0,
-  /* 5791 */ 'w', 'r', 'd', 's', 'p', 9, 0,
-  /* 5798 */ 'e', 'x', 't', 'p', 9, 0,
-  /* 5804 */ 'b', 'e', 'q', 9, 0,
-  /* 5809 */ 's', 'e', 'q', 9, 0,
-  /* 5814 */ 't', 'e', 'q', 9, 0,
-  /* 5819 */ 'd', 'p', 'a', 'u', '.', 'h', '.', 'q', 'b', 'r', 9, 0,
-  /* 5831 */ 'd', 'p', 's', 'u', '.', 'h', '.', 'q', 'b', 'r', 9, 0,
-  /* 5843 */ 'm', 'u', 'l', 'e', 'u', '_', 's', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
-  /* 5859 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
-  /* 5874 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
-  /* 5890 */ 'l', 'd', 'r', 9, 0,
-  /* 5895 */ 's', 'd', 'r', 9, 0,
-  /* 5900 */ 'm', 'a', 'q', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
-  /* 5914 */ 'p', 'r', 'e', 'c', 'e', 'q', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
-  /* 5928 */ 'm', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
-  /* 5941 */ 'm', 'u', 'l', 'e', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
-  /* 5956 */ 'j', 'r', 9, 0,
-  /* 5960 */ 'j', 'a', 'l', 'r', 9, 0,
-  /* 5966 */ 'n', 'o', 'r', 9, 0,
-  /* 5971 */ 'x', 'o', 'r', 9, 0,
-  /* 5976 */ 'd', 'r', 'o', 't', 'r', 9, 0,
-  /* 5983 */ 'r', 'd', 'h', 'w', 'r', 9, 0,
-  /* 5990 */ 'l', 'w', 'r', 9, 0,
-  /* 5995 */ 's', 'w', 'r', 9, 0,
-  /* 6000 */ 'm', 'i', 'n', 'a', '.', 's', 9, 0,
-  /* 6008 */ 'm', 'a', 'x', 'a', '.', 's', 9, 0,
-  /* 6016 */ 'n', 'm', 's', 'u', 'b', '.', 's', 9, 0,
-  /* 6025 */ 'c', 'v', 't', '.', 'd', '.', 's', 9, 0,
-  /* 6034 */ 'n', 'm', 'a', 'd', 'd', '.', 's', 9, 0,
-  /* 6043 */ 'c', '.', 'n', 'g', 'e', '.', 's', 9, 0,
-  /* 6052 */ 'c', '.', 'l', 'e', '.', 's', 9, 0,
-  /* 6060 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 's', 9, 0,
-  /* 6070 */ 'c', '.', 'n', 'g', 'l', 'e', '.', 's', 9, 0,
-  /* 6080 */ 'c', '.', 'o', 'l', 'e', '.', 's', 9, 0,
-  /* 6089 */ 'c', 'm', 'p', '.', 's', 'l', 'e', '.', 's', 9, 0,
-  /* 6100 */ 'c', '.', 'u', 'l', 'e', '.', 's', 9, 0,
-  /* 6109 */ 'c', 'm', 'p', '.', 'u', 'l', 'e', '.', 's', 9, 0,
-  /* 6120 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 'e', '.', 's', 9, 0,
-  /* 6132 */ 'c', '.', 'f', '.', 's', 9, 0,
-  /* 6139 */ 'c', 'm', 'p', '.', 'a', 'f', '.', 's', 9, 0,
-  /* 6149 */ 'c', 'm', 'p', '.', 's', 'a', 'f', '.', 's', 9, 0,
-  /* 6160 */ 'm', 's', 'u', 'b', 'f', '.', 's', 9, 0,
-  /* 6169 */ 'm', 'a', 'd', 'd', 'f', '.', 's', 9, 0,
-  /* 6178 */ 'c', '.', 's', 'f', '.', 's', 9, 0,
-  /* 6186 */ 'm', 'o', 'v', 'f', '.', 's', 9, 0,
-  /* 6194 */ 'n', 'e', 'g', '.', 's', 9, 0,
-  /* 6201 */ 't', 'r', 'u', 'n', 'c', '.', 'l', '.', 's', 9, 0,
-  /* 6212 */ 'r', 'o', 'u', 'n', 'd', '.', 'l', '.', 's', 9, 0,
-  /* 6223 */ 'c', 'e', 'i', 'l', '.', 'l', '.', 's', 9, 0,
-  /* 6233 */ 'f', 'l', 'o', 'o', 'r', '.', 'l', '.', 's', 9, 0,
-  /* 6244 */ 'c', 'v', 't', '.', 'l', '.', 's', 9, 0,
-  /* 6253 */ 's', 'e', 'l', '.', 's', 9, 0,
-  /* 6260 */ 'c', '.', 'n', 'g', 'l', '.', 's', 9, 0,
-  /* 6269 */ 'm', 'u', 'l', '.', 's', 9, 0,
-  /* 6276 */ 'm', 'i', 'n', '.', 's', 9, 0,
-  /* 6283 */ 'c', '.', 'u', 'n', '.', 's', 9, 0,
-  /* 6291 */ 'c', 'm', 'p', '.', 'u', 'n', '.', 's', 9, 0,
-  /* 6301 */ 'c', 'm', 'p', '.', 's', 'u', 'n', '.', 's', 9, 0,
-  /* 6312 */ 'm', 'o', 'v', 'n', '.', 's', 9, 0,
-  /* 6320 */ 'c', '.', 'e', 'q', '.', 's', 9, 0,
-  /* 6328 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 's', 9, 0,
-  /* 6338 */ 'c', '.', 's', 'e', 'q', '.', 's', 9, 0,
-  /* 6347 */ 'c', 'm', 'p', '.', 's', 'e', 'q', '.', 's', 9, 0,
-  /* 6358 */ 'c', '.', 'u', 'e', 'q', '.', 's', 9, 0,
-  /* 6367 */ 'c', 'm', 'p', '.', 'u', 'e', 'q', '.', 's', 9, 0,
-  /* 6378 */ 'c', 'm', 'p', '.', 's', 'u', 'e', 'q', '.', 's', 9, 0,
-  /* 6390 */ 'a', 'b', 's', '.', 's', 9, 0,
-  /* 6397 */ 'c', 'l', 'a', 's', 's', '.', 's', 9, 0,
-  /* 6406 */ 'c', '.', 'n', 'g', 't', '.', 's', 9, 0,
-  /* 6415 */ 'c', '.', 'l', 't', '.', 's', 9, 0,
-  /* 6423 */ 'c', 'm', 'p', '.', 'l', 't', '.', 's', 9, 0,
-  /* 6433 */ 'c', '.', 'o', 'l', 't', '.', 's', 9, 0,
-  /* 6442 */ 'c', 'm', 'p', '.', 's', 'l', 't', '.', 's', 9, 0,
-  /* 6453 */ 'c', '.', 'u', 'l', 't', '.', 's', 9, 0,
-  /* 6462 */ 'c', 'm', 'p', '.', 'u', 'l', 't', '.', 's', 9, 0,
-  /* 6473 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 't', '.', 's', 9, 0,
-  /* 6485 */ 'r', 'i', 'n', 't', '.', 's', 9, 0,
-  /* 6493 */ 's', 'q', 'r', 't', '.', 's', 9, 0,
-  /* 6501 */ 'm', 'o', 'v', 't', '.', 's', 9, 0,
-  /* 6509 */ 'd', 'i', 'v', '.', 's', 9, 0,
-  /* 6516 */ 'm', 'o', 'v', '.', 's', 9, 0,
-  /* 6523 */ 't', 'r', 'u', 'n', 'c', '.', 'w', '.', 's', 9, 0,
-  /* 6534 */ 'r', 'o', 'u', 'n', 'd', '.', 'w', '.', 's', 9, 0,
-  /* 6545 */ 'c', 'e', 'i', 'l', '.', 'w', '.', 's', 9, 0,
-  /* 6555 */ 'f', 'l', 'o', 'o', 'r', '.', 'w', '.', 's', 9, 0,
-  /* 6566 */ 'c', 'v', 't', '.', 'w', '.', 's', 9, 0,
-  /* 6575 */ 'm', 'a', 'x', '.', 's', 9, 0,
-  /* 6582 */ 's', 'e', 'l', 'n', 'e', 'z', '.', 's', 9, 0,
-  /* 6592 */ 's', 'e', 'l', 'e', 'q', 'z', '.', 's', 9, 0,
-  /* 6602 */ 'm', 'o', 'v', 'z', '.', 's', 9, 0,
-  /* 6610 */ 'b', 'c', '1', 't', 9, 0,
-  /* 6616 */ 'w', 'a', 'i', 't', 9, 0,
-  /* 6622 */ 's', 'l', 't', 9, 0,
-  /* 6627 */ 't', 'l', 't', 9, 0,
-  /* 6632 */ 'd', 'm', 'u', 'l', 't', 9, 0,
-  /* 6639 */ 'n', 'o', 't', 9, 0,
-  /* 6644 */ 'm', 'o', 'v', 't', 9, 0,
-  /* 6650 */ 'l', 'b', 'u', 9, 0,
-  /* 6655 */ 'd', 's', 'u', 'b', 'u', 9, 0,
-  /* 6662 */ 'm', 's', 'u', 'b', 'u', 9, 0,
-  /* 6669 */ 'b', 'a', 'd', 'd', 'u', 9, 0,
-  /* 6676 */ 'd', 'a', 'd', 'd', 'u', 9, 0,
-  /* 6683 */ 'm', 'a', 'd', 'd', 'u', 9, 0,
-  /* 6690 */ 'd', 'm', 'o', 'd', 'u', 9, 0,
-  /* 6697 */ 't', 'g', 'e', 'u', 9, 0,
-  /* 6703 */ 'l', 'h', 'u', 9, 0,
-  /* 6708 */ 'd', 'm', 'u', 'h', 'u', 9, 0,
-  /* 6715 */ 'd', 'a', 'd', 'd', 'i', 'u', 9, 0,
-  /* 6723 */ 't', 'g', 'e', 'i', 'u', 9, 0,
-  /* 6730 */ 's', 'l', 't', 'i', 'u', 9, 0,
-  /* 6737 */ 't', 'l', 't', 'i', 'u', 9, 0,
-  /* 6744 */ 'v', '3', 'm', 'u', 'l', 'u', 9, 0,
-  /* 6752 */ 'd', 'm', 'u', 'l', 'u', 9, 0,
-  /* 6759 */ 'v', 'm', 'u', 'l', 'u', 9, 0,
-  /* 6766 */ 's', 'l', 't', 'u', 9, 0,
-  /* 6772 */ 't', 'l', 't', 'u', 9, 0,
-  /* 6778 */ 'd', 'm', 'u', 'l', 't', 'u', 9, 0,
-  /* 6786 */ 'd', 'd', 'i', 'v', 'u', 9, 0,
-  /* 6793 */ 'l', 'w', 'u', 9, 0,
-  /* 6798 */ 'a', 'n', 'd', '.', 'v', 9, 0,
-  /* 6805 */ 'm', 'o', 'v', 'e', '.', 'v', 9, 0,
-  /* 6813 */ 'b', 's', 'e', 'l', '.', 'v', 9, 0,
-  /* 6821 */ 'n', 'o', 'r', '.', 'v', 9, 0,
-  /* 6828 */ 'x', 'o', 'r', '.', 'v', 9, 0,
-  /* 6835 */ 'b', 'z', '.', 'v', 9, 0,
-  /* 6841 */ 'b', 'm', 'z', '.', 'v', 9, 0,
-  /* 6848 */ 'b', 'n', 'z', '.', 'v', 9, 0,
-  /* 6855 */ 'b', 'm', 'n', 'z', '.', 'v', 9, 0,
-  /* 6863 */ 'd', 's', 'r', 'a', 'v', 9, 0,
-  /* 6870 */ 'b', 'i', 't', 'r', 'e', 'v', 9, 0,
-  /* 6878 */ 'd', 'd', 'i', 'v', 9, 0,
-  /* 6884 */ 'd', 's', 'l', 'l', 'v', 9, 0,
-  /* 6891 */ 'd', 's', 'r', 'l', 'v', 9, 0,
-  /* 6898 */ 's', 'h', 'i', 'l', 'o', 'v', 9, 0,
-  /* 6906 */ 'e', 'x', 't', 'p', 'd', 'p', 'v', 9, 0,
-  /* 6915 */ 'e', 'x', 't', 'p', 'v', 9, 0,
-  /* 6922 */ 'd', 'r', 'o', 't', 'r', 'v', 9, 0,
-  /* 6930 */ 'i', 'n', 's', 'v', 9, 0,
-  /* 6936 */ 'f', 'l', 'o', 'g', '2', '.', 'w', 9, 0,
-  /* 6945 */ 'f', 'e', 'x', 'p', '2', '.', 'w', 9, 0,
-  /* 6954 */ 'a', 'd', 'd', '_', 'a', '.', 'w', 9, 0,
-  /* 6963 */ 'f', 'm', 'i', 'n', '_', 'a', '.', 'w', 9, 0,
-  /* 6973 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'w', 9, 0,
-  /* 6983 */ 'f', 'm', 'a', 'x', '_', 'a', '.', 'w', 9, 0,
-  /* 6993 */ 's', 'r', 'a', '.', 'w', 9, 0,
-  /* 7000 */ 'f', 's', 'u', 'b', '.', 'w', 9, 0,
-  /* 7008 */ 'f', 'm', 's', 'u', 'b', '.', 'w', 9, 0,
-  /* 7017 */ 'n', 'l', 'o', 'c', '.', 'w', 9, 0,
-  /* 7025 */ 'n', 'l', 'z', 'c', '.', 'w', 9, 0,
-  /* 7033 */ 'c', 'v', 't', '.', 'd', '.', 'w', 9, 0,
-  /* 7042 */ 'f', 'a', 'd', 'd', '.', 'w', 9, 0,
-  /* 7050 */ 'f', 'm', 'a', 'd', 'd', '.', 'w', 9, 0,
-  /* 7059 */ 's', 'l', 'd', '.', 'w', 9, 0,
-  /* 7066 */ 'p', 'c', 'k', 'o', 'd', '.', 'w', 9, 0,
-  /* 7075 */ 'i', 'l', 'v', 'o', 'd', '.', 'w', 9, 0,
-  /* 7084 */ 'f', 'c', 'l', 'e', '.', 'w', 9, 0,
-  /* 7092 */ 'f', 's', 'l', 'e', '.', 'w', 9, 0,
-  /* 7100 */ 'f', 'c', 'u', 'l', 'e', '.', 'w', 9, 0,
-  /* 7109 */ 'f', 's', 'u', 'l', 'e', '.', 'w', 9, 0,
-  /* 7118 */ 'f', 'c', 'n', 'e', '.', 'w', 9, 0,
-  /* 7126 */ 'f', 's', 'n', 'e', '.', 'w', 9, 0,
-  /* 7134 */ 'f', 'c', 'u', 'n', 'e', '.', 'w', 9, 0,
-  /* 7143 */ 'f', 's', 'u', 'n', 'e', '.', 'w', 9, 0,
-  /* 7152 */ 'i', 'n', 's', 'v', 'e', '.', 'w', 9, 0,
-  /* 7161 */ 'f', 'c', 'a', 'f', '.', 'w', 9, 0,
-  /* 7169 */ 'f', 's', 'a', 'f', '.', 'w', 9, 0,
-  /* 7177 */ 'v', 's', 'h', 'f', '.', 'w', 9, 0,
-  /* 7185 */ 'b', 'n', 'e', 'g', '.', 'w', 9, 0,
-  /* 7193 */ 'p', 'r', 'e', 'c', 'r', '_', 's', 'r', 'a', '.', 'p', 'h', '.', 'w', 9, 0,
-  /* 7209 */ 'p', 'r', 'e', 'c', 'r', 'q', '.', 'p', 'h', '.', 'w', 9, 0,
-  /* 7222 */ 'p', 'r', 'e', 'c', 'r', '_', 's', 'r', 'a', '_', 'r', '.', 'p', 'h', '.', 'w', 9, 0,
-  /* 7240 */ 'p', 'r', 'e', 'c', 'r', 'q', '_', 'r', 's', '.', 'p', 'h', '.', 'w', 9, 0,
-  /* 7256 */ 's', 'u', 'b', 'q', 'h', '.', 'w', 9, 0,
-  /* 7265 */ 'a', 'd', 'd', 'q', 'h', '.', 'w', 9, 0,
-  /* 7274 */ 's', 'r', 'a', 'i', '.', 'w', 9, 0,
-  /* 7282 */ 's', 'l', 'd', 'i', '.', 'w', 9, 0,
-  /* 7290 */ 'b', 'n', 'e', 'g', 'i', '.', 'w', 9, 0,
-  /* 7299 */ 's', 'l', 'l', 'i', '.', 'w', 9, 0,
-  /* 7307 */ 's', 'r', 'l', 'i', '.', 'w', 9, 0,
-  /* 7315 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'w', 9, 0,
-  /* 7325 */ 'c', 'e', 'q', 'i', '.', 'w', 9, 0,
-  /* 7333 */ 's', 'r', 'a', 'r', 'i', '.', 'w', 9, 0,
-  /* 7342 */ 'b', 'c', 'l', 'r', 'i', '.', 'w', 9, 0,
-  /* 7351 */ 's', 'r', 'l', 'r', 'i', '.', 'w', 9, 0,
-  /* 7360 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'w', 9, 0,
-  /* 7370 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'w', 9, 0,
-  /* 7380 */ 'b', 's', 'e', 't', 'i', '.', 'w', 9, 0,
-  /* 7389 */ 's', 'u', 'b', 'v', 'i', '.', 'w', 9, 0,
-  /* 7398 */ 'a', 'd', 'd', 'v', 'i', '.', 'w', 9, 0,
-  /* 7407 */ 'd', 'p', 'a', 'q', '_', 's', 'a', '.', 'l', '.', 'w', 9, 0,
-  /* 7420 */ 'd', 'p', 's', 'q', '_', 's', 'a', '.', 'l', '.', 'w', 9, 0,
-  /* 7433 */ 'f', 'i', 'l', 'l', '.', 'w', 9, 0,
-  /* 7441 */ 's', 'l', 'l', '.', 'w', 9, 0,
-  /* 7448 */ 'f', 'e', 'x', 'u', 'p', 'l', '.', 'w', 9, 0,
-  /* 7458 */ 'f', 'f', 'q', 'l', '.', 'w', 9, 0,
-  /* 7466 */ 's', 'r', 'l', '.', 'w', 9, 0,
-  /* 7473 */ 'b', 'i', 'n', 's', 'l', '.', 'w', 9, 0,
-  /* 7482 */ 'f', 'm', 'u', 'l', '.', 'w', 9, 0,
-  /* 7490 */ 'i', 'l', 'v', 'l', '.', 'w', 9, 0,
-  /* 7498 */ 'f', 'm', 'i', 'n', '.', 'w', 9, 0,
-  /* 7506 */ 'f', 'c', 'u', 'n', '.', 'w', 9, 0,
-  /* 7514 */ 'f', 's', 'u', 'n', '.', 'w', 9, 0,
-  /* 7522 */ 'f', 'e', 'x', 'd', 'o', '.', 'w', 9, 0,
-  /* 7531 */ 'f', 'r', 'c', 'p', '.', 'w', 9, 0,
-  /* 7539 */ 'm', 's', 'u', 'b', '_', 'q', '.', 'w', 9, 0,
-  /* 7549 */ 'm', 'a', 'd', 'd', '_', 'q', '.', 'w', 9, 0,
-  /* 7559 */ 'm', 'u', 'l', '_', 'q', '.', 'w', 9, 0,
-  /* 7568 */ 'm', 's', 'u', 'b', 'r', '_', 'q', '.', 'w', 9, 0,
-  /* 7579 */ 'm', 'a', 'd', 'd', 'r', '_', 'q', '.', 'w', 9, 0,
-  /* 7590 */ 'm', 'u', 'l', 'r', '_', 'q', '.', 'w', 9, 0,
-  /* 7600 */ 'f', 'c', 'e', 'q', '.', 'w', 9, 0,
-  /* 7608 */ 'f', 's', 'e', 'q', '.', 'w', 9, 0,
-  /* 7616 */ 'f', 'c', 'u', 'e', 'q', '.', 'w', 9, 0,
-  /* 7625 */ 'f', 's', 'u', 'e', 'q', '.', 'w', 9, 0,
-  /* 7634 */ 'f', 't', 'q', '.', 'w', 9, 0,
-  /* 7641 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'w', 9, 0,
-  /* 7651 */ 's', 'u', 'b', 'q', 'h', '_', 'r', '.', 'w', 9, 0,
-  /* 7662 */ 'a', 'd', 'd', 'q', 'h', '_', 'r', '.', 'w', 9, 0,
-  /* 7673 */ 'e', 'x', 't', 'r', '_', 'r', '.', 'w', 9, 0,
-  /* 7683 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'w', 9, 0,
-  /* 7694 */ 'e', 'x', 't', 'r', 'v', '_', 'r', '.', 'w', 9, 0,
-  /* 7705 */ 's', 'r', 'a', 'r', '.', 'w', 9, 0,
-  /* 7713 */ 'b', 'c', 'l', 'r', '.', 'w', 9, 0,
-  /* 7721 */ 's', 'r', 'l', 'r', '.', 'w', 9, 0,
-  /* 7729 */ 'f', 'c', 'o', 'r', '.', 'w', 9, 0,
-  /* 7737 */ 'f', 's', 'o', 'r', '.', 'w', 9, 0,
-  /* 7745 */ 'f', 'e', 'x', 'u', 'p', 'r', '.', 'w', 9, 0,
-  /* 7755 */ 'f', 'f', 'q', 'r', '.', 'w', 9, 0,
-  /* 7763 */ 'b', 'i', 'n', 's', 'r', '.', 'w', 9, 0,
-  /* 7772 */ 'e', 'x', 't', 'r', '.', 'w', 9, 0,
-  /* 7780 */ 'i', 'l', 'v', 'r', '.', 'w', 9, 0,
-  /* 7788 */ 'c', 'v', 't', '.', 's', '.', 'w', 9, 0,
-  /* 7797 */ 'a', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
-  /* 7807 */ 'h', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
-  /* 7817 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
-  /* 7828 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 's', '.', 'w', 9, 0,
-  /* 7840 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'w', 9, 0,
-  /* 7850 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'w', 9, 0,
-  /* 7861 */ 'm', 'o', 'd', '_', 's', '.', 'w', 9, 0,
-  /* 7870 */ 'c', 'l', 'e', '_', 's', '.', 'w', 9, 0,
-  /* 7879 */ 'a', 'v', 'e', '_', 's', '.', 'w', 9, 0,
-  /* 7888 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'w', 9, 0,
-  /* 7898 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'w', 9, 0,
-  /* 7908 */ 'c', 'l', 't', 'i', '_', 's', '.', 'w', 9, 0,
-  /* 7918 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'w', 9, 0,
-  /* 7928 */ 's', 'h', 'l', 'l', '_', 's', '.', 'w', 9, 0,
-  /* 7938 */ 'm', 'i', 'n', '_', 's', '.', 'w', 9, 0,
-  /* 7947 */ 'd', 'o', 't', 'p', '_', 's', '.', 'w', 9, 0,
-  /* 7957 */ 's', 'u', 'b', 'q', '_', 's', '.', 'w', 9, 0,
-  /* 7967 */ 'a', 'd', 'd', 'q', '_', 's', '.', 'w', 9, 0,
-  /* 7977 */ 'm', 'u', 'l', 'q', '_', 's', '.', 'w', 9, 0,
-  /* 7987 */ 'a', 'b', 's', 'q', '_', 's', '.', 'w', 9, 0,
-  /* 7997 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'w', 9, 0,
-  /* 8007 */ 's', 'u', 'b', 's', '_', 's', '.', 'w', 9, 0,
-  /* 8017 */ 'a', 'd', 'd', 's', '_', 's', '.', 'w', 9, 0,
-  /* 8027 */ 's', 'a', 't', '_', 's', '.', 'w', 9, 0,
-  /* 8036 */ 'c', 'l', 't', '_', 's', '.', 'w', 9, 0,
-  /* 8045 */ 'f', 'f', 'i', 'n', 't', '_', 's', '.', 'w', 9, 0,
-  /* 8056 */ 'f', 't', 'i', 'n', 't', '_', 's', '.', 'w', 9, 0,
-  /* 8067 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'w', 9, 0,
-  /* 8079 */ 'd', 'i', 'v', '_', 's', '.', 'w', 9, 0,
-  /* 8088 */ 's', 'h', 'l', 'l', 'v', '_', 's', '.', 'w', 9, 0,
-  /* 8099 */ 'm', 'a', 'x', '_', 's', '.', 'w', 9, 0,
-  /* 8108 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'w', 9, 0,
-  /* 8118 */ 'm', 'u', 'l', 'q', '_', 'r', 's', '.', 'w', 9, 0,
-  /* 8129 */ 'e', 'x', 't', 'r', '_', 'r', 's', '.', 'w', 9, 0,
-  /* 8140 */ 'e', 'x', 't', 'r', 'v', '_', 'r', 's', '.', 'w', 9, 0,
-  /* 8152 */ 'f', 'c', 'l', 'a', 's', 's', '.', 'w', 9, 0,
-  /* 8162 */ 's', 'p', 'l', 'a', 't', '.', 'w', 9, 0,
-  /* 8171 */ 'b', 's', 'e', 't', '.', 'w', 9, 0,
-  /* 8179 */ 'f', 'c', 'l', 't', '.', 'w', 9, 0,
-  /* 8187 */ 'f', 's', 'l', 't', '.', 'w', 9, 0,
-  /* 8195 */ 'f', 'c', 'u', 'l', 't', '.', 'w', 9, 0,
-  /* 8204 */ 'f', 's', 'u', 'l', 't', '.', 'w', 9, 0,
-  /* 8213 */ 'p', 'c', 'n', 't', '.', 'w', 9, 0,
-  /* 8221 */ 'f', 'r', 'i', 'n', 't', '.', 'w', 9, 0,
-  /* 8230 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'w', 9, 0,
-  /* 8240 */ 'f', 's', 'q', 'r', 't', '.', 'w', 9, 0,
-  /* 8249 */ 'f', 'r', 's', 'q', 'r', 't', '.', 'w', 9, 0,
-  /* 8259 */ 's', 't', '.', 'w', 9, 0,
-  /* 8265 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
-  /* 8275 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
-  /* 8285 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
-  /* 8296 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 'u', '.', 'w', 9, 0,
-  /* 8308 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'w', 9, 0,
-  /* 8318 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'w', 9, 0,
-  /* 8329 */ 'm', 'o', 'd', '_', 'u', '.', 'w', 9, 0,
-  /* 8338 */ 'c', 'l', 'e', '_', 'u', '.', 'w', 9, 0,
-  /* 8347 */ 'a', 'v', 'e', '_', 'u', '.', 'w', 9, 0,
-  /* 8356 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'w', 9, 0,
-  /* 8366 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'w', 9, 0,
-  /* 8376 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'w', 9, 0,
-  /* 8386 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'w', 9, 0,
-  /* 8396 */ 'm', 'i', 'n', '_', 'u', '.', 'w', 9, 0,
-  /* 8405 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'w', 9, 0,
-  /* 8415 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'w', 9, 0,
-  /* 8425 */ 's', 'u', 'b', 's', '_', 'u', '.', 'w', 9, 0,
-  /* 8435 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'w', 9, 0,
-  /* 8445 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'w', 9, 0,
-  /* 8457 */ 's', 'a', 't', '_', 'u', '.', 'w', 9, 0,
-  /* 8466 */ 'c', 'l', 't', '_', 'u', '.', 'w', 9, 0,
-  /* 8475 */ 'f', 'f', 'i', 'n', 't', '_', 'u', '.', 'w', 9, 0,
-  /* 8486 */ 'f', 't', 'i', 'n', 't', '_', 'u', '.', 'w', 9, 0,
-  /* 8497 */ 'd', 'i', 'v', '_', 'u', '.', 'w', 9, 0,
-  /* 8506 */ 'm', 'a', 'x', '_', 'u', '.', 'w', 9, 0,
-  /* 8515 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'w', 9, 0,
-  /* 8525 */ 'm', 's', 'u', 'b', 'v', '.', 'w', 9, 0,
-  /* 8534 */ 'm', 'a', 'd', 'd', 'v', '.', 'w', 9, 0,
-  /* 8543 */ 'p', 'c', 'k', 'e', 'v', '.', 'w', 9, 0,
-  /* 8552 */ 'i', 'l', 'v', 'e', 'v', '.', 'w', 9, 0,
-  /* 8561 */ 'f', 'd', 'i', 'v', '.', 'w', 9, 0,
-  /* 8569 */ 'm', 'u', 'l', 'v', '.', 'w', 9, 0,
-  /* 8577 */ 'e', 'x', 't', 'r', 'v', '.', 'w', 9, 0,
-  /* 8586 */ 'f', 'm', 'a', 'x', '.', 'w', 9, 0,
-  /* 8594 */ 'b', 'z', '.', 'w', 9, 0,
-  /* 8600 */ 'b', 'n', 'z', '.', 'w', 9, 0,
-  /* 8607 */ 'l', 'w', 9, 0,
-  /* 8611 */ 's', 'w', 9, 0,
-  /* 8615 */ 'l', 'h', 'x', 9, 0,
-  /* 8620 */ 'j', 'a', 'l', 'x', 9, 0,
-  /* 8626 */ 'l', 'b', 'u', 'x', 9, 0,
-  /* 8632 */ 'l', 'w', 'x', 9, 0,
-  /* 8637 */ 'b', 'g', 'e', 'z', 9, 0,
-  /* 8643 */ 'b', 'l', 'e', 'z', 9, 0,
-  /* 8649 */ 'b', 'n', 'e', 'z', 9, 0,
-  /* 8655 */ 's', 'e', 'l', 'n', 'e', 'z', 9, 0,
-  /* 8663 */ 'b', 't', 'n', 'e', 'z', 9, 0,
-  /* 8670 */ 'd', 'c', 'l', 'z', 9, 0,
-  /* 8676 */ 'b', 'e', 'q', 'z', 9, 0,
-  /* 8682 */ 's', 'e', 'l', 'e', 'q', 'z', 9, 0,
-  /* 8690 */ 'b', 't', 'e', 'q', 'z', 9, 0,
-  /* 8697 */ 'b', 'g', 't', 'z', 9, 0,
-  /* 8703 */ 'b', 'l', 't', 'z', 9, 0,
-  /* 8709 */ 'm', 'o', 'v', 'z', 9, 0,
-  /* 8715 */ 's', 'e', 'b', 9, 32, 0,
-  /* 8721 */ 'j', 'r', 'c', 9, 32, 0,
-  /* 8727 */ 's', 'e', 'h', 9, 32, 0,
-  /* 8733 */ 'd', 'd', 'i', 'v', 'u', 9, '$', 'z', 'e', 'r', 'o', ',', 32, 0,
-  /* 8747 */ 'd', 'd', 'i', 'v', 9, '$', 'z', 'e', 'r', 'o', ',', 32, 0,
-  /* 8760 */ 'a', 'd', 'd', 'i', 'u', 9, '$', 's', 'p', ',', 32, 0,
-  /* 8772 */ 'c', 'i', 'n', 's', '3', '2', 32, 0,
-  /* 8780 */ 'e', 'x', 't', 's', '3', '2', 32, 0,
-  /* 8788 */ 's', 'y', 'n', 'c', 32, 0,
-  /* 8794 */ 9, '.', 'w', 'o', 'r', 'd', 32, 0,
-  /* 8802 */ 'd', 'i', 'n', 's', 'm', 32, 0,
-  /* 8809 */ 'd', 'e', 'x', 't', 'm', 32, 0,
-  /* 8816 */ 'c', 'i', 'n', 's', 32, 0,
-  /* 8822 */ 'd', 'i', 'n', 's', 32, 0,
-  /* 8828 */ 'e', 'x', 't', 's', 32, 0,
-  /* 8834 */ 'd', 'e', 'x', 't', 32, 0,
-  /* 8840 */ 'd', 'i', 'n', 's', 'u', 32, 0,
-  /* 8847 */ 'd', 'e', 'x', 't', 'u', 32, 0,
-  /* 8854 */ 'b', 'c', '1', 'n', 'e', 'z', 32, 0,
-  /* 8862 */ 'b', 'c', '2', 'n', 'e', 'z', 32, 0,
-  /* 8870 */ 'b', 'c', '1', 'e', 'q', 'z', 32, 0,
-  /* 8878 */ 'b', 'c', '2', 'e', 'q', 'z', 32, 0,
-  /* 8886 */ 'c', '.', 0,
-  /* 8889 */ 'b', 'r', 'e', 'a', 'k', 32, '0', 0,
-  /* 8897 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 8910 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 8917 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 8927 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 8942 */ 'j', 'r', 'c', 9, 32, '$', 'r', 'a', 0,
-  /* 8951 */ 'j', 'r', 9, 32, '$', 'r', 'a', 0,
-  /* 8959 */ 'e', 'h', 'b', 0,
-  /* 8963 */ 'p', 'a', 'u', 's', 'e', 0,
-  /* 8969 */ 't', 'l', 'b', 'w', 'i', 0,
-  /* 8975 */ 'f', 'o', 'o', 0,
-  /* 8979 */ 't', 'l', 'b', 'p', 0,
-  /* 8984 */ 's', 's', 'n', 'o', 'p', 0,
-  /* 8990 */ 't', 'l', 'b', 'r', 0,
-  /* 8995 */ 't', 'l', 'b', 'w', 'r', 0,
-  /* 9001 */ 'd', 'e', 'r', 'e', 't', 0,
-  /* 9007 */ 'w', 'a', 'i', 't', 0,
+  /* 3731 */ 'b', 'c', '0', 'f', 9, 0,
+  /* 3737 */ 'b', 'c', '1', 'f', 9, 0,
+  /* 3743 */ 'b', 'c', '2', 'f', 9, 0,
+  /* 3749 */ 'b', 'c', '3', 'f', 9, 0,
+  /* 3755 */ 'p', 'r', 'e', 'f', 9, 0,
+  /* 3761 */ 'm', 'o', 'v', 'f', 9, 0,
+  /* 3767 */ 'n', 'e', 'g', 9, 0,
+  /* 3772 */ 'a', 'd', 'd', '_', 'a', '.', 'h', 9, 0,
+  /* 3781 */ 'm', 'i', 'n', '_', 'a', '.', 'h', 9, 0,
+  /* 3790 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'h', 9, 0,
+  /* 3800 */ 'm', 'a', 'x', '_', 'a', '.', 'h', 9, 0,
+  /* 3809 */ 's', 'r', 'a', '.', 'h', 9, 0,
+  /* 3816 */ 'n', 'l', 'o', 'c', '.', 'h', 9, 0,
+  /* 3824 */ 'n', 'l', 'z', 'c', '.', 'h', 9, 0,
+  /* 3832 */ 's', 'l', 'd', '.', 'h', 9, 0,
+  /* 3839 */ 'p', 'c', 'k', 'o', 'd', '.', 'h', 9, 0,
+  /* 3848 */ 'i', 'l', 'v', 'o', 'd', '.', 'h', 9, 0,
+  /* 3857 */ 'i', 'n', 's', 'v', 'e', '.', 'h', 9, 0,
+  /* 3866 */ 'v', 's', 'h', 'f', '.', 'h', 9, 0,
+  /* 3874 */ 'b', 'n', 'e', 'g', '.', 'h', 9, 0,
+  /* 3882 */ 's', 'r', 'a', 'i', '.', 'h', 9, 0,
+  /* 3890 */ 's', 'l', 'd', 'i', '.', 'h', 9, 0,
+  /* 3898 */ 'b', 'n', 'e', 'g', 'i', '.', 'h', 9, 0,
+  /* 3907 */ 's', 'l', 'l', 'i', '.', 'h', 9, 0,
+  /* 3915 */ 's', 'r', 'l', 'i', '.', 'h', 9, 0,
+  /* 3923 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'h', 9, 0,
+  /* 3933 */ 'c', 'e', 'q', 'i', '.', 'h', 9, 0,
+  /* 3941 */ 's', 'r', 'a', 'r', 'i', '.', 'h', 9, 0,
+  /* 3950 */ 'b', 'c', 'l', 'r', 'i', '.', 'h', 9, 0,
+  /* 3959 */ 's', 'r', 'l', 'r', 'i', '.', 'h', 9, 0,
+  /* 3968 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'h', 9, 0,
+  /* 3978 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'h', 9, 0,
+  /* 3988 */ 'b', 's', 'e', 't', 'i', '.', 'h', 9, 0,
+  /* 3997 */ 's', 'u', 'b', 'v', 'i', '.', 'h', 9, 0,
+  /* 4006 */ 'a', 'd', 'd', 'v', 'i', '.', 'h', 9, 0,
+  /* 4015 */ 'f', 'i', 'l', 'l', '.', 'h', 9, 0,
+  /* 4023 */ 's', 'l', 'l', '.', 'h', 9, 0,
+  /* 4030 */ 's', 'r', 'l', '.', 'h', 9, 0,
+  /* 4037 */ 'b', 'i', 'n', 's', 'l', '.', 'h', 9, 0,
+  /* 4046 */ 'i', 'l', 'v', 'l', '.', 'h', 9, 0,
+  /* 4054 */ 'f', 'e', 'x', 'd', 'o', '.', 'h', 9, 0,
+  /* 4063 */ 'm', 's', 'u', 'b', '_', 'q', '.', 'h', 9, 0,
+  /* 4073 */ 'm', 'a', 'd', 'd', '_', 'q', '.', 'h', 9, 0,
+  /* 4083 */ 'm', 'u', 'l', '_', 'q', '.', 'h', 9, 0,
+  /* 4092 */ 'm', 's', 'u', 'b', 'r', '_', 'q', '.', 'h', 9, 0,
+  /* 4103 */ 'm', 'a', 'd', 'd', 'r', '_', 'q', '.', 'h', 9, 0,
+  /* 4114 */ 'm', 'u', 'l', 'r', '_', 'q', '.', 'h', 9, 0,
+  /* 4124 */ 'c', 'e', 'q', '.', 'h', 9, 0,
+  /* 4131 */ 'f', 't', 'q', '.', 'h', 9, 0,
+  /* 4138 */ 's', 'r', 'a', 'r', '.', 'h', 9, 0,
+  /* 4146 */ 'b', 'c', 'l', 'r', '.', 'h', 9, 0,
+  /* 4154 */ 's', 'r', 'l', 'r', '.', 'h', 9, 0,
+  /* 4162 */ 'b', 'i', 'n', 's', 'r', '.', 'h', 9, 0,
+  /* 4171 */ 'i', 'l', 'v', 'r', '.', 'h', 9, 0,
+  /* 4179 */ 'a', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
+  /* 4189 */ 'h', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
+  /* 4199 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
+  /* 4210 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'h', 9, 0,
+  /* 4220 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'h', 9, 0,
+  /* 4231 */ 'm', 'o', 'd', '_', 's', '.', 'h', 9, 0,
+  /* 4240 */ 'c', 'l', 'e', '_', 's', '.', 'h', 9, 0,
+  /* 4249 */ 'a', 'v', 'e', '_', 's', '.', 'h', 9, 0,
+  /* 4258 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'h', 9, 0,
+  /* 4268 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'h', 9, 0,
+  /* 4278 */ 'c', 'l', 't', 'i', '_', 's', '.', 'h', 9, 0,
+  /* 4288 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'h', 9, 0,
+  /* 4298 */ 'm', 'i', 'n', '_', 's', '.', 'h', 9, 0,
+  /* 4307 */ 'd', 'o', 't', 'p', '_', 's', '.', 'h', 9, 0,
+  /* 4317 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'h', 9, 0,
+  /* 4327 */ 'e', 'x', 't', 'r', '_', 's', '.', 'h', 9, 0,
+  /* 4337 */ 's', 'u', 'b', 's', '_', 's', '.', 'h', 9, 0,
+  /* 4347 */ 'a', 'd', 'd', 's', '_', 's', '.', 'h', 9, 0,
+  /* 4357 */ 's', 'a', 't', '_', 's', '.', 'h', 9, 0,
+  /* 4366 */ 'c', 'l', 't', '_', 's', '.', 'h', 9, 0,
+  /* 4375 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'h', 9, 0,
+  /* 4387 */ 'd', 'i', 'v', '_', 's', '.', 'h', 9, 0,
+  /* 4396 */ 'e', 'x', 't', 'r', 'v', '_', 's', '.', 'h', 9, 0,
+  /* 4407 */ 'm', 'a', 'x', '_', 's', '.', 'h', 9, 0,
+  /* 4416 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'h', 9, 0,
+  /* 4426 */ 's', 'p', 'l', 'a', 't', '.', 'h', 9, 0,
+  /* 4435 */ 'b', 's', 'e', 't', '.', 'h', 9, 0,
+  /* 4443 */ 'p', 'c', 'n', 't', '.', 'h', 9, 0,
+  /* 4451 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'h', 9, 0,
+  /* 4461 */ 's', 't', '.', 'h', 9, 0,
+  /* 4467 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
+  /* 4477 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
+  /* 4487 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
+  /* 4498 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'h', 9, 0,
+  /* 4508 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'h', 9, 0,
+  /* 4519 */ 'm', 'o', 'd', '_', 'u', '.', 'h', 9, 0,
+  /* 4528 */ 'c', 'l', 'e', '_', 'u', '.', 'h', 9, 0,
+  /* 4537 */ 'a', 'v', 'e', '_', 'u', '.', 'h', 9, 0,
+  /* 4546 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'h', 9, 0,
+  /* 4556 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'h', 9, 0,
+  /* 4566 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'h', 9, 0,
+  /* 4576 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'h', 9, 0,
+  /* 4586 */ 'm', 'i', 'n', '_', 'u', '.', 'h', 9, 0,
+  /* 4595 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'h', 9, 0,
+  /* 4605 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'h', 9, 0,
+  /* 4615 */ 's', 'u', 'b', 's', '_', 'u', '.', 'h', 9, 0,
+  /* 4625 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'h', 9, 0,
+  /* 4635 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'h', 9, 0,
+  /* 4647 */ 's', 'a', 't', '_', 'u', '.', 'h', 9, 0,
+  /* 4656 */ 'c', 'l', 't', '_', 'u', '.', 'h', 9, 0,
+  /* 4665 */ 'd', 'i', 'v', '_', 'u', '.', 'h', 9, 0,
+  /* 4674 */ 'm', 'a', 'x', '_', 'u', '.', 'h', 9, 0,
+  /* 4683 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'h', 9, 0,
+  /* 4693 */ 'm', 's', 'u', 'b', 'v', '.', 'h', 9, 0,
+  /* 4702 */ 'm', 'a', 'd', 'd', 'v', '.', 'h', 9, 0,
+  /* 4711 */ 'p', 'c', 'k', 'e', 'v', '.', 'h', 9, 0,
+  /* 4720 */ 'i', 'l', 'v', 'e', 'v', '.', 'h', 9, 0,
+  /* 4729 */ 'm', 'u', 'l', 'v', '.', 'h', 9, 0,
+  /* 4737 */ 'b', 'z', '.', 'h', 9, 0,
+  /* 4743 */ 'b', 'n', 'z', '.', 'h', 9, 0,
+  /* 4750 */ 'd', 's', 'b', 'h', 9, 0,
+  /* 4756 */ 'w', 's', 'b', 'h', 9, 0,
+  /* 4762 */ 's', 'e', 'h', 9, 0,
+  /* 4767 */ 'l', 'h', 9, 0,
+  /* 4771 */ 's', 'h', 'r', 'a', '.', 'p', 'h', 9, 0,
+  /* 4780 */ 'p', 'r', 'e', 'c', 'r', 'q', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
+  /* 4794 */ 'p', 'r', 'e', 'c', 'r', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
+  /* 4807 */ 'p', 'r', 'e', 'c', 'r', 'q', 'u', '_', 's', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
+  /* 4824 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 'p', 'h', 9, 0,
+  /* 4835 */ 's', 'u', 'b', 'q', 'h', '.', 'p', 'h', 9, 0,
+  /* 4845 */ 'a', 'd', 'd', 'q', 'h', '.', 'p', 'h', 9, 0,
+  /* 4855 */ 'p', 'i', 'c', 'k', '.', 'p', 'h', 9, 0,
+  /* 4864 */ 's', 'h', 'l', 'l', '.', 'p', 'h', 9, 0,
+  /* 4873 */ 'r', 'e', 'p', 'l', '.', 'p', 'h', 9, 0,
+  /* 4882 */ 's', 'h', 'r', 'l', '.', 'p', 'h', 9, 0,
+  /* 4891 */ 'p', 'a', 'c', 'k', 'r', 'l', '.', 'p', 'h', 9, 0,
+  /* 4902 */ 'm', 'u', 'l', '.', 'p', 'h', 9, 0,
+  /* 4910 */ 's', 'u', 'b', 'q', '.', 'p', 'h', 9, 0,
+  /* 4919 */ 'a', 'd', 'd', 'q', '.', 'p', 'h', 9, 0,
+  /* 4928 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 'p', 'h', 9, 0,
+  /* 4939 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'p', 'h', 9, 0,
+  /* 4950 */ 's', 'u', 'b', 'q', 'h', '_', 'r', '.', 'p', 'h', 9, 0,
+  /* 4962 */ 'a', 'd', 'd', 'q', 'h', '_', 'r', '.', 'p', 'h', 9, 0,
+  /* 4974 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'p', 'h', 9, 0,
+  /* 4986 */ 's', 'h', 'l', 'l', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 4997 */ 'm', 'u', 'l', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5007 */ 's', 'u', 'b', 'q', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5018 */ 'a', 'd', 'd', 'q', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5029 */ 'm', 'u', 'l', 'q', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5040 */ 'a', 'b', 's', 'q', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5051 */ 's', 'u', 'b', 'u', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5062 */ 'a', 'd', 'd', 'u', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5073 */ 's', 'h', 'l', 'l', 'v', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5085 */ 'm', 'u', 'l', 'q', '_', 'r', 's', '.', 'p', 'h', 9, 0,
+  /* 5097 */ 'c', 'm', 'p', '.', 'l', 't', '.', 'p', 'h', 9, 0,
+  /* 5108 */ 's', 'u', 'b', 'u', '.', 'p', 'h', 9, 0,
+  /* 5117 */ 'a', 'd', 'd', 'u', '.', 'p', 'h', 9, 0,
+  /* 5126 */ 's', 'h', 'r', 'a', 'v', '.', 'p', 'h', 9, 0,
+  /* 5136 */ 's', 'h', 'l', 'l', 'v', '.', 'p', 'h', 9, 0,
+  /* 5146 */ 'r', 'e', 'p', 'l', 'v', '.', 'p', 'h', 9, 0,
+  /* 5156 */ 's', 'h', 'r', 'l', 'v', '.', 'p', 'h', 9, 0,
+  /* 5166 */ 'd', 'p', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5176 */ 'd', 'p', 'a', 'q', 'x', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5191 */ 'd', 'p', 's', 'q', 'x', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5206 */ 'm', 'u', 'l', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5218 */ 'd', 'p', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5231 */ 'm', 'u', 'l', 's', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5246 */ 'd', 'p', 's', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5259 */ 'd', 'p', 'a', 'q', 'x', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5273 */ 'd', 'p', 's', 'q', 'x', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5287 */ 'd', 'p', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5297 */ 'd', 'p', 'a', 'x', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5308 */ 'd', 'p', 's', 'x', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5319 */ 's', 'h', 9, 0,
+  /* 5323 */ 'd', 'm', 'u', 'h', 9, 0,
+  /* 5329 */ 'd', 'a', 'd', 'd', 'i', 9, 0,
+  /* 5336 */ 'a', 'n', 'd', 'i', 9, 0,
+  /* 5342 */ 't', 'g', 'e', 'i', 9, 0,
+  /* 5348 */ 's', 'n', 'e', 'i', 9, 0,
+  /* 5354 */ 't', 'n', 'e', 'i', 9, 0,
+  /* 5360 */ 'd', 'a', 'h', 'i', 9, 0,
+  /* 5366 */ 'm', 'f', 'h', 'i', 9, 0,
+  /* 5372 */ 'm', 't', 'h', 'i', 9, 0,
+  /* 5378 */ '.', 'a', 'l', 'i', 'g', 'n', 32, '2', 10, 9, 'l', 'i', 9, 0,
+  /* 5392 */ 'd', 'l', 'i', 9, 0,
+  /* 5397 */ 'c', 'm', 'p', 'i', 9, 0,
+  /* 5403 */ 's', 'e', 'q', 'i', 9, 0,
+  /* 5409 */ 't', 'e', 'q', 'i', 9, 0,
+  /* 5415 */ 'x', 'o', 'r', 'i', 9, 0,
+  /* 5421 */ 'd', 'a', 't', 'i', 9, 0,
+  /* 5427 */ 's', 'l', 't', 'i', 9, 0,
+  /* 5433 */ 't', 'l', 't', 'i', 9, 0,
+  /* 5439 */ 'd', 'a', 'u', 'i', 9, 0,
+  /* 5445 */ 'l', 'u', 'i', 9, 0,
+  /* 5450 */ 'j', 9, 0,
+  /* 5453 */ 'b', 'r', 'e', 'a', 'k', 9, 0,
+  /* 5460 */ 'c', 'v', 't', '.', 'd', '.', 'l', 9, 0,
+  /* 5469 */ 'c', 'v', 't', '.', 's', '.', 'l', 9, 0,
+  /* 5478 */ 'b', 'a', 'l', 9, 0,
+  /* 5483 */ 'j', 'a', 'l', 9, 0,
+  /* 5488 */ 'b', 'g', 'e', 'z', 'a', 'l', 9, 0,
+  /* 5496 */ 'b', 'l', 't', 'z', 'a', 'l', 9, 0,
+  /* 5504 */ 'd', 'p', 'a', 'u', '.', 'h', '.', 'q', 'b', 'l', 9, 0,
+  /* 5516 */ 'd', 'p', 's', 'u', '.', 'h', '.', 'q', 'b', 'l', 9, 0,
+  /* 5528 */ 'm', 'u', 'l', 'e', 'u', '_', 's', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
+  /* 5544 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
+  /* 5559 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
+  /* 5575 */ 'l', 'd', 'l', 9, 0,
+  /* 5580 */ 's', 'd', 'l', 9, 0,
+  /* 5585 */ 'b', 'n', 'e', 'l', 9, 0,
+  /* 5591 */ 'b', 'c', '0', 'f', 'l', 9, 0,
+  /* 5598 */ 'b', 'c', '1', 'f', 'l', 9, 0,
+  /* 5605 */ 'b', 'c', '2', 'f', 'l', 9, 0,
+  /* 5612 */ 'b', 'c', '3', 'f', 'l', 9, 0,
+  /* 5619 */ 'm', 'a', 'q', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
+  /* 5633 */ 'p', 'r', 'e', 'c', 'e', 'q', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
+  /* 5647 */ 'm', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
+  /* 5660 */ 'm', 'u', 'l', 'e', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
+  /* 5675 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 9, 0,
+  /* 5684 */ 'b', 'g', 'e', 'z', 'a', 'l', 'l', 9, 0,
+  /* 5693 */ 'b', 'l', 't', 'z', 'a', 'l', 'l', 9, 0,
+  /* 5702 */ 'd', 's', 'l', 'l', 9, 0,
+  /* 5708 */ 'b', 'e', 'q', 'l', 9, 0,
+  /* 5714 */ 'd', 's', 'r', 'l', 9, 0,
+  /* 5720 */ 'b', 'c', '0', 't', 'l', 9, 0,
+  /* 5727 */ 'b', 'c', '1', 't', 'l', 9, 0,
+  /* 5734 */ 'b', 'c', '2', 't', 'l', 9, 0,
+  /* 5741 */ 'b', 'c', '3', 't', 'l', 9, 0,
+  /* 5748 */ 'd', 'm', 'u', 'l', 9, 0,
+  /* 5754 */ 'l', 'w', 'l', 9, 0,
+  /* 5759 */ 's', 'w', 'l', 9, 0,
+  /* 5764 */ 'b', 'g', 'e', 'z', 'l', 9, 0,
+  /* 5771 */ 'b', 'l', 'e', 'z', 'l', 9, 0,
+  /* 5778 */ 'b', 'g', 't', 'z', 'l', 9, 0,
+  /* 5785 */ 'b', 'l', 't', 'z', 'l', 9, 0,
+  /* 5792 */ 'b', 'a', 'l', 'i', 'g', 'n', 9, 0,
+  /* 5800 */ 'd', 'a', 'l', 'i', 'g', 'n', 9, 0,
+  /* 5808 */ 'm', 'o', 'v', 'n', 9, 0,
+  /* 5814 */ 'd', 'c', 'l', 'o', 9, 0,
+  /* 5820 */ 'm', 'f', 'l', 'o', 9, 0,
+  /* 5826 */ 's', 'h', 'i', 'l', 'o', 9, 0,
+  /* 5833 */ 'm', 't', 'l', 'o', 9, 0,
+  /* 5839 */ 'd', 'b', 'i', 't', 's', 'w', 'a', 'p', 9, 0,
+  /* 5849 */ 's', 'd', 'b', 'b', 'p', 9, 0,
+  /* 5856 */ 'e', 'x', 't', 'p', 'd', 'p', 9, 0,
+  /* 5864 */ 'm', 't', 'h', 'l', 'i', 'p', 9, 0,
+  /* 5872 */ 'c', 'm', 'p', 9, 0,
+  /* 5877 */ 'd', 'p', 'o', 'p', 9, 0,
+  /* 5883 */ 'l', 'o', 'a', 'd', '_', 'c', 'c', 'o', 'n', 'd', '_', 'd', 's', 'p', 9, 0,
+  /* 5899 */ 's', 't', 'o', 'r', 'e', '_', 'c', 'c', 'o', 'n', 'd', '_', 'd', 's', 'p', 9, 0,
+  /* 5916 */ 'r', 'd', 'd', 's', 'p', 9, 0,
+  /* 5923 */ 'w', 'r', 'd', 's', 'p', 9, 0,
+  /* 5930 */ 'j', 'r', 'a', 'd', 'd', 'i', 'u', 's', 'p', 9, 0,
+  /* 5941 */ 'e', 'x', 't', 'p', 9, 0,
+  /* 5947 */ 'b', 'e', 'q', 9, 0,
+  /* 5952 */ 's', 'e', 'q', 9, 0,
+  /* 5957 */ 't', 'e', 'q', 9, 0,
+  /* 5962 */ 'd', 'p', 'a', 'u', '.', 'h', '.', 'q', 'b', 'r', 9, 0,
+  /* 5974 */ 'd', 'p', 's', 'u', '.', 'h', '.', 'q', 'b', 'r', 9, 0,
+  /* 5986 */ 'm', 'u', 'l', 'e', 'u', '_', 's', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
+  /* 6002 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
+  /* 6017 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
+  /* 6033 */ 'l', 'd', 'r', 9, 0,
+  /* 6038 */ 's', 'd', 'r', 9, 0,
+  /* 6043 */ 'm', 'a', 'q', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
+  /* 6057 */ 'p', 'r', 'e', 'c', 'e', 'q', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
+  /* 6071 */ 'm', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
+  /* 6084 */ 'm', 'u', 'l', 'e', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
+  /* 6099 */ 'j', 'r', 9, 0,
+  /* 6103 */ 'j', 'a', 'l', 'r', 9, 0,
+  /* 6109 */ 'n', 'o', 'r', 9, 0,
+  /* 6114 */ 'x', 'o', 'r', 9, 0,
+  /* 6119 */ 'd', 'r', 'o', 't', 'r', 9, 0,
+  /* 6126 */ 'r', 'd', 'h', 'w', 'r', 9, 0,
+  /* 6133 */ 'l', 'w', 'r', 9, 0,
+  /* 6138 */ 's', 'w', 'r', 9, 0,
+  /* 6143 */ 'm', 'i', 'n', 'a', '.', 's', 9, 0,
+  /* 6151 */ 'm', 'a', 'x', 'a', '.', 's', 9, 0,
+  /* 6159 */ 'n', 'm', 's', 'u', 'b', '.', 's', 9, 0,
+  /* 6168 */ 'c', 'v', 't', '.', 'd', '.', 's', 9, 0,
+  /* 6177 */ 'n', 'm', 'a', 'd', 'd', '.', 's', 9, 0,
+  /* 6186 */ 'c', '.', 'n', 'g', 'e', '.', 's', 9, 0,
+  /* 6195 */ 'c', '.', 'l', 'e', '.', 's', 9, 0,
+  /* 6203 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 's', 9, 0,
+  /* 6213 */ 'c', '.', 'n', 'g', 'l', 'e', '.', 's', 9, 0,
+  /* 6223 */ 'c', '.', 'o', 'l', 'e', '.', 's', 9, 0,
+  /* 6232 */ 'c', 'm', 'p', '.', 's', 'l', 'e', '.', 's', 9, 0,
+  /* 6243 */ 'c', '.', 'u', 'l', 'e', '.', 's', 9, 0,
+  /* 6252 */ 'c', 'm', 'p', '.', 'u', 'l', 'e', '.', 's', 9, 0,
+  /* 6263 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 'e', '.', 's', 9, 0,
+  /* 6275 */ 'c', '.', 'f', '.', 's', 9, 0,
+  /* 6282 */ 'c', 'm', 'p', '.', 'a', 'f', '.', 's', 9, 0,
+  /* 6292 */ 'c', 'm', 'p', '.', 's', 'a', 'f', '.', 's', 9, 0,
+  /* 6303 */ 'm', 's', 'u', 'b', 'f', '.', 's', 9, 0,
+  /* 6312 */ 'm', 'a', 'd', 'd', 'f', '.', 's', 9, 0,
+  /* 6321 */ 'c', '.', 's', 'f', '.', 's', 9, 0,
+  /* 6329 */ 'm', 'o', 'v', 'f', '.', 's', 9, 0,
+  /* 6337 */ 'n', 'e', 'g', '.', 's', 9, 0,
+  /* 6344 */ 't', 'r', 'u', 'n', 'c', '.', 'l', '.', 's', 9, 0,
+  /* 6355 */ 'r', 'o', 'u', 'n', 'd', '.', 'l', '.', 's', 9, 0,
+  /* 6366 */ 'c', 'e', 'i', 'l', '.', 'l', '.', 's', 9, 0,
+  /* 6376 */ 'f', 'l', 'o', 'o', 'r', '.', 'l', '.', 's', 9, 0,
+  /* 6387 */ 'c', 'v', 't', '.', 'l', '.', 's', 9, 0,
+  /* 6396 */ 's', 'e', 'l', '.', 's', 9, 0,
+  /* 6403 */ 'c', '.', 'n', 'g', 'l', '.', 's', 9, 0,
+  /* 6412 */ 'm', 'u', 'l', '.', 's', 9, 0,
+  /* 6419 */ 'm', 'i', 'n', '.', 's', 9, 0,
+  /* 6426 */ 'c', '.', 'u', 'n', '.', 's', 9, 0,
+  /* 6434 */ 'c', 'm', 'p', '.', 'u', 'n', '.', 's', 9, 0,
+  /* 6444 */ 'c', 'm', 'p', '.', 's', 'u', 'n', '.', 's', 9, 0,
+  /* 6455 */ 'm', 'o', 'v', 'n', '.', 's', 9, 0,
+  /* 6463 */ 'c', '.', 'e', 'q', '.', 's', 9, 0,
+  /* 6471 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 's', 9, 0,
+  /* 6481 */ 'c', '.', 's', 'e', 'q', '.', 's', 9, 0,
+  /* 6490 */ 'c', 'm', 'p', '.', 's', 'e', 'q', '.', 's', 9, 0,
+  /* 6501 */ 'c', '.', 'u', 'e', 'q', '.', 's', 9, 0,
+  /* 6510 */ 'c', 'm', 'p', '.', 'u', 'e', 'q', '.', 's', 9, 0,
+  /* 6521 */ 'c', 'm', 'p', '.', 's', 'u', 'e', 'q', '.', 's', 9, 0,
+  /* 6533 */ 'a', 'b', 's', '.', 's', 9, 0,
+  /* 6540 */ 'c', 'l', 'a', 's', 's', '.', 's', 9, 0,
+  /* 6549 */ 'c', '.', 'n', 'g', 't', '.', 's', 9, 0,
+  /* 6558 */ 'c', '.', 'l', 't', '.', 's', 9, 0,
+  /* 6566 */ 'c', 'm', 'p', '.', 'l', 't', '.', 's', 9, 0,
+  /* 6576 */ 'c', '.', 'o', 'l', 't', '.', 's', 9, 0,
+  /* 6585 */ 'c', 'm', 'p', '.', 's', 'l', 't', '.', 's', 9, 0,
+  /* 6596 */ 'c', '.', 'u', 'l', 't', '.', 's', 9, 0,
+  /* 6605 */ 'c', 'm', 'p', '.', 'u', 'l', 't', '.', 's', 9, 0,
+  /* 6616 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 't', '.', 's', 9, 0,
+  /* 6628 */ 'r', 'i', 'n', 't', '.', 's', 9, 0,
+  /* 6636 */ 's', 'q', 'r', 't', '.', 's', 9, 0,
+  /* 6644 */ 'm', 'o', 'v', 't', '.', 's', 9, 0,
+  /* 6652 */ 'd', 'i', 'v', '.', 's', 9, 0,
+  /* 6659 */ 'm', 'o', 'v', '.', 's', 9, 0,
+  /* 6666 */ 't', 'r', 'u', 'n', 'c', '.', 'w', '.', 's', 9, 0,
+  /* 6677 */ 'r', 'o', 'u', 'n', 'd', '.', 'w', '.', 's', 9, 0,
+  /* 6688 */ 'c', 'e', 'i', 'l', '.', 'w', '.', 's', 9, 0,
+  /* 6698 */ 'f', 'l', 'o', 'o', 'r', '.', 'w', '.', 's', 9, 0,
+  /* 6709 */ 'c', 'v', 't', '.', 'w', '.', 's', 9, 0,
+  /* 6718 */ 'm', 'a', 'x', '.', 's', 9, 0,
+  /* 6725 */ 's', 'e', 'l', 'n', 'e', 'z', '.', 's', 9, 0,
+  /* 6735 */ 's', 'e', 'l', 'e', 'q', 'z', '.', 's', 9, 0,
+  /* 6745 */ 'm', 'o', 'v', 'z', '.', 's', 9, 0,
+  /* 6753 */ 'j', 'a', 'l', 's', 9, 0,
+  /* 6759 */ 'b', 'g', 'e', 'z', 'a', 'l', 's', 9, 0,
+  /* 6768 */ 'b', 'l', 't', 'z', 'a', 'l', 's', 9, 0,
+  /* 6777 */ 'j', 'a', 'l', 'r', 's', 9, 0,
+  /* 6784 */ 'b', 'c', '0', 't', 9, 0,
+  /* 6790 */ 'b', 'c', '1', 't', 9, 0,
+  /* 6796 */ 'b', 'c', '2', 't', 9, 0,
+  /* 6802 */ 'b', 'c', '3', 't', 9, 0,
+  /* 6808 */ 'w', 'a', 'i', 't', 9, 0,
+  /* 6814 */ 's', 'l', 't', 9, 0,
+  /* 6819 */ 't', 'l', 't', 9, 0,
+  /* 6824 */ 'd', 'm', 'u', 'l', 't', 9, 0,
+  /* 6831 */ 'n', 'o', 't', 9, 0,
+  /* 6836 */ 'm', 'o', 'v', 't', 9, 0,
+  /* 6842 */ 'l', 'b', 'u', 9, 0,
+  /* 6847 */ 'd', 's', 'u', 'b', 'u', 9, 0,
+  /* 6854 */ 'm', 's', 'u', 'b', 'u', 9, 0,
+  /* 6861 */ 'b', 'a', 'd', 'd', 'u', 9, 0,
+  /* 6868 */ 'd', 'a', 'd', 'd', 'u', 9, 0,
+  /* 6875 */ 'm', 'a', 'd', 'd', 'u', 9, 0,
+  /* 6882 */ 'd', 'm', 'o', 'd', 'u', 9, 0,
+  /* 6889 */ 't', 'g', 'e', 'u', 9, 0,
+  /* 6895 */ 'l', 'h', 'u', 9, 0,
+  /* 6900 */ 'd', 'm', 'u', 'h', 'u', 9, 0,
+  /* 6907 */ 'd', 'a', 'd', 'd', 'i', 'u', 9, 0,
+  /* 6915 */ 't', 'g', 'e', 'i', 'u', 9, 0,
+  /* 6922 */ 's', 'l', 't', 'i', 'u', 9, 0,
+  /* 6929 */ 't', 'l', 't', 'i', 'u', 9, 0,
+  /* 6936 */ 'v', '3', 'm', 'u', 'l', 'u', 9, 0,
+  /* 6944 */ 'd', 'm', 'u', 'l', 'u', 9, 0,
+  /* 6951 */ 'v', 'm', 'u', 'l', 'u', 9, 0,
+  /* 6958 */ 's', 'l', 't', 'u', 9, 0,
+  /* 6964 */ 't', 'l', 't', 'u', 9, 0,
+  /* 6970 */ 'd', 'm', 'u', 'l', 't', 'u', 9, 0,
+  /* 6978 */ 'd', 'd', 'i', 'v', 'u', 9, 0,
+  /* 6985 */ 'l', 'w', 'u', 9, 0,
+  /* 6990 */ 'a', 'n', 'd', '.', 'v', 9, 0,
+  /* 6997 */ 'm', 'o', 'v', 'e', '.', 'v', 9, 0,
+  /* 7005 */ 'b', 's', 'e', 'l', '.', 'v', 9, 0,
+  /* 7013 */ 'n', 'o', 'r', '.', 'v', 9, 0,
+  /* 7020 */ 'x', 'o', 'r', '.', 'v', 9, 0,
+  /* 7027 */ 'b', 'z', '.', 'v', 9, 0,
+  /* 7033 */ 'b', 'm', 'z', '.', 'v', 9, 0,
+  /* 7040 */ 'b', 'n', 'z', '.', 'v', 9, 0,
+  /* 7047 */ 'b', 'm', 'n', 'z', '.', 'v', 9, 0,
+  /* 7055 */ 'd', 's', 'r', 'a', 'v', 9, 0,
+  /* 7062 */ 'b', 'i', 't', 'r', 'e', 'v', 9, 0,
+  /* 7070 */ 'd', 'd', 'i', 'v', 9, 0,
+  /* 7076 */ 'd', 's', 'l', 'l', 'v', 9, 0,
+  /* 7083 */ 'd', 's', 'r', 'l', 'v', 9, 0,
+  /* 7090 */ 's', 'h', 'i', 'l', 'o', 'v', 9, 0,
+  /* 7098 */ 'e', 'x', 't', 'p', 'd', 'p', 'v', 9, 0,
+  /* 7107 */ 'e', 'x', 't', 'p', 'v', 9, 0,
+  /* 7114 */ 'd', 'r', 'o', 't', 'r', 'v', 9, 0,
+  /* 7122 */ 'i', 'n', 's', 'v', 9, 0,
+  /* 7128 */ 'f', 'l', 'o', 'g', '2', '.', 'w', 9, 0,
+  /* 7137 */ 'f', 'e', 'x', 'p', '2', '.', 'w', 9, 0,
+  /* 7146 */ 'a', 'd', 'd', '_', 'a', '.', 'w', 9, 0,
+  /* 7155 */ 'f', 'm', 'i', 'n', '_', 'a', '.', 'w', 9, 0,
+  /* 7165 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'w', 9, 0,
+  /* 7175 */ 'f', 'm', 'a', 'x', '_', 'a', '.', 'w', 9, 0,
+  /* 7185 */ 's', 'r', 'a', '.', 'w', 9, 0,
+  /* 7192 */ 'f', 's', 'u', 'b', '.', 'w', 9, 0,
+  /* 7200 */ 'f', 'm', 's', 'u', 'b', '.', 'w', 9, 0,
+  /* 7209 */ 'n', 'l', 'o', 'c', '.', 'w', 9, 0,
+  /* 7217 */ 'n', 'l', 'z', 'c', '.', 'w', 9, 0,
+  /* 7225 */ 'c', 'v', 't', '.', 'd', '.', 'w', 9, 0,
+  /* 7234 */ 'f', 'a', 'd', 'd', '.', 'w', 9, 0,
+  /* 7242 */ 'f', 'm', 'a', 'd', 'd', '.', 'w', 9, 0,
+  /* 7251 */ 's', 'l', 'd', '.', 'w', 9, 0,
+  /* 7258 */ 'p', 'c', 'k', 'o', 'd', '.', 'w', 9, 0,
+  /* 7267 */ 'i', 'l', 'v', 'o', 'd', '.', 'w', 9, 0,
+  /* 7276 */ 'f', 'c', 'l', 'e', '.', 'w', 9, 0,
+  /* 7284 */ 'f', 's', 'l', 'e', '.', 'w', 9, 0,
+  /* 7292 */ 'f', 'c', 'u', 'l', 'e', '.', 'w', 9, 0,
+  /* 7301 */ 'f', 's', 'u', 'l', 'e', '.', 'w', 9, 0,
+  /* 7310 */ 'f', 'c', 'n', 'e', '.', 'w', 9, 0,
+  /* 7318 */ 'f', 's', 'n', 'e', '.', 'w', 9, 0,
+  /* 7326 */ 'f', 'c', 'u', 'n', 'e', '.', 'w', 9, 0,
+  /* 7335 */ 'f', 's', 'u', 'n', 'e', '.', 'w', 9, 0,
+  /* 7344 */ 'i', 'n', 's', 'v', 'e', '.', 'w', 9, 0,
+  /* 7353 */ 'f', 'c', 'a', 'f', '.', 'w', 9, 0,
+  /* 7361 */ 'f', 's', 'a', 'f', '.', 'w', 9, 0,
+  /* 7369 */ 'v', 's', 'h', 'f', '.', 'w', 9, 0,
+  /* 7377 */ 'b', 'n', 'e', 'g', '.', 'w', 9, 0,
+  /* 7385 */ 'p', 'r', 'e', 'c', 'r', '_', 's', 'r', 'a', '.', 'p', 'h', '.', 'w', 9, 0,
+  /* 7401 */ 'p', 'r', 'e', 'c', 'r', 'q', '.', 'p', 'h', '.', 'w', 9, 0,
+  /* 7414 */ 'p', 'r', 'e', 'c', 'r', '_', 's', 'r', 'a', '_', 'r', '.', 'p', 'h', '.', 'w', 9, 0,
+  /* 7432 */ 'p', 'r', 'e', 'c', 'r', 'q', '_', 'r', 's', '.', 'p', 'h', '.', 'w', 9, 0,
+  /* 7448 */ 's', 'u', 'b', 'q', 'h', '.', 'w', 9, 0,
+  /* 7457 */ 'a', 'd', 'd', 'q', 'h', '.', 'w', 9, 0,
+  /* 7466 */ 's', 'r', 'a', 'i', '.', 'w', 9, 0,
+  /* 7474 */ 's', 'l', 'd', 'i', '.', 'w', 9, 0,
+  /* 7482 */ 'b', 'n', 'e', 'g', 'i', '.', 'w', 9, 0,
+  /* 7491 */ 's', 'l', 'l', 'i', '.', 'w', 9, 0,
+  /* 7499 */ 's', 'r', 'l', 'i', '.', 'w', 9, 0,
+  /* 7507 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'w', 9, 0,
+  /* 7517 */ 'c', 'e', 'q', 'i', '.', 'w', 9, 0,
+  /* 7525 */ 's', 'r', 'a', 'r', 'i', '.', 'w', 9, 0,
+  /* 7534 */ 'b', 'c', 'l', 'r', 'i', '.', 'w', 9, 0,
+  /* 7543 */ 's', 'r', 'l', 'r', 'i', '.', 'w', 9, 0,
+  /* 7552 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'w', 9, 0,
+  /* 7562 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'w', 9, 0,
+  /* 7572 */ 'b', 's', 'e', 't', 'i', '.', 'w', 9, 0,
+  /* 7581 */ 's', 'u', 'b', 'v', 'i', '.', 'w', 9, 0,
+  /* 7590 */ 'a', 'd', 'd', 'v', 'i', '.', 'w', 9, 0,
+  /* 7599 */ 'd', 'p', 'a', 'q', '_', 's', 'a', '.', 'l', '.', 'w', 9, 0,
+  /* 7612 */ 'd', 'p', 's', 'q', '_', 's', 'a', '.', 'l', '.', 'w', 9, 0,
+  /* 7625 */ 'f', 'i', 'l', 'l', '.', 'w', 9, 0,
+  /* 7633 */ 's', 'l', 'l', '.', 'w', 9, 0,
+  /* 7640 */ 'f', 'e', 'x', 'u', 'p', 'l', '.', 'w', 9, 0,
+  /* 7650 */ 'f', 'f', 'q', 'l', '.', 'w', 9, 0,
+  /* 7658 */ 's', 'r', 'l', '.', 'w', 9, 0,
+  /* 7665 */ 'b', 'i', 'n', 's', 'l', '.', 'w', 9, 0,
+  /* 7674 */ 'f', 'm', 'u', 'l', '.', 'w', 9, 0,
+  /* 7682 */ 'i', 'l', 'v', 'l', '.', 'w', 9, 0,
+  /* 7690 */ 'f', 'm', 'i', 'n', '.', 'w', 9, 0,
+  /* 7698 */ 'f', 'c', 'u', 'n', '.', 'w', 9, 0,
+  /* 7706 */ 'f', 's', 'u', 'n', '.', 'w', 9, 0,
+  /* 7714 */ 'f', 'e', 'x', 'd', 'o', '.', 'w', 9, 0,
+  /* 7723 */ 'f', 'r', 'c', 'p', '.', 'w', 9, 0,
+  /* 7731 */ 'm', 's', 'u', 'b', '_', 'q', '.', 'w', 9, 0,
+  /* 7741 */ 'm', 'a', 'd', 'd', '_', 'q', '.', 'w', 9, 0,
+  /* 7751 */ 'm', 'u', 'l', '_', 'q', '.', 'w', 9, 0,
+  /* 7760 */ 'm', 's', 'u', 'b', 'r', '_', 'q', '.', 'w', 9, 0,
+  /* 7771 */ 'm', 'a', 'd', 'd', 'r', '_', 'q', '.', 'w', 9, 0,
+  /* 7782 */ 'm', 'u', 'l', 'r', '_', 'q', '.', 'w', 9, 0,
+  /* 7792 */ 'f', 'c', 'e', 'q', '.', 'w', 9, 0,
+  /* 7800 */ 'f', 's', 'e', 'q', '.', 'w', 9, 0,
+  /* 7808 */ 'f', 'c', 'u', 'e', 'q', '.', 'w', 9, 0,
+  /* 7817 */ 'f', 's', 'u', 'e', 'q', '.', 'w', 9, 0,
+  /* 7826 */ 'f', 't', 'q', '.', 'w', 9, 0,
+  /* 7833 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'w', 9, 0,
+  /* 7843 */ 's', 'u', 'b', 'q', 'h', '_', 'r', '.', 'w', 9, 0,
+  /* 7854 */ 'a', 'd', 'd', 'q', 'h', '_', 'r', '.', 'w', 9, 0,
+  /* 7865 */ 'e', 'x', 't', 'r', '_', 'r', '.', 'w', 9, 0,
+  /* 7875 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'w', 9, 0,
+  /* 7886 */ 'e', 'x', 't', 'r', 'v', '_', 'r', '.', 'w', 9, 0,
+  /* 7897 */ 's', 'r', 'a', 'r', '.', 'w', 9, 0,
+  /* 7905 */ 'b', 'c', 'l', 'r', '.', 'w', 9, 0,
+  /* 7913 */ 's', 'r', 'l', 'r', '.', 'w', 9, 0,
+  /* 7921 */ 'f', 'c', 'o', 'r', '.', 'w', 9, 0,
+  /* 7929 */ 'f', 's', 'o', 'r', '.', 'w', 9, 0,
+  /* 7937 */ 'f', 'e', 'x', 'u', 'p', 'r', '.', 'w', 9, 0,
+  /* 7947 */ 'f', 'f', 'q', 'r', '.', 'w', 9, 0,
+  /* 7955 */ 'b', 'i', 'n', 's', 'r', '.', 'w', 9, 0,
+  /* 7964 */ 'e', 'x', 't', 'r', '.', 'w', 9, 0,
+  /* 7972 */ 'i', 'l', 'v', 'r', '.', 'w', 9, 0,
+  /* 7980 */ 'c', 'v', 't', '.', 's', '.', 'w', 9, 0,
+  /* 7989 */ 'a', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
+  /* 7999 */ 'h', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
+  /* 8009 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
+  /* 8020 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 's', '.', 'w', 9, 0,
+  /* 8032 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'w', 9, 0,
+  /* 8042 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'w', 9, 0,
+  /* 8053 */ 'm', 'o', 'd', '_', 's', '.', 'w', 9, 0,
+  /* 8062 */ 'c', 'l', 'e', '_', 's', '.', 'w', 9, 0,
+  /* 8071 */ 'a', 'v', 'e', '_', 's', '.', 'w', 9, 0,
+  /* 8080 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'w', 9, 0,
+  /* 8090 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'w', 9, 0,
+  /* 8100 */ 'c', 'l', 't', 'i', '_', 's', '.', 'w', 9, 0,
+  /* 8110 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'w', 9, 0,
+  /* 8120 */ 's', 'h', 'l', 'l', '_', 's', '.', 'w', 9, 0,
+  /* 8130 */ 'm', 'i', 'n', '_', 's', '.', 'w', 9, 0,
+  /* 8139 */ 'd', 'o', 't', 'p', '_', 's', '.', 'w', 9, 0,
+  /* 8149 */ 's', 'u', 'b', 'q', '_', 's', '.', 'w', 9, 0,
+  /* 8159 */ 'a', 'd', 'd', 'q', '_', 's', '.', 'w', 9, 0,
+  /* 8169 */ 'm', 'u', 'l', 'q', '_', 's', '.', 'w', 9, 0,
+  /* 8179 */ 'a', 'b', 's', 'q', '_', 's', '.', 'w', 9, 0,
+  /* 8189 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'w', 9, 0,
+  /* 8199 */ 's', 'u', 'b', 's', '_', 's', '.', 'w', 9, 0,
+  /* 8209 */ 'a', 'd', 'd', 's', '_', 's', '.', 'w', 9, 0,
+  /* 8219 */ 's', 'a', 't', '_', 's', '.', 'w', 9, 0,
+  /* 8228 */ 'c', 'l', 't', '_', 's', '.', 'w', 9, 0,
+  /* 8237 */ 'f', 'f', 'i', 'n', 't', '_', 's', '.', 'w', 9, 0,
+  /* 8248 */ 'f', 't', 'i', 'n', 't', '_', 's', '.', 'w', 9, 0,
+  /* 8259 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'w', 9, 0,
+  /* 8271 */ 'd', 'i', 'v', '_', 's', '.', 'w', 9, 0,
+  /* 8280 */ 's', 'h', 'l', 'l', 'v', '_', 's', '.', 'w', 9, 0,
+  /* 8291 */ 'm', 'a', 'x', '_', 's', '.', 'w', 9, 0,
+  /* 8300 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'w', 9, 0,
+  /* 8310 */ 'm', 'u', 'l', 'q', '_', 'r', 's', '.', 'w', 9, 0,
+  /* 8321 */ 'e', 'x', 't', 'r', '_', 'r', 's', '.', 'w', 9, 0,
+  /* 8332 */ 'e', 'x', 't', 'r', 'v', '_', 'r', 's', '.', 'w', 9, 0,
+  /* 8344 */ 'f', 'c', 'l', 'a', 's', 's', '.', 'w', 9, 0,
+  /* 8354 */ 's', 'p', 'l', 'a', 't', '.', 'w', 9, 0,
+  /* 8363 */ 'b', 's', 'e', 't', '.', 'w', 9, 0,
+  /* 8371 */ 'f', 'c', 'l', 't', '.', 'w', 9, 0,
+  /* 8379 */ 'f', 's', 'l', 't', '.', 'w', 9, 0,
+  /* 8387 */ 'f', 'c', 'u', 'l', 't', '.', 'w', 9, 0,
+  /* 8396 */ 'f', 's', 'u', 'l', 't', '.', 'w', 9, 0,
+  /* 8405 */ 'p', 'c', 'n', 't', '.', 'w', 9, 0,
+  /* 8413 */ 'f', 'r', 'i', 'n', 't', '.', 'w', 9, 0,
+  /* 8422 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'w', 9, 0,
+  /* 8432 */ 'f', 's', 'q', 'r', 't', '.', 'w', 9, 0,
+  /* 8441 */ 'f', 'r', 's', 'q', 'r', 't', '.', 'w', 9, 0,
+  /* 8451 */ 's', 't', '.', 'w', 9, 0,
+  /* 8457 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
+  /* 8467 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
+  /* 8477 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
+  /* 8488 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 'u', '.', 'w', 9, 0,
+  /* 8500 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'w', 9, 0,
+  /* 8510 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'w', 9, 0,
+  /* 8521 */ 'm', 'o', 'd', '_', 'u', '.', 'w', 9, 0,
+  /* 8530 */ 'c', 'l', 'e', '_', 'u', '.', 'w', 9, 0,
+  /* 8539 */ 'a', 'v', 'e', '_', 'u', '.', 'w', 9, 0,
+  /* 8548 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'w', 9, 0,
+  /* 8558 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'w', 9, 0,
+  /* 8568 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'w', 9, 0,
+  /* 8578 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'w', 9, 0,
+  /* 8588 */ 'm', 'i', 'n', '_', 'u', '.', 'w', 9, 0,
+  /* 8597 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'w', 9, 0,
+  /* 8607 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'w', 9, 0,
+  /* 8617 */ 's', 'u', 'b', 's', '_', 'u', '.', 'w', 9, 0,
+  /* 8627 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'w', 9, 0,
+  /* 8637 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'w', 9, 0,
+  /* 8649 */ 's', 'a', 't', '_', 'u', '.', 'w', 9, 0,
+  /* 8658 */ 'c', 'l', 't', '_', 'u', '.', 'w', 9, 0,
+  /* 8667 */ 'f', 'f', 'i', 'n', 't', '_', 'u', '.', 'w', 9, 0,
+  /* 8678 */ 'f', 't', 'i', 'n', 't', '_', 'u', '.', 'w', 9, 0,
+  /* 8689 */ 'd', 'i', 'v', '_', 'u', '.', 'w', 9, 0,
+  /* 8698 */ 'm', 'a', 'x', '_', 'u', '.', 'w', 9, 0,
+  /* 8707 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'w', 9, 0,
+  /* 8717 */ 'm', 's', 'u', 'b', 'v', '.', 'w', 9, 0,
+  /* 8726 */ 'm', 'a', 'd', 'd', 'v', '.', 'w', 9, 0,
+  /* 8735 */ 'p', 'c', 'k', 'e', 'v', '.', 'w', 9, 0,
+  /* 8744 */ 'i', 'l', 'v', 'e', 'v', '.', 'w', 9, 0,
+  /* 8753 */ 'f', 'd', 'i', 'v', '.', 'w', 9, 0,
+  /* 8761 */ 'm', 'u', 'l', 'v', '.', 'w', 9, 0,
+  /* 8769 */ 'e', 'x', 't', 'r', 'v', '.', 'w', 9, 0,
+  /* 8778 */ 'f', 'm', 'a', 'x', '.', 'w', 9, 0,
+  /* 8786 */ 'b', 'z', '.', 'w', 9, 0,
+  /* 8792 */ 'b', 'n', 'z', '.', 'w', 9, 0,
+  /* 8799 */ 'l', 'w', 9, 0,
+  /* 8803 */ 's', 'w', 9, 0,
+  /* 8807 */ 'l', 'h', 'x', 9, 0,
+  /* 8812 */ 'j', 'a', 'l', 'x', 9, 0,
+  /* 8818 */ 'l', 'b', 'u', 'x', 9, 0,
+  /* 8824 */ 'l', 'w', 'x', 9, 0,
+  /* 8829 */ 'b', 'g', 'e', 'z', 9, 0,
+  /* 8835 */ 'b', 'l', 'e', 'z', 9, 0,
+  /* 8841 */ 'b', 'n', 'e', 'z', 9, 0,
+  /* 8847 */ 's', 'e', 'l', 'n', 'e', 'z', 9, 0,
+  /* 8855 */ 'b', 't', 'n', 'e', 'z', 9, 0,
+  /* 8862 */ 'd', 'c', 'l', 'z', 9, 0,
+  /* 8868 */ 'b', 'e', 'q', 'z', 9, 0,
+  /* 8874 */ 's', 'e', 'l', 'e', 'q', 'z', 9, 0,
+  /* 8882 */ 'b', 't', 'e', 'q', 'z', 9, 0,
+  /* 8889 */ 'b', 'g', 't', 'z', 9, 0,
+  /* 8895 */ 'b', 'l', 't', 'z', 9, 0,
+  /* 8901 */ 'm', 'o', 'v', 'z', 9, 0,
+  /* 8907 */ 's', 'e', 'b', 9, 32, 0,
+  /* 8913 */ 'j', 'r', 'c', 9, 32, 0,
+  /* 8919 */ 's', 'e', 'h', 9, 32, 0,
+  /* 8925 */ 'd', 'd', 'i', 'v', 'u', 9, '$', 'z', 'e', 'r', 'o', ',', 32, 0,
+  /* 8939 */ 'd', 'd', 'i', 'v', 9, '$', 'z', 'e', 'r', 'o', ',', 32, 0,
+  /* 8952 */ 'a', 'd', 'd', 'i', 'u', 9, '$', 's', 'p', ',', 32, 0,
+  /* 8964 */ 'c', 'i', 'n', 's', '3', '2', 32, 0,
+  /* 8972 */ 'e', 'x', 't', 's', '3', '2', 32, 0,
+  /* 8980 */ 's', 'y', 'n', 'c', 32, 0,
+  /* 8986 */ 9, '.', 'w', 'o', 'r', 'd', 32, 0,
+  /* 8994 */ 'd', 'i', 'n', 's', 'm', 32, 0,
+  /* 9001 */ 'd', 'e', 'x', 't', 'm', 32, 0,
+  /* 9008 */ 'c', 'i', 'n', 's', 32, 0,
+  /* 9014 */ 'd', 'i', 'n', 's', 32, 0,
+  /* 9020 */ 'e', 'x', 't', 's', 32, 0,
+  /* 9026 */ 'd', 'e', 'x', 't', 32, 0,
+  /* 9032 */ 'd', 'i', 'n', 's', 'u', 32, 0,
+  /* 9039 */ 'd', 'e', 'x', 't', 'u', 32, 0,
+  /* 9046 */ 'b', 'c', '1', 'n', 'e', 'z', 32, 0,
+  /* 9054 */ 'b', 'c', '2', 'n', 'e', 'z', 32, 0,
+  /* 9062 */ 'b', 'c', '1', 'e', 'q', 'z', 32, 0,
+  /* 9070 */ 'b', 'c', '2', 'e', 'q', 'z', 32, 0,
+  /* 9078 */ 'c', '.', 0,
+  /* 9081 */ 'b', 'r', 'e', 'a', 'k', 32, '0', 0,
+  /* 9089 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 9102 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 9109 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 9119 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 9134 */ 'j', 'r', 'c', 9, 32, '$', 'r', 'a', 0,
+  /* 9143 */ 'j', 'r', 9, 32, '$', 'r', 'a', 0,
+  /* 9151 */ 'e', 'h', 'b', 0,
+  /* 9155 */ 'p', 'a', 'u', 's', 'e', 0,
+  /* 9161 */ 't', 'l', 'b', 'w', 'i', 0,
+  /* 9167 */ 'f', 'o', 'o', 0,
+  /* 9171 */ 't', 'l', 'b', 'p', 0,
+  /* 9176 */ 's', 's', 'n', 'o', 'p', 0,
+  /* 9182 */ 't', 'l', 'b', 'r', 0,
+  /* 9187 */ 't', 'l', 'b', 'w', 'r', 0,
+  /* 9193 */ 'd', 'e', 'r', 'e', 't', 0,
+  /* 9199 */ 'w', 'a', 'i', 't', 0,
   };
 #endif
 
@@ -4779,7 +4872,7 @@
 /// for the specified register.
 static char *getRegisterName(unsigned RegNo)
 {
-  // assert(RegNo && RegNo < 386 && "Invalid register number!");
+  // assert(RegNo && RegNo < 394 && "Invalid register number!");
 
 #ifndef CAPSTONE_DIET
   static char AsmStrs[] = {
@@ -4916,32 +5009,33 @@
   static const uint32_t RegAsmOffset[] = {
     522, 475, 535, 462, 484, 515, 525, 506, 509, 152, 77, 2, 332, 266, 
     299, 213, 365, 472, 469, 512, 501, 37, 112, 179, 240, 40, 115, 182, 
-    243, 522, 2, 77, 152, 213, 266, 299, 332, 365, 398, 435, 2, 77, 
-    152, 213, 266, 299, 332, 365, 398, 435, 1, 76, 151, 212, 265, 298, 
-    331, 364, 397, 434, 9, 84, 159, 220, 273, 306, 339, 372, 405, 446, 
-    30, 105, 1, 76, 151, 212, 265, 298, 331, 364, 397, 434, 9, 84, 
-    159, 220, 273, 306, 339, 372, 405, 446, 30, 105, 49, 191, 285, 351, 
-    412, 0, 150, 264, 330, 396, 8, 158, 272, 338, 404, 29, 12, 87, 
-    162, 223, 49, 124, 191, 252, 285, 318, 351, 384, 412, 453, 0, 75, 
-    150, 211, 264, 297, 330, 363, 396, 437, 8, 83, 158, 219, 272, 305, 
-    338, 371, 404, 445, 29, 104, 44, 119, 186, 247, 280, 313, 346, 379, 
-    2, 77, 152, 213, 266, 299, 332, 365, 398, 435, 1, 76, 151, 212, 
+    243, 522, 45, 120, 187, 248, 281, 314, 347, 380, 2, 77, 152, 213, 
+    266, 299, 332, 365, 398, 435, 2, 77, 152, 213, 266, 299, 332, 365, 
+    398, 435, 1, 76, 151, 212, 265, 298, 331, 364, 397, 434, 9, 84, 
+    159, 220, 273, 306, 339, 372, 405, 446, 30, 105, 1, 76, 151, 212, 
     265, 298, 331, 364, 397, 434, 9, 84, 159, 220, 273, 306, 339, 372, 
-    405, 446, 30, 105, 506, 49, 124, 191, 252, 285, 318, 351, 384, 412, 
-    453, 0, 75, 150, 211, 264, 297, 330, 363, 396, 437, 8, 83, 158, 
-    219, 272, 305, 338, 371, 404, 445, 29, 104, 509, 40, 115, 182, 243, 
-    2, 77, 152, 213, 266, 299, 332, 365, 398, 435, 1, 76, 151, 212, 
-    265, 298, 331, 364, 397, 434, 9, 84, 159, 220, 273, 306, 339, 372, 
-    405, 446, 30, 105, 52, 127, 40, 115, 182, 243, 55, 130, 194, 60, 
-    135, 199, 469, 63, 138, 202, 255, 288, 321, 354, 387, 512, 66, 141, 
-    205, 258, 291, 324, 357, 390, 415, 456, 69, 144, 72, 147, 208, 261, 
-    294, 327, 360, 393, 418, 459, 4, 79, 154, 215, 268, 301, 334, 367, 
-    400, 441, 25, 100, 175, 236, 276, 309, 342, 375, 408, 449, 33, 108, 
-    501, 37, 112, 179, 240, 40, 49, 124, 191, 252, 285, 318, 351, 384, 
-    412, 453, 0, 75, 150, 211, 264, 297, 330, 363, 396, 437, 8, 83, 
-    158, 219, 272, 305, 338, 371, 404, 445, 29, 104, 421, 495, 52, 127, 
-    498, 63, 138, 202, 255, 288, 321, 354, 387, 66, 141, 205, 258, 291, 
-    324, 357, 390, 415, 456, 69, 144, 
+    405, 446, 30, 105, 49, 191, 285, 351, 412, 0, 150, 264, 330, 396, 
+    8, 158, 272, 338, 404, 29, 12, 87, 162, 223, 49, 124, 191, 252, 
+    285, 318, 351, 384, 412, 453, 0, 75, 150, 211, 264, 297, 330, 363, 
+    396, 437, 8, 83, 158, 219, 272, 305, 338, 371, 404, 445, 29, 104, 
+    44, 119, 186, 247, 280, 313, 346, 379, 2, 77, 152, 213, 266, 299, 
+    332, 365, 398, 435, 1, 76, 151, 212, 265, 298, 331, 364, 397, 434, 
+    9, 84, 159, 220, 273, 306, 339, 372, 405, 446, 30, 105, 506, 49, 
+    124, 191, 252, 285, 318, 351, 384, 412, 453, 0, 75, 150, 211, 264, 
+    297, 330, 363, 396, 437, 8, 83, 158, 219, 272, 305, 338, 371, 404, 
+    445, 29, 104, 509, 40, 115, 182, 243, 2, 77, 152, 213, 266, 299, 
+    332, 365, 398, 435, 1, 76, 151, 212, 265, 298, 331, 364, 397, 434, 
+    9, 84, 159, 220, 273, 306, 339, 372, 405, 446, 30, 105, 52, 127, 
+    40, 115, 182, 243, 55, 130, 194, 60, 135, 199, 469, 63, 138, 202, 
+    255, 288, 321, 354, 387, 512, 66, 141, 205, 258, 291, 324, 357, 390, 
+    415, 456, 69, 144, 72, 147, 208, 261, 294, 327, 360, 393, 418, 459, 
+    4, 79, 154, 215, 268, 301, 334, 367, 400, 441, 25, 100, 175, 236, 
+    276, 309, 342, 375, 408, 449, 33, 108, 501, 37, 112, 179, 240, 40, 
+    49, 124, 191, 252, 285, 318, 351, 384, 412, 453, 0, 75, 150, 211, 
+    264, 297, 330, 363, 396, 437, 8, 83, 158, 219, 272, 305, 338, 371, 
+    404, 445, 29, 104, 421, 495, 52, 127, 498, 63, 138, 202, 255, 288, 
+    321, 354, 387, 66, 141, 205, 258, 291, 324, 357, 390, 415, 456, 69, 
+    144, 
   };
 
   //int i;
@@ -4983,6 +5077,38 @@
       break;
     }
     return NULL;
+  case Mips_BC0F:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
+      // (BC0F CC0, brtarget:$offset)
+      AsmString = "bc0f $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_BC0FL:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
+      // (BC0FL CC0, brtarget:$offset)
+      AsmString = "bc0fl $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_BC0T:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
+      // (BC0T CC0, brtarget:$offset)
+      AsmString = "bc0t $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_BC0TL:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
+      // (BC0TL CC0, brtarget:$offset)
+      AsmString = "bc0tl $\x02";
+      break;
+    }
+    return NULL;
   case Mips_BC1F:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_FCC0) {
@@ -4991,6 +5117,14 @@
       break;
     }
     return NULL;
+  case Mips_BC1FL:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_FCC0) {
+      // (BC1FL FCC0, brtarget:$offset)
+      AsmString = "bc1fl $\x02";
+      break;
+    }
+    return NULL;
   case Mips_BC1T:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_FCC0) {
@@ -4999,6 +5133,78 @@
       break;
     }
     return NULL;
+  case Mips_BC1TL:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_FCC0) {
+      // (BC1TL FCC0, brtarget:$offset)
+      AsmString = "bc1tl $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_BC2F:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
+      // (BC2F CC0, brtarget:$offset)
+      AsmString = "bc2f $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_BC2FL:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
+      // (BC2FL CC0, brtarget:$offset)
+      AsmString = "bc2fl $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_BC2T:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
+      // (BC2T CC0, brtarget:$offset)
+      AsmString = "bc2t $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_BC2TL:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
+      // (BC2TL CC0, brtarget:$offset)
+      AsmString = "bc2tl $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_BC3F:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
+      // (BC3F CC0, brtarget:$offset)
+      AsmString = "bc3f $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_BC3FL:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
+      // (BC3FL CC0, brtarget:$offset)
+      AsmString = "bc3fl $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_BC3T:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
+      // (BC3T CC0, brtarget:$offset)
+      AsmString = "bc3t $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_BC3TL:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
+      // (BC3TL CC0, brtarget:$offset)
+      AsmString = "bc3tl $\x02";
+      break;
+    }
+    return NULL;
   case Mips_BREAK:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
diff --git a/arch/Mips/MipsGenDisassemblerTables.inc b/arch/Mips/MipsGenDisassemblerTables.inc
index d0cf2a4..2d1d953 100644
--- a/arch/Mips/MipsGenDisassemblerTables.inc
+++ b/arch/Mips/MipsGenDisassemblerTables.inc
@@ -28,351 +28,385 @@
 /* 0 */       MCD_OPC_ExtractField, 26, 6,  // Inst{31-26} ...
 /* 3 */       MCD_OPC_FilterValue, 51, 8, 0, // Skip to: 15
 /* 7 */       MCD_OPC_CheckPredicate, 1, 40, 0, // Skip to: 51
-/* 11 */      MCD_OPC_Decode, 160, 7, 10, // Opcode: LWC3
+/* 11 */      MCD_OPC_Decode, 189, 7, 10, // Opcode: LWC3
 /* 15 */      MCD_OPC_FilterValue, 55, 8, 0, // Skip to: 27
 /* 19 */      MCD_OPC_CheckPredicate, 2, 28, 0, // Skip to: 51
-/* 23 */      MCD_OPC_Decode, 238, 6, 10, // Opcode: LDC3
+/* 23 */      MCD_OPC_Decode, 139, 7, 10, // Opcode: LDC3
 /* 27 */      MCD_OPC_FilterValue, 59, 8, 0, // Skip to: 39
 /* 31 */      MCD_OPC_CheckPredicate, 1, 16, 0, // Skip to: 51
-/* 35 */      MCD_OPC_Decode, 145, 12, 10, // Opcode: SWC3
+/* 35 */      MCD_OPC_Decode, 174, 12, 10, // Opcode: SWC3
 /* 39 */      MCD_OPC_FilterValue, 63, 8, 0, // Skip to: 51
 /* 43 */      MCD_OPC_CheckPredicate, 2, 4, 0, // Skip to: 51
-/* 47 */      MCD_OPC_Decode, 198, 10, 10, // Opcode: SDC3
+/* 47 */      MCD_OPC_Decode, 227, 10, 10, // Opcode: SDC3
 /* 51 */      MCD_OPC_Fail,
   0
 };
 
 static uint8_t DecoderTableMicroMips32[] = {
 /* 0 */       MCD_OPC_ExtractField, 26, 6,  // Inst{31-26} ...
-/* 3 */       MCD_OPC_FilterValue, 0, 30, 3, // Skip to: 805
+/* 3 */       MCD_OPC_FilterValue, 0, 114, 3, // Skip to: 889
 /* 7 */       MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 10 */      MCD_OPC_FilterValue, 0, 51, 0, // Skip to: 65
 /* 14 */      MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 17 */      MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 29
-/* 21 */      MCD_OPC_CheckPredicate, 3, 12, 5, // Skip to: 1317
-/* 25 */      MCD_OPC_Decode, 145, 11, 13, // Opcode: SLL_MM
+/* 21 */      MCD_OPC_CheckPredicate, 3, 156, 5, // Skip to: 1461
+/* 25 */      MCD_OPC_Decode, 174, 11, 14, // Opcode: SLL_MM
 /* 29 */      MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 41
-/* 33 */      MCD_OPC_CheckPredicate, 3, 0, 5, // Skip to: 1317
-/* 37 */      MCD_OPC_Decode, 212, 11, 13, // Opcode: SRL_MM
+/* 33 */      MCD_OPC_CheckPredicate, 3, 144, 5, // Skip to: 1461
+/* 37 */      MCD_OPC_Decode, 241, 11, 14, // Opcode: SRL_MM
 /* 41 */      MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 53
-/* 45 */      MCD_OPC_CheckPredicate, 3, 244, 4, // Skip to: 1317
-/* 49 */      MCD_OPC_Decode, 192, 11, 13, // Opcode: SRA_MM
-/* 53 */      MCD_OPC_FilterValue, 3, 236, 4, // Skip to: 1317
-/* 57 */      MCD_OPC_CheckPredicate, 3, 232, 4, // Skip to: 1317
-/* 61 */      MCD_OPC_Decode, 162, 10, 13, // Opcode: ROTR_MM
+/* 45 */      MCD_OPC_CheckPredicate, 3, 132, 5, // Skip to: 1461
+/* 49 */      MCD_OPC_Decode, 221, 11, 14, // Opcode: SRA_MM
+/* 53 */      MCD_OPC_FilterValue, 3, 124, 5, // Skip to: 1461
+/* 57 */      MCD_OPC_CheckPredicate, 3, 120, 5, // Skip to: 1461
+/* 61 */      MCD_OPC_Decode, 191, 10, 14, // Opcode: ROTR_MM
 /* 65 */      MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 77
-/* 69 */      MCD_OPC_CheckPredicate, 3, 220, 4, // Skip to: 1317
-/* 73 */      MCD_OPC_Decode, 129, 2, 14, // Opcode: BREAK_MM
+/* 69 */      MCD_OPC_CheckPredicate, 3, 108, 5, // Skip to: 1461
+/* 73 */      MCD_OPC_Decode, 155, 2, 15, // Opcode: BREAK_MM
 /* 77 */      MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 89
-/* 81 */      MCD_OPC_CheckPredicate, 3, 208, 4, // Skip to: 1317
-/* 85 */      MCD_OPC_Decode, 199, 6, 15, // Opcode: INS_MM
+/* 81 */      MCD_OPC_CheckPredicate, 3, 96, 5, // Skip to: 1461
+/* 85 */      MCD_OPC_Decode, 225, 6, 16, // Opcode: INS_MM
 /* 89 */      MCD_OPC_FilterValue, 16, 180, 0, // Skip to: 273
 /* 93 */      MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 96 */      MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 108
-/* 100 */     MCD_OPC_CheckPredicate, 3, 189, 4, // Skip to: 1317
-/* 104 */     MCD_OPC_Decode, 141, 11, 16, // Opcode: SLLV_MM
+/* 100 */     MCD_OPC_CheckPredicate, 3, 77, 5, // Skip to: 1461
+/* 104 */     MCD_OPC_Decode, 170, 11, 17, // Opcode: SLLV_MM
 /* 108 */     MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 120
-/* 112 */     MCD_OPC_CheckPredicate, 3, 177, 4, // Skip to: 1317
-/* 116 */     MCD_OPC_Decode, 208, 11, 16, // Opcode: SRLV_MM
+/* 112 */     MCD_OPC_CheckPredicate, 3, 65, 5, // Skip to: 1461
+/* 116 */     MCD_OPC_Decode, 237, 11, 17, // Opcode: SRLV_MM
 /* 120 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 132
-/* 124 */     MCD_OPC_CheckPredicate, 3, 165, 4, // Skip to: 1317
-/* 128 */     MCD_OPC_Decode, 188, 11, 16, // Opcode: SRAV_MM
+/* 124 */     MCD_OPC_CheckPredicate, 3, 53, 5, // Skip to: 1461
+/* 128 */     MCD_OPC_Decode, 217, 11, 17, // Opcode: SRAV_MM
 /* 132 */     MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 144
-/* 136 */     MCD_OPC_CheckPredicate, 3, 153, 4, // Skip to: 1317
-/* 140 */     MCD_OPC_Decode, 161, 10, 16, // Opcode: ROTRV_MM
+/* 136 */     MCD_OPC_CheckPredicate, 3, 41, 5, // Skip to: 1461
+/* 140 */     MCD_OPC_Decode, 190, 10, 17, // Opcode: ROTRV_MM
 /* 144 */     MCD_OPC_FilterValue, 4, 7, 0, // Skip to: 155
-/* 148 */     MCD_OPC_CheckPredicate, 3, 141, 4, // Skip to: 1317
-/* 152 */     MCD_OPC_Decode, 64, 17, // Opcode: ADD_MM
+/* 148 */     MCD_OPC_CheckPredicate, 3, 29, 5, // Skip to: 1461
+/* 152 */     MCD_OPC_Decode, 64, 18, // Opcode: ADD_MM
 /* 155 */     MCD_OPC_FilterValue, 5, 7, 0, // Skip to: 166
-/* 159 */     MCD_OPC_CheckPredicate, 3, 130, 4, // Skip to: 1317
-/* 163 */     MCD_OPC_Decode, 70, 17, // Opcode: ADDu_MM
+/* 159 */     MCD_OPC_CheckPredicate, 3, 18, 5, // Skip to: 1461
+/* 163 */     MCD_OPC_Decode, 70, 18, // Opcode: ADDu_MM
 /* 166 */     MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 178
-/* 170 */     MCD_OPC_CheckPredicate, 3, 119, 4, // Skip to: 1317
-/* 174 */     MCD_OPC_Decode, 133, 12, 17, // Opcode: SUB_MM
+/* 170 */     MCD_OPC_CheckPredicate, 3, 7, 5, // Skip to: 1461
+/* 174 */     MCD_OPC_Decode, 162, 12, 18, // Opcode: SUB_MM
 /* 178 */     MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 190
-/* 182 */     MCD_OPC_CheckPredicate, 3, 107, 4, // Skip to: 1317
-/* 186 */     MCD_OPC_Decode, 135, 12, 17, // Opcode: SUBu_MM
+/* 182 */     MCD_OPC_CheckPredicate, 3, 251, 4, // Skip to: 1461
+/* 186 */     MCD_OPC_Decode, 164, 12, 18, // Opcode: SUBu_MM
 /* 190 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 202
-/* 194 */     MCD_OPC_CheckPredicate, 3, 95, 4, // Skip to: 1317
-/* 198 */     MCD_OPC_Decode, 149, 9, 17, // Opcode: MUL_MM
+/* 194 */     MCD_OPC_CheckPredicate, 3, 239, 4, // Skip to: 1461
+/* 198 */     MCD_OPC_Decode, 178, 9, 18, // Opcode: MUL_MM
 /* 202 */     MCD_OPC_FilterValue, 9, 7, 0, // Skip to: 213
-/* 206 */     MCD_OPC_CheckPredicate, 3, 83, 4, // Skip to: 1317
-/* 210 */     MCD_OPC_Decode, 78, 17, // Opcode: AND_MM
+/* 206 */     MCD_OPC_CheckPredicate, 3, 227, 4, // Skip to: 1461
+/* 210 */     MCD_OPC_Decode, 78, 18, // Opcode: AND_MM
 /* 213 */     MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 225
-/* 217 */     MCD_OPC_CheckPredicate, 3, 72, 4, // Skip to: 1317
-/* 221 */     MCD_OPC_Decode, 195, 9, 17, // Opcode: OR_MM
+/* 217 */     MCD_OPC_CheckPredicate, 3, 216, 4, // Skip to: 1461
+/* 221 */     MCD_OPC_Decode, 224, 9, 18, // Opcode: OR_MM
 /* 225 */     MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 237
-/* 229 */     MCD_OPC_CheckPredicate, 3, 60, 4, // Skip to: 1317
-/* 233 */     MCD_OPC_Decode, 185, 9, 17, // Opcode: NOR_MM
+/* 229 */     MCD_OPC_CheckPredicate, 3, 204, 4, // Skip to: 1461
+/* 233 */     MCD_OPC_Decode, 214, 9, 18, // Opcode: NOR_MM
 /* 237 */     MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 249
-/* 241 */     MCD_OPC_CheckPredicate, 3, 48, 4, // Skip to: 1317
-/* 245 */     MCD_OPC_Decode, 132, 13, 17, // Opcode: XOR_MM
+/* 241 */     MCD_OPC_CheckPredicate, 3, 192, 4, // Skip to: 1461
+/* 245 */     MCD_OPC_Decode, 165, 13, 18, // Opcode: XOR_MM
 /* 249 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 261
-/* 253 */     MCD_OPC_CheckPredicate, 3, 36, 4, // Skip to: 1317
-/* 257 */     MCD_OPC_Decode, 149, 11, 17, // Opcode: SLT_MM
-/* 261 */     MCD_OPC_FilterValue, 14, 28, 4, // Skip to: 1317
-/* 265 */     MCD_OPC_CheckPredicate, 3, 24, 4, // Skip to: 1317
-/* 269 */     MCD_OPC_Decode, 158, 11, 17, // Opcode: SLTu_MM
+/* 253 */     MCD_OPC_CheckPredicate, 3, 180, 4, // Skip to: 1461
+/* 257 */     MCD_OPC_Decode, 178, 11, 18, // Opcode: SLT_MM
+/* 261 */     MCD_OPC_FilterValue, 14, 172, 4, // Skip to: 1461
+/* 265 */     MCD_OPC_CheckPredicate, 3, 168, 4, // Skip to: 1461
+/* 269 */     MCD_OPC_Decode, 187, 11, 18, // Opcode: SLTu_MM
 /* 273 */     MCD_OPC_FilterValue, 24, 27, 0, // Skip to: 304
 /* 277 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 280 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 292
-/* 284 */     MCD_OPC_CheckPredicate, 3, 5, 4, // Skip to: 1317
-/* 288 */     MCD_OPC_Decode, 185, 8, 18, // Opcode: MOVN_I_MM
-/* 292 */     MCD_OPC_FilterValue, 1, 253, 3, // Skip to: 1317
-/* 296 */     MCD_OPC_CheckPredicate, 3, 249, 3, // Skip to: 1317
-/* 300 */     MCD_OPC_Decode, 205, 8, 18, // Opcode: MOVZ_I_MM
+/* 284 */     MCD_OPC_CheckPredicate, 3, 149, 4, // Skip to: 1461
+/* 288 */     MCD_OPC_Decode, 214, 8, 19, // Opcode: MOVN_I_MM
+/* 292 */     MCD_OPC_FilterValue, 1, 141, 4, // Skip to: 1461
+/* 296 */     MCD_OPC_CheckPredicate, 3, 137, 4, // Skip to: 1461
+/* 300 */     MCD_OPC_Decode, 234, 8, 19, // Opcode: MOVZ_I_MM
 /* 304 */     MCD_OPC_FilterValue, 44, 8, 0, // Skip to: 316
-/* 308 */     MCD_OPC_CheckPredicate, 3, 237, 3, // Skip to: 1317
-/* 312 */     MCD_OPC_Decode, 241, 4, 19, // Opcode: EXT_MM
-/* 316 */     MCD_OPC_FilterValue, 60, 229, 3, // Skip to: 1317
+/* 308 */     MCD_OPC_CheckPredicate, 3, 125, 4, // Skip to: 1461
+/* 312 */     MCD_OPC_Decode, 139, 5, 20, // Opcode: EXT_MM
+/* 316 */     MCD_OPC_FilterValue, 60, 117, 4, // Skip to: 1461
 /* 320 */     MCD_OPC_ExtractField, 6, 6,  // Inst{11-6} ...
 /* 323 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 335
-/* 327 */     MCD_OPC_CheckPredicate, 3, 218, 3, // Skip to: 1317
-/* 331 */     MCD_OPC_Decode, 210, 12, 20, // Opcode: TEQ_MM
+/* 327 */     MCD_OPC_CheckPredicate, 3, 106, 4, // Skip to: 1461
+/* 331 */     MCD_OPC_Decode, 239, 12, 21, // Opcode: TEQ_MM
 /* 335 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 347
-/* 339 */     MCD_OPC_CheckPredicate, 3, 206, 3, // Skip to: 1317
-/* 343 */     MCD_OPC_Decode, 218, 12, 20, // Opcode: TGE_MM
-/* 347 */     MCD_OPC_FilterValue, 13, 51, 0, // Skip to: 402
+/* 339 */     MCD_OPC_CheckPredicate, 3, 94, 4, // Skip to: 1461
+/* 343 */     MCD_OPC_Decode, 247, 12, 21, // Opcode: TGE_MM
+/* 347 */     MCD_OPC_FilterValue, 13, 123, 0, // Skip to: 474
 /* 351 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 354 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 366
-/* 358 */     MCD_OPC_CheckPredicate, 3, 187, 3, // Skip to: 1317
-/* 362 */     MCD_OPC_Decode, 253, 12, 21, // Opcode: WAIT_MM
-/* 366 */     MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 384
-/* 370 */     MCD_OPC_CheckPredicate, 3, 175, 3, // Skip to: 1317
-/* 374 */     MCD_OPC_CheckField, 16, 10, 0, 169, 3, // Skip to: 1317
-/* 380 */     MCD_OPC_Decode, 129, 4, 0, // Opcode: DERET_MM
-/* 384 */     MCD_OPC_FilterValue, 15, 161, 3, // Skip to: 1317
-/* 388 */     MCD_OPC_CheckPredicate, 3, 157, 3, // Skip to: 1317
-/* 392 */     MCD_OPC_CheckField, 16, 10, 0, 151, 3, // Skip to: 1317
-/* 398 */     MCD_OPC_Decode, 225, 4, 0, // Opcode: ERET_MM
-/* 402 */     MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 414
-/* 406 */     MCD_OPC_CheckPredicate, 3, 139, 3, // Skip to: 1317
-/* 410 */     MCD_OPC_Decode, 217, 12, 20, // Opcode: TGEU_MM
-/* 414 */     MCD_OPC_FilterValue, 29, 39, 0, // Skip to: 457
-/* 418 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 421 */     MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 439
-/* 425 */     MCD_OPC_CheckPredicate, 3, 120, 3, // Skip to: 1317
-/* 429 */     MCD_OPC_CheckField, 21, 5, 0, 114, 3, // Skip to: 1317
-/* 435 */     MCD_OPC_Decode, 147, 4, 22, // Opcode: DI_MM
-/* 439 */     MCD_OPC_FilterValue, 5, 106, 3, // Skip to: 1317
-/* 443 */     MCD_OPC_CheckPredicate, 3, 102, 3, // Skip to: 1317
-/* 447 */     MCD_OPC_CheckField, 21, 5, 0, 96, 3, // Skip to: 1317
-/* 453 */     MCD_OPC_Decode, 223, 4, 22, // Opcode: EI_MM
-/* 457 */     MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 469
-/* 461 */     MCD_OPC_CheckPredicate, 3, 84, 3, // Skip to: 1317
-/* 465 */     MCD_OPC_Decode, 229, 12, 20, // Opcode: TLT_MM
-/* 469 */     MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 481
-/* 473 */     MCD_OPC_CheckPredicate, 3, 72, 3, // Skip to: 1317
-/* 477 */     MCD_OPC_Decode, 228, 12, 20, // Opcode: TLTU_MM
-/* 481 */     MCD_OPC_FilterValue, 44, 159, 0, // Skip to: 644
-/* 485 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 488 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 500
-/* 492 */     MCD_OPC_CheckPredicate, 3, 53, 3, // Skip to: 1317
-/* 496 */     MCD_OPC_Decode, 207, 10, 23, // Opcode: SEB_MM
-/* 500 */     MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 512
-/* 504 */     MCD_OPC_CheckPredicate, 3, 41, 3, // Skip to: 1317
-/* 508 */     MCD_OPC_Decode, 210, 10, 23, // Opcode: SEH_MM
-/* 512 */     MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 524
-/* 516 */     MCD_OPC_CheckPredicate, 3, 29, 3, // Skip to: 1317
-/* 520 */     MCD_OPC_Decode, 216, 2, 23, // Opcode: CLO_MM
-/* 524 */     MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 536
-/* 528 */     MCD_OPC_CheckPredicate, 3, 17, 3, // Skip to: 1317
-/* 532 */     MCD_OPC_Decode, 235, 2, 23, // Opcode: CLZ_MM
-/* 536 */     MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 548
-/* 540 */     MCD_OPC_CheckPredicate, 3, 5, 3, // Skip to: 1317
-/* 544 */     MCD_OPC_Decode, 128, 13, 23, // Opcode: WSBH_MM
-/* 548 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 560
-/* 552 */     MCD_OPC_CheckPredicate, 3, 249, 2, // Skip to: 1317
-/* 556 */     MCD_OPC_Decode, 141, 9, 24, // Opcode: MULT_MM
-/* 560 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 572
-/* 564 */     MCD_OPC_CheckPredicate, 3, 237, 2, // Skip to: 1317
-/* 568 */     MCD_OPC_Decode, 143, 9, 24, // Opcode: MULTu_MM
-/* 572 */     MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 584
-/* 576 */     MCD_OPC_CheckPredicate, 3, 225, 2, // Skip to: 1317
-/* 580 */     MCD_OPC_Decode, 200, 10, 24, // Opcode: SDIV_MM
-/* 584 */     MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 596
-/* 588 */     MCD_OPC_CheckPredicate, 3, 213, 2, // Skip to: 1317
-/* 592 */     MCD_OPC_Decode, 244, 12, 24, // Opcode: UDIV_MM
-/* 596 */     MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 608
-/* 600 */     MCD_OPC_CheckPredicate, 3, 201, 2, // Skip to: 1317
-/* 604 */     MCD_OPC_Decode, 207, 7, 24, // Opcode: MADD_MM
-/* 608 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 620
-/* 612 */     MCD_OPC_CheckPredicate, 3, 189, 2, // Skip to: 1317
-/* 616 */     MCD_OPC_Decode, 198, 7, 24, // Opcode: MADDU_MM
-/* 620 */     MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 632
-/* 624 */     MCD_OPC_CheckPredicate, 3, 177, 2, // Skip to: 1317
-/* 628 */     MCD_OPC_Decode, 224, 8, 24, // Opcode: MSUB_MM
-/* 632 */     MCD_OPC_FilterValue, 15, 169, 2, // Skip to: 1317
-/* 636 */     MCD_OPC_CheckPredicate, 3, 165, 2, // Skip to: 1317
-/* 640 */     MCD_OPC_Decode, 215, 8, 24, // Opcode: MSUBU_MM
-/* 644 */     MCD_OPC_FilterValue, 45, 33, 0, // Skip to: 681
-/* 648 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 651 */     MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 669
-/* 655 */     MCD_OPC_CheckPredicate, 3, 146, 2, // Skip to: 1317
-/* 659 */     MCD_OPC_CheckField, 21, 5, 0, 140, 2, // Skip to: 1317
-/* 665 */     MCD_OPC_Decode, 156, 12, 25, // Opcode: SYNC_MM
-/* 669 */     MCD_OPC_FilterValue, 8, 132, 2, // Skip to: 1317
-/* 673 */     MCD_OPC_CheckPredicate, 3, 128, 2, // Skip to: 1317
-/* 677 */     MCD_OPC_Decode, 158, 12, 21, // Opcode: SYSCALL_MM
-/* 681 */     MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 693
-/* 685 */     MCD_OPC_CheckPredicate, 3, 116, 2, // Skip to: 1317
-/* 689 */     MCD_OPC_Decode, 233, 12, 20, // Opcode: TNE_MM
-/* 693 */     MCD_OPC_FilterValue, 53, 75, 0, // Skip to: 772
-/* 697 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 700 */     MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 718
-/* 704 */     MCD_OPC_CheckPredicate, 3, 97, 2, // Skip to: 1317
-/* 708 */     MCD_OPC_CheckField, 21, 5, 0, 91, 2, // Skip to: 1317
-/* 714 */     MCD_OPC_Decode, 251, 7, 22, // Opcode: MFHI_MM
-/* 718 */     MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 736
-/* 722 */     MCD_OPC_CheckPredicate, 3, 79, 2, // Skip to: 1317
-/* 726 */     MCD_OPC_CheckField, 21, 5, 0, 73, 2, // Skip to: 1317
-/* 732 */     MCD_OPC_Decode, 128, 8, 22, // Opcode: MFLO_MM
-/* 736 */     MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 754
-/* 740 */     MCD_OPC_CheckPredicate, 3, 61, 2, // Skip to: 1317
-/* 744 */     MCD_OPC_CheckField, 21, 5, 0, 55, 2, // Skip to: 1317
-/* 750 */     MCD_OPC_Decode, 239, 8, 22, // Opcode: MTHI_MM
-/* 754 */     MCD_OPC_FilterValue, 3, 47, 2, // Skip to: 1317
-/* 758 */     MCD_OPC_CheckPredicate, 3, 43, 2, // Skip to: 1317
-/* 762 */     MCD_OPC_CheckField, 21, 5, 0, 37, 2, // Skip to: 1317
-/* 768 */     MCD_OPC_Decode, 244, 8, 22, // Opcode: MTLO_MM
-/* 772 */     MCD_OPC_FilterValue, 60, 29, 2, // Skip to: 1317
-/* 776 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 779 */     MCD_OPC_FilterValue, 0, 22, 2, // Skip to: 1317
-/* 783 */     MCD_OPC_CheckPredicate, 3, 10, 0, // Skip to: 797
-/* 787 */     MCD_OPC_CheckField, 21, 5, 0, 4, 0, // Skip to: 797
-/* 793 */     MCD_OPC_Decode, 217, 6, 22, // Opcode: JR_MM
-/* 797 */     MCD_OPC_CheckPredicate, 3, 4, 2, // Skip to: 1317
-/* 801 */     MCD_OPC_Decode, 208, 6, 23, // Opcode: JALR_MM
-/* 805 */     MCD_OPC_FilterValue, 4, 7, 0, // Skip to: 816
-/* 809 */     MCD_OPC_CheckPredicate, 3, 248, 1, // Skip to: 1317
-/* 813 */     MCD_OPC_Decode, 66, 26, // Opcode: ADDi_MM
-/* 816 */     MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 828
-/* 820 */     MCD_OPC_CheckPredicate, 3, 237, 1, // Skip to: 1317
-/* 824 */     MCD_OPC_Decode, 231, 6, 27, // Opcode: LBu_MM
-/* 828 */     MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 840
-/* 832 */     MCD_OPC_CheckPredicate, 3, 225, 1, // Skip to: 1317
-/* 836 */     MCD_OPC_Decode, 184, 10, 27, // Opcode: SB_MM
-/* 840 */     MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 852
-/* 844 */     MCD_OPC_CheckPredicate, 3, 213, 1, // Skip to: 1317
-/* 848 */     MCD_OPC_Decode, 228, 6, 27, // Opcode: LB_MM
-/* 852 */     MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 863
-/* 856 */     MCD_OPC_CheckPredicate, 3, 201, 1, // Skip to: 1317
-/* 860 */     MCD_OPC_Decode, 68, 26, // Opcode: ADDiu_MM
-/* 863 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 875
-/* 867 */     MCD_OPC_CheckPredicate, 3, 190, 1, // Skip to: 1317
-/* 871 */     MCD_OPC_Decode, 133, 7, 27, // Opcode: LHu_MM
-/* 875 */     MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 887
-/* 879 */     MCD_OPC_CheckPredicate, 3, 178, 1, // Skip to: 1317
-/* 883 */     MCD_OPC_Decode, 252, 10, 27, // Opcode: SH_MM
-/* 887 */     MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 899
-/* 891 */     MCD_OPC_CheckPredicate, 3, 166, 1, // Skip to: 1317
-/* 895 */     MCD_OPC_Decode, 130, 7, 27, // Opcode: LH_MM
-/* 899 */     MCD_OPC_FilterValue, 16, 159, 0, // Skip to: 1062
-/* 903 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 906 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 918
-/* 910 */     MCD_OPC_CheckPredicate, 3, 147, 1, // Skip to: 1317
-/* 914 */     MCD_OPC_Decode, 228, 1, 28, // Opcode: BLTZ_MM
-/* 918 */     MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 930
-/* 922 */     MCD_OPC_CheckPredicate, 3, 135, 1, // Skip to: 1317
-/* 926 */     MCD_OPC_Decode, 226, 1, 28, // Opcode: BLTZAL_MM
-/* 930 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 942
-/* 934 */     MCD_OPC_CheckPredicate, 3, 123, 1, // Skip to: 1317
-/* 938 */     MCD_OPC_Decode, 191, 1, 28, // Opcode: BGEZ_MM
-/* 942 */     MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 954
-/* 946 */     MCD_OPC_CheckPredicate, 3, 111, 1, // Skip to: 1317
-/* 950 */     MCD_OPC_Decode, 189, 1, 28, // Opcode: BGEZAL_MM
-/* 954 */     MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 966
-/* 958 */     MCD_OPC_CheckPredicate, 3, 99, 1, // Skip to: 1317
-/* 962 */     MCD_OPC_Decode, 219, 1, 28, // Opcode: BLEZ_MM
-/* 966 */     MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 978
-/* 970 */     MCD_OPC_CheckPredicate, 3, 87, 1, // Skip to: 1317
-/* 974 */     MCD_OPC_Decode, 196, 1, 28, // Opcode: BGTZ_MM
-/* 978 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 990
-/* 982 */     MCD_OPC_CheckPredicate, 3, 75, 1, // Skip to: 1317
-/* 986 */     MCD_OPC_Decode, 226, 12, 29, // Opcode: TLTI_MM
-/* 990 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1002
-/* 994 */     MCD_OPC_CheckPredicate, 3, 63, 1, // Skip to: 1317
-/* 998 */     MCD_OPC_Decode, 215, 12, 29, // Opcode: TGEI_MM
-/* 1002 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1014
-/* 1006 */    MCD_OPC_CheckPredicate, 3, 51, 1, // Skip to: 1317
-/* 1010 */    MCD_OPC_Decode, 225, 12, 29, // Opcode: TLTIU_MM
-/* 1014 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1026
-/* 1018 */    MCD_OPC_CheckPredicate, 3, 39, 1, // Skip to: 1317
-/* 1022 */    MCD_OPC_Decode, 214, 12, 29, // Opcode: TGEIU_MM
-/* 1026 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 1038
-/* 1030 */    MCD_OPC_CheckPredicate, 3, 27, 1, // Skip to: 1317
-/* 1034 */    MCD_OPC_Decode, 232, 12, 29, // Opcode: TNEI_MM
-/* 1038 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 1050
-/* 1042 */    MCD_OPC_CheckPredicate, 3, 15, 1, // Skip to: 1317
-/* 1046 */    MCD_OPC_Decode, 153, 7, 29, // Opcode: LUi_MM
-/* 1050 */    MCD_OPC_FilterValue, 14, 7, 1, // Skip to: 1317
-/* 1054 */    MCD_OPC_CheckPredicate, 3, 3, 1, // Skip to: 1317
-/* 1058 */    MCD_OPC_Decode, 209, 12, 29, // Opcode: TEQI_MM
-/* 1062 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 1074
-/* 1066 */    MCD_OPC_CheckPredicate, 3, 247, 0, // Skip to: 1317
-/* 1070 */    MCD_OPC_Decode, 202, 9, 30, // Opcode: ORi_MM
-/* 1074 */    MCD_OPC_FilterValue, 21, 29, 0, // Skip to: 1107
-/* 1078 */    MCD_OPC_ExtractField, 0, 13,  // Inst{12-0} ...
-/* 1081 */    MCD_OPC_FilterValue, 251, 2, 8, 0, // Skip to: 1094
-/* 1086 */    MCD_OPC_CheckPredicate, 3, 227, 0, // Skip to: 1317
-/* 1090 */    MCD_OPC_Decode, 173, 8, 31, // Opcode: MOVF_I_MM
-/* 1094 */    MCD_OPC_FilterValue, 251, 18, 218, 0, // Skip to: 1317
-/* 1099 */    MCD_OPC_CheckPredicate, 3, 214, 0, // Skip to: 1317
-/* 1103 */    MCD_OPC_Decode, 193, 8, 31, // Opcode: MOVT_I_MM
-/* 1107 */    MCD_OPC_FilterValue, 24, 87, 0, // Skip to: 1198
-/* 1111 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 1114 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1126
-/* 1118 */    MCD_OPC_CheckPredicate, 3, 195, 0, // Skip to: 1317
-/* 1122 */    MCD_OPC_Decode, 163, 7, 32, // Opcode: LWL_MM
-/* 1126 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1138
-/* 1130 */    MCD_OPC_CheckPredicate, 3, 183, 0, // Skip to: 1317
-/* 1134 */    MCD_OPC_Decode, 167, 7, 32, // Opcode: LWR_MM
-/* 1138 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1150
-/* 1142 */    MCD_OPC_CheckPredicate, 3, 171, 0, // Skip to: 1317
-/* 1146 */    MCD_OPC_Decode, 137, 7, 32, // Opcode: LL_MM
-/* 1150 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 1162
-/* 1154 */    MCD_OPC_CheckPredicate, 3, 159, 0, // Skip to: 1317
-/* 1158 */    MCD_OPC_Decode, 148, 12, 32, // Opcode: SWL_MM
-/* 1162 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1174
-/* 1166 */    MCD_OPC_CheckPredicate, 3, 147, 0, // Skip to: 1317
-/* 1170 */    MCD_OPC_Decode, 151, 12, 32, // Opcode: SWR_MM
-/* 1174 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1186
-/* 1178 */    MCD_OPC_CheckPredicate, 3, 135, 0, // Skip to: 1317
-/* 1182 */    MCD_OPC_Decode, 188, 10, 32, // Opcode: SC_MM
-/* 1186 */    MCD_OPC_FilterValue, 14, 127, 0, // Skip to: 1317
-/* 1190 */    MCD_OPC_CheckPredicate, 3, 123, 0, // Skip to: 1317
-/* 1194 */    MCD_OPC_Decode, 169, 7, 32, // Opcode: LWU_MM
-/* 1198 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 1210
-/* 1202 */    MCD_OPC_CheckPredicate, 3, 111, 0, // Skip to: 1317
-/* 1206 */    MCD_OPC_Decode, 139, 13, 30, // Opcode: XORi_MM
-/* 1210 */    MCD_OPC_FilterValue, 36, 8, 0, // Skip to: 1222
-/* 1214 */    MCD_OPC_CheckPredicate, 3, 99, 0, // Skip to: 1317
-/* 1218 */    MCD_OPC_Decode, 152, 11, 26, // Opcode: SLTi_MM
-/* 1222 */    MCD_OPC_FilterValue, 37, 8, 0, // Skip to: 1234
-/* 1226 */    MCD_OPC_CheckPredicate, 3, 87, 0, // Skip to: 1317
-/* 1230 */    MCD_OPC_Decode, 182, 1, 33, // Opcode: BEQ_MM
-/* 1234 */    MCD_OPC_FilterValue, 44, 8, 0, // Skip to: 1246
-/* 1238 */    MCD_OPC_CheckPredicate, 3, 75, 0, // Skip to: 1317
-/* 1242 */    MCD_OPC_Decode, 155, 11, 26, // Opcode: SLTiu_MM
-/* 1246 */    MCD_OPC_FilterValue, 45, 8, 0, // Skip to: 1258
-/* 1250 */    MCD_OPC_CheckPredicate, 3, 63, 0, // Skip to: 1317
-/* 1254 */    MCD_OPC_Decode, 246, 1, 33, // Opcode: BNE_MM
-/* 1258 */    MCD_OPC_FilterValue, 52, 7, 0, // Skip to: 1269
-/* 1262 */    MCD_OPC_CheckPredicate, 3, 51, 0, // Skip to: 1317
-/* 1266 */    MCD_OPC_Decode, 85, 30, // Opcode: ANDi_MM
-/* 1269 */    MCD_OPC_FilterValue, 53, 8, 0, // Skip to: 1281
-/* 1273 */    MCD_OPC_CheckPredicate, 3, 40, 0, // Skip to: 1317
-/* 1277 */    MCD_OPC_Decode, 218, 6, 34, // Opcode: J_MM
-/* 1281 */    MCD_OPC_FilterValue, 61, 8, 0, // Skip to: 1293
-/* 1285 */    MCD_OPC_CheckPredicate, 3, 28, 0, // Skip to: 1317
-/* 1289 */    MCD_OPC_Decode, 210, 6, 34, // Opcode: JAL_MM
-/* 1293 */    MCD_OPC_FilterValue, 62, 8, 0, // Skip to: 1305
-/* 1297 */    MCD_OPC_CheckPredicate, 3, 16, 0, // Skip to: 1317
-/* 1301 */    MCD_OPC_Decode, 154, 12, 27, // Opcode: SW_MM
-/* 1305 */    MCD_OPC_FilterValue, 63, 8, 0, // Skip to: 1317
-/* 1309 */    MCD_OPC_CheckPredicate, 3, 4, 0, // Skip to: 1317
-/* 1313 */    MCD_OPC_Decode, 173, 7, 27, // Opcode: LW_MM
-/* 1317 */    MCD_OPC_Fail,
+/* 354 */     MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 372
+/* 358 */     MCD_OPC_CheckPredicate, 3, 75, 4, // Skip to: 1461
+/* 362 */     MCD_OPC_CheckField, 16, 10, 0, 69, 4, // Skip to: 1461
+/* 368 */     MCD_OPC_Decode, 249, 12, 0, // Opcode: TLBP_MM
+/* 372 */     MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 390
+/* 376 */     MCD_OPC_CheckPredicate, 3, 57, 4, // Skip to: 1461
+/* 380 */     MCD_OPC_CheckField, 16, 10, 0, 51, 4, // Skip to: 1461
+/* 386 */     MCD_OPC_Decode, 251, 12, 0, // Opcode: TLBR_MM
+/* 390 */     MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 408
+/* 394 */     MCD_OPC_CheckPredicate, 3, 39, 4, // Skip to: 1461
+/* 398 */     MCD_OPC_CheckField, 16, 10, 0, 33, 4, // Skip to: 1461
+/* 404 */     MCD_OPC_Decode, 253, 12, 0, // Opcode: TLBWI_MM
+/* 408 */     MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 426
+/* 412 */     MCD_OPC_CheckPredicate, 3, 21, 4, // Skip to: 1461
+/* 416 */     MCD_OPC_CheckField, 16, 10, 0, 15, 4, // Skip to: 1461
+/* 422 */     MCD_OPC_Decode, 255, 12, 0, // Opcode: TLBWR_MM
+/* 426 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 438
+/* 430 */     MCD_OPC_CheckPredicate, 3, 3, 4, // Skip to: 1461
+/* 434 */     MCD_OPC_Decode, 158, 13, 22, // Opcode: WAIT_MM
+/* 438 */     MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 456
+/* 442 */     MCD_OPC_CheckPredicate, 3, 247, 3, // Skip to: 1461
+/* 446 */     MCD_OPC_CheckField, 16, 10, 0, 241, 3, // Skip to: 1461
+/* 452 */     MCD_OPC_Decode, 155, 4, 0, // Opcode: DERET_MM
+/* 456 */     MCD_OPC_FilterValue, 15, 233, 3, // Skip to: 1461
+/* 460 */     MCD_OPC_CheckPredicate, 3, 229, 3, // Skip to: 1461
+/* 464 */     MCD_OPC_CheckField, 16, 10, 0, 223, 3, // Skip to: 1461
+/* 470 */     MCD_OPC_Decode, 251, 4, 0, // Opcode: ERET_MM
+/* 474 */     MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 486
+/* 478 */     MCD_OPC_CheckPredicate, 3, 211, 3, // Skip to: 1461
+/* 482 */     MCD_OPC_Decode, 246, 12, 21, // Opcode: TGEU_MM
+/* 486 */     MCD_OPC_FilterValue, 29, 39, 0, // Skip to: 529
+/* 490 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 493 */     MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 511
+/* 497 */     MCD_OPC_CheckPredicate, 3, 192, 3, // Skip to: 1461
+/* 501 */     MCD_OPC_CheckField, 21, 5, 0, 186, 3, // Skip to: 1461
+/* 507 */     MCD_OPC_Decode, 173, 4, 23, // Opcode: DI_MM
+/* 511 */     MCD_OPC_FilterValue, 5, 178, 3, // Skip to: 1461
+/* 515 */     MCD_OPC_CheckPredicate, 3, 174, 3, // Skip to: 1461
+/* 519 */     MCD_OPC_CheckField, 21, 5, 0, 168, 3, // Skip to: 1461
+/* 525 */     MCD_OPC_Decode, 249, 4, 23, // Opcode: EI_MM
+/* 529 */     MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 541
+/* 533 */     MCD_OPC_CheckPredicate, 3, 156, 3, // Skip to: 1461
+/* 537 */     MCD_OPC_Decode, 134, 13, 21, // Opcode: TLT_MM
+/* 541 */     MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 553
+/* 545 */     MCD_OPC_CheckPredicate, 3, 144, 3, // Skip to: 1461
+/* 549 */     MCD_OPC_Decode, 133, 13, 21, // Opcode: TLTU_MM
+/* 553 */     MCD_OPC_FilterValue, 44, 159, 0, // Skip to: 716
+/* 557 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 560 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 572
+/* 564 */     MCD_OPC_CheckPredicate, 3, 125, 3, // Skip to: 1461
+/* 568 */     MCD_OPC_Decode, 236, 10, 24, // Opcode: SEB_MM
+/* 572 */     MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 584
+/* 576 */     MCD_OPC_CheckPredicate, 3, 113, 3, // Skip to: 1461
+/* 580 */     MCD_OPC_Decode, 239, 10, 24, // Opcode: SEH_MM
+/* 584 */     MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 596
+/* 588 */     MCD_OPC_CheckPredicate, 3, 101, 3, // Skip to: 1461
+/* 592 */     MCD_OPC_Decode, 242, 2, 24, // Opcode: CLO_MM
+/* 596 */     MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 608
+/* 600 */     MCD_OPC_CheckPredicate, 3, 89, 3, // Skip to: 1461
+/* 604 */     MCD_OPC_Decode, 133, 3, 24, // Opcode: CLZ_MM
+/* 608 */     MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 620
+/* 612 */     MCD_OPC_CheckPredicate, 3, 77, 3, // Skip to: 1461
+/* 616 */     MCD_OPC_Decode, 161, 13, 24, // Opcode: WSBH_MM
+/* 620 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 632
+/* 624 */     MCD_OPC_CheckPredicate, 3, 65, 3, // Skip to: 1461
+/* 628 */     MCD_OPC_Decode, 170, 9, 25, // Opcode: MULT_MM
+/* 632 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 644
+/* 636 */     MCD_OPC_CheckPredicate, 3, 53, 3, // Skip to: 1461
+/* 640 */     MCD_OPC_Decode, 172, 9, 25, // Opcode: MULTu_MM
+/* 644 */     MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 656
+/* 648 */     MCD_OPC_CheckPredicate, 3, 41, 3, // Skip to: 1461
+/* 652 */     MCD_OPC_Decode, 229, 10, 25, // Opcode: SDIV_MM
+/* 656 */     MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 668
+/* 660 */     MCD_OPC_CheckPredicate, 3, 29, 3, // Skip to: 1461
+/* 664 */     MCD_OPC_Decode, 149, 13, 25, // Opcode: UDIV_MM
+/* 668 */     MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 680
+/* 672 */     MCD_OPC_CheckPredicate, 3, 17, 3, // Skip to: 1461
+/* 676 */     MCD_OPC_Decode, 236, 7, 25, // Opcode: MADD_MM
+/* 680 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 692
+/* 684 */     MCD_OPC_CheckPredicate, 3, 5, 3, // Skip to: 1461
+/* 688 */     MCD_OPC_Decode, 227, 7, 25, // Opcode: MADDU_MM
+/* 692 */     MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 704
+/* 696 */     MCD_OPC_CheckPredicate, 3, 249, 2, // Skip to: 1461
+/* 700 */     MCD_OPC_Decode, 253, 8, 25, // Opcode: MSUB_MM
+/* 704 */     MCD_OPC_FilterValue, 15, 241, 2, // Skip to: 1461
+/* 708 */     MCD_OPC_CheckPredicate, 3, 237, 2, // Skip to: 1461
+/* 712 */     MCD_OPC_Decode, 244, 8, 25, // Opcode: MSUBU_MM
+/* 716 */     MCD_OPC_FilterValue, 45, 33, 0, // Skip to: 753
+/* 720 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 723 */     MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 741
+/* 727 */     MCD_OPC_CheckPredicate, 3, 218, 2, // Skip to: 1461
+/* 731 */     MCD_OPC_CheckField, 21, 5, 0, 212, 2, // Skip to: 1461
+/* 737 */     MCD_OPC_Decode, 185, 12, 26, // Opcode: SYNC_MM
+/* 741 */     MCD_OPC_FilterValue, 8, 204, 2, // Skip to: 1461
+/* 745 */     MCD_OPC_CheckPredicate, 3, 200, 2, // Skip to: 1461
+/* 749 */     MCD_OPC_Decode, 187, 12, 22, // Opcode: SYSCALL_MM
+/* 753 */     MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 765
+/* 757 */     MCD_OPC_CheckPredicate, 3, 188, 2, // Skip to: 1461
+/* 761 */     MCD_OPC_Decode, 138, 13, 21, // Opcode: TNE_MM
+/* 765 */     MCD_OPC_FilterValue, 53, 75, 0, // Skip to: 844
+/* 769 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 772 */     MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 790
+/* 776 */     MCD_OPC_CheckPredicate, 3, 169, 2, // Skip to: 1461
+/* 780 */     MCD_OPC_CheckField, 21, 5, 0, 163, 2, // Skip to: 1461
+/* 786 */     MCD_OPC_Decode, 152, 8, 23, // Opcode: MFHI_MM
+/* 790 */     MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 808
+/* 794 */     MCD_OPC_CheckPredicate, 3, 151, 2, // Skip to: 1461
+/* 798 */     MCD_OPC_CheckField, 21, 5, 0, 145, 2, // Skip to: 1461
+/* 804 */     MCD_OPC_Decode, 157, 8, 23, // Opcode: MFLO_MM
+/* 808 */     MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 826
+/* 812 */     MCD_OPC_CheckPredicate, 3, 133, 2, // Skip to: 1461
+/* 816 */     MCD_OPC_CheckField, 21, 5, 0, 127, 2, // Skip to: 1461
+/* 822 */     MCD_OPC_Decode, 140, 9, 23, // Opcode: MTHI_MM
+/* 826 */     MCD_OPC_FilterValue, 3, 119, 2, // Skip to: 1461
+/* 830 */     MCD_OPC_CheckPredicate, 3, 115, 2, // Skip to: 1461
+/* 834 */     MCD_OPC_CheckField, 21, 5, 0, 109, 2, // Skip to: 1461
+/* 840 */     MCD_OPC_Decode, 145, 9, 23, // Opcode: MTLO_MM
+/* 844 */     MCD_OPC_FilterValue, 60, 101, 2, // Skip to: 1461
+/* 848 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 851 */     MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 877
+/* 855 */     MCD_OPC_CheckPredicate, 3, 10, 0, // Skip to: 869
+/* 859 */     MCD_OPC_CheckField, 21, 5, 0, 4, 0, // Skip to: 869
+/* 865 */     MCD_OPC_Decode, 246, 6, 23, // Opcode: JR_MM
+/* 869 */     MCD_OPC_CheckPredicate, 3, 76, 2, // Skip to: 1461
+/* 873 */     MCD_OPC_Decode, 235, 6, 24, // Opcode: JALR_MM
+/* 877 */     MCD_OPC_FilterValue, 4, 68, 2, // Skip to: 1461
+/* 881 */     MCD_OPC_CheckPredicate, 3, 64, 2, // Skip to: 1461
+/* 885 */     MCD_OPC_Decode, 233, 6, 24, // Opcode: JALRS_MM
+/* 889 */     MCD_OPC_FilterValue, 4, 7, 0, // Skip to: 900
+/* 893 */     MCD_OPC_CheckPredicate, 3, 52, 2, // Skip to: 1461
+/* 897 */     MCD_OPC_Decode, 66, 27, // Opcode: ADDi_MM
+/* 900 */     MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 912
+/* 904 */     MCD_OPC_CheckPredicate, 3, 41, 2, // Skip to: 1461
+/* 908 */     MCD_OPC_Decode, 132, 7, 28, // Opcode: LBu_MM
+/* 912 */     MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 924
+/* 916 */     MCD_OPC_CheckPredicate, 3, 29, 2, // Skip to: 1461
+/* 920 */     MCD_OPC_Decode, 213, 10, 28, // Opcode: SB_MM
+/* 924 */     MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 936
+/* 928 */     MCD_OPC_CheckPredicate, 3, 17, 2, // Skip to: 1461
+/* 932 */     MCD_OPC_Decode, 129, 7, 28, // Opcode: LB_MM
+/* 936 */     MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 947
+/* 940 */     MCD_OPC_CheckPredicate, 3, 5, 2, // Skip to: 1461
+/* 944 */     MCD_OPC_Decode, 68, 27, // Opcode: ADDiu_MM
+/* 947 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 959
+/* 951 */     MCD_OPC_CheckPredicate, 3, 250, 1, // Skip to: 1461
+/* 955 */     MCD_OPC_Decode, 162, 7, 28, // Opcode: LHu_MM
+/* 959 */     MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 971
+/* 963 */     MCD_OPC_CheckPredicate, 3, 238, 1, // Skip to: 1461
+/* 967 */     MCD_OPC_Decode, 153, 11, 28, // Opcode: SH_MM
+/* 971 */     MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 983
+/* 975 */     MCD_OPC_CheckPredicate, 3, 226, 1, // Skip to: 1461
+/* 979 */     MCD_OPC_Decode, 159, 7, 28, // Opcode: LH_MM
+/* 983 */     MCD_OPC_FilterValue, 16, 207, 0, // Skip to: 1194
+/* 987 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 990 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1002
+/* 994 */     MCD_OPC_CheckPredicate, 3, 207, 1, // Skip to: 1461
+/* 998 */     MCD_OPC_Decode, 252, 1, 29, // Opcode: BLTZ_MM
+/* 1002 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1014
+/* 1006 */    MCD_OPC_CheckPredicate, 3, 195, 1, // Skip to: 1461
+/* 1010 */    MCD_OPC_Decode, 249, 1, 29, // Opcode: BLTZAL_MM
+/* 1014 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1026
+/* 1018 */    MCD_OPC_CheckPredicate, 3, 183, 1, // Skip to: 1461
+/* 1022 */    MCD_OPC_Decode, 210, 1, 29, // Opcode: BGEZ_MM
+/* 1026 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1038
+/* 1030 */    MCD_OPC_CheckPredicate, 3, 171, 1, // Skip to: 1461
+/* 1034 */    MCD_OPC_Decode, 207, 1, 29, // Opcode: BGEZAL_MM
+/* 1038 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 1050
+/* 1042 */    MCD_OPC_CheckPredicate, 3, 159, 1, // Skip to: 1461
+/* 1046 */    MCD_OPC_Decode, 240, 1, 29, // Opcode: BLEZ_MM
+/* 1050 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 1062
+/* 1054 */    MCD_OPC_CheckPredicate, 3, 147, 1, // Skip to: 1461
+/* 1058 */    MCD_OPC_Decode, 143, 2, 29, // Opcode: BNEZC_MM
+/* 1062 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 1074
+/* 1066 */    MCD_OPC_CheckPredicate, 3, 135, 1, // Skip to: 1461
+/* 1070 */    MCD_OPC_Decode, 216, 1, 29, // Opcode: BGTZ_MM
+/* 1074 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 1086
+/* 1078 */    MCD_OPC_CheckPredicate, 3, 123, 1, // Skip to: 1461
+/* 1082 */    MCD_OPC_Decode, 197, 1, 29, // Opcode: BEQZC_MM
+/* 1086 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 1098
+/* 1090 */    MCD_OPC_CheckPredicate, 3, 111, 1, // Skip to: 1461
+/* 1094 */    MCD_OPC_Decode, 131, 13, 30, // Opcode: TLTI_MM
+/* 1098 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1110
+/* 1102 */    MCD_OPC_CheckPredicate, 3, 99, 1, // Skip to: 1461
+/* 1106 */    MCD_OPC_Decode, 244, 12, 30, // Opcode: TGEI_MM
+/* 1110 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1122
+/* 1114 */    MCD_OPC_CheckPredicate, 3, 87, 1, // Skip to: 1461
+/* 1118 */    MCD_OPC_Decode, 130, 13, 30, // Opcode: TLTIU_MM
+/* 1122 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1134
+/* 1126 */    MCD_OPC_CheckPredicate, 3, 75, 1, // Skip to: 1461
+/* 1130 */    MCD_OPC_Decode, 243, 12, 30, // Opcode: TGEIU_MM
+/* 1134 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 1146
+/* 1138 */    MCD_OPC_CheckPredicate, 3, 63, 1, // Skip to: 1461
+/* 1142 */    MCD_OPC_Decode, 137, 13, 30, // Opcode: TNEI_MM
+/* 1146 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 1158
+/* 1150 */    MCD_OPC_CheckPredicate, 3, 51, 1, // Skip to: 1461
+/* 1154 */    MCD_OPC_Decode, 182, 7, 30, // Opcode: LUi_MM
+/* 1158 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 1170
+/* 1162 */    MCD_OPC_CheckPredicate, 3, 39, 1, // Skip to: 1461
+/* 1166 */    MCD_OPC_Decode, 238, 12, 30, // Opcode: TEQI_MM
+/* 1170 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 1182
+/* 1174 */    MCD_OPC_CheckPredicate, 3, 27, 1, // Skip to: 1461
+/* 1178 */    MCD_OPC_Decode, 248, 1, 29, // Opcode: BLTZALS_MM
+/* 1182 */    MCD_OPC_FilterValue, 19, 19, 1, // Skip to: 1461
+/* 1186 */    MCD_OPC_CheckPredicate, 3, 15, 1, // Skip to: 1461
+/* 1190 */    MCD_OPC_Decode, 206, 1, 29, // Opcode: BGEZALS_MM
+/* 1194 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 1206
+/* 1198 */    MCD_OPC_CheckPredicate, 3, 3, 1, // Skip to: 1461
+/* 1202 */    MCD_OPC_Decode, 231, 9, 31, // Opcode: ORi_MM
+/* 1206 */    MCD_OPC_FilterValue, 21, 29, 0, // Skip to: 1239
+/* 1210 */    MCD_OPC_ExtractField, 0, 13,  // Inst{12-0} ...
+/* 1213 */    MCD_OPC_FilterValue, 251, 2, 8, 0, // Skip to: 1226
+/* 1218 */    MCD_OPC_CheckPredicate, 3, 239, 0, // Skip to: 1461
+/* 1222 */    MCD_OPC_Decode, 202, 8, 32, // Opcode: MOVF_I_MM
+/* 1226 */    MCD_OPC_FilterValue, 251, 18, 230, 0, // Skip to: 1461
+/* 1231 */    MCD_OPC_CheckPredicate, 3, 226, 0, // Skip to: 1461
+/* 1235 */    MCD_OPC_Decode, 222, 8, 32, // Opcode: MOVT_I_MM
+/* 1239 */    MCD_OPC_FilterValue, 24, 87, 0, // Skip to: 1330
+/* 1243 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 1246 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1258
+/* 1250 */    MCD_OPC_CheckPredicate, 3, 207, 0, // Skip to: 1461
+/* 1254 */    MCD_OPC_Decode, 192, 7, 33, // Opcode: LWL_MM
+/* 1258 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1270
+/* 1262 */    MCD_OPC_CheckPredicate, 3, 195, 0, // Skip to: 1461
+/* 1266 */    MCD_OPC_Decode, 196, 7, 33, // Opcode: LWR_MM
+/* 1270 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1282
+/* 1274 */    MCD_OPC_CheckPredicate, 3, 183, 0, // Skip to: 1461
+/* 1278 */    MCD_OPC_Decode, 166, 7, 33, // Opcode: LL_MM
+/* 1282 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 1294
+/* 1286 */    MCD_OPC_CheckPredicate, 3, 171, 0, // Skip to: 1461
+/* 1290 */    MCD_OPC_Decode, 177, 12, 33, // Opcode: SWL_MM
+/* 1294 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1306
+/* 1298 */    MCD_OPC_CheckPredicate, 3, 159, 0, // Skip to: 1461
+/* 1302 */    MCD_OPC_Decode, 180, 12, 33, // Opcode: SWR_MM
+/* 1306 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1318
+/* 1310 */    MCD_OPC_CheckPredicate, 3, 147, 0, // Skip to: 1461
+/* 1314 */    MCD_OPC_Decode, 217, 10, 33, // Opcode: SC_MM
+/* 1318 */    MCD_OPC_FilterValue, 14, 139, 0, // Skip to: 1461
+/* 1322 */    MCD_OPC_CheckPredicate, 3, 135, 0, // Skip to: 1461
+/* 1326 */    MCD_OPC_Decode, 198, 7, 33, // Opcode: LWU_MM
+/* 1330 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 1342
+/* 1334 */    MCD_OPC_CheckPredicate, 3, 123, 0, // Skip to: 1461
+/* 1338 */    MCD_OPC_Decode, 172, 13, 31, // Opcode: XORi_MM
+/* 1342 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 1354
+/* 1346 */    MCD_OPC_CheckPredicate, 3, 111, 0, // Skip to: 1461
+/* 1350 */    MCD_OPC_Decode, 236, 6, 34, // Opcode: JALS_MM
+/* 1354 */    MCD_OPC_FilterValue, 36, 8, 0, // Skip to: 1366
+/* 1358 */    MCD_OPC_CheckPredicate, 3, 99, 0, // Skip to: 1461
+/* 1362 */    MCD_OPC_Decode, 181, 11, 27, // Opcode: SLTi_MM
+/* 1366 */    MCD_OPC_FilterValue, 37, 8, 0, // Skip to: 1378
+/* 1370 */    MCD_OPC_CheckPredicate, 3, 87, 0, // Skip to: 1461
+/* 1374 */    MCD_OPC_Decode, 198, 1, 35, // Opcode: BEQ_MM
+/* 1378 */    MCD_OPC_FilterValue, 44, 8, 0, // Skip to: 1390
+/* 1382 */    MCD_OPC_CheckPredicate, 3, 75, 0, // Skip to: 1461
+/* 1386 */    MCD_OPC_Decode, 184, 11, 27, // Opcode: SLTiu_MM
+/* 1390 */    MCD_OPC_FilterValue, 45, 8, 0, // Skip to: 1402
+/* 1394 */    MCD_OPC_CheckPredicate, 3, 63, 0, // Skip to: 1461
+/* 1398 */    MCD_OPC_Decode, 144, 2, 35, // Opcode: BNE_MM
+/* 1402 */    MCD_OPC_FilterValue, 52, 7, 0, // Skip to: 1413
+/* 1406 */    MCD_OPC_CheckPredicate, 3, 51, 0, // Skip to: 1461
+/* 1410 */    MCD_OPC_Decode, 85, 31, // Opcode: ANDi_MM
+/* 1413 */    MCD_OPC_FilterValue, 53, 8, 0, // Skip to: 1425
+/* 1417 */    MCD_OPC_CheckPredicate, 3, 40, 0, // Skip to: 1461
+/* 1421 */    MCD_OPC_Decode, 247, 6, 34, // Opcode: J_MM
+/* 1425 */    MCD_OPC_FilterValue, 61, 8, 0, // Skip to: 1437
+/* 1429 */    MCD_OPC_CheckPredicate, 3, 28, 0, // Skip to: 1461
+/* 1433 */    MCD_OPC_Decode, 238, 6, 34, // Opcode: JAL_MM
+/* 1437 */    MCD_OPC_FilterValue, 62, 8, 0, // Skip to: 1449
+/* 1441 */    MCD_OPC_CheckPredicate, 3, 16, 0, // Skip to: 1461
+/* 1445 */    MCD_OPC_Decode, 183, 12, 28, // Opcode: SW_MM
+/* 1449 */    MCD_OPC_FilterValue, 63, 8, 0, // Skip to: 1461
+/* 1453 */    MCD_OPC_CheckPredicate, 3, 4, 0, // Skip to: 1461
+/* 1457 */    MCD_OPC_Decode, 202, 7, 28, // Opcode: LW_MM
+/* 1461 */    MCD_OPC_Fail,
   0
 };
 
@@ -382,3159 +416,3219 @@
 /* 7 */       MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 10 */      MCD_OPC_FilterValue, 0, 54, 0, // Skip to: 68
 /* 14 */      MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 17 */      MCD_OPC_FilterValue, 0, 51, 51, // Skip to: 13128
+/* 17 */      MCD_OPC_FilterValue, 0, 22, 52, // Skip to: 13355
 /* 21 */      MCD_OPC_ExtractField, 6, 15,  // Inst{20-6} ...
 /* 24 */      MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 36
 /* 28 */      MCD_OPC_CheckPredicate, 1, 28, 0, // Skip to: 60
-/* 32 */      MCD_OPC_Decode, 214, 11, 0, // Opcode: SSNOP
+/* 32 */      MCD_OPC_Decode, 243, 11, 0, // Opcode: SSNOP
 /* 36 */      MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 48
 /* 40 */      MCD_OPC_CheckPredicate, 1, 16, 0, // Skip to: 60
-/* 44 */      MCD_OPC_Decode, 221, 4, 0, // Opcode: EHB
+/* 44 */      MCD_OPC_Decode, 247, 4, 0, // Opcode: EHB
 /* 48 */      MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 60
 /* 52 */      MCD_OPC_CheckPredicate, 4, 4, 0, // Skip to: 60
-/* 56 */      MCD_OPC_Decode, 205, 9, 0, // Opcode: PAUSE
-/* 60 */      MCD_OPC_CheckPredicate, 1, 8, 51, // Skip to: 13128
-/* 64 */      MCD_OPC_Decode, 133, 11, 35, // Opcode: SLL
+/* 56 */      MCD_OPC_Decode, 234, 9, 0, // Opcode: PAUSE
+/* 60 */      MCD_OPC_CheckPredicate, 1, 235, 51, // Skip to: 13355
+/* 64 */      MCD_OPC_Decode, 162, 11, 36, // Opcode: SLL
 /* 68 */      MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 111
 /* 72 */      MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
 /* 75 */      MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 93
-/* 79 */      MCD_OPC_CheckPredicate, 5, 245, 50, // Skip to: 13128
-/* 83 */      MCD_OPC_CheckField, 6, 5, 0, 239, 50, // Skip to: 13128
-/* 89 */      MCD_OPC_Decode, 171, 8, 36, // Opcode: MOVF_I
-/* 93 */      MCD_OPC_FilterValue, 1, 231, 50, // Skip to: 13128
-/* 97 */      MCD_OPC_CheckPredicate, 5, 227, 50, // Skip to: 13128
-/* 101 */     MCD_OPC_CheckField, 6, 5, 0, 221, 50, // Skip to: 13128
-/* 107 */     MCD_OPC_Decode, 191, 8, 36, // Opcode: MOVT_I
+/* 79 */      MCD_OPC_CheckPredicate, 5, 216, 51, // Skip to: 13355
+/* 83 */      MCD_OPC_CheckField, 6, 5, 0, 210, 51, // Skip to: 13355
+/* 89 */      MCD_OPC_Decode, 200, 8, 37, // Opcode: MOVF_I
+/* 93 */      MCD_OPC_FilterValue, 1, 202, 51, // Skip to: 13355
+/* 97 */      MCD_OPC_CheckPredicate, 5, 198, 51, // Skip to: 13355
+/* 101 */     MCD_OPC_CheckField, 6, 5, 0, 192, 51, // Skip to: 13355
+/* 107 */     MCD_OPC_Decode, 220, 8, 37, // Opcode: MOVT_I
 /* 111 */     MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 142
 /* 115 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
 /* 118 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 130
-/* 122 */     MCD_OPC_CheckPredicate, 1, 202, 50, // Skip to: 13128
-/* 126 */     MCD_OPC_Decode, 194, 11, 35, // Opcode: SRL
-/* 130 */     MCD_OPC_FilterValue, 1, 194, 50, // Skip to: 13128
-/* 134 */     MCD_OPC_CheckPredicate, 4, 190, 50, // Skip to: 13128
-/* 138 */     MCD_OPC_Decode, 159, 10, 35, // Opcode: ROTR
+/* 122 */     MCD_OPC_CheckPredicate, 1, 173, 51, // Skip to: 13355
+/* 126 */     MCD_OPC_Decode, 223, 11, 36, // Opcode: SRL
+/* 130 */     MCD_OPC_FilterValue, 1, 165, 51, // Skip to: 13355
+/* 134 */     MCD_OPC_CheckPredicate, 4, 161, 51, // Skip to: 13355
+/* 138 */     MCD_OPC_Decode, 188, 10, 36, // Opcode: ROTR
 /* 142 */     MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 160
-/* 146 */     MCD_OPC_CheckPredicate, 1, 178, 50, // Skip to: 13128
-/* 150 */     MCD_OPC_CheckField, 21, 5, 0, 172, 50, // Skip to: 13128
-/* 156 */     MCD_OPC_Decode, 174, 11, 35, // Opcode: SRA
+/* 146 */     MCD_OPC_CheckPredicate, 1, 149, 51, // Skip to: 13355
+/* 150 */     MCD_OPC_CheckField, 21, 5, 0, 143, 51, // Skip to: 13355
+/* 156 */     MCD_OPC_Decode, 203, 11, 36, // Opcode: SRA
 /* 160 */     MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 178
-/* 164 */     MCD_OPC_CheckPredicate, 1, 160, 50, // Skip to: 13128
-/* 168 */     MCD_OPC_CheckField, 6, 5, 0, 154, 50, // Skip to: 13128
-/* 174 */     MCD_OPC_Decode, 140, 11, 17, // Opcode: SLLV
+/* 164 */     MCD_OPC_CheckPredicate, 1, 131, 51, // Skip to: 13355
+/* 168 */     MCD_OPC_CheckField, 6, 5, 0, 125, 51, // Skip to: 13355
+/* 174 */     MCD_OPC_Decode, 169, 11, 18, // Opcode: SLLV
 /* 178 */     MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 196
-/* 182 */     MCD_OPC_CheckPredicate, 6, 142, 50, // Skip to: 13128
-/* 186 */     MCD_OPC_CheckField, 8, 3, 0, 136, 50, // Skip to: 13128
-/* 192 */     MCD_OPC_Decode, 146, 7, 37, // Opcode: LSA
+/* 182 */     MCD_OPC_CheckPredicate, 6, 113, 51, // Skip to: 13355
+/* 186 */     MCD_OPC_CheckField, 8, 3, 0, 107, 51, // Skip to: 13355
+/* 192 */     MCD_OPC_Decode, 175, 7, 38, // Opcode: LSA
 /* 196 */     MCD_OPC_FilterValue, 6, 27, 0, // Skip to: 227
 /* 200 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 203 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 215
-/* 207 */     MCD_OPC_CheckPredicate, 1, 117, 50, // Skip to: 13128
-/* 211 */     MCD_OPC_Decode, 207, 11, 17, // Opcode: SRLV
-/* 215 */     MCD_OPC_FilterValue, 1, 109, 50, // Skip to: 13128
-/* 219 */     MCD_OPC_CheckPredicate, 4, 105, 50, // Skip to: 13128
-/* 223 */     MCD_OPC_Decode, 160, 10, 17, // Opcode: ROTRV
+/* 207 */     MCD_OPC_CheckPredicate, 1, 88, 51, // Skip to: 13355
+/* 211 */     MCD_OPC_Decode, 236, 11, 18, // Opcode: SRLV
+/* 215 */     MCD_OPC_FilterValue, 1, 80, 51, // Skip to: 13355
+/* 219 */     MCD_OPC_CheckPredicate, 4, 76, 51, // Skip to: 13355
+/* 223 */     MCD_OPC_Decode, 189, 10, 18, // Opcode: ROTRV
 /* 227 */     MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 245
-/* 231 */     MCD_OPC_CheckPredicate, 1, 93, 50, // Skip to: 13128
-/* 235 */     MCD_OPC_CheckField, 6, 5, 0, 87, 50, // Skip to: 13128
-/* 241 */     MCD_OPC_Decode, 187, 11, 17, // Opcode: SRAV
+/* 231 */     MCD_OPC_CheckPredicate, 1, 64, 51, // Skip to: 13355
+/* 235 */     MCD_OPC_CheckField, 6, 5, 0, 58, 51, // Skip to: 13355
+/* 241 */     MCD_OPC_Decode, 216, 11, 18, // Opcode: SRAV
 /* 245 */     MCD_OPC_FilterValue, 8, 27, 0, // Skip to: 276
 /* 249 */     MCD_OPC_ExtractField, 6, 15,  // Inst{20-6} ...
 /* 252 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 264
-/* 256 */     MCD_OPC_CheckPredicate, 1, 68, 50, // Skip to: 13128
-/* 260 */     MCD_OPC_Decode, 213, 6, 38, // Opcode: JR
-/* 264 */     MCD_OPC_FilterValue, 16, 60, 50, // Skip to: 13128
-/* 268 */     MCD_OPC_CheckPredicate, 7, 56, 50, // Skip to: 13128
-/* 272 */     MCD_OPC_Decode, 215, 6, 38, // Opcode: JR_HB
+/* 256 */     MCD_OPC_CheckPredicate, 1, 39, 51, // Skip to: 13355
+/* 260 */     MCD_OPC_Decode, 241, 6, 39, // Opcode: JR
+/* 264 */     MCD_OPC_FilterValue, 16, 31, 51, // Skip to: 13355
+/* 268 */     MCD_OPC_CheckPredicate, 7, 27, 51, // Skip to: 13355
+/* 272 */     MCD_OPC_Decode, 244, 6, 39, // Opcode: JR_HB
 /* 276 */     MCD_OPC_FilterValue, 9, 39, 0, // Skip to: 319
 /* 280 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 283 */     MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 301
-/* 287 */     MCD_OPC_CheckPredicate, 8, 37, 50, // Skip to: 13128
-/* 291 */     MCD_OPC_CheckField, 16, 5, 0, 31, 50, // Skip to: 13128
-/* 297 */     MCD_OPC_Decode, 202, 6, 39, // Opcode: JALR
-/* 301 */     MCD_OPC_FilterValue, 16, 23, 50, // Skip to: 13128
-/* 305 */     MCD_OPC_CheckPredicate, 9, 19, 50, // Skip to: 13128
-/* 309 */     MCD_OPC_CheckField, 16, 5, 0, 13, 50, // Skip to: 13128
-/* 315 */     MCD_OPC_Decode, 207, 6, 39, // Opcode: JALR_HB
+/* 287 */     MCD_OPC_CheckPredicate, 8, 8, 51, // Skip to: 13355
+/* 291 */     MCD_OPC_CheckField, 16, 5, 0, 2, 51, // Skip to: 13355
+/* 297 */     MCD_OPC_Decode, 228, 6, 40, // Opcode: JALR
+/* 301 */     MCD_OPC_FilterValue, 16, 250, 50, // Skip to: 13355
+/* 305 */     MCD_OPC_CheckPredicate, 9, 246, 50, // Skip to: 13355
+/* 309 */     MCD_OPC_CheckField, 16, 5, 0, 240, 50, // Skip to: 13355
+/* 315 */     MCD_OPC_Decode, 234, 6, 40, // Opcode: JALR_HB
 /* 319 */     MCD_OPC_FilterValue, 10, 14, 0, // Skip to: 337
-/* 323 */     MCD_OPC_CheckPredicate, 5, 1, 50, // Skip to: 13128
-/* 327 */     MCD_OPC_CheckField, 6, 5, 0, 251, 49, // Skip to: 13128
-/* 333 */     MCD_OPC_Decode, 203, 8, 40, // Opcode: MOVZ_I_I
+/* 323 */     MCD_OPC_CheckPredicate, 5, 228, 50, // Skip to: 13355
+/* 327 */     MCD_OPC_CheckField, 6, 5, 0, 222, 50, // Skip to: 13355
+/* 333 */     MCD_OPC_Decode, 232, 8, 41, // Opcode: MOVZ_I_I
 /* 337 */     MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 355
-/* 341 */     MCD_OPC_CheckPredicate, 5, 239, 49, // Skip to: 13128
-/* 345 */     MCD_OPC_CheckField, 6, 5, 0, 233, 49, // Skip to: 13128
-/* 351 */     MCD_OPC_Decode, 183, 8, 40, // Opcode: MOVN_I_I
+/* 341 */     MCD_OPC_CheckPredicate, 5, 210, 50, // Skip to: 13355
+/* 345 */     MCD_OPC_CheckField, 6, 5, 0, 204, 50, // Skip to: 13355
+/* 351 */     MCD_OPC_Decode, 212, 8, 41, // Opcode: MOVN_I_I
 /* 355 */     MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 367
-/* 359 */     MCD_OPC_CheckPredicate, 1, 221, 49, // Skip to: 13128
-/* 363 */     MCD_OPC_Decode, 157, 12, 41, // Opcode: SYSCALL
+/* 359 */     MCD_OPC_CheckPredicate, 1, 192, 50, // Skip to: 13355
+/* 363 */     MCD_OPC_Decode, 186, 12, 42, // Opcode: SYSCALL
 /* 367 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 379
-/* 371 */     MCD_OPC_CheckPredicate, 1, 209, 49, // Skip to: 13128
-/* 375 */     MCD_OPC_Decode, 128, 2, 14, // Opcode: BREAK
+/* 371 */     MCD_OPC_CheckPredicate, 1, 180, 50, // Skip to: 13355
+/* 375 */     MCD_OPC_Decode, 154, 2, 15, // Opcode: BREAK
 /* 379 */     MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 391
-/* 383 */     MCD_OPC_CheckPredicate, 9, 197, 49, // Skip to: 13128
-/* 387 */     MCD_OPC_Decode, 155, 12, 42, // Opcode: SYNC
+/* 383 */     MCD_OPC_CheckPredicate, 9, 168, 50, // Skip to: 13355
+/* 387 */     MCD_OPC_Decode, 184, 12, 43, // Opcode: SYNC
 /* 391 */     MCD_OPC_FilterValue, 16, 43, 0, // Skip to: 438
 /* 395 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 398 */     MCD_OPC_FilterValue, 0, 182, 49, // Skip to: 13128
+/* 398 */     MCD_OPC_FilterValue, 0, 153, 50, // Skip to: 13355
 /* 402 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 405 */     MCD_OPC_FilterValue, 0, 175, 49, // Skip to: 13128
+/* 405 */     MCD_OPC_FilterValue, 0, 146, 50, // Skip to: 13355
 /* 409 */     MCD_OPC_ExtractField, 23, 3,  // Inst{25-23} ...
-/* 412 */     MCD_OPC_FilterValue, 0, 168, 49, // Skip to: 13128
+/* 412 */     MCD_OPC_FilterValue, 0, 139, 50, // Skip to: 13355
 /* 416 */     MCD_OPC_CheckPredicate, 10, 10, 0, // Skip to: 430
 /* 420 */     MCD_OPC_CheckField, 21, 2, 0, 4, 0, // Skip to: 430
-/* 426 */     MCD_OPC_Decode, 247, 7, 43, // Opcode: MFHI
-/* 430 */     MCD_OPC_CheckPredicate, 11, 150, 49, // Skip to: 13128
-/* 434 */     MCD_OPC_Decode, 250, 7, 44, // Opcode: MFHI_DSP
+/* 426 */     MCD_OPC_Decode, 148, 8, 44, // Opcode: MFHI
+/* 430 */     MCD_OPC_CheckPredicate, 11, 121, 50, // Skip to: 13355
+/* 434 */     MCD_OPC_Decode, 151, 8, 45, // Opcode: MFHI_DSP
 /* 438 */     MCD_OPC_FilterValue, 17, 36, 0, // Skip to: 478
 /* 442 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 445 */     MCD_OPC_FilterValue, 0, 135, 49, // Skip to: 13128
+/* 445 */     MCD_OPC_FilterValue, 0, 106, 50, // Skip to: 13355
 /* 449 */     MCD_OPC_ExtractField, 13, 8,  // Inst{20-13} ...
-/* 452 */     MCD_OPC_FilterValue, 0, 128, 49, // Skip to: 13128
+/* 452 */     MCD_OPC_FilterValue, 0, 99, 50, // Skip to: 13355
 /* 456 */     MCD_OPC_CheckPredicate, 12, 10, 0, // Skip to: 470
 /* 460 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 470
-/* 466 */     MCD_OPC_Decode, 236, 8, 38, // Opcode: MTHI
-/* 470 */     MCD_OPC_CheckPredicate, 11, 110, 49, // Skip to: 13128
-/* 474 */     MCD_OPC_Decode, 238, 8, 45, // Opcode: MTHI_DSP
+/* 466 */     MCD_OPC_Decode, 137, 9, 39, // Opcode: MTHI
+/* 470 */     MCD_OPC_CheckPredicate, 11, 81, 50, // Skip to: 13355
+/* 474 */     MCD_OPC_Decode, 139, 9, 46, // Opcode: MTHI_DSP
 /* 478 */     MCD_OPC_FilterValue, 18, 43, 0, // Skip to: 525
 /* 482 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 485 */     MCD_OPC_FilterValue, 0, 95, 49, // Skip to: 13128
+/* 485 */     MCD_OPC_FilterValue, 0, 66, 50, // Skip to: 13355
 /* 489 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 492 */     MCD_OPC_FilterValue, 0, 88, 49, // Skip to: 13128
+/* 492 */     MCD_OPC_FilterValue, 0, 59, 50, // Skip to: 13355
 /* 496 */     MCD_OPC_ExtractField, 23, 3,  // Inst{25-23} ...
-/* 499 */     MCD_OPC_FilterValue, 0, 81, 49, // Skip to: 13128
+/* 499 */     MCD_OPC_FilterValue, 0, 52, 50, // Skip to: 13355
 /* 503 */     MCD_OPC_CheckPredicate, 10, 10, 0, // Skip to: 517
 /* 507 */     MCD_OPC_CheckField, 21, 2, 0, 4, 0, // Skip to: 517
-/* 513 */     MCD_OPC_Decode, 252, 7, 43, // Opcode: MFLO
-/* 517 */     MCD_OPC_CheckPredicate, 11, 63, 49, // Skip to: 13128
-/* 521 */     MCD_OPC_Decode, 255, 7, 44, // Opcode: MFLO_DSP
+/* 513 */     MCD_OPC_Decode, 153, 8, 44, // Opcode: MFLO
+/* 517 */     MCD_OPC_CheckPredicate, 11, 34, 50, // Skip to: 13355
+/* 521 */     MCD_OPC_Decode, 156, 8, 45, // Opcode: MFLO_DSP
 /* 525 */     MCD_OPC_FilterValue, 19, 36, 0, // Skip to: 565
 /* 529 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 532 */     MCD_OPC_FilterValue, 0, 48, 49, // Skip to: 13128
+/* 532 */     MCD_OPC_FilterValue, 0, 19, 50, // Skip to: 13355
 /* 536 */     MCD_OPC_ExtractField, 13, 8,  // Inst{20-13} ...
-/* 539 */     MCD_OPC_FilterValue, 0, 41, 49, // Skip to: 13128
+/* 539 */     MCD_OPC_FilterValue, 0, 12, 50, // Skip to: 13355
 /* 543 */     MCD_OPC_CheckPredicate, 12, 10, 0, // Skip to: 557
 /* 547 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 557
-/* 553 */     MCD_OPC_Decode, 241, 8, 38, // Opcode: MTLO
-/* 557 */     MCD_OPC_CheckPredicate, 11, 23, 49, // Skip to: 13128
-/* 561 */     MCD_OPC_Decode, 243, 8, 46, // Opcode: MTLO_DSP
+/* 553 */     MCD_OPC_Decode, 142, 9, 39, // Opcode: MTLO
+/* 557 */     MCD_OPC_CheckPredicate, 11, 250, 49, // Skip to: 13355
+/* 561 */     MCD_OPC_Decode, 144, 9, 47, // Opcode: MTLO_DSP
 /* 565 */     MCD_OPC_FilterValue, 21, 14, 0, // Skip to: 583
-/* 569 */     MCD_OPC_CheckPredicate, 13, 11, 49, // Skip to: 13128
-/* 573 */     MCD_OPC_CheckField, 8, 3, 0, 5, 49, // Skip to: 13128
-/* 579 */     MCD_OPC_Decode, 148, 4, 47, // Opcode: DLSA
+/* 569 */     MCD_OPC_CheckPredicate, 13, 238, 49, // Skip to: 13355
+/* 573 */     MCD_OPC_CheckField, 8, 3, 0, 232, 49, // Skip to: 13355
+/* 579 */     MCD_OPC_Decode, 174, 4, 48, // Opcode: DLSA
 /* 583 */     MCD_OPC_FilterValue, 24, 36, 0, // Skip to: 623
 /* 587 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 590 */     MCD_OPC_FilterValue, 0, 246, 48, // Skip to: 13128
+/* 590 */     MCD_OPC_FilterValue, 0, 217, 49, // Skip to: 13355
 /* 594 */     MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 597 */     MCD_OPC_FilterValue, 0, 239, 48, // Skip to: 13128
+/* 597 */     MCD_OPC_FilterValue, 0, 210, 49, // Skip to: 13355
 /* 601 */     MCD_OPC_CheckPredicate, 12, 10, 0, // Skip to: 615
 /* 605 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 615
-/* 611 */     MCD_OPC_Decode, 138, 9, 23, // Opcode: MULT
-/* 615 */     MCD_OPC_CheckPredicate, 11, 221, 48, // Skip to: 13128
-/* 619 */     MCD_OPC_Decode, 140, 9, 48, // Opcode: MULT_DSP
+/* 611 */     MCD_OPC_Decode, 167, 9, 24, // Opcode: MULT
+/* 615 */     MCD_OPC_CheckPredicate, 11, 192, 49, // Skip to: 13355
+/* 619 */     MCD_OPC_Decode, 169, 9, 49, // Opcode: MULT_DSP
 /* 623 */     MCD_OPC_FilterValue, 25, 36, 0, // Skip to: 663
 /* 627 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 630 */     MCD_OPC_FilterValue, 0, 206, 48, // Skip to: 13128
+/* 630 */     MCD_OPC_FilterValue, 0, 177, 49, // Skip to: 13355
 /* 634 */     MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 637 */     MCD_OPC_FilterValue, 0, 199, 48, // Skip to: 13128
+/* 637 */     MCD_OPC_FilterValue, 0, 170, 49, // Skip to: 13355
 /* 641 */     MCD_OPC_CheckPredicate, 12, 10, 0, // Skip to: 655
 /* 645 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 655
-/* 651 */     MCD_OPC_Decode, 142, 9, 23, // Opcode: MULTu
-/* 655 */     MCD_OPC_CheckPredicate, 11, 181, 48, // Skip to: 13128
-/* 659 */     MCD_OPC_Decode, 139, 9, 48, // Opcode: MULTU_DSP
+/* 651 */     MCD_OPC_Decode, 171, 9, 24, // Opcode: MULTu
+/* 655 */     MCD_OPC_CheckPredicate, 11, 152, 49, // Skip to: 13355
+/* 659 */     MCD_OPC_Decode, 168, 9, 49, // Opcode: MULTU_DSP
 /* 663 */     MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 681
-/* 667 */     MCD_OPC_CheckPredicate, 12, 169, 48, // Skip to: 13128
-/* 671 */     MCD_OPC_CheckField, 6, 10, 0, 163, 48, // Skip to: 13128
-/* 677 */     MCD_OPC_Decode, 199, 10, 23, // Opcode: SDIV
+/* 667 */     MCD_OPC_CheckPredicate, 12, 140, 49, // Skip to: 13355
+/* 671 */     MCD_OPC_CheckField, 6, 10, 0, 134, 49, // Skip to: 13355
+/* 677 */     MCD_OPC_Decode, 228, 10, 24, // Opcode: SDIV
 /* 681 */     MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 699
-/* 685 */     MCD_OPC_CheckPredicate, 12, 151, 48, // Skip to: 13128
-/* 689 */     MCD_OPC_CheckField, 6, 10, 0, 145, 48, // Skip to: 13128
-/* 695 */     MCD_OPC_Decode, 243, 12, 23, // Opcode: UDIV
+/* 685 */     MCD_OPC_CheckPredicate, 12, 122, 49, // Skip to: 13355
+/* 689 */     MCD_OPC_CheckField, 6, 10, 0, 116, 49, // Skip to: 13355
+/* 695 */     MCD_OPC_Decode, 148, 13, 24, // Opcode: UDIV
 /* 699 */     MCD_OPC_FilterValue, 32, 13, 0, // Skip to: 716
-/* 703 */     MCD_OPC_CheckPredicate, 1, 133, 48, // Skip to: 13128
-/* 707 */     MCD_OPC_CheckField, 6, 5, 0, 127, 48, // Skip to: 13128
-/* 713 */     MCD_OPC_Decode, 23, 16, // Opcode: ADD
+/* 703 */     MCD_OPC_CheckPredicate, 1, 104, 49, // Skip to: 13355
+/* 707 */     MCD_OPC_CheckField, 6, 5, 0, 98, 49, // Skip to: 13355
+/* 713 */     MCD_OPC_Decode, 23, 17, // Opcode: ADD
 /* 716 */     MCD_OPC_FilterValue, 33, 13, 0, // Skip to: 733
-/* 720 */     MCD_OPC_CheckPredicate, 1, 116, 48, // Skip to: 13128
-/* 724 */     MCD_OPC_CheckField, 6, 5, 0, 110, 48, // Skip to: 13128
-/* 730 */     MCD_OPC_Decode, 69, 16, // Opcode: ADDu
+/* 720 */     MCD_OPC_CheckPredicate, 1, 87, 49, // Skip to: 13355
+/* 724 */     MCD_OPC_CheckField, 6, 5, 0, 81, 49, // Skip to: 13355
+/* 730 */     MCD_OPC_Decode, 69, 17, // Opcode: ADDu
 /* 733 */     MCD_OPC_FilterValue, 34, 14, 0, // Skip to: 751
-/* 737 */     MCD_OPC_CheckPredicate, 1, 99, 48, // Skip to: 13128
-/* 741 */     MCD_OPC_CheckField, 6, 5, 0, 93, 48, // Skip to: 13128
-/* 747 */     MCD_OPC_Decode, 223, 11, 16, // Opcode: SUB
+/* 737 */     MCD_OPC_CheckPredicate, 1, 70, 49, // Skip to: 13355
+/* 741 */     MCD_OPC_CheckField, 6, 5, 0, 64, 49, // Skip to: 13355
+/* 747 */     MCD_OPC_Decode, 252, 11, 17, // Opcode: SUB
 /* 751 */     MCD_OPC_FilterValue, 35, 14, 0, // Skip to: 769
-/* 755 */     MCD_OPC_CheckPredicate, 1, 81, 48, // Skip to: 13128
-/* 759 */     MCD_OPC_CheckField, 6, 5, 0, 75, 48, // Skip to: 13128
-/* 765 */     MCD_OPC_Decode, 134, 12, 16, // Opcode: SUBu
+/* 755 */     MCD_OPC_CheckPredicate, 1, 52, 49, // Skip to: 13355
+/* 759 */     MCD_OPC_CheckField, 6, 5, 0, 46, 49, // Skip to: 13355
+/* 765 */     MCD_OPC_Decode, 163, 12, 17, // Opcode: SUBu
 /* 769 */     MCD_OPC_FilterValue, 36, 13, 0, // Skip to: 786
-/* 773 */     MCD_OPC_CheckPredicate, 1, 63, 48, // Skip to: 13128
-/* 777 */     MCD_OPC_CheckField, 6, 5, 0, 57, 48, // Skip to: 13128
-/* 783 */     MCD_OPC_Decode, 75, 16, // Opcode: AND
+/* 773 */     MCD_OPC_CheckPredicate, 1, 34, 49, // Skip to: 13355
+/* 777 */     MCD_OPC_CheckField, 6, 5, 0, 28, 49, // Skip to: 13355
+/* 783 */     MCD_OPC_Decode, 75, 17, // Opcode: AND
 /* 786 */     MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 804
-/* 790 */     MCD_OPC_CheckPredicate, 1, 46, 48, // Skip to: 13128
-/* 794 */     MCD_OPC_CheckField, 6, 5, 0, 40, 48, // Skip to: 13128
-/* 800 */     MCD_OPC_Decode, 192, 9, 16, // Opcode: OR
+/* 790 */     MCD_OPC_CheckPredicate, 1, 17, 49, // Skip to: 13355
+/* 794 */     MCD_OPC_CheckField, 6, 5, 0, 11, 49, // Skip to: 13355
+/* 800 */     MCD_OPC_Decode, 221, 9, 17, // Opcode: OR
 /* 804 */     MCD_OPC_FilterValue, 38, 14, 0, // Skip to: 822
-/* 808 */     MCD_OPC_CheckPredicate, 1, 28, 48, // Skip to: 13128
-/* 812 */     MCD_OPC_CheckField, 6, 5, 0, 22, 48, // Skip to: 13128
-/* 818 */     MCD_OPC_Decode, 129, 13, 16, // Opcode: XOR
+/* 808 */     MCD_OPC_CheckPredicate, 1, 255, 48, // Skip to: 13355
+/* 812 */     MCD_OPC_CheckField, 6, 5, 0, 249, 48, // Skip to: 13355
+/* 818 */     MCD_OPC_Decode, 162, 13, 17, // Opcode: XOR
 /* 822 */     MCD_OPC_FilterValue, 39, 14, 0, // Skip to: 840
-/* 826 */     MCD_OPC_CheckPredicate, 1, 10, 48, // Skip to: 13128
-/* 830 */     MCD_OPC_CheckField, 6, 5, 0, 4, 48, // Skip to: 13128
-/* 836 */     MCD_OPC_Decode, 182, 9, 16, // Opcode: NOR
+/* 826 */     MCD_OPC_CheckPredicate, 1, 237, 48, // Skip to: 13355
+/* 830 */     MCD_OPC_CheckField, 6, 5, 0, 231, 48, // Skip to: 13355
+/* 836 */     MCD_OPC_Decode, 211, 9, 17, // Opcode: NOR
 /* 840 */     MCD_OPC_FilterValue, 42, 14, 0, // Skip to: 858
-/* 844 */     MCD_OPC_CheckPredicate, 1, 248, 47, // Skip to: 13128
-/* 848 */     MCD_OPC_CheckField, 6, 5, 0, 242, 47, // Skip to: 13128
-/* 854 */     MCD_OPC_Decode, 147, 11, 16, // Opcode: SLT
+/* 844 */     MCD_OPC_CheckPredicate, 1, 219, 48, // Skip to: 13355
+/* 848 */     MCD_OPC_CheckField, 6, 5, 0, 213, 48, // Skip to: 13355
+/* 854 */     MCD_OPC_Decode, 176, 11, 17, // Opcode: SLT
 /* 858 */     MCD_OPC_FilterValue, 43, 14, 0, // Skip to: 876
-/* 862 */     MCD_OPC_CheckPredicate, 1, 230, 47, // Skip to: 13128
-/* 866 */     MCD_OPC_CheckField, 6, 5, 0, 224, 47, // Skip to: 13128
-/* 872 */     MCD_OPC_Decode, 156, 11, 16, // Opcode: SLTu
+/* 862 */     MCD_OPC_CheckPredicate, 1, 201, 48, // Skip to: 13355
+/* 866 */     MCD_OPC_CheckField, 6, 5, 0, 195, 48, // Skip to: 13355
+/* 872 */     MCD_OPC_Decode, 185, 11, 17, // Opcode: SLTu
 /* 876 */     MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 888
-/* 880 */     MCD_OPC_CheckPredicate, 1, 212, 47, // Skip to: 13128
-/* 884 */     MCD_OPC_Decode, 211, 12, 49, // Opcode: TGE
+/* 880 */     MCD_OPC_CheckPredicate, 2, 183, 48, // Skip to: 13355
+/* 884 */     MCD_OPC_Decode, 240, 12, 50, // Opcode: TGE
 /* 888 */     MCD_OPC_FilterValue, 49, 8, 0, // Skip to: 900
-/* 892 */     MCD_OPC_CheckPredicate, 1, 200, 47, // Skip to: 13128
-/* 896 */     MCD_OPC_Decode, 216, 12, 49, // Opcode: TGEU
+/* 892 */     MCD_OPC_CheckPredicate, 2, 171, 48, // Skip to: 13355
+/* 896 */     MCD_OPC_Decode, 245, 12, 50, // Opcode: TGEU
 /* 900 */     MCD_OPC_FilterValue, 50, 8, 0, // Skip to: 912
-/* 904 */     MCD_OPC_CheckPredicate, 1, 188, 47, // Skip to: 13128
-/* 908 */     MCD_OPC_Decode, 223, 12, 49, // Opcode: TLT
+/* 904 */     MCD_OPC_CheckPredicate, 2, 159, 48, // Skip to: 13355
+/* 908 */     MCD_OPC_Decode, 128, 13, 50, // Opcode: TLT
 /* 912 */     MCD_OPC_FilterValue, 51, 8, 0, // Skip to: 924
-/* 916 */     MCD_OPC_CheckPredicate, 1, 176, 47, // Skip to: 13128
-/* 920 */     MCD_OPC_Decode, 227, 12, 49, // Opcode: TLTU
+/* 916 */     MCD_OPC_CheckPredicate, 2, 147, 48, // Skip to: 13355
+/* 920 */     MCD_OPC_Decode, 132, 13, 50, // Opcode: TLTU
 /* 924 */     MCD_OPC_FilterValue, 52, 8, 0, // Skip to: 936
-/* 928 */     MCD_OPC_CheckPredicate, 1, 164, 47, // Skip to: 13128
-/* 932 */     MCD_OPC_Decode, 207, 12, 49, // Opcode: TEQ
-/* 936 */     MCD_OPC_FilterValue, 54, 156, 47, // Skip to: 13128
-/* 940 */     MCD_OPC_CheckPredicate, 1, 152, 47, // Skip to: 13128
-/* 944 */     MCD_OPC_Decode, 230, 12, 49, // Opcode: TNE
-/* 948 */     MCD_OPC_FilterValue, 1, 141, 0, // Skip to: 1093
+/* 928 */     MCD_OPC_CheckPredicate, 2, 135, 48, // Skip to: 13355
+/* 932 */     MCD_OPC_Decode, 236, 12, 50, // Opcode: TEQ
+/* 936 */     MCD_OPC_FilterValue, 54, 127, 48, // Skip to: 13355
+/* 940 */     MCD_OPC_CheckPredicate, 2, 123, 48, // Skip to: 13355
+/* 944 */     MCD_OPC_Decode, 135, 13, 50, // Opcode: TNE
+/* 948 */     MCD_OPC_FilterValue, 1, 189, 0, // Skip to: 1141
 /* 952 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
 /* 955 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 967
-/* 959 */     MCD_OPC_CheckPredicate, 1, 133, 47, // Skip to: 13128
-/* 963 */     MCD_OPC_Decode, 222, 1, 50, // Opcode: BLTZ
+/* 959 */     MCD_OPC_CheckPredicate, 1, 104, 48, // Skip to: 13355
+/* 963 */     MCD_OPC_Decode, 243, 1, 51, // Opcode: BLTZ
 /* 967 */     MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 979
-/* 971 */     MCD_OPC_CheckPredicate, 1, 121, 47, // Skip to: 13128
-/* 975 */     MCD_OPC_Decode, 185, 1, 50, // Opcode: BGEZ
-/* 979 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 991
-/* 983 */     MCD_OPC_CheckPredicate, 14, 109, 47, // Skip to: 13128
-/* 987 */     MCD_OPC_Decode, 212, 12, 51, // Opcode: TGEI
-/* 991 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1003
-/* 995 */     MCD_OPC_CheckPredicate, 14, 97, 47, // Skip to: 13128
-/* 999 */     MCD_OPC_Decode, 213, 12, 51, // Opcode: TGEIU
-/* 1003 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1015
-/* 1007 */    MCD_OPC_CheckPredicate, 14, 85, 47, // Skip to: 13128
-/* 1011 */    MCD_OPC_Decode, 224, 12, 51, // Opcode: TLTI
-/* 1015 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1027
-/* 1019 */    MCD_OPC_CheckPredicate, 14, 73, 47, // Skip to: 13128
-/* 1023 */    MCD_OPC_Decode, 242, 12, 51, // Opcode: TTLTIU
-/* 1027 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 1039
-/* 1031 */    MCD_OPC_CheckPredicate, 14, 61, 47, // Skip to: 13128
-/* 1035 */    MCD_OPC_Decode, 208, 12, 51, // Opcode: TEQI
-/* 1039 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 1051
-/* 1043 */    MCD_OPC_CheckPredicate, 14, 49, 47, // Skip to: 13128
-/* 1047 */    MCD_OPC_Decode, 231, 12, 51, // Opcode: TNEI
-/* 1051 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 1063
-/* 1055 */    MCD_OPC_CheckPredicate, 12, 37, 47, // Skip to: 13128
-/* 1059 */    MCD_OPC_Decode, 224, 1, 50, // Opcode: BLTZAL
-/* 1063 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 1075
-/* 1067 */    MCD_OPC_CheckPredicate, 12, 25, 47, // Skip to: 13128
-/* 1071 */    MCD_OPC_Decode, 187, 1, 50, // Opcode: BGEZAL
-/* 1075 */    MCD_OPC_FilterValue, 28, 17, 47, // Skip to: 13128
-/* 1079 */    MCD_OPC_CheckPredicate, 11, 13, 47, // Skip to: 13128
-/* 1083 */    MCD_OPC_CheckField, 21, 5, 0, 7, 47, // Skip to: 13128
-/* 1089 */    MCD_OPC_Decode, 254, 1, 52, // Opcode: BPOSGE32
-/* 1093 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1105
-/* 1097 */    MCD_OPC_CheckPredicate, 9, 251, 46, // Skip to: 13128
-/* 1101 */    MCD_OPC_Decode, 200, 6, 53, // Opcode: J
-/* 1105 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1117
-/* 1109 */    MCD_OPC_CheckPredicate, 1, 239, 46, // Skip to: 13128
-/* 1113 */    MCD_OPC_Decode, 201, 6, 53, // Opcode: JAL
-/* 1117 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 1129
-/* 1121 */    MCD_OPC_CheckPredicate, 1, 227, 46, // Skip to: 13128
-/* 1125 */    MCD_OPC_Decode, 177, 1, 54, // Opcode: BEQ
-/* 1129 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 1141
-/* 1133 */    MCD_OPC_CheckPredicate, 1, 215, 46, // Skip to: 13128
-/* 1137 */    MCD_OPC_Decode, 233, 1, 54, // Opcode: BNE
-/* 1141 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1159
-/* 1145 */    MCD_OPC_CheckPredicate, 1, 203, 46, // Skip to: 13128
-/* 1149 */    MCD_OPC_CheckField, 16, 5, 0, 197, 46, // Skip to: 13128
-/* 1155 */    MCD_OPC_Decode, 215, 1, 50, // Opcode: BLEZ
-/* 1159 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1177
-/* 1163 */    MCD_OPC_CheckPredicate, 1, 185, 46, // Skip to: 13128
-/* 1167 */    MCD_OPC_CheckField, 16, 5, 0, 179, 46, // Skip to: 13128
-/* 1173 */    MCD_OPC_Decode, 192, 1, 50, // Opcode: BGTZ
-/* 1177 */    MCD_OPC_FilterValue, 8, 7, 0, // Skip to: 1188
-/* 1181 */    MCD_OPC_CheckPredicate, 12, 167, 46, // Skip to: 13128
-/* 1185 */    MCD_OPC_Decode, 65, 55, // Opcode: ADDi
-/* 1188 */    MCD_OPC_FilterValue, 9, 7, 0, // Skip to: 1199
-/* 1192 */    MCD_OPC_CheckPredicate, 1, 156, 46, // Skip to: 13128
-/* 1196 */    MCD_OPC_Decode, 67, 55, // Opcode: ADDiu
-/* 1199 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1211
-/* 1203 */    MCD_OPC_CheckPredicate, 1, 145, 46, // Skip to: 13128
-/* 1207 */    MCD_OPC_Decode, 150, 11, 55, // Opcode: SLTi
-/* 1211 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1223
-/* 1215 */    MCD_OPC_CheckPredicate, 1, 133, 46, // Skip to: 13128
-/* 1219 */    MCD_OPC_Decode, 153, 11, 55, // Opcode: SLTiu
-/* 1223 */    MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 1234
-/* 1227 */    MCD_OPC_CheckPredicate, 1, 121, 46, // Skip to: 13128
-/* 1231 */    MCD_OPC_Decode, 83, 56, // Opcode: ANDi
-/* 1234 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 1246
-/* 1238 */    MCD_OPC_CheckPredicate, 1, 110, 46, // Skip to: 13128
-/* 1242 */    MCD_OPC_Decode, 200, 9, 56, // Opcode: ORi
-/* 1246 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 1258
-/* 1250 */    MCD_OPC_CheckPredicate, 1, 98, 46, // Skip to: 13128
-/* 1254 */    MCD_OPC_Decode, 137, 13, 56, // Opcode: XORi
-/* 1258 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 1276
-/* 1262 */    MCD_OPC_CheckPredicate, 1, 86, 46, // Skip to: 13128
-/* 1266 */    MCD_OPC_CheckField, 21, 5, 0, 80, 46, // Skip to: 13128
-/* 1272 */    MCD_OPC_Decode, 151, 7, 29, // Opcode: LUi
-/* 1276 */    MCD_OPC_FilterValue, 16, 248, 0, // Skip to: 1528
-/* 1280 */    MCD_OPC_ExtractField, 3, 8,  // Inst{10-3} ...
-/* 1283 */    MCD_OPC_FilterValue, 0, 112, 0, // Skip to: 1399
-/* 1287 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 1290 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1302
-/* 1294 */    MCD_OPC_CheckPredicate, 9, 54, 46, // Skip to: 13128
-/* 1298 */    MCD_OPC_Decode, 240, 7, 57, // Opcode: MFC0
-/* 1302 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 1314
-/* 1306 */    MCD_OPC_CheckPredicate, 9, 42, 46, // Skip to: 13128
-/* 1310 */    MCD_OPC_Decode, 229, 8, 57, // Opcode: MTC0
-/* 1314 */    MCD_OPC_FilterValue, 11, 20, 0, // Skip to: 1338
-/* 1318 */    MCD_OPC_CheckPredicate, 4, 30, 46, // Skip to: 13128
-/* 1322 */    MCD_OPC_CheckField, 11, 5, 12, 24, 46, // Skip to: 13128
-/* 1328 */    MCD_OPC_CheckField, 0, 3, 0, 18, 46, // Skip to: 13128
-/* 1334 */    MCD_OPC_Decode, 133, 4, 22, // Opcode: DI
-/* 1338 */    MCD_OPC_FilterValue, 16, 10, 46, // Skip to: 13128
-/* 1342 */    MCD_OPC_ExtractField, 0, 3,  // Inst{2-0} ...
-/* 1345 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 1363
-/* 1349 */    MCD_OPC_CheckPredicate, 1, 255, 45, // Skip to: 13128
-/* 1353 */    MCD_OPC_CheckField, 11, 10, 0, 249, 45, // Skip to: 13128
-/* 1359 */    MCD_OPC_Decode, 220, 12, 0, // Opcode: TLBR
-/* 1363 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 1381
-/* 1367 */    MCD_OPC_CheckPredicate, 1, 237, 45, // Skip to: 13128
-/* 1371 */    MCD_OPC_CheckField, 11, 10, 0, 231, 45, // Skip to: 13128
-/* 1377 */    MCD_OPC_Decode, 221, 12, 0, // Opcode: TLBWI
-/* 1381 */    MCD_OPC_FilterValue, 6, 223, 45, // Skip to: 13128
-/* 1385 */    MCD_OPC_CheckPredicate, 1, 219, 45, // Skip to: 13128
-/* 1389 */    MCD_OPC_CheckField, 11, 10, 0, 213, 45, // Skip to: 13128
-/* 1395 */    MCD_OPC_Decode, 222, 12, 0, // Opcode: TLBWR
-/* 1399 */    MCD_OPC_FilterValue, 1, 22, 0, // Skip to: 1425
-/* 1403 */    MCD_OPC_CheckPredicate, 1, 201, 45, // Skip to: 13128
-/* 1407 */    MCD_OPC_CheckField, 11, 15, 128, 128, 1, 193, 45, // Skip to: 13128
-/* 1415 */    MCD_OPC_CheckField, 0, 3, 0, 187, 45, // Skip to: 13128
-/* 1421 */    MCD_OPC_Decode, 219, 12, 0, // Opcode: TLBP
-/* 1425 */    MCD_OPC_FilterValue, 3, 43, 0, // Skip to: 1472
-/* 1429 */    MCD_OPC_ExtractField, 0, 3,  // Inst{2-0} ...
-/* 1432 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 1452
-/* 1436 */    MCD_OPC_CheckPredicate, 15, 168, 45, // Skip to: 13128
-/* 1440 */    MCD_OPC_CheckField, 11, 15, 128, 128, 1, 160, 45, // Skip to: 13128
-/* 1448 */    MCD_OPC_Decode, 224, 4, 0, // Opcode: ERET
-/* 1452 */    MCD_OPC_FilterValue, 7, 152, 45, // Skip to: 13128
-/* 1456 */    MCD_OPC_CheckPredicate, 9, 148, 45, // Skip to: 13128
-/* 1460 */    MCD_OPC_CheckField, 11, 15, 128, 128, 1, 140, 45, // Skip to: 13128
-/* 1468 */    MCD_OPC_Decode, 128, 4, 0, // Opcode: DERET
-/* 1472 */    MCD_OPC_FilterValue, 4, 132, 45, // Skip to: 13128
-/* 1476 */    MCD_OPC_ExtractField, 11, 5,  // Inst{15-11} ...
-/* 1479 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 1504
-/* 1483 */    MCD_OPC_CheckPredicate, 16, 121, 45, // Skip to: 13128
-/* 1487 */    MCD_OPC_CheckField, 16, 10, 128, 4, 114, 45, // Skip to: 13128
-/* 1494 */    MCD_OPC_CheckField, 0, 3, 0, 108, 45, // Skip to: 13128
-/* 1500 */    MCD_OPC_Decode, 252, 12, 0, // Opcode: WAIT
-/* 1504 */    MCD_OPC_FilterValue, 12, 100, 45, // Skip to: 13128
-/* 1508 */    MCD_OPC_CheckPredicate, 4, 96, 45, // Skip to: 13128
-/* 1512 */    MCD_OPC_CheckField, 21, 5, 11, 90, 45, // Skip to: 13128
-/* 1518 */    MCD_OPC_CheckField, 0, 3, 0, 84, 45, // Skip to: 13128
-/* 1524 */    MCD_OPC_Decode, 222, 4, 22, // Opcode: EI
-/* 1528 */    MCD_OPC_FilterValue, 17, 253, 5, // Skip to: 3065
-/* 1532 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 1535 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1553
-/* 1539 */    MCD_OPC_CheckPredicate, 1, 65, 45, // Skip to: 13128
-/* 1543 */    MCD_OPC_CheckField, 0, 11, 0, 59, 45, // Skip to: 13128
-/* 1549 */    MCD_OPC_Decode, 241, 7, 58, // Opcode: MFC1
-/* 1553 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 1571
-/* 1557 */    MCD_OPC_CheckPredicate, 17, 47, 45, // Skip to: 13128
-/* 1561 */    MCD_OPC_CheckField, 0, 11, 0, 41, 45, // Skip to: 13128
-/* 1567 */    MCD_OPC_Decode, 151, 4, 59, // Opcode: DMFC1
-/* 1571 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 1589
-/* 1575 */    MCD_OPC_CheckPredicate, 1, 29, 45, // Skip to: 13128
-/* 1579 */    MCD_OPC_CheckField, 0, 11, 0, 23, 45, // Skip to: 13128
-/* 1585 */    MCD_OPC_Decode, 192, 2, 60, // Opcode: CFC1
-/* 1589 */    MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 1607
-/* 1593 */    MCD_OPC_CheckPredicate, 18, 11, 45, // Skip to: 13128
-/* 1597 */    MCD_OPC_CheckField, 0, 11, 0, 5, 45, // Skip to: 13128
-/* 1603 */    MCD_OPC_Decode, 244, 7, 61, // Opcode: MFHC1_D32
-/* 1607 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 1625
-/* 1611 */    MCD_OPC_CheckPredicate, 1, 249, 44, // Skip to: 13128
-/* 1615 */    MCD_OPC_CheckField, 0, 11, 0, 243, 44, // Skip to: 13128
-/* 1621 */    MCD_OPC_Decode, 230, 8, 62, // Opcode: MTC1
-/* 1625 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 1643
-/* 1629 */    MCD_OPC_CheckPredicate, 17, 231, 44, // Skip to: 13128
-/* 1633 */    MCD_OPC_CheckField, 0, 11, 0, 225, 44, // Skip to: 13128
-/* 1639 */    MCD_OPC_Decode, 156, 4, 63, // Opcode: DMTC1
-/* 1643 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1661
-/* 1647 */    MCD_OPC_CheckPredicate, 1, 213, 44, // Skip to: 13128
-/* 1651 */    MCD_OPC_CheckField, 0, 11, 0, 207, 44, // Skip to: 13128
-/* 1657 */    MCD_OPC_Decode, 164, 3, 64, // Opcode: CTC1
-/* 1661 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1679
-/* 1665 */    MCD_OPC_CheckPredicate, 18, 195, 44, // Skip to: 13128
-/* 1669 */    MCD_OPC_CheckField, 0, 11, 0, 189, 44, // Skip to: 13128
-/* 1675 */    MCD_OPC_Decode, 233, 8, 65, // Opcode: MTHC1_D32
-/* 1679 */    MCD_OPC_FilterValue, 8, 27, 0, // Skip to: 1710
-/* 1683 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
-/* 1686 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1698
-/* 1690 */    MCD_OPC_CheckPredicate, 12, 170, 44, // Skip to: 13128
-/* 1694 */    MCD_OPC_Decode, 162, 1, 66, // Opcode: BC1F
-/* 1698 */    MCD_OPC_FilterValue, 1, 162, 44, // Skip to: 13128
-/* 1702 */    MCD_OPC_CheckPredicate, 12, 158, 44, // Skip to: 13128
-/* 1706 */    MCD_OPC_Decode, 165, 1, 66, // Opcode: BC1T
-/* 1710 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1722
-/* 1714 */    MCD_OPC_CheckPredicate, 6, 146, 44, // Skip to: 13128
-/* 1718 */    MCD_OPC_Decode, 148, 2, 67, // Opcode: BZ_V
-/* 1722 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 1734
-/* 1726 */    MCD_OPC_CheckPredicate, 6, 134, 44, // Skip to: 13128
-/* 1730 */    MCD_OPC_Decode, 251, 1, 67, // Opcode: BNZ_V
-/* 1734 */    MCD_OPC_FilterValue, 16, 80, 2, // Skip to: 2330
-/* 1738 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 1741 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1753
-/* 1745 */    MCD_OPC_CheckPredicate, 1, 115, 44, // Skip to: 13128
-/* 1749 */    MCD_OPC_Decode, 255, 4, 68, // Opcode: FADD_S
-/* 1753 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1765
-/* 1757 */    MCD_OPC_CheckPredicate, 1, 103, 44, // Skip to: 13128
-/* 1761 */    MCD_OPC_Decode, 129, 6, 68, // Opcode: FSUB_S
-/* 1765 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1777
-/* 1769 */    MCD_OPC_CheckPredicate, 1, 91, 44, // Skip to: 13128
-/* 1773 */    MCD_OPC_Decode, 220, 5, 68, // Opcode: FMUL_S
-/* 1777 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1789
-/* 1781 */    MCD_OPC_CheckPredicate, 1, 79, 44, // Skip to: 13128
-/* 1785 */    MCD_OPC_Decode, 163, 5, 68, // Opcode: FDIV_S
-/* 1789 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 1807
-/* 1793 */    MCD_OPC_CheckPredicate, 2, 67, 44, // Skip to: 13128
-/* 1797 */    MCD_OPC_CheckField, 16, 5, 0, 61, 44, // Skip to: 13128
-/* 1803 */    MCD_OPC_Decode, 250, 5, 69, // Opcode: FSQRT_S
-/* 1807 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 1825
-/* 1811 */    MCD_OPC_CheckPredicate, 1, 49, 44, // Skip to: 13128
-/* 1815 */    MCD_OPC_CheckField, 16, 5, 0, 43, 44, // Skip to: 13128
-/* 1821 */    MCD_OPC_Decode, 248, 4, 69, // Opcode: FABS_S
-/* 1825 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1843
-/* 1829 */    MCD_OPC_CheckPredicate, 1, 31, 44, // Skip to: 13128
-/* 1833 */    MCD_OPC_CheckField, 16, 5, 0, 25, 44, // Skip to: 13128
-/* 1839 */    MCD_OPC_Decode, 212, 5, 69, // Opcode: FMOV_S
-/* 1843 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1861
-/* 1847 */    MCD_OPC_CheckPredicate, 1, 13, 44, // Skip to: 13128
-/* 1851 */    MCD_OPC_CheckField, 16, 5, 0, 7, 44, // Skip to: 13128
-/* 1857 */    MCD_OPC_Decode, 226, 5, 69, // Opcode: FNEG_S
-/* 1861 */    MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 1879
-/* 1865 */    MCD_OPC_CheckPredicate, 2, 251, 43, // Skip to: 13128
-/* 1869 */    MCD_OPC_CheckField, 16, 5, 0, 245, 43, // Skip to: 13128
-/* 1875 */    MCD_OPC_Decode, 168, 10, 69, // Opcode: ROUND_W_S
-/* 1879 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 1897
-/* 1883 */    MCD_OPC_CheckPredicate, 2, 233, 43, // Skip to: 13128
-/* 1887 */    MCD_OPC_CheckField, 16, 5, 0, 227, 43, // Skip to: 13128
-/* 1893 */    MCD_OPC_Decode, 240, 12, 69, // Opcode: TRUNC_W_S
-/* 1897 */    MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 1915
-/* 1901 */    MCD_OPC_CheckPredicate, 2, 215, 43, // Skip to: 13128
-/* 1905 */    MCD_OPC_CheckField, 16, 5, 0, 209, 43, // Skip to: 13128
-/* 1911 */    MCD_OPC_Decode, 182, 2, 69, // Opcode: CEIL_W_S
-/* 1915 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 1933
-/* 1919 */    MCD_OPC_CheckPredicate, 2, 197, 43, // Skip to: 13128
-/* 1923 */    MCD_OPC_CheckField, 16, 5, 0, 191, 43, // Skip to: 13128
-/* 1929 */    MCD_OPC_Decode, 197, 5, 69, // Opcode: FLOOR_W_S
-/* 1933 */    MCD_OPC_FilterValue, 17, 27, 0, // Skip to: 1964
-/* 1937 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
-/* 1940 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1952
-/* 1944 */    MCD_OPC_CheckPredicate, 5, 172, 43, // Skip to: 13128
-/* 1948 */    MCD_OPC_Decode, 174, 8, 70, // Opcode: MOVF_S
-/* 1952 */    MCD_OPC_FilterValue, 1, 164, 43, // Skip to: 13128
-/* 1956 */    MCD_OPC_CheckPredicate, 5, 160, 43, // Skip to: 13128
-/* 1960 */    MCD_OPC_Decode, 194, 8, 70, // Opcode: MOVT_S
-/* 1964 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 1976
-/* 1968 */    MCD_OPC_CheckPredicate, 5, 148, 43, // Skip to: 13128
-/* 1972 */    MCD_OPC_Decode, 206, 8, 71, // Opcode: MOVZ_I_S
-/* 1976 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 1988
-/* 1980 */    MCD_OPC_CheckPredicate, 5, 136, 43, // Skip to: 13128
-/* 1984 */    MCD_OPC_Decode, 186, 8, 71, // Opcode: MOVN_I_S
-/* 1988 */    MCD_OPC_FilterValue, 33, 14, 0, // Skip to: 2006
-/* 1992 */    MCD_OPC_CheckPredicate, 19, 124, 43, // Skip to: 13128
-/* 1996 */    MCD_OPC_CheckField, 16, 5, 0, 118, 43, // Skip to: 13128
-/* 2002 */    MCD_OPC_Decode, 167, 3, 72, // Opcode: CVT_D32_S
-/* 2006 */    MCD_OPC_FilterValue, 36, 14, 0, // Skip to: 2024
-/* 2010 */    MCD_OPC_CheckPredicate, 1, 106, 43, // Skip to: 13128
-/* 2014 */    MCD_OPC_CheckField, 16, 5, 0, 100, 43, // Skip to: 13128
-/* 2020 */    MCD_OPC_Decode, 187, 3, 69, // Opcode: CVT_W_S
-/* 2024 */    MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 2042
-/* 2028 */    MCD_OPC_CheckPredicate, 20, 88, 43, // Skip to: 13128
-/* 2032 */    MCD_OPC_CheckField, 16, 5, 0, 82, 43, // Skip to: 13128
-/* 2038 */    MCD_OPC_Decode, 176, 3, 73, // Opcode: CVT_L_S
-/* 2042 */    MCD_OPC_FilterValue, 48, 14, 0, // Skip to: 2060
-/* 2046 */    MCD_OPC_CheckPredicate, 12, 70, 43, // Skip to: 13128
-/* 2050 */    MCD_OPC_CheckField, 6, 5, 0, 64, 43, // Skip to: 13128
-/* 2056 */    MCD_OPC_Decode, 194, 3, 74, // Opcode: C_F_S
-/* 2060 */    MCD_OPC_FilterValue, 49, 14, 0, // Skip to: 2078
-/* 2064 */    MCD_OPC_CheckPredicate, 12, 52, 43, // Skip to: 13128
-/* 2068 */    MCD_OPC_CheckField, 6, 5, 0, 46, 43, // Skip to: 13128
-/* 2074 */    MCD_OPC_Decode, 236, 3, 74, // Opcode: C_UN_S
-/* 2078 */    MCD_OPC_FilterValue, 50, 14, 0, // Skip to: 2096
-/* 2082 */    MCD_OPC_CheckPredicate, 12, 34, 43, // Skip to: 13128
-/* 2086 */    MCD_OPC_CheckField, 6, 5, 0, 28, 43, // Skip to: 13128
-/* 2092 */    MCD_OPC_Decode, 191, 3, 74, // Opcode: C_EQ_S
-/* 2096 */    MCD_OPC_FilterValue, 51, 14, 0, // Skip to: 2114
-/* 2100 */    MCD_OPC_CheckPredicate, 12, 16, 43, // Skip to: 13128
-/* 2104 */    MCD_OPC_CheckField, 6, 5, 0, 10, 43, // Skip to: 13128
-/* 2110 */    MCD_OPC_Decode, 227, 3, 74, // Opcode: C_UEQ_S
-/* 2114 */    MCD_OPC_FilterValue, 52, 14, 0, // Skip to: 2132
-/* 2118 */    MCD_OPC_CheckPredicate, 12, 254, 42, // Skip to: 13128
-/* 2122 */    MCD_OPC_CheckField, 6, 5, 0, 248, 42, // Skip to: 13128
-/* 2128 */    MCD_OPC_Decode, 218, 3, 74, // Opcode: C_OLT_S
-/* 2132 */    MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 2150
-/* 2136 */    MCD_OPC_CheckPredicate, 12, 236, 42, // Skip to: 13128
-/* 2140 */    MCD_OPC_CheckField, 6, 5, 0, 230, 42, // Skip to: 13128
-/* 2146 */    MCD_OPC_Decode, 233, 3, 74, // Opcode: C_ULT_S
-/* 2150 */    MCD_OPC_FilterValue, 54, 14, 0, // Skip to: 2168
-/* 2154 */    MCD_OPC_CheckPredicate, 12, 218, 42, // Skip to: 13128
-/* 2158 */    MCD_OPC_CheckField, 6, 5, 0, 212, 42, // Skip to: 13128
-/* 2164 */    MCD_OPC_Decode, 215, 3, 74, // Opcode: C_OLE_S
-/* 2168 */    MCD_OPC_FilterValue, 55, 14, 0, // Skip to: 2186
-/* 2172 */    MCD_OPC_CheckPredicate, 12, 200, 42, // Skip to: 13128
-/* 2176 */    MCD_OPC_CheckField, 6, 5, 0, 194, 42, // Skip to: 13128
-/* 2182 */    MCD_OPC_Decode, 230, 3, 74, // Opcode: C_ULE_S
-/* 2186 */    MCD_OPC_FilterValue, 56, 14, 0, // Skip to: 2204
-/* 2190 */    MCD_OPC_CheckPredicate, 12, 182, 42, // Skip to: 13128
-/* 2194 */    MCD_OPC_CheckField, 6, 5, 0, 176, 42, // Skip to: 13128
-/* 2200 */    MCD_OPC_Decode, 224, 3, 74, // Opcode: C_SF_S
-/* 2204 */    MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 2222
-/* 2208 */    MCD_OPC_CheckPredicate, 12, 164, 42, // Skip to: 13128
-/* 2212 */    MCD_OPC_CheckField, 6, 5, 0, 158, 42, // Skip to: 13128
-/* 2218 */    MCD_OPC_Decode, 206, 3, 74, // Opcode: C_NGLE_S
-/* 2222 */    MCD_OPC_FilterValue, 58, 14, 0, // Skip to: 2240
-/* 2226 */    MCD_OPC_CheckPredicate, 12, 146, 42, // Skip to: 13128
-/* 2230 */    MCD_OPC_CheckField, 6, 5, 0, 140, 42, // Skip to: 13128
-/* 2236 */    MCD_OPC_Decode, 221, 3, 74, // Opcode: C_SEQ_S
-/* 2240 */    MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 2258
-/* 2244 */    MCD_OPC_CheckPredicate, 12, 128, 42, // Skip to: 13128
-/* 2248 */    MCD_OPC_CheckField, 6, 5, 0, 122, 42, // Skip to: 13128
-/* 2254 */    MCD_OPC_Decode, 209, 3, 74, // Opcode: C_NGL_S
-/* 2258 */    MCD_OPC_FilterValue, 60, 14, 0, // Skip to: 2276
-/* 2262 */    MCD_OPC_CheckPredicate, 12, 110, 42, // Skip to: 13128
-/* 2266 */    MCD_OPC_CheckField, 6, 5, 0, 104, 42, // Skip to: 13128
-/* 2272 */    MCD_OPC_Decode, 200, 3, 74, // Opcode: C_LT_S
-/* 2276 */    MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 2294
-/* 2280 */    MCD_OPC_CheckPredicate, 12, 92, 42, // Skip to: 13128
-/* 2284 */    MCD_OPC_CheckField, 6, 5, 0, 86, 42, // Skip to: 13128
-/* 2290 */    MCD_OPC_Decode, 203, 3, 74, // Opcode: C_NGE_S
-/* 2294 */    MCD_OPC_FilterValue, 62, 14, 0, // Skip to: 2312
-/* 2298 */    MCD_OPC_CheckPredicate, 12, 74, 42, // Skip to: 13128
-/* 2302 */    MCD_OPC_CheckField, 6, 5, 0, 68, 42, // Skip to: 13128
-/* 2308 */    MCD_OPC_Decode, 197, 3, 74, // Opcode: C_LE_S
-/* 2312 */    MCD_OPC_FilterValue, 63, 60, 42, // Skip to: 13128
-/* 2316 */    MCD_OPC_CheckPredicate, 12, 56, 42, // Skip to: 13128
-/* 2320 */    MCD_OPC_CheckField, 6, 5, 0, 50, 42, // Skip to: 13128
-/* 2326 */    MCD_OPC_Decode, 212, 3, 74, // Opcode: C_NGT_S
-/* 2330 */    MCD_OPC_FilterValue, 17, 80, 2, // Skip to: 2926
-/* 2334 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 2337 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2349
-/* 2341 */    MCD_OPC_CheckPredicate, 19, 31, 42, // Skip to: 13128
-/* 2345 */    MCD_OPC_Decode, 252, 4, 75, // Opcode: FADD_D32
-/* 2349 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 2361
-/* 2353 */    MCD_OPC_CheckPredicate, 19, 19, 42, // Skip to: 13128
-/* 2357 */    MCD_OPC_Decode, 254, 5, 75, // Opcode: FSUB_D32
-/* 2361 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 2373
-/* 2365 */    MCD_OPC_CheckPredicate, 19, 7, 42, // Skip to: 13128
-/* 2369 */    MCD_OPC_Decode, 217, 5, 75, // Opcode: FMUL_D32
-/* 2373 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 2385
-/* 2377 */    MCD_OPC_CheckPredicate, 19, 251, 41, // Skip to: 13128
-/* 2381 */    MCD_OPC_Decode, 160, 5, 75, // Opcode: FDIV_D32
-/* 2385 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 2403
-/* 2389 */    MCD_OPC_CheckPredicate, 21, 239, 41, // Skip to: 13128
-/* 2393 */    MCD_OPC_CheckField, 16, 5, 0, 233, 41, // Skip to: 13128
-/* 2399 */    MCD_OPC_Decode, 247, 5, 76, // Opcode: FSQRT_D32
-/* 2403 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 2421
-/* 2407 */    MCD_OPC_CheckPredicate, 19, 221, 41, // Skip to: 13128
-/* 2411 */    MCD_OPC_CheckField, 16, 5, 0, 215, 41, // Skip to: 13128
-/* 2417 */    MCD_OPC_Decode, 245, 4, 76, // Opcode: FABS_D32
-/* 2421 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 2439
-/* 2425 */    MCD_OPC_CheckPredicate, 19, 203, 41, // Skip to: 13128
-/* 2429 */    MCD_OPC_CheckField, 16, 5, 0, 197, 41, // Skip to: 13128
-/* 2435 */    MCD_OPC_Decode, 209, 5, 76, // Opcode: FMOV_D32
-/* 2439 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 2457
-/* 2443 */    MCD_OPC_CheckPredicate, 19, 185, 41, // Skip to: 13128
-/* 2447 */    MCD_OPC_CheckField, 16, 5, 0, 179, 41, // Skip to: 13128
-/* 2453 */    MCD_OPC_Decode, 223, 5, 76, // Opcode: FNEG_D32
-/* 2457 */    MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 2475
-/* 2461 */    MCD_OPC_CheckPredicate, 21, 167, 41, // Skip to: 13128
-/* 2465 */    MCD_OPC_CheckField, 16, 5, 0, 161, 41, // Skip to: 13128
-/* 2471 */    MCD_OPC_Decode, 165, 10, 77, // Opcode: ROUND_W_D32
-/* 2475 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 2493
-/* 2479 */    MCD_OPC_CheckPredicate, 21, 149, 41, // Skip to: 13128
-/* 2483 */    MCD_OPC_CheckField, 16, 5, 0, 143, 41, // Skip to: 13128
-/* 2489 */    MCD_OPC_Decode, 237, 12, 77, // Opcode: TRUNC_W_D32
-/* 2493 */    MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 2511
-/* 2497 */    MCD_OPC_CheckPredicate, 21, 131, 41, // Skip to: 13128
-/* 2501 */    MCD_OPC_CheckField, 16, 5, 0, 125, 41, // Skip to: 13128
-/* 2507 */    MCD_OPC_Decode, 179, 2, 77, // Opcode: CEIL_W_D32
-/* 2511 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 2529
-/* 2515 */    MCD_OPC_CheckPredicate, 21, 113, 41, // Skip to: 13128
-/* 2519 */    MCD_OPC_CheckField, 16, 5, 0, 107, 41, // Skip to: 13128
-/* 2525 */    MCD_OPC_Decode, 194, 5, 77, // Opcode: FLOOR_W_D32
-/* 2529 */    MCD_OPC_FilterValue, 17, 27, 0, // Skip to: 2560
-/* 2533 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
-/* 2536 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2548
-/* 2540 */    MCD_OPC_CheckPredicate, 22, 88, 41, // Skip to: 13128
-/* 2544 */    MCD_OPC_Decode, 168, 8, 78, // Opcode: MOVF_D32
-/* 2548 */    MCD_OPC_FilterValue, 1, 80, 41, // Skip to: 13128
-/* 2552 */    MCD_OPC_CheckPredicate, 22, 76, 41, // Skip to: 13128
-/* 2556 */    MCD_OPC_Decode, 188, 8, 78, // Opcode: MOVT_D32
-/* 2560 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 2572
-/* 2564 */    MCD_OPC_CheckPredicate, 22, 64, 41, // Skip to: 13128
-/* 2568 */    MCD_OPC_Decode, 200, 8, 79, // Opcode: MOVZ_I_D32
-/* 2572 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 2584
-/* 2576 */    MCD_OPC_CheckPredicate, 22, 52, 41, // Skip to: 13128
-/* 2580 */    MCD_OPC_Decode, 180, 8, 79, // Opcode: MOVN_I_D32
-/* 2584 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 2602
-/* 2588 */    MCD_OPC_CheckPredicate, 19, 40, 41, // Skip to: 13128
-/* 2592 */    MCD_OPC_CheckField, 16, 5, 0, 34, 41, // Skip to: 13128
-/* 2598 */    MCD_OPC_Decode, 178, 3, 77, // Opcode: CVT_S_D32
-/* 2602 */    MCD_OPC_FilterValue, 36, 14, 0, // Skip to: 2620
-/* 2606 */    MCD_OPC_CheckPredicate, 19, 22, 41, // Skip to: 13128
-/* 2610 */    MCD_OPC_CheckField, 16, 5, 0, 16, 41, // Skip to: 13128
-/* 2616 */    MCD_OPC_Decode, 184, 3, 77, // Opcode: CVT_W_D32
-/* 2620 */    MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 2638
-/* 2624 */    MCD_OPC_CheckPredicate, 20, 4, 41, // Skip to: 13128
-/* 2628 */    MCD_OPC_CheckField, 16, 5, 0, 254, 40, // Skip to: 13128
-/* 2634 */    MCD_OPC_Decode, 174, 3, 80, // Opcode: CVT_L_D64
-/* 2638 */    MCD_OPC_FilterValue, 48, 14, 0, // Skip to: 2656
-/* 2642 */    MCD_OPC_CheckPredicate, 23, 242, 40, // Skip to: 13128
-/* 2646 */    MCD_OPC_CheckField, 6, 5, 0, 236, 40, // Skip to: 13128
-/* 2652 */    MCD_OPC_Decode, 192, 3, 81, // Opcode: C_F_D32
-/* 2656 */    MCD_OPC_FilterValue, 49, 14, 0, // Skip to: 2674
-/* 2660 */    MCD_OPC_CheckPredicate, 23, 224, 40, // Skip to: 13128
-/* 2664 */    MCD_OPC_CheckField, 6, 5, 0, 218, 40, // Skip to: 13128
-/* 2670 */    MCD_OPC_Decode, 234, 3, 81, // Opcode: C_UN_D32
-/* 2674 */    MCD_OPC_FilterValue, 50, 14, 0, // Skip to: 2692
-/* 2678 */    MCD_OPC_CheckPredicate, 23, 206, 40, // Skip to: 13128
-/* 2682 */    MCD_OPC_CheckField, 6, 5, 0, 200, 40, // Skip to: 13128
-/* 2688 */    MCD_OPC_Decode, 189, 3, 81, // Opcode: C_EQ_D32
-/* 2692 */    MCD_OPC_FilterValue, 51, 14, 0, // Skip to: 2710
-/* 2696 */    MCD_OPC_CheckPredicate, 23, 188, 40, // Skip to: 13128
-/* 2700 */    MCD_OPC_CheckField, 6, 5, 0, 182, 40, // Skip to: 13128
-/* 2706 */    MCD_OPC_Decode, 225, 3, 81, // Opcode: C_UEQ_D32
-/* 2710 */    MCD_OPC_FilterValue, 52, 14, 0, // Skip to: 2728
-/* 2714 */    MCD_OPC_CheckPredicate, 23, 170, 40, // Skip to: 13128
-/* 2718 */    MCD_OPC_CheckField, 6, 5, 0, 164, 40, // Skip to: 13128
-/* 2724 */    MCD_OPC_Decode, 216, 3, 81, // Opcode: C_OLT_D32
-/* 2728 */    MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 2746
-/* 2732 */    MCD_OPC_CheckPredicate, 23, 152, 40, // Skip to: 13128
-/* 2736 */    MCD_OPC_CheckField, 6, 5, 0, 146, 40, // Skip to: 13128
-/* 2742 */    MCD_OPC_Decode, 231, 3, 81, // Opcode: C_ULT_D32
-/* 2746 */    MCD_OPC_FilterValue, 54, 14, 0, // Skip to: 2764
-/* 2750 */    MCD_OPC_CheckPredicate, 23, 134, 40, // Skip to: 13128
-/* 2754 */    MCD_OPC_CheckField, 6, 5, 0, 128, 40, // Skip to: 13128
-/* 2760 */    MCD_OPC_Decode, 213, 3, 81, // Opcode: C_OLE_D32
-/* 2764 */    MCD_OPC_FilterValue, 55, 14, 0, // Skip to: 2782
-/* 2768 */    MCD_OPC_CheckPredicate, 23, 116, 40, // Skip to: 13128
-/* 2772 */    MCD_OPC_CheckField, 6, 5, 0, 110, 40, // Skip to: 13128
-/* 2778 */    MCD_OPC_Decode, 228, 3, 81, // Opcode: C_ULE_D32
-/* 2782 */    MCD_OPC_FilterValue, 56, 14, 0, // Skip to: 2800
-/* 2786 */    MCD_OPC_CheckPredicate, 23, 98, 40, // Skip to: 13128
-/* 2790 */    MCD_OPC_CheckField, 6, 5, 0, 92, 40, // Skip to: 13128
-/* 2796 */    MCD_OPC_Decode, 222, 3, 81, // Opcode: C_SF_D32
-/* 2800 */    MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 2818
-/* 2804 */    MCD_OPC_CheckPredicate, 23, 80, 40, // Skip to: 13128
-/* 2808 */    MCD_OPC_CheckField, 6, 5, 0, 74, 40, // Skip to: 13128
-/* 2814 */    MCD_OPC_Decode, 204, 3, 81, // Opcode: C_NGLE_D32
-/* 2818 */    MCD_OPC_FilterValue, 58, 14, 0, // Skip to: 2836
-/* 2822 */    MCD_OPC_CheckPredicate, 23, 62, 40, // Skip to: 13128
-/* 2826 */    MCD_OPC_CheckField, 6, 5, 0, 56, 40, // Skip to: 13128
-/* 2832 */    MCD_OPC_Decode, 219, 3, 81, // Opcode: C_SEQ_D32
-/* 2836 */    MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 2854
-/* 2840 */    MCD_OPC_CheckPredicate, 23, 44, 40, // Skip to: 13128
-/* 2844 */    MCD_OPC_CheckField, 6, 5, 0, 38, 40, // Skip to: 13128
-/* 2850 */    MCD_OPC_Decode, 207, 3, 81, // Opcode: C_NGL_D32
-/* 2854 */    MCD_OPC_FilterValue, 60, 14, 0, // Skip to: 2872
-/* 2858 */    MCD_OPC_CheckPredicate, 23, 26, 40, // Skip to: 13128
-/* 2862 */    MCD_OPC_CheckField, 6, 5, 0, 20, 40, // Skip to: 13128
-/* 2868 */    MCD_OPC_Decode, 198, 3, 81, // Opcode: C_LT_D32
-/* 2872 */    MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 2890
-/* 2876 */    MCD_OPC_CheckPredicate, 23, 8, 40, // Skip to: 13128
-/* 2880 */    MCD_OPC_CheckField, 6, 5, 0, 2, 40, // Skip to: 13128
-/* 2886 */    MCD_OPC_Decode, 201, 3, 81, // Opcode: C_NGE_D32
-/* 2890 */    MCD_OPC_FilterValue, 62, 14, 0, // Skip to: 2908
-/* 2894 */    MCD_OPC_CheckPredicate, 23, 246, 39, // Skip to: 13128
-/* 2898 */    MCD_OPC_CheckField, 6, 5, 0, 240, 39, // Skip to: 13128
-/* 2904 */    MCD_OPC_Decode, 195, 3, 81, // Opcode: C_LE_D32
-/* 2908 */    MCD_OPC_FilterValue, 63, 232, 39, // Skip to: 13128
-/* 2912 */    MCD_OPC_CheckPredicate, 23, 228, 39, // Skip to: 13128
-/* 2916 */    MCD_OPC_CheckField, 6, 5, 0, 222, 39, // Skip to: 13128
-/* 2922 */    MCD_OPC_Decode, 210, 3, 81, // Opcode: C_NGT_D32
-/* 2926 */    MCD_OPC_FilterValue, 20, 39, 0, // Skip to: 2969
-/* 2930 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 2933 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 2951
-/* 2937 */    MCD_OPC_CheckPredicate, 1, 203, 39, // Skip to: 13128
-/* 2941 */    MCD_OPC_CheckField, 16, 5, 0, 197, 39, // Skip to: 13128
-/* 2947 */    MCD_OPC_Decode, 182, 3, 69, // Opcode: CVT_S_W
-/* 2951 */    MCD_OPC_FilterValue, 33, 189, 39, // Skip to: 13128
-/* 2955 */    MCD_OPC_CheckPredicate, 19, 185, 39, // Skip to: 13128
-/* 2959 */    MCD_OPC_CheckField, 16, 5, 0, 179, 39, // Skip to: 13128
-/* 2965 */    MCD_OPC_Decode, 168, 3, 72, // Opcode: CVT_D32_W
-/* 2969 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 2981
-/* 2973 */    MCD_OPC_CheckPredicate, 6, 167, 39, // Skip to: 13128
-/* 2977 */    MCD_OPC_Decode, 145, 2, 67, // Opcode: BZ_B
-/* 2981 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 2993
-/* 2985 */    MCD_OPC_CheckPredicate, 6, 155, 39, // Skip to: 13128
-/* 2989 */    MCD_OPC_Decode, 147, 2, 82, // Opcode: BZ_H
-/* 2993 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 3005
-/* 2997 */    MCD_OPC_CheckPredicate, 6, 143, 39, // Skip to: 13128
-/* 3001 */    MCD_OPC_Decode, 149, 2, 83, // Opcode: BZ_W
-/* 3005 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 3017
-/* 3009 */    MCD_OPC_CheckPredicate, 6, 131, 39, // Skip to: 13128
-/* 3013 */    MCD_OPC_Decode, 146, 2, 84, // Opcode: BZ_D
-/* 3017 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 3029
-/* 3021 */    MCD_OPC_CheckPredicate, 6, 119, 39, // Skip to: 13128
-/* 3025 */    MCD_OPC_Decode, 248, 1, 67, // Opcode: BNZ_B
-/* 3029 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 3041
-/* 3033 */    MCD_OPC_CheckPredicate, 6, 107, 39, // Skip to: 13128
-/* 3037 */    MCD_OPC_Decode, 250, 1, 82, // Opcode: BNZ_H
-/* 3041 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 3053
-/* 3045 */    MCD_OPC_CheckPredicate, 6, 95, 39, // Skip to: 13128
-/* 3049 */    MCD_OPC_Decode, 252, 1, 83, // Opcode: BNZ_W
-/* 3053 */    MCD_OPC_FilterValue, 31, 87, 39, // Skip to: 13128
-/* 3057 */    MCD_OPC_CheckPredicate, 6, 83, 39, // Skip to: 13128
-/* 3061 */    MCD_OPC_Decode, 249, 1, 84, // Opcode: BNZ_D
-/* 3065 */    MCD_OPC_FilterValue, 18, 39, 0, // Skip to: 3108
-/* 3069 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 3072 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 3090
-/* 3076 */    MCD_OPC_CheckPredicate, 1, 64, 39, // Skip to: 13128
-/* 3080 */    MCD_OPC_CheckField, 3, 8, 0, 58, 39, // Skip to: 13128
-/* 3086 */    MCD_OPC_Decode, 243, 7, 57, // Opcode: MFC2
-/* 3090 */    MCD_OPC_FilterValue, 4, 50, 39, // Skip to: 13128
-/* 3094 */    MCD_OPC_CheckPredicate, 1, 46, 39, // Skip to: 13128
-/* 3098 */    MCD_OPC_CheckField, 3, 8, 0, 40, 39, // Skip to: 13128
-/* 3104 */    MCD_OPC_Decode, 232, 8, 57, // Opcode: MTC2
-/* 3108 */    MCD_OPC_FilterValue, 19, 207, 0, // Skip to: 3319
-/* 3112 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 3115 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 3133
-/* 3119 */    MCD_OPC_CheckPredicate, 24, 21, 39, // Skip to: 13128
-/* 3123 */    MCD_OPC_CheckField, 11, 5, 0, 15, 39, // Skip to: 13128
-/* 3129 */    MCD_OPC_Decode, 171, 7, 85, // Opcode: LWXC1
-/* 3133 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 3151
-/* 3137 */    MCD_OPC_CheckPredicate, 25, 3, 39, // Skip to: 13128
-/* 3141 */    MCD_OPC_CheckField, 11, 5, 0, 253, 38, // Skip to: 13128
-/* 3147 */    MCD_OPC_Decode, 246, 6, 86, // Opcode: LDXC1
-/* 3151 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 3169
-/* 3155 */    MCD_OPC_CheckPredicate, 26, 241, 38, // Skip to: 13128
-/* 3159 */    MCD_OPC_CheckField, 11, 5, 0, 235, 38, // Skip to: 13128
-/* 3165 */    MCD_OPC_Decode, 148, 7, 86, // Opcode: LUXC1
-/* 3169 */    MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 3187
-/* 3173 */    MCD_OPC_CheckPredicate, 24, 223, 38, // Skip to: 13128
-/* 3177 */    MCD_OPC_CheckField, 6, 5, 0, 217, 38, // Skip to: 13128
-/* 3183 */    MCD_OPC_Decode, 152, 12, 87, // Opcode: SWXC1
-/* 3187 */    MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 3205
-/* 3191 */    MCD_OPC_CheckPredicate, 25, 205, 38, // Skip to: 13128
-/* 3195 */    MCD_OPC_CheckField, 6, 5, 0, 199, 38, // Skip to: 13128
-/* 3201 */    MCD_OPC_Decode, 203, 10, 88, // Opcode: SDXC1
-/* 3205 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 3223
-/* 3209 */    MCD_OPC_CheckPredicate, 26, 187, 38, // Skip to: 13128
-/* 3213 */    MCD_OPC_CheckField, 6, 5, 0, 181, 38, // Skip to: 13128
-/* 3219 */    MCD_OPC_Decode, 136, 12, 88, // Opcode: SUXC1
-/* 3223 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 3235
-/* 3227 */    MCD_OPC_CheckPredicate, 27, 169, 38, // Skip to: 13128
-/* 3231 */    MCD_OPC_Decode, 210, 7, 89, // Opcode: MADD_S
-/* 3235 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 3247
-/* 3239 */    MCD_OPC_CheckPredicate, 28, 157, 38, // Skip to: 13128
-/* 3243 */    MCD_OPC_Decode, 203, 7, 90, // Opcode: MADD_D32
-/* 3247 */    MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 3259
-/* 3251 */    MCD_OPC_CheckPredicate, 27, 145, 38, // Skip to: 13128
-/* 3255 */    MCD_OPC_Decode, 227, 8, 89, // Opcode: MSUB_S
-/* 3259 */    MCD_OPC_FilterValue, 41, 8, 0, // Skip to: 3271
-/* 3263 */    MCD_OPC_CheckPredicate, 28, 133, 38, // Skip to: 13128
-/* 3267 */    MCD_OPC_Decode, 220, 8, 90, // Opcode: MSUB_D32
-/* 3271 */    MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 3283
-/* 3275 */    MCD_OPC_CheckPredicate, 27, 121, 38, // Skip to: 13128
-/* 3279 */    MCD_OPC_Decode, 174, 9, 89, // Opcode: NMADD_S
-/* 3283 */    MCD_OPC_FilterValue, 49, 8, 0, // Skip to: 3295
-/* 3287 */    MCD_OPC_CheckPredicate, 28, 109, 38, // Skip to: 13128
-/* 3291 */    MCD_OPC_Decode, 171, 9, 90, // Opcode: NMADD_D32
-/* 3295 */    MCD_OPC_FilterValue, 56, 8, 0, // Skip to: 3307
-/* 3299 */    MCD_OPC_CheckPredicate, 27, 97, 38, // Skip to: 13128
-/* 3303 */    MCD_OPC_Decode, 179, 9, 89, // Opcode: NMSUB_S
-/* 3307 */    MCD_OPC_FilterValue, 57, 89, 38, // Skip to: 13128
-/* 3311 */    MCD_OPC_CheckPredicate, 28, 85, 38, // Skip to: 13128
-/* 3315 */    MCD_OPC_Decode, 176, 9, 90, // Opcode: NMSUB_D32
-/* 3319 */    MCD_OPC_FilterValue, 28, 229, 0, // Skip to: 3552
-/* 3323 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 3326 */    MCD_OPC_FilterValue, 0, 36, 0, // Skip to: 3366
-/* 3330 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 3333 */    MCD_OPC_FilterValue, 0, 63, 38, // Skip to: 13128
-/* 3337 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 3340 */    MCD_OPC_FilterValue, 0, 56, 38, // Skip to: 13128
-/* 3344 */    MCD_OPC_CheckPredicate, 7, 10, 0, // Skip to: 3358
-/* 3348 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3358
-/* 3354 */    MCD_OPC_Decode, 191, 7, 23, // Opcode: MADD
-/* 3358 */    MCD_OPC_CheckPredicate, 11, 38, 38, // Skip to: 13128
-/* 3362 */    MCD_OPC_Decode, 206, 7, 91, // Opcode: MADD_DSP
-/* 3366 */    MCD_OPC_FilterValue, 1, 36, 0, // Skip to: 3406
-/* 3370 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 3373 */    MCD_OPC_FilterValue, 0, 23, 38, // Skip to: 13128
-/* 3377 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 3380 */    MCD_OPC_FilterValue, 0, 16, 38, // Skip to: 13128
-/* 3384 */    MCD_OPC_CheckPredicate, 7, 10, 0, // Skip to: 3398
-/* 3388 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3398
-/* 3394 */    MCD_OPC_Decode, 196, 7, 23, // Opcode: MADDU
-/* 3398 */    MCD_OPC_CheckPredicate, 11, 254, 37, // Skip to: 13128
-/* 3402 */    MCD_OPC_Decode, 197, 7, 91, // Opcode: MADDU_DSP
-/* 3406 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 3424
-/* 3410 */    MCD_OPC_CheckPredicate, 7, 242, 37, // Skip to: 13128
-/* 3414 */    MCD_OPC_CheckField, 6, 5, 0, 236, 37, // Skip to: 13128
-/* 3420 */    MCD_OPC_Decode, 253, 8, 16, // Opcode: MUL
-/* 3424 */    MCD_OPC_FilterValue, 4, 36, 0, // Skip to: 3464
-/* 3428 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 3431 */    MCD_OPC_FilterValue, 0, 221, 37, // Skip to: 13128
-/* 3435 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 3438 */    MCD_OPC_FilterValue, 0, 214, 37, // Skip to: 13128
-/* 3442 */    MCD_OPC_CheckPredicate, 7, 10, 0, // Skip to: 3456
-/* 3446 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3456
-/* 3452 */    MCD_OPC_Decode, 208, 8, 23, // Opcode: MSUB
-/* 3456 */    MCD_OPC_CheckPredicate, 11, 196, 37, // Skip to: 13128
-/* 3460 */    MCD_OPC_Decode, 223, 8, 91, // Opcode: MSUB_DSP
-/* 3464 */    MCD_OPC_FilterValue, 5, 36, 0, // Skip to: 3504
-/* 3468 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 3471 */    MCD_OPC_FilterValue, 0, 181, 37, // Skip to: 13128
-/* 3475 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 3478 */    MCD_OPC_FilterValue, 0, 174, 37, // Skip to: 13128
-/* 3482 */    MCD_OPC_CheckPredicate, 7, 10, 0, // Skip to: 3496
-/* 3486 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3496
-/* 3492 */    MCD_OPC_Decode, 213, 8, 23, // Opcode: MSUBU
-/* 3496 */    MCD_OPC_CheckPredicate, 11, 156, 37, // Skip to: 13128
-/* 3500 */    MCD_OPC_Decode, 214, 8, 91, // Opcode: MSUBU_DSP
-/* 3504 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 3522
-/* 3508 */    MCD_OPC_CheckPredicate, 7, 144, 37, // Skip to: 13128
-/* 3512 */    MCD_OPC_CheckField, 6, 5, 0, 138, 37, // Skip to: 13128
-/* 3518 */    MCD_OPC_Decode, 234, 2, 92, // Opcode: CLZ
-/* 3522 */    MCD_OPC_FilterValue, 33, 14, 0, // Skip to: 3540
-/* 3526 */    MCD_OPC_CheckPredicate, 7, 126, 37, // Skip to: 13128
-/* 3530 */    MCD_OPC_CheckField, 6, 5, 0, 120, 37, // Skip to: 13128
-/* 3536 */    MCD_OPC_Decode, 215, 2, 92, // Opcode: CLO
-/* 3540 */    MCD_OPC_FilterValue, 63, 112, 37, // Skip to: 13128
-/* 3544 */    MCD_OPC_CheckPredicate, 7, 108, 37, // Skip to: 13128
-/* 3548 */    MCD_OPC_Decode, 191, 10, 41, // Opcode: SDBBP
-/* 3552 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 3564
-/* 3556 */    MCD_OPC_CheckPredicate, 7, 96, 37, // Skip to: 13128
-/* 3560 */    MCD_OPC_Decode, 209, 6, 53, // Opcode: JALX
-/* 3564 */    MCD_OPC_FilterValue, 30, 179, 26, // Skip to: 10403
-/* 3568 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 3571 */    MCD_OPC_FilterValue, 0, 50, 0, // Skip to: 3625
-/* 3575 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 3578 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3589
-/* 3582 */    MCD_OPC_CheckPredicate, 6, 70, 37, // Skip to: 13128
-/* 3586 */    MCD_OPC_Decode, 77, 93, // Opcode: ANDI_B
-/* 3589 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3601
-/* 3593 */    MCD_OPC_CheckPredicate, 6, 59, 37, // Skip to: 13128
-/* 3597 */    MCD_OPC_Decode, 194, 9, 93, // Opcode: ORI_B
-/* 3601 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3613
-/* 3605 */    MCD_OPC_CheckPredicate, 6, 47, 37, // Skip to: 13128
-/* 3609 */    MCD_OPC_Decode, 184, 9, 93, // Opcode: NORI_B
-/* 3613 */    MCD_OPC_FilterValue, 3, 39, 37, // Skip to: 13128
-/* 3617 */    MCD_OPC_CheckPredicate, 6, 35, 37, // Skip to: 13128
-/* 3621 */    MCD_OPC_Decode, 131, 13, 93, // Opcode: XORI_B
-/* 3625 */    MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 3668
-/* 3629 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 3632 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3644
-/* 3636 */    MCD_OPC_CheckPredicate, 6, 16, 37, // Skip to: 13128
-/* 3640 */    MCD_OPC_Decode, 229, 1, 94, // Opcode: BMNZI_B
-/* 3644 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3656
-/* 3648 */    MCD_OPC_CheckPredicate, 6, 4, 37, // Skip to: 13128
-/* 3652 */    MCD_OPC_Decode, 231, 1, 94, // Opcode: BMZI_B
-/* 3656 */    MCD_OPC_FilterValue, 2, 252, 36, // Skip to: 13128
-/* 3660 */    MCD_OPC_CheckPredicate, 6, 248, 36, // Skip to: 13128
-/* 3664 */    MCD_OPC_Decode, 130, 2, 94, // Opcode: BSELI_B
-/* 3668 */    MCD_OPC_FilterValue, 2, 39, 0, // Skip to: 3711
-/* 3672 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 3675 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3687
-/* 3679 */    MCD_OPC_CheckPredicate, 6, 229, 36, // Skip to: 13128
-/* 3683 */    MCD_OPC_Decode, 225, 10, 93, // Opcode: SHF_B
-/* 3687 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3699
-/* 3691 */    MCD_OPC_CheckPredicate, 6, 217, 36, // Skip to: 13128
-/* 3695 */    MCD_OPC_Decode, 226, 10, 95, // Opcode: SHF_H
-/* 3699 */    MCD_OPC_FilterValue, 2, 209, 36, // Skip to: 13128
-/* 3703 */    MCD_OPC_CheckPredicate, 6, 205, 36, // Skip to: 13128
-/* 3707 */    MCD_OPC_Decode, 227, 10, 96, // Opcode: SHF_W
-/* 3711 */    MCD_OPC_FilterValue, 6, 31, 1, // Skip to: 4002
-/* 3715 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 3718 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3729
-/* 3722 */    MCD_OPC_CheckPredicate, 6, 186, 36, // Skip to: 13128
-/* 3726 */    MCD_OPC_Decode, 51, 97, // Opcode: ADDVI_B
-/* 3729 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 3740
-/* 3733 */    MCD_OPC_CheckPredicate, 6, 175, 36, // Skip to: 13128
-/* 3737 */    MCD_OPC_Decode, 53, 98, // Opcode: ADDVI_H
-/* 3740 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 3751
-/* 3744 */    MCD_OPC_CheckPredicate, 6, 164, 36, // Skip to: 13128
-/* 3748 */    MCD_OPC_Decode, 54, 99, // Opcode: ADDVI_W
-/* 3751 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 3762
-/* 3755 */    MCD_OPC_CheckPredicate, 6, 153, 36, // Skip to: 13128
-/* 3759 */    MCD_OPC_Decode, 52, 100, // Opcode: ADDVI_D
-/* 3762 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 3774
-/* 3766 */    MCD_OPC_CheckPredicate, 6, 142, 36, // Skip to: 13128
-/* 3770 */    MCD_OPC_Decode, 253, 11, 97, // Opcode: SUBVI_B
-/* 3774 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 3786
-/* 3778 */    MCD_OPC_CheckPredicate, 6, 130, 36, // Skip to: 13128
-/* 3782 */    MCD_OPC_Decode, 255, 11, 98, // Opcode: SUBVI_H
-/* 3786 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 3798
-/* 3790 */    MCD_OPC_CheckPredicate, 6, 118, 36, // Skip to: 13128
-/* 3794 */    MCD_OPC_Decode, 128, 12, 99, // Opcode: SUBVI_W
-/* 3798 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 3810
-/* 3802 */    MCD_OPC_CheckPredicate, 6, 106, 36, // Skip to: 13128
-/* 3806 */    MCD_OPC_Decode, 254, 11, 100, // Opcode: SUBVI_D
-/* 3810 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 3822
-/* 3814 */    MCD_OPC_CheckPredicate, 6, 94, 36, // Skip to: 13128
-/* 3818 */    MCD_OPC_Decode, 218, 7, 97, // Opcode: MAXI_S_B
-/* 3822 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 3834
-/* 3826 */    MCD_OPC_CheckPredicate, 6, 82, 36, // Skip to: 13128
-/* 3830 */    MCD_OPC_Decode, 220, 7, 98, // Opcode: MAXI_S_H
-/* 3834 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 3846
-/* 3838 */    MCD_OPC_CheckPredicate, 6, 70, 36, // Skip to: 13128
-/* 3842 */    MCD_OPC_Decode, 221, 7, 99, // Opcode: MAXI_S_W
-/* 3846 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 3858
-/* 3850 */    MCD_OPC_CheckPredicate, 6, 58, 36, // Skip to: 13128
-/* 3854 */    MCD_OPC_Decode, 219, 7, 100, // Opcode: MAXI_S_D
-/* 3858 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 3870
-/* 3862 */    MCD_OPC_CheckPredicate, 6, 46, 36, // Skip to: 13128
-/* 3866 */    MCD_OPC_Decode, 222, 7, 97, // Opcode: MAXI_U_B
-/* 3870 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 3882
-/* 3874 */    MCD_OPC_CheckPredicate, 6, 34, 36, // Skip to: 13128
-/* 3878 */    MCD_OPC_Decode, 224, 7, 98, // Opcode: MAXI_U_H
-/* 3882 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 3894
-/* 3886 */    MCD_OPC_CheckPredicate, 6, 22, 36, // Skip to: 13128
-/* 3890 */    MCD_OPC_Decode, 225, 7, 99, // Opcode: MAXI_U_W
-/* 3894 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 3906
-/* 3898 */    MCD_OPC_CheckPredicate, 6, 10, 36, // Skip to: 13128
-/* 3902 */    MCD_OPC_Decode, 223, 7, 100, // Opcode: MAXI_U_D
-/* 3906 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 3918
-/* 3910 */    MCD_OPC_CheckPredicate, 6, 254, 35, // Skip to: 13128
-/* 3914 */    MCD_OPC_Decode, 131, 8, 97, // Opcode: MINI_S_B
-/* 3918 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 3930
-/* 3922 */    MCD_OPC_CheckPredicate, 6, 242, 35, // Skip to: 13128
-/* 3926 */    MCD_OPC_Decode, 133, 8, 98, // Opcode: MINI_S_H
-/* 3930 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 3942
-/* 3934 */    MCD_OPC_CheckPredicate, 6, 230, 35, // Skip to: 13128
-/* 3938 */    MCD_OPC_Decode, 134, 8, 99, // Opcode: MINI_S_W
-/* 3942 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 3954
-/* 3946 */    MCD_OPC_CheckPredicate, 6, 218, 35, // Skip to: 13128
-/* 3950 */    MCD_OPC_Decode, 132, 8, 100, // Opcode: MINI_S_D
-/* 3954 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 3966
-/* 3958 */    MCD_OPC_CheckPredicate, 6, 206, 35, // Skip to: 13128
-/* 3962 */    MCD_OPC_Decode, 135, 8, 97, // Opcode: MINI_U_B
-/* 3966 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 3978
-/* 3970 */    MCD_OPC_CheckPredicate, 6, 194, 35, // Skip to: 13128
-/* 3974 */    MCD_OPC_Decode, 137, 8, 98, // Opcode: MINI_U_H
-/* 3978 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 3990
-/* 3982 */    MCD_OPC_CheckPredicate, 6, 182, 35, // Skip to: 13128
-/* 3986 */    MCD_OPC_Decode, 138, 8, 99, // Opcode: MINI_U_W
-/* 3990 */    MCD_OPC_FilterValue, 23, 174, 35, // Skip to: 13128
-/* 3994 */    MCD_OPC_CheckPredicate, 6, 170, 35, // Skip to: 13128
-/* 3998 */    MCD_OPC_Decode, 136, 8, 100, // Opcode: MINI_U_D
-/* 4002 */    MCD_OPC_FilterValue, 7, 35, 1, // Skip to: 4297
-/* 4006 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 4009 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4021
-/* 4013 */    MCD_OPC_CheckPredicate, 6, 151, 35, // Skip to: 13128
-/* 4017 */    MCD_OPC_Decode, 184, 2, 97, // Opcode: CEQI_B
-/* 4021 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 4033
-/* 4025 */    MCD_OPC_CheckPredicate, 6, 139, 35, // Skip to: 13128
-/* 4029 */    MCD_OPC_Decode, 186, 2, 98, // Opcode: CEQI_H
-/* 4033 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4045
-/* 4037 */    MCD_OPC_CheckPredicate, 6, 127, 35, // Skip to: 13128
-/* 4041 */    MCD_OPC_Decode, 187, 2, 99, // Opcode: CEQI_W
-/* 4045 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 4057
-/* 4049 */    MCD_OPC_CheckPredicate, 6, 115, 35, // Skip to: 13128
-/* 4053 */    MCD_OPC_Decode, 185, 2, 100, // Opcode: CEQI_D
-/* 4057 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 4069
-/* 4061 */    MCD_OPC_CheckPredicate, 6, 103, 35, // Skip to: 13128
-/* 4065 */    MCD_OPC_Decode, 218, 2, 97, // Opcode: CLTI_S_B
-/* 4069 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 4081
-/* 4073 */    MCD_OPC_CheckPredicate, 6, 91, 35, // Skip to: 13128
-/* 4077 */    MCD_OPC_Decode, 220, 2, 98, // Opcode: CLTI_S_H
-/* 4081 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 4093
-/* 4085 */    MCD_OPC_CheckPredicate, 6, 79, 35, // Skip to: 13128
-/* 4089 */    MCD_OPC_Decode, 221, 2, 99, // Opcode: CLTI_S_W
-/* 4093 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 4105
-/* 4097 */    MCD_OPC_CheckPredicate, 6, 67, 35, // Skip to: 13128
-/* 4101 */    MCD_OPC_Decode, 219, 2, 100, // Opcode: CLTI_S_D
-/* 4105 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 4117
-/* 4109 */    MCD_OPC_CheckPredicate, 6, 55, 35, // Skip to: 13128
-/* 4113 */    MCD_OPC_Decode, 222, 2, 97, // Opcode: CLTI_U_B
-/* 4117 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 4129
-/* 4121 */    MCD_OPC_CheckPredicate, 6, 43, 35, // Skip to: 13128
-/* 4125 */    MCD_OPC_Decode, 224, 2, 98, // Opcode: CLTI_U_H
-/* 4129 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 4141
-/* 4133 */    MCD_OPC_CheckPredicate, 6, 31, 35, // Skip to: 13128
-/* 4137 */    MCD_OPC_Decode, 225, 2, 99, // Opcode: CLTI_U_W
-/* 4141 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 4153
-/* 4145 */    MCD_OPC_CheckPredicate, 6, 19, 35, // Skip to: 13128
-/* 4149 */    MCD_OPC_Decode, 223, 2, 100, // Opcode: CLTI_U_D
-/* 4153 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 4165
-/* 4157 */    MCD_OPC_CheckPredicate, 6, 7, 35, // Skip to: 13128
-/* 4161 */    MCD_OPC_Decode, 199, 2, 97, // Opcode: CLEI_S_B
-/* 4165 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 4177
-/* 4169 */    MCD_OPC_CheckPredicate, 6, 251, 34, // Skip to: 13128
-/* 4173 */    MCD_OPC_Decode, 201, 2, 98, // Opcode: CLEI_S_H
-/* 4177 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 4189
-/* 4181 */    MCD_OPC_CheckPredicate, 6, 239, 34, // Skip to: 13128
-/* 4185 */    MCD_OPC_Decode, 202, 2, 99, // Opcode: CLEI_S_W
-/* 4189 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 4201
-/* 4193 */    MCD_OPC_CheckPredicate, 6, 227, 34, // Skip to: 13128
-/* 4197 */    MCD_OPC_Decode, 200, 2, 100, // Opcode: CLEI_S_D
-/* 4201 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 4213
-/* 4205 */    MCD_OPC_CheckPredicate, 6, 215, 34, // Skip to: 13128
-/* 4209 */    MCD_OPC_Decode, 203, 2, 97, // Opcode: CLEI_U_B
-/* 4213 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 4225
-/* 4217 */    MCD_OPC_CheckPredicate, 6, 203, 34, // Skip to: 13128
-/* 4221 */    MCD_OPC_Decode, 205, 2, 98, // Opcode: CLEI_U_H
-/* 4225 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 4237
-/* 4229 */    MCD_OPC_CheckPredicate, 6, 191, 34, // Skip to: 13128
-/* 4233 */    MCD_OPC_Decode, 206, 2, 99, // Opcode: CLEI_U_W
-/* 4237 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 4249
-/* 4241 */    MCD_OPC_CheckPredicate, 6, 179, 34, // Skip to: 13128
-/* 4245 */    MCD_OPC_Decode, 204, 2, 100, // Opcode: CLEI_U_D
-/* 4249 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 4261
-/* 4253 */    MCD_OPC_CheckPredicate, 6, 167, 34, // Skip to: 13128
-/* 4257 */    MCD_OPC_Decode, 239, 6, 101, // Opcode: LDI_B
-/* 4261 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 4273
-/* 4265 */    MCD_OPC_CheckPredicate, 6, 155, 34, // Skip to: 13128
-/* 4269 */    MCD_OPC_Decode, 241, 6, 102, // Opcode: LDI_H
-/* 4273 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 4285
-/* 4277 */    MCD_OPC_CheckPredicate, 6, 143, 34, // Skip to: 13128
-/* 4281 */    MCD_OPC_Decode, 242, 6, 103, // Opcode: LDI_W
-/* 4285 */    MCD_OPC_FilterValue, 27, 135, 34, // Skip to: 13128
-/* 4289 */    MCD_OPC_CheckPredicate, 6, 131, 34, // Skip to: 13128
-/* 4293 */    MCD_OPC_Decode, 240, 6, 104, // Opcode: LDI_D
-/* 4297 */    MCD_OPC_FilterValue, 9, 35, 2, // Skip to: 4848
-/* 4301 */    MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 4304 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4316
-/* 4308 */    MCD_OPC_CheckPredicate, 6, 112, 34, // Skip to: 13128
-/* 4312 */    MCD_OPC_Decode, 137, 11, 105, // Opcode: SLLI_D
-/* 4316 */    MCD_OPC_FilterValue, 1, 52, 0, // Skip to: 4372
-/* 4320 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4323 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4335
-/* 4327 */    MCD_OPC_CheckPredicate, 6, 93, 34, // Skip to: 13128
-/* 4331 */    MCD_OPC_Decode, 139, 11, 99, // Opcode: SLLI_W
-/* 4335 */    MCD_OPC_FilterValue, 1, 85, 34, // Skip to: 13128
-/* 4339 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4342 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4354
-/* 4346 */    MCD_OPC_CheckPredicate, 6, 74, 34, // Skip to: 13128
-/* 4350 */    MCD_OPC_Decode, 138, 11, 106, // Opcode: SLLI_H
-/* 4354 */    MCD_OPC_FilterValue, 1, 66, 34, // Skip to: 13128
-/* 4358 */    MCD_OPC_CheckPredicate, 6, 62, 34, // Skip to: 13128
-/* 4362 */    MCD_OPC_CheckField, 19, 1, 0, 56, 34, // Skip to: 13128
-/* 4368 */    MCD_OPC_Decode, 136, 11, 107, // Opcode: SLLI_B
-/* 4372 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4384
-/* 4376 */    MCD_OPC_CheckPredicate, 6, 44, 34, // Skip to: 13128
-/* 4380 */    MCD_OPC_Decode, 176, 11, 105, // Opcode: SRAI_D
-/* 4384 */    MCD_OPC_FilterValue, 3, 52, 0, // Skip to: 4440
-/* 4388 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4391 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4403
-/* 4395 */    MCD_OPC_CheckPredicate, 6, 25, 34, // Skip to: 13128
-/* 4399 */    MCD_OPC_Decode, 178, 11, 99, // Opcode: SRAI_W
-/* 4403 */    MCD_OPC_FilterValue, 1, 17, 34, // Skip to: 13128
-/* 4407 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4410 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4422
-/* 4414 */    MCD_OPC_CheckPredicate, 6, 6, 34, // Skip to: 13128
-/* 4418 */    MCD_OPC_Decode, 177, 11, 106, // Opcode: SRAI_H
-/* 4422 */    MCD_OPC_FilterValue, 1, 254, 33, // Skip to: 13128
-/* 4426 */    MCD_OPC_CheckPredicate, 6, 250, 33, // Skip to: 13128
-/* 4430 */    MCD_OPC_CheckField, 19, 1, 0, 244, 33, // Skip to: 13128
-/* 4436 */    MCD_OPC_Decode, 175, 11, 107, // Opcode: SRAI_B
-/* 4440 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 4452
-/* 4444 */    MCD_OPC_CheckPredicate, 6, 232, 33, // Skip to: 13128
-/* 4448 */    MCD_OPC_Decode, 196, 11, 105, // Opcode: SRLI_D
-/* 4452 */    MCD_OPC_FilterValue, 5, 52, 0, // Skip to: 4508
-/* 4456 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4459 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4471
-/* 4463 */    MCD_OPC_CheckPredicate, 6, 213, 33, // Skip to: 13128
-/* 4467 */    MCD_OPC_Decode, 198, 11, 99, // Opcode: SRLI_W
-/* 4471 */    MCD_OPC_FilterValue, 1, 205, 33, // Skip to: 13128
-/* 4475 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4478 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4490
-/* 4482 */    MCD_OPC_CheckPredicate, 6, 194, 33, // Skip to: 13128
-/* 4486 */    MCD_OPC_Decode, 197, 11, 106, // Opcode: SRLI_H
-/* 4490 */    MCD_OPC_FilterValue, 1, 186, 33, // Skip to: 13128
-/* 4494 */    MCD_OPC_CheckPredicate, 6, 182, 33, // Skip to: 13128
-/* 4498 */    MCD_OPC_CheckField, 19, 1, 0, 176, 33, // Skip to: 13128
-/* 4504 */    MCD_OPC_Decode, 195, 11, 107, // Opcode: SRLI_B
-/* 4508 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 4520
-/* 4512 */    MCD_OPC_CheckPredicate, 6, 164, 33, // Skip to: 13128
-/* 4516 */    MCD_OPC_Decode, 170, 1, 105, // Opcode: BCLRI_D
-/* 4520 */    MCD_OPC_FilterValue, 7, 52, 0, // Skip to: 4576
-/* 4524 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4527 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4539
-/* 4531 */    MCD_OPC_CheckPredicate, 6, 145, 33, // Skip to: 13128
-/* 4535 */    MCD_OPC_Decode, 172, 1, 99, // Opcode: BCLRI_W
-/* 4539 */    MCD_OPC_FilterValue, 1, 137, 33, // Skip to: 13128
-/* 4543 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4546 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4558
-/* 4550 */    MCD_OPC_CheckPredicate, 6, 126, 33, // Skip to: 13128
-/* 4554 */    MCD_OPC_Decode, 171, 1, 106, // Opcode: BCLRI_H
-/* 4558 */    MCD_OPC_FilterValue, 1, 118, 33, // Skip to: 13128
-/* 4562 */    MCD_OPC_CheckPredicate, 6, 114, 33, // Skip to: 13128
-/* 4566 */    MCD_OPC_CheckField, 19, 1, 0, 108, 33, // Skip to: 13128
-/* 4572 */    MCD_OPC_Decode, 169, 1, 107, // Opcode: BCLRI_B
-/* 4576 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 4588
-/* 4580 */    MCD_OPC_CheckPredicate, 6, 96, 33, // Skip to: 13128
-/* 4584 */    MCD_OPC_Decode, 138, 2, 105, // Opcode: BSETI_D
-/* 4588 */    MCD_OPC_FilterValue, 9, 52, 0, // Skip to: 4644
-/* 4592 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4595 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4607
-/* 4599 */    MCD_OPC_CheckPredicate, 6, 77, 33, // Skip to: 13128
-/* 4603 */    MCD_OPC_Decode, 140, 2, 99, // Opcode: BSETI_W
-/* 4607 */    MCD_OPC_FilterValue, 1, 69, 33, // Skip to: 13128
-/* 4611 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4614 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4626
-/* 4618 */    MCD_OPC_CheckPredicate, 6, 58, 33, // Skip to: 13128
-/* 4622 */    MCD_OPC_Decode, 139, 2, 106, // Opcode: BSETI_H
-/* 4626 */    MCD_OPC_FilterValue, 1, 50, 33, // Skip to: 13128
-/* 4630 */    MCD_OPC_CheckPredicate, 6, 46, 33, // Skip to: 13128
-/* 4634 */    MCD_OPC_CheckField, 19, 1, 0, 40, 33, // Skip to: 13128
-/* 4640 */    MCD_OPC_Decode, 137, 2, 107, // Opcode: BSETI_B
-/* 4644 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 4656
-/* 4648 */    MCD_OPC_CheckPredicate, 6, 28, 33, // Skip to: 13128
-/* 4652 */    MCD_OPC_Decode, 237, 1, 105, // Opcode: BNEGI_D
-/* 4656 */    MCD_OPC_FilterValue, 11, 52, 0, // Skip to: 4712
-/* 4660 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4663 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4675
-/* 4667 */    MCD_OPC_CheckPredicate, 6, 9, 33, // Skip to: 13128
-/* 4671 */    MCD_OPC_Decode, 239, 1, 99, // Opcode: BNEGI_W
-/* 4675 */    MCD_OPC_FilterValue, 1, 1, 33, // Skip to: 13128
-/* 4679 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4682 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4694
-/* 4686 */    MCD_OPC_CheckPredicate, 6, 246, 32, // Skip to: 13128
-/* 4690 */    MCD_OPC_Decode, 238, 1, 106, // Opcode: BNEGI_H
-/* 4694 */    MCD_OPC_FilterValue, 1, 238, 32, // Skip to: 13128
-/* 4698 */    MCD_OPC_CheckPredicate, 6, 234, 32, // Skip to: 13128
-/* 4702 */    MCD_OPC_CheckField, 19, 1, 0, 228, 32, // Skip to: 13128
-/* 4708 */    MCD_OPC_Decode, 236, 1, 107, // Opcode: BNEGI_B
-/* 4712 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 4724
-/* 4716 */    MCD_OPC_CheckPredicate, 6, 216, 32, // Skip to: 13128
-/* 4720 */    MCD_OPC_Decode, 198, 1, 108, // Opcode: BINSLI_D
-/* 4724 */    MCD_OPC_FilterValue, 13, 52, 0, // Skip to: 4780
-/* 4728 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4731 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4743
-/* 4735 */    MCD_OPC_CheckPredicate, 6, 197, 32, // Skip to: 13128
-/* 4739 */    MCD_OPC_Decode, 200, 1, 109, // Opcode: BINSLI_W
-/* 4743 */    MCD_OPC_FilterValue, 1, 189, 32, // Skip to: 13128
-/* 4747 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4750 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4762
-/* 4754 */    MCD_OPC_CheckPredicate, 6, 178, 32, // Skip to: 13128
-/* 4758 */    MCD_OPC_Decode, 199, 1, 110, // Opcode: BINSLI_H
-/* 4762 */    MCD_OPC_FilterValue, 1, 170, 32, // Skip to: 13128
-/* 4766 */    MCD_OPC_CheckPredicate, 6, 166, 32, // Skip to: 13128
-/* 4770 */    MCD_OPC_CheckField, 19, 1, 0, 160, 32, // Skip to: 13128
-/* 4776 */    MCD_OPC_Decode, 197, 1, 111, // Opcode: BINSLI_B
-/* 4780 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 4792
-/* 4784 */    MCD_OPC_CheckPredicate, 6, 148, 32, // Skip to: 13128
-/* 4788 */    MCD_OPC_Decode, 206, 1, 108, // Opcode: BINSRI_D
-/* 4792 */    MCD_OPC_FilterValue, 15, 140, 32, // Skip to: 13128
-/* 4796 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4799 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4811
-/* 4803 */    MCD_OPC_CheckPredicate, 6, 129, 32, // Skip to: 13128
-/* 4807 */    MCD_OPC_Decode, 208, 1, 109, // Opcode: BINSRI_W
-/* 4811 */    MCD_OPC_FilterValue, 1, 121, 32, // Skip to: 13128
-/* 4815 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4818 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4830
-/* 4822 */    MCD_OPC_CheckPredicate, 6, 110, 32, // Skip to: 13128
-/* 4826 */    MCD_OPC_Decode, 207, 1, 110, // Opcode: BINSRI_H
-/* 4830 */    MCD_OPC_FilterValue, 1, 102, 32, // Skip to: 13128
-/* 4834 */    MCD_OPC_CheckPredicate, 6, 98, 32, // Skip to: 13128
-/* 4838 */    MCD_OPC_CheckField, 19, 1, 0, 92, 32, // Skip to: 13128
-/* 4844 */    MCD_OPC_Decode, 205, 1, 111, // Opcode: BINSRI_B
-/* 4848 */    MCD_OPC_FilterValue, 10, 19, 1, // Skip to: 5127
-/* 4852 */    MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 4855 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4867
-/* 4859 */    MCD_OPC_CheckPredicate, 6, 73, 32, // Skip to: 13128
-/* 4863 */    MCD_OPC_Decode, 175, 10, 105, // Opcode: SAT_S_D
-/* 4867 */    MCD_OPC_FilterValue, 1, 52, 0, // Skip to: 4923
-/* 4871 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4874 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4886
-/* 4878 */    MCD_OPC_CheckPredicate, 6, 54, 32, // Skip to: 13128
-/* 4882 */    MCD_OPC_Decode, 177, 10, 99, // Opcode: SAT_S_W
-/* 4886 */    MCD_OPC_FilterValue, 1, 46, 32, // Skip to: 13128
-/* 4890 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4893 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4905
-/* 4897 */    MCD_OPC_CheckPredicate, 6, 35, 32, // Skip to: 13128
-/* 4901 */    MCD_OPC_Decode, 176, 10, 106, // Opcode: SAT_S_H
-/* 4905 */    MCD_OPC_FilterValue, 1, 27, 32, // Skip to: 13128
-/* 4909 */    MCD_OPC_CheckPredicate, 6, 23, 32, // Skip to: 13128
-/* 4913 */    MCD_OPC_CheckField, 19, 1, 0, 17, 32, // Skip to: 13128
-/* 4919 */    MCD_OPC_Decode, 174, 10, 107, // Opcode: SAT_S_B
-/* 4923 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4935
-/* 4927 */    MCD_OPC_CheckPredicate, 6, 5, 32, // Skip to: 13128
-/* 4931 */    MCD_OPC_Decode, 179, 10, 105, // Opcode: SAT_U_D
-/* 4935 */    MCD_OPC_FilterValue, 3, 52, 0, // Skip to: 4991
-/* 4939 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4942 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4954
-/* 4946 */    MCD_OPC_CheckPredicate, 6, 242, 31, // Skip to: 13128
-/* 4950 */    MCD_OPC_Decode, 181, 10, 99, // Opcode: SAT_U_W
-/* 4954 */    MCD_OPC_FilterValue, 1, 234, 31, // Skip to: 13128
-/* 4958 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4961 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4973
-/* 4965 */    MCD_OPC_CheckPredicate, 6, 223, 31, // Skip to: 13128
-/* 4969 */    MCD_OPC_Decode, 180, 10, 106, // Opcode: SAT_U_H
-/* 4973 */    MCD_OPC_FilterValue, 1, 215, 31, // Skip to: 13128
-/* 4977 */    MCD_OPC_CheckPredicate, 6, 211, 31, // Skip to: 13128
-/* 4981 */    MCD_OPC_CheckField, 19, 1, 0, 205, 31, // Skip to: 13128
-/* 4987 */    MCD_OPC_Decode, 178, 10, 107, // Opcode: SAT_U_B
-/* 4991 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 5003
-/* 4995 */    MCD_OPC_CheckPredicate, 6, 193, 31, // Skip to: 13128
-/* 4999 */    MCD_OPC_Decode, 180, 11, 105, // Opcode: SRARI_D
-/* 5003 */    MCD_OPC_FilterValue, 5, 52, 0, // Skip to: 5059
-/* 5007 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5010 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5022
-/* 5014 */    MCD_OPC_CheckPredicate, 6, 174, 31, // Skip to: 13128
-/* 5018 */    MCD_OPC_Decode, 182, 11, 99, // Opcode: SRARI_W
-/* 5022 */    MCD_OPC_FilterValue, 1, 166, 31, // Skip to: 13128
-/* 5026 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5029 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5041
-/* 5033 */    MCD_OPC_CheckPredicate, 6, 155, 31, // Skip to: 13128
-/* 5037 */    MCD_OPC_Decode, 181, 11, 106, // Opcode: SRARI_H
-/* 5041 */    MCD_OPC_FilterValue, 1, 147, 31, // Skip to: 13128
-/* 5045 */    MCD_OPC_CheckPredicate, 6, 143, 31, // Skip to: 13128
-/* 5049 */    MCD_OPC_CheckField, 19, 1, 0, 137, 31, // Skip to: 13128
-/* 5055 */    MCD_OPC_Decode, 179, 11, 107, // Opcode: SRARI_B
-/* 5059 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 5071
-/* 5063 */    MCD_OPC_CheckPredicate, 6, 125, 31, // Skip to: 13128
-/* 5067 */    MCD_OPC_Decode, 200, 11, 105, // Opcode: SRLRI_D
-/* 5071 */    MCD_OPC_FilterValue, 7, 117, 31, // Skip to: 13128
-/* 5075 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5078 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5090
-/* 5082 */    MCD_OPC_CheckPredicate, 6, 106, 31, // Skip to: 13128
-/* 5086 */    MCD_OPC_Decode, 202, 11, 99, // Opcode: SRLRI_W
-/* 5090 */    MCD_OPC_FilterValue, 1, 98, 31, // Skip to: 13128
-/* 5094 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5097 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5109
-/* 5101 */    MCD_OPC_CheckPredicate, 6, 87, 31, // Skip to: 13128
-/* 5105 */    MCD_OPC_Decode, 201, 11, 106, // Opcode: SRLRI_H
-/* 5109 */    MCD_OPC_FilterValue, 1, 79, 31, // Skip to: 13128
-/* 5113 */    MCD_OPC_CheckPredicate, 6, 75, 31, // Skip to: 13128
-/* 5117 */    MCD_OPC_CheckField, 19, 1, 0, 69, 31, // Skip to: 13128
-/* 5123 */    MCD_OPC_Decode, 199, 11, 107, // Opcode: SRLRI_B
-/* 5127 */    MCD_OPC_FilterValue, 13, 131, 1, // Skip to: 5518
-/* 5131 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 5134 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5146
-/* 5138 */    MCD_OPC_CheckPredicate, 6, 50, 31, // Skip to: 13128
-/* 5142 */    MCD_OPC_Decode, 142, 11, 112, // Opcode: SLL_B
-/* 5146 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5158
-/* 5150 */    MCD_OPC_CheckPredicate, 6, 38, 31, // Skip to: 13128
-/* 5154 */    MCD_OPC_Decode, 144, 11, 113, // Opcode: SLL_H
-/* 5158 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5170
-/* 5162 */    MCD_OPC_CheckPredicate, 6, 26, 31, // Skip to: 13128
-/* 5166 */    MCD_OPC_Decode, 146, 11, 114, // Opcode: SLL_W
-/* 5170 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 5182
-/* 5174 */    MCD_OPC_CheckPredicate, 6, 14, 31, // Skip to: 13128
-/* 5178 */    MCD_OPC_Decode, 143, 11, 115, // Opcode: SLL_D
-/* 5182 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 5194
-/* 5186 */    MCD_OPC_CheckPredicate, 6, 2, 31, // Skip to: 13128
-/* 5190 */    MCD_OPC_Decode, 189, 11, 112, // Opcode: SRA_B
-/* 5194 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 5206
-/* 5198 */    MCD_OPC_CheckPredicate, 6, 246, 30, // Skip to: 13128
-/* 5202 */    MCD_OPC_Decode, 191, 11, 113, // Opcode: SRA_H
-/* 5206 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 5218
-/* 5210 */    MCD_OPC_CheckPredicate, 6, 234, 30, // Skip to: 13128
-/* 5214 */    MCD_OPC_Decode, 193, 11, 114, // Opcode: SRA_W
-/* 5218 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 5230
-/* 5222 */    MCD_OPC_CheckPredicate, 6, 222, 30, // Skip to: 13128
-/* 5226 */    MCD_OPC_Decode, 190, 11, 115, // Opcode: SRA_D
-/* 5230 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 5242
-/* 5234 */    MCD_OPC_CheckPredicate, 6, 210, 30, // Skip to: 13128
-/* 5238 */    MCD_OPC_Decode, 209, 11, 112, // Opcode: SRL_B
-/* 5242 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 5254
-/* 5246 */    MCD_OPC_CheckPredicate, 6, 198, 30, // Skip to: 13128
-/* 5250 */    MCD_OPC_Decode, 211, 11, 113, // Opcode: SRL_H
-/* 5254 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 5266
-/* 5258 */    MCD_OPC_CheckPredicate, 6, 186, 30, // Skip to: 13128
-/* 5262 */    MCD_OPC_Decode, 213, 11, 114, // Opcode: SRL_W
-/* 5266 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 5278
-/* 5270 */    MCD_OPC_CheckPredicate, 6, 174, 30, // Skip to: 13128
-/* 5274 */    MCD_OPC_Decode, 210, 11, 115, // Opcode: SRL_D
-/* 5278 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 5290
-/* 5282 */    MCD_OPC_CheckPredicate, 6, 162, 30, // Skip to: 13128
-/* 5286 */    MCD_OPC_Decode, 173, 1, 112, // Opcode: BCLR_B
-/* 5290 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 5302
-/* 5294 */    MCD_OPC_CheckPredicate, 6, 150, 30, // Skip to: 13128
-/* 5298 */    MCD_OPC_Decode, 175, 1, 113, // Opcode: BCLR_H
-/* 5302 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 5314
-/* 5306 */    MCD_OPC_CheckPredicate, 6, 138, 30, // Skip to: 13128
-/* 5310 */    MCD_OPC_Decode, 176, 1, 114, // Opcode: BCLR_W
-/* 5314 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 5326
-/* 5318 */    MCD_OPC_CheckPredicate, 6, 126, 30, // Skip to: 13128
-/* 5322 */    MCD_OPC_Decode, 174, 1, 115, // Opcode: BCLR_D
-/* 5326 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 5338
-/* 5330 */    MCD_OPC_CheckPredicate, 6, 114, 30, // Skip to: 13128
-/* 5334 */    MCD_OPC_Decode, 141, 2, 112, // Opcode: BSET_B
-/* 5338 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 5350
-/* 5342 */    MCD_OPC_CheckPredicate, 6, 102, 30, // Skip to: 13128
-/* 5346 */    MCD_OPC_Decode, 143, 2, 113, // Opcode: BSET_H
-/* 5350 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 5362
-/* 5354 */    MCD_OPC_CheckPredicate, 6, 90, 30, // Skip to: 13128
-/* 5358 */    MCD_OPC_Decode, 144, 2, 114, // Opcode: BSET_W
-/* 5362 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 5374
-/* 5366 */    MCD_OPC_CheckPredicate, 6, 78, 30, // Skip to: 13128
-/* 5370 */    MCD_OPC_Decode, 142, 2, 115, // Opcode: BSET_D
-/* 5374 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 5386
-/* 5378 */    MCD_OPC_CheckPredicate, 6, 66, 30, // Skip to: 13128
-/* 5382 */    MCD_OPC_Decode, 240, 1, 112, // Opcode: BNEG_B
-/* 5386 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 5398
-/* 5390 */    MCD_OPC_CheckPredicate, 6, 54, 30, // Skip to: 13128
-/* 5394 */    MCD_OPC_Decode, 242, 1, 113, // Opcode: BNEG_H
-/* 5398 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 5410
-/* 5402 */    MCD_OPC_CheckPredicate, 6, 42, 30, // Skip to: 13128
-/* 5406 */    MCD_OPC_Decode, 243, 1, 114, // Opcode: BNEG_W
-/* 5410 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 5422
-/* 5414 */    MCD_OPC_CheckPredicate, 6, 30, 30, // Skip to: 13128
-/* 5418 */    MCD_OPC_Decode, 241, 1, 115, // Opcode: BNEG_D
-/* 5422 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 5434
-/* 5426 */    MCD_OPC_CheckPredicate, 6, 18, 30, // Skip to: 13128
-/* 5430 */    MCD_OPC_Decode, 201, 1, 116, // Opcode: BINSL_B
-/* 5434 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 5446
-/* 5438 */    MCD_OPC_CheckPredicate, 6, 6, 30, // Skip to: 13128
-/* 5442 */    MCD_OPC_Decode, 203, 1, 117, // Opcode: BINSL_H
-/* 5446 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 5458
-/* 5450 */    MCD_OPC_CheckPredicate, 6, 250, 29, // Skip to: 13128
-/* 5454 */    MCD_OPC_Decode, 204, 1, 118, // Opcode: BINSL_W
-/* 5458 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 5470
-/* 5462 */    MCD_OPC_CheckPredicate, 6, 238, 29, // Skip to: 13128
-/* 5466 */    MCD_OPC_Decode, 202, 1, 119, // Opcode: BINSL_D
-/* 5470 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 5482
-/* 5474 */    MCD_OPC_CheckPredicate, 6, 226, 29, // Skip to: 13128
-/* 5478 */    MCD_OPC_Decode, 209, 1, 116, // Opcode: BINSR_B
-/* 5482 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 5494
-/* 5486 */    MCD_OPC_CheckPredicate, 6, 214, 29, // Skip to: 13128
-/* 5490 */    MCD_OPC_Decode, 211, 1, 117, // Opcode: BINSR_H
-/* 5494 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 5506
-/* 5498 */    MCD_OPC_CheckPredicate, 6, 202, 29, // Skip to: 13128
-/* 5502 */    MCD_OPC_Decode, 212, 1, 118, // Opcode: BINSR_W
-/* 5506 */    MCD_OPC_FilterValue, 31, 194, 29, // Skip to: 13128
-/* 5510 */    MCD_OPC_CheckPredicate, 6, 190, 29, // Skip to: 13128
-/* 5514 */    MCD_OPC_Decode, 210, 1, 119, // Opcode: BINSR_D
-/* 5518 */    MCD_OPC_FilterValue, 14, 127, 1, // Skip to: 5905
-/* 5522 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 5525 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 5536
-/* 5529 */    MCD_OPC_CheckPredicate, 6, 171, 29, // Skip to: 13128
-/* 5533 */    MCD_OPC_Decode, 55, 112, // Opcode: ADDV_B
-/* 5536 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 5547
-/* 5540 */    MCD_OPC_CheckPredicate, 6, 160, 29, // Skip to: 13128
-/* 5544 */    MCD_OPC_Decode, 57, 113, // Opcode: ADDV_H
-/* 5547 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 5558
-/* 5551 */    MCD_OPC_CheckPredicate, 6, 149, 29, // Skip to: 13128
-/* 5555 */    MCD_OPC_Decode, 58, 114, // Opcode: ADDV_W
-/* 5558 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 5569
-/* 5562 */    MCD_OPC_CheckPredicate, 6, 138, 29, // Skip to: 13128
-/* 5566 */    MCD_OPC_Decode, 56, 115, // Opcode: ADDV_D
-/* 5569 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 5581
-/* 5573 */    MCD_OPC_CheckPredicate, 6, 127, 29, // Skip to: 13128
-/* 5577 */    MCD_OPC_Decode, 129, 12, 112, // Opcode: SUBV_B
-/* 5581 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 5593
-/* 5585 */    MCD_OPC_CheckPredicate, 6, 115, 29, // Skip to: 13128
-/* 5589 */    MCD_OPC_Decode, 131, 12, 113, // Opcode: SUBV_H
-/* 5593 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 5605
-/* 5597 */    MCD_OPC_CheckPredicate, 6, 103, 29, // Skip to: 13128
-/* 5601 */    MCD_OPC_Decode, 132, 12, 114, // Opcode: SUBV_W
-/* 5605 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 5617
-/* 5609 */    MCD_OPC_CheckPredicate, 6, 91, 29, // Skip to: 13128
-/* 5613 */    MCD_OPC_Decode, 130, 12, 115, // Opcode: SUBV_D
-/* 5617 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 5629
-/* 5621 */    MCD_OPC_CheckPredicate, 6, 79, 29, // Skip to: 13128
-/* 5625 */    MCD_OPC_Decode, 232, 7, 112, // Opcode: MAX_S_B
-/* 5629 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 5641
-/* 5633 */    MCD_OPC_CheckPredicate, 6, 67, 29, // Skip to: 13128
-/* 5637 */    MCD_OPC_Decode, 234, 7, 113, // Opcode: MAX_S_H
-/* 5641 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 5653
-/* 5645 */    MCD_OPC_CheckPredicate, 6, 55, 29, // Skip to: 13128
-/* 5649 */    MCD_OPC_Decode, 235, 7, 114, // Opcode: MAX_S_W
-/* 5653 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 5665
-/* 5657 */    MCD_OPC_CheckPredicate, 6, 43, 29, // Skip to: 13128
-/* 5661 */    MCD_OPC_Decode, 233, 7, 115, // Opcode: MAX_S_D
-/* 5665 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 5677
-/* 5669 */    MCD_OPC_CheckPredicate, 6, 31, 29, // Skip to: 13128
-/* 5673 */    MCD_OPC_Decode, 236, 7, 112, // Opcode: MAX_U_B
-/* 5677 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 5689
-/* 5681 */    MCD_OPC_CheckPredicate, 6, 19, 29, // Skip to: 13128
-/* 5685 */    MCD_OPC_Decode, 238, 7, 113, // Opcode: MAX_U_H
-/* 5689 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 5701
-/* 5693 */    MCD_OPC_CheckPredicate, 6, 7, 29, // Skip to: 13128
-/* 5697 */    MCD_OPC_Decode, 239, 7, 114, // Opcode: MAX_U_W
-/* 5701 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 5713
-/* 5705 */    MCD_OPC_CheckPredicate, 6, 251, 28, // Skip to: 13128
-/* 5709 */    MCD_OPC_Decode, 237, 7, 115, // Opcode: MAX_U_D
-/* 5713 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 5725
-/* 5717 */    MCD_OPC_CheckPredicate, 6, 239, 28, // Skip to: 13128
-/* 5721 */    MCD_OPC_Decode, 145, 8, 112, // Opcode: MIN_S_B
-/* 5725 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 5737
-/* 5729 */    MCD_OPC_CheckPredicate, 6, 227, 28, // Skip to: 13128
-/* 5733 */    MCD_OPC_Decode, 147, 8, 113, // Opcode: MIN_S_H
-/* 5737 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 5749
-/* 5741 */    MCD_OPC_CheckPredicate, 6, 215, 28, // Skip to: 13128
-/* 5745 */    MCD_OPC_Decode, 148, 8, 114, // Opcode: MIN_S_W
-/* 5749 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 5761
-/* 5753 */    MCD_OPC_CheckPredicate, 6, 203, 28, // Skip to: 13128
-/* 5757 */    MCD_OPC_Decode, 146, 8, 115, // Opcode: MIN_S_D
-/* 5761 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 5773
-/* 5765 */    MCD_OPC_CheckPredicate, 6, 191, 28, // Skip to: 13128
-/* 5769 */    MCD_OPC_Decode, 149, 8, 112, // Opcode: MIN_U_B
-/* 5773 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 5785
-/* 5777 */    MCD_OPC_CheckPredicate, 6, 179, 28, // Skip to: 13128
-/* 5781 */    MCD_OPC_Decode, 151, 8, 113, // Opcode: MIN_U_H
-/* 5785 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 5797
-/* 5789 */    MCD_OPC_CheckPredicate, 6, 167, 28, // Skip to: 13128
-/* 5793 */    MCD_OPC_Decode, 152, 8, 114, // Opcode: MIN_U_W
-/* 5797 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 5809
-/* 5801 */    MCD_OPC_CheckPredicate, 6, 155, 28, // Skip to: 13128
-/* 5805 */    MCD_OPC_Decode, 150, 8, 115, // Opcode: MIN_U_D
-/* 5809 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 5821
-/* 5813 */    MCD_OPC_CheckPredicate, 6, 143, 28, // Skip to: 13128
-/* 5817 */    MCD_OPC_Decode, 226, 7, 112, // Opcode: MAX_A_B
-/* 5821 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 5833
-/* 5825 */    MCD_OPC_CheckPredicate, 6, 131, 28, // Skip to: 13128
-/* 5829 */    MCD_OPC_Decode, 228, 7, 113, // Opcode: MAX_A_H
-/* 5833 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 5845
-/* 5837 */    MCD_OPC_CheckPredicate, 6, 119, 28, // Skip to: 13128
-/* 5841 */    MCD_OPC_Decode, 229, 7, 114, // Opcode: MAX_A_W
-/* 5845 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 5857
-/* 5849 */    MCD_OPC_CheckPredicate, 6, 107, 28, // Skip to: 13128
-/* 5853 */    MCD_OPC_Decode, 227, 7, 115, // Opcode: MAX_A_D
-/* 5857 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 5869
-/* 5861 */    MCD_OPC_CheckPredicate, 6, 95, 28, // Skip to: 13128
-/* 5865 */    MCD_OPC_Decode, 139, 8, 112, // Opcode: MIN_A_B
-/* 5869 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 5881
-/* 5873 */    MCD_OPC_CheckPredicate, 6, 83, 28, // Skip to: 13128
-/* 5877 */    MCD_OPC_Decode, 141, 8, 113, // Opcode: MIN_A_H
-/* 5881 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 5893
-/* 5885 */    MCD_OPC_CheckPredicate, 6, 71, 28, // Skip to: 13128
-/* 5889 */    MCD_OPC_Decode, 142, 8, 114, // Opcode: MIN_A_W
-/* 5893 */    MCD_OPC_FilterValue, 31, 63, 28, // Skip to: 13128
-/* 5897 */    MCD_OPC_CheckPredicate, 6, 59, 28, // Skip to: 13128
-/* 5901 */    MCD_OPC_Decode, 140, 8, 115, // Opcode: MIN_A_D
-/* 5905 */    MCD_OPC_FilterValue, 15, 243, 0, // Skip to: 6152
-/* 5909 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 5912 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5924
-/* 5916 */    MCD_OPC_CheckPredicate, 6, 40, 28, // Skip to: 13128
-/* 5920 */    MCD_OPC_Decode, 188, 2, 112, // Opcode: CEQ_B
-/* 5924 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5936
-/* 5928 */    MCD_OPC_CheckPredicate, 6, 28, 28, // Skip to: 13128
-/* 5932 */    MCD_OPC_Decode, 190, 2, 113, // Opcode: CEQ_H
-/* 5936 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5948
-/* 5940 */    MCD_OPC_CheckPredicate, 6, 16, 28, // Skip to: 13128
-/* 5944 */    MCD_OPC_Decode, 191, 2, 114, // Opcode: CEQ_W
-/* 5948 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 5960
-/* 5952 */    MCD_OPC_CheckPredicate, 6, 4, 28, // Skip to: 13128
-/* 5956 */    MCD_OPC_Decode, 189, 2, 115, // Opcode: CEQ_D
-/* 5960 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 5972
-/* 5964 */    MCD_OPC_CheckPredicate, 6, 248, 27, // Skip to: 13128
-/* 5968 */    MCD_OPC_Decode, 226, 2, 112, // Opcode: CLT_S_B
-/* 5972 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 5984
-/* 5976 */    MCD_OPC_CheckPredicate, 6, 236, 27, // Skip to: 13128
-/* 5980 */    MCD_OPC_Decode, 228, 2, 113, // Opcode: CLT_S_H
-/* 5984 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 5996
-/* 5988 */    MCD_OPC_CheckPredicate, 6, 224, 27, // Skip to: 13128
-/* 5992 */    MCD_OPC_Decode, 229, 2, 114, // Opcode: CLT_S_W
-/* 5996 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6008
-/* 6000 */    MCD_OPC_CheckPredicate, 6, 212, 27, // Skip to: 13128
-/* 6004 */    MCD_OPC_Decode, 227, 2, 115, // Opcode: CLT_S_D
-/* 6008 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 6020
-/* 6012 */    MCD_OPC_CheckPredicate, 6, 200, 27, // Skip to: 13128
-/* 6016 */    MCD_OPC_Decode, 230, 2, 112, // Opcode: CLT_U_B
-/* 6020 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 6032
-/* 6024 */    MCD_OPC_CheckPredicate, 6, 188, 27, // Skip to: 13128
-/* 6028 */    MCD_OPC_Decode, 232, 2, 113, // Opcode: CLT_U_H
-/* 6032 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 6044
-/* 6036 */    MCD_OPC_CheckPredicate, 6, 176, 27, // Skip to: 13128
-/* 6040 */    MCD_OPC_Decode, 233, 2, 114, // Opcode: CLT_U_W
-/* 6044 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 6056
-/* 6048 */    MCD_OPC_CheckPredicate, 6, 164, 27, // Skip to: 13128
-/* 6052 */    MCD_OPC_Decode, 231, 2, 115, // Opcode: CLT_U_D
-/* 6056 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6068
-/* 6060 */    MCD_OPC_CheckPredicate, 6, 152, 27, // Skip to: 13128
-/* 6064 */    MCD_OPC_Decode, 207, 2, 112, // Opcode: CLE_S_B
-/* 6068 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 6080
-/* 6072 */    MCD_OPC_CheckPredicate, 6, 140, 27, // Skip to: 13128
-/* 6076 */    MCD_OPC_Decode, 209, 2, 113, // Opcode: CLE_S_H
-/* 6080 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 6092
-/* 6084 */    MCD_OPC_CheckPredicate, 6, 128, 27, // Skip to: 13128
-/* 6088 */    MCD_OPC_Decode, 210, 2, 114, // Opcode: CLE_S_W
-/* 6092 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 6104
-/* 6096 */    MCD_OPC_CheckPredicate, 6, 116, 27, // Skip to: 13128
-/* 6100 */    MCD_OPC_Decode, 208, 2, 115, // Opcode: CLE_S_D
-/* 6104 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 6116
-/* 6108 */    MCD_OPC_CheckPredicate, 6, 104, 27, // Skip to: 13128
-/* 6112 */    MCD_OPC_Decode, 211, 2, 112, // Opcode: CLE_U_B
-/* 6116 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 6128
-/* 6120 */    MCD_OPC_CheckPredicate, 6, 92, 27, // Skip to: 13128
-/* 6124 */    MCD_OPC_Decode, 213, 2, 113, // Opcode: CLE_U_H
-/* 6128 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 6140
-/* 6132 */    MCD_OPC_CheckPredicate, 6, 80, 27, // Skip to: 13128
-/* 6136 */    MCD_OPC_Decode, 214, 2, 114, // Opcode: CLE_U_W
-/* 6140 */    MCD_OPC_FilterValue, 23, 72, 27, // Skip to: 13128
-/* 6144 */    MCD_OPC_CheckPredicate, 6, 68, 27, // Skip to: 13128
-/* 6148 */    MCD_OPC_Decode, 212, 2, 115, // Opcode: CLE_U_D
-/* 6152 */    MCD_OPC_FilterValue, 16, 115, 1, // Skip to: 6527
-/* 6156 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 6159 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 6170
-/* 6163 */    MCD_OPC_CheckPredicate, 6, 49, 27, // Skip to: 13128
-/* 6167 */    MCD_OPC_Decode, 60, 112, // Opcode: ADD_A_B
-/* 6170 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 6181
-/* 6174 */    MCD_OPC_CheckPredicate, 6, 38, 27, // Skip to: 13128
-/* 6178 */    MCD_OPC_Decode, 62, 113, // Opcode: ADD_A_H
-/* 6181 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 6192
-/* 6185 */    MCD_OPC_CheckPredicate, 6, 27, 27, // Skip to: 13128
-/* 6189 */    MCD_OPC_Decode, 63, 114, // Opcode: ADD_A_W
-/* 6192 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 6203
-/* 6196 */    MCD_OPC_CheckPredicate, 6, 16, 27, // Skip to: 13128
-/* 6200 */    MCD_OPC_Decode, 61, 115, // Opcode: ADD_A_D
-/* 6203 */    MCD_OPC_FilterValue, 4, 7, 0, // Skip to: 6214
-/* 6207 */    MCD_OPC_CheckPredicate, 6, 5, 27, // Skip to: 13128
-/* 6211 */    MCD_OPC_Decode, 33, 112, // Opcode: ADDS_A_B
-/* 6214 */    MCD_OPC_FilterValue, 5, 7, 0, // Skip to: 6225
-/* 6218 */    MCD_OPC_CheckPredicate, 6, 250, 26, // Skip to: 13128
-/* 6222 */    MCD_OPC_Decode, 35, 113, // Opcode: ADDS_A_H
-/* 6225 */    MCD_OPC_FilterValue, 6, 7, 0, // Skip to: 6236
-/* 6229 */    MCD_OPC_CheckPredicate, 6, 239, 26, // Skip to: 13128
-/* 6233 */    MCD_OPC_Decode, 36, 114, // Opcode: ADDS_A_W
-/* 6236 */    MCD_OPC_FilterValue, 7, 7, 0, // Skip to: 6247
-/* 6240 */    MCD_OPC_CheckPredicate, 6, 228, 26, // Skip to: 13128
-/* 6244 */    MCD_OPC_Decode, 34, 115, // Opcode: ADDS_A_D
-/* 6247 */    MCD_OPC_FilterValue, 8, 7, 0, // Skip to: 6258
-/* 6251 */    MCD_OPC_CheckPredicate, 6, 217, 26, // Skip to: 13128
-/* 6255 */    MCD_OPC_Decode, 37, 112, // Opcode: ADDS_S_B
-/* 6258 */    MCD_OPC_FilterValue, 9, 7, 0, // Skip to: 6269
-/* 6262 */    MCD_OPC_CheckPredicate, 6, 206, 26, // Skip to: 13128
-/* 6266 */    MCD_OPC_Decode, 39, 113, // Opcode: ADDS_S_H
-/* 6269 */    MCD_OPC_FilterValue, 10, 7, 0, // Skip to: 6280
-/* 6273 */    MCD_OPC_CheckPredicate, 6, 195, 26, // Skip to: 13128
-/* 6277 */    MCD_OPC_Decode, 40, 114, // Opcode: ADDS_S_W
-/* 6280 */    MCD_OPC_FilterValue, 11, 7, 0, // Skip to: 6291
-/* 6284 */    MCD_OPC_CheckPredicate, 6, 184, 26, // Skip to: 13128
-/* 6288 */    MCD_OPC_Decode, 38, 115, // Opcode: ADDS_S_D
-/* 6291 */    MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 6302
-/* 6295 */    MCD_OPC_CheckPredicate, 6, 173, 26, // Skip to: 13128
-/* 6299 */    MCD_OPC_Decode, 41, 112, // Opcode: ADDS_U_B
-/* 6302 */    MCD_OPC_FilterValue, 13, 7, 0, // Skip to: 6313
-/* 6306 */    MCD_OPC_CheckPredicate, 6, 162, 26, // Skip to: 13128
-/* 6310 */    MCD_OPC_Decode, 43, 113, // Opcode: ADDS_U_H
-/* 6313 */    MCD_OPC_FilterValue, 14, 7, 0, // Skip to: 6324
-/* 6317 */    MCD_OPC_CheckPredicate, 6, 151, 26, // Skip to: 13128
-/* 6321 */    MCD_OPC_Decode, 44, 114, // Opcode: ADDS_U_W
-/* 6324 */    MCD_OPC_FilterValue, 15, 7, 0, // Skip to: 6335
-/* 6328 */    MCD_OPC_CheckPredicate, 6, 140, 26, // Skip to: 13128
-/* 6332 */    MCD_OPC_Decode, 42, 115, // Opcode: ADDS_U_D
-/* 6335 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6347
-/* 6339 */    MCD_OPC_CheckPredicate, 6, 129, 26, // Skip to: 13128
-/* 6343 */    MCD_OPC_Decode, 137, 1, 112, // Opcode: AVE_S_B
-/* 6347 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 6359
-/* 6351 */    MCD_OPC_CheckPredicate, 6, 117, 26, // Skip to: 13128
-/* 6355 */    MCD_OPC_Decode, 139, 1, 113, // Opcode: AVE_S_H
-/* 6359 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 6371
-/* 6363 */    MCD_OPC_CheckPredicate, 6, 105, 26, // Skip to: 13128
-/* 6367 */    MCD_OPC_Decode, 140, 1, 114, // Opcode: AVE_S_W
-/* 6371 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 6383
-/* 6375 */    MCD_OPC_CheckPredicate, 6, 93, 26, // Skip to: 13128
-/* 6379 */    MCD_OPC_Decode, 138, 1, 115, // Opcode: AVE_S_D
-/* 6383 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 6395
-/* 6387 */    MCD_OPC_CheckPredicate, 6, 81, 26, // Skip to: 13128
-/* 6391 */    MCD_OPC_Decode, 141, 1, 112, // Opcode: AVE_U_B
-/* 6395 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 6407
-/* 6399 */    MCD_OPC_CheckPredicate, 6, 69, 26, // Skip to: 13128
-/* 6403 */    MCD_OPC_Decode, 143, 1, 113, // Opcode: AVE_U_H
-/* 6407 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 6419
-/* 6411 */    MCD_OPC_CheckPredicate, 6, 57, 26, // Skip to: 13128
-/* 6415 */    MCD_OPC_Decode, 144, 1, 114, // Opcode: AVE_U_W
-/* 6419 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 6431
-/* 6423 */    MCD_OPC_CheckPredicate, 6, 45, 26, // Skip to: 13128
-/* 6427 */    MCD_OPC_Decode, 142, 1, 115, // Opcode: AVE_U_D
-/* 6431 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 6443
-/* 6435 */    MCD_OPC_CheckPredicate, 6, 33, 26, // Skip to: 13128
-/* 6439 */    MCD_OPC_Decode, 129, 1, 112, // Opcode: AVER_S_B
-/* 6443 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 6455
-/* 6447 */    MCD_OPC_CheckPredicate, 6, 21, 26, // Skip to: 13128
-/* 6451 */    MCD_OPC_Decode, 131, 1, 113, // Opcode: AVER_S_H
-/* 6455 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 6467
-/* 6459 */    MCD_OPC_CheckPredicate, 6, 9, 26, // Skip to: 13128
-/* 6463 */    MCD_OPC_Decode, 132, 1, 114, // Opcode: AVER_S_W
-/* 6467 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 6479
-/* 6471 */    MCD_OPC_CheckPredicate, 6, 253, 25, // Skip to: 13128
-/* 6475 */    MCD_OPC_Decode, 130, 1, 115, // Opcode: AVER_S_D
-/* 6479 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 6491
-/* 6483 */    MCD_OPC_CheckPredicate, 6, 241, 25, // Skip to: 13128
-/* 6487 */    MCD_OPC_Decode, 133, 1, 112, // Opcode: AVER_U_B
-/* 6491 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 6503
-/* 6495 */    MCD_OPC_CheckPredicate, 6, 229, 25, // Skip to: 13128
-/* 6499 */    MCD_OPC_Decode, 135, 1, 113, // Opcode: AVER_U_H
-/* 6503 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 6515
-/* 6507 */    MCD_OPC_CheckPredicate, 6, 217, 25, // Skip to: 13128
-/* 6511 */    MCD_OPC_Decode, 136, 1, 114, // Opcode: AVER_U_W
-/* 6515 */    MCD_OPC_FilterValue, 31, 209, 25, // Skip to: 13128
-/* 6519 */    MCD_OPC_CheckPredicate, 6, 205, 25, // Skip to: 13128
-/* 6523 */    MCD_OPC_Decode, 134, 1, 115, // Opcode: AVER_U_D
-/* 6527 */    MCD_OPC_FilterValue, 17, 27, 1, // Skip to: 6814
-/* 6531 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 6534 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6546
-/* 6538 */    MCD_OPC_CheckPredicate, 6, 186, 25, // Skip to: 13128
-/* 6542 */    MCD_OPC_Decode, 239, 11, 112, // Opcode: SUBS_S_B
-/* 6546 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6558
-/* 6550 */    MCD_OPC_CheckPredicate, 6, 174, 25, // Skip to: 13128
-/* 6554 */    MCD_OPC_Decode, 241, 11, 113, // Opcode: SUBS_S_H
-/* 6558 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6570
-/* 6562 */    MCD_OPC_CheckPredicate, 6, 162, 25, // Skip to: 13128
-/* 6566 */    MCD_OPC_Decode, 242, 11, 114, // Opcode: SUBS_S_W
-/* 6570 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6582
-/* 6574 */    MCD_OPC_CheckPredicate, 6, 150, 25, // Skip to: 13128
-/* 6578 */    MCD_OPC_Decode, 240, 11, 115, // Opcode: SUBS_S_D
-/* 6582 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 6594
-/* 6586 */    MCD_OPC_CheckPredicate, 6, 138, 25, // Skip to: 13128
-/* 6590 */    MCD_OPC_Decode, 243, 11, 112, // Opcode: SUBS_U_B
-/* 6594 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6606
-/* 6598 */    MCD_OPC_CheckPredicate, 6, 126, 25, // Skip to: 13128
-/* 6602 */    MCD_OPC_Decode, 245, 11, 113, // Opcode: SUBS_U_H
-/* 6606 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6618
-/* 6610 */    MCD_OPC_CheckPredicate, 6, 114, 25, // Skip to: 13128
-/* 6614 */    MCD_OPC_Decode, 246, 11, 114, // Opcode: SUBS_U_W
-/* 6618 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 6630
-/* 6622 */    MCD_OPC_CheckPredicate, 6, 102, 25, // Skip to: 13128
-/* 6626 */    MCD_OPC_Decode, 244, 11, 115, // Opcode: SUBS_U_D
-/* 6630 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 6642
-/* 6634 */    MCD_OPC_CheckPredicate, 6, 90, 25, // Skip to: 13128
-/* 6638 */    MCD_OPC_Decode, 231, 11, 112, // Opcode: SUBSUS_U_B
-/* 6642 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6654
-/* 6646 */    MCD_OPC_CheckPredicate, 6, 78, 25, // Skip to: 13128
-/* 6650 */    MCD_OPC_Decode, 233, 11, 113, // Opcode: SUBSUS_U_H
-/* 6654 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 6666
-/* 6658 */    MCD_OPC_CheckPredicate, 6, 66, 25, // Skip to: 13128
-/* 6662 */    MCD_OPC_Decode, 234, 11, 114, // Opcode: SUBSUS_U_W
-/* 6666 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6678
-/* 6670 */    MCD_OPC_CheckPredicate, 6, 54, 25, // Skip to: 13128
-/* 6674 */    MCD_OPC_Decode, 232, 11, 115, // Opcode: SUBSUS_U_D
-/* 6678 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 6690
-/* 6682 */    MCD_OPC_CheckPredicate, 6, 42, 25, // Skip to: 13128
-/* 6686 */    MCD_OPC_Decode, 235, 11, 112, // Opcode: SUBSUU_S_B
-/* 6690 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 6702
-/* 6694 */    MCD_OPC_CheckPredicate, 6, 30, 25, // Skip to: 13128
-/* 6698 */    MCD_OPC_Decode, 237, 11, 113, // Opcode: SUBSUU_S_H
-/* 6702 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 6714
-/* 6706 */    MCD_OPC_CheckPredicate, 6, 18, 25, // Skip to: 13128
-/* 6710 */    MCD_OPC_Decode, 238, 11, 114, // Opcode: SUBSUU_S_W
-/* 6714 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 6726
-/* 6718 */    MCD_OPC_CheckPredicate, 6, 6, 25, // Skip to: 13128
-/* 6722 */    MCD_OPC_Decode, 236, 11, 115, // Opcode: SUBSUU_S_D
-/* 6726 */    MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 6737
-/* 6730 */    MCD_OPC_CheckPredicate, 6, 250, 24, // Skip to: 13128
-/* 6734 */    MCD_OPC_Decode, 87, 112, // Opcode: ASUB_S_B
-/* 6737 */    MCD_OPC_FilterValue, 17, 7, 0, // Skip to: 6748
-/* 6741 */    MCD_OPC_CheckPredicate, 6, 239, 24, // Skip to: 13128
-/* 6745 */    MCD_OPC_Decode, 89, 113, // Opcode: ASUB_S_H
-/* 6748 */    MCD_OPC_FilterValue, 18, 7, 0, // Skip to: 6759
-/* 6752 */    MCD_OPC_CheckPredicate, 6, 228, 24, // Skip to: 13128
-/* 6756 */    MCD_OPC_Decode, 90, 114, // Opcode: ASUB_S_W
-/* 6759 */    MCD_OPC_FilterValue, 19, 7, 0, // Skip to: 6770
-/* 6763 */    MCD_OPC_CheckPredicate, 6, 217, 24, // Skip to: 13128
-/* 6767 */    MCD_OPC_Decode, 88, 115, // Opcode: ASUB_S_D
-/* 6770 */    MCD_OPC_FilterValue, 20, 7, 0, // Skip to: 6781
-/* 6774 */    MCD_OPC_CheckPredicate, 6, 206, 24, // Skip to: 13128
-/* 6778 */    MCD_OPC_Decode, 91, 112, // Opcode: ASUB_U_B
-/* 6781 */    MCD_OPC_FilterValue, 21, 7, 0, // Skip to: 6792
-/* 6785 */    MCD_OPC_CheckPredicate, 6, 195, 24, // Skip to: 13128
-/* 6789 */    MCD_OPC_Decode, 93, 113, // Opcode: ASUB_U_H
-/* 6792 */    MCD_OPC_FilterValue, 22, 7, 0, // Skip to: 6803
-/* 6796 */    MCD_OPC_CheckPredicate, 6, 184, 24, // Skip to: 13128
-/* 6800 */    MCD_OPC_Decode, 94, 114, // Opcode: ASUB_U_W
-/* 6803 */    MCD_OPC_FilterValue, 23, 177, 24, // Skip to: 13128
-/* 6807 */    MCD_OPC_CheckPredicate, 6, 173, 24, // Skip to: 13128
-/* 6811 */    MCD_OPC_Decode, 92, 115, // Opcode: ASUB_U_D
-/* 6814 */    MCD_OPC_FilterValue, 18, 83, 1, // Skip to: 7157
-/* 6818 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 6821 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6833
-/* 6825 */    MCD_OPC_CheckPredicate, 6, 155, 24, // Skip to: 13128
-/* 6829 */    MCD_OPC_Decode, 145, 9, 112, // Opcode: MULV_B
-/* 6833 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6845
-/* 6837 */    MCD_OPC_CheckPredicate, 6, 143, 24, // Skip to: 13128
-/* 6841 */    MCD_OPC_Decode, 147, 9, 113, // Opcode: MULV_H
-/* 6845 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6857
-/* 6849 */    MCD_OPC_CheckPredicate, 6, 131, 24, // Skip to: 13128
-/* 6853 */    MCD_OPC_Decode, 148, 9, 114, // Opcode: MULV_W
-/* 6857 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6869
-/* 6861 */    MCD_OPC_CheckPredicate, 6, 119, 24, // Skip to: 13128
-/* 6865 */    MCD_OPC_Decode, 146, 9, 115, // Opcode: MULV_D
-/* 6869 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 6881
-/* 6873 */    MCD_OPC_CheckPredicate, 6, 107, 24, // Skip to: 13128
-/* 6877 */    MCD_OPC_Decode, 199, 7, 116, // Opcode: MADDV_B
-/* 6881 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6893
-/* 6885 */    MCD_OPC_CheckPredicate, 6, 95, 24, // Skip to: 13128
-/* 6889 */    MCD_OPC_Decode, 201, 7, 117, // Opcode: MADDV_H
-/* 6893 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6905
-/* 6897 */    MCD_OPC_CheckPredicate, 6, 83, 24, // Skip to: 13128
-/* 6901 */    MCD_OPC_Decode, 202, 7, 118, // Opcode: MADDV_W
-/* 6905 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 6917
-/* 6909 */    MCD_OPC_CheckPredicate, 6, 71, 24, // Skip to: 13128
-/* 6913 */    MCD_OPC_Decode, 200, 7, 119, // Opcode: MADDV_D
-/* 6917 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 6929
-/* 6921 */    MCD_OPC_CheckPredicate, 6, 59, 24, // Skip to: 13128
-/* 6925 */    MCD_OPC_Decode, 216, 8, 116, // Opcode: MSUBV_B
-/* 6929 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6941
-/* 6933 */    MCD_OPC_CheckPredicate, 6, 47, 24, // Skip to: 13128
-/* 6937 */    MCD_OPC_Decode, 218, 8, 117, // Opcode: MSUBV_H
-/* 6941 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 6953
-/* 6945 */    MCD_OPC_CheckPredicate, 6, 35, 24, // Skip to: 13128
-/* 6949 */    MCD_OPC_Decode, 219, 8, 118, // Opcode: MSUBV_W
-/* 6953 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6965
-/* 6957 */    MCD_OPC_CheckPredicate, 6, 23, 24, // Skip to: 13128
-/* 6961 */    MCD_OPC_Decode, 217, 8, 119, // Opcode: MSUBV_D
-/* 6965 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6977
-/* 6969 */    MCD_OPC_CheckPredicate, 6, 11, 24, // Skip to: 13128
-/* 6973 */    MCD_OPC_Decode, 139, 4, 112, // Opcode: DIV_S_B
-/* 6977 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 6989
-/* 6981 */    MCD_OPC_CheckPredicate, 6, 255, 23, // Skip to: 13128
-/* 6985 */    MCD_OPC_Decode, 141, 4, 113, // Opcode: DIV_S_H
-/* 6989 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7001
-/* 6993 */    MCD_OPC_CheckPredicate, 6, 243, 23, // Skip to: 13128
-/* 6997 */    MCD_OPC_Decode, 142, 4, 114, // Opcode: DIV_S_W
-/* 7001 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7013
-/* 7005 */    MCD_OPC_CheckPredicate, 6, 231, 23, // Skip to: 13128
-/* 7009 */    MCD_OPC_Decode, 140, 4, 115, // Opcode: DIV_S_D
-/* 7013 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 7025
-/* 7017 */    MCD_OPC_CheckPredicate, 6, 219, 23, // Skip to: 13128
-/* 7021 */    MCD_OPC_Decode, 143, 4, 112, // Opcode: DIV_U_B
-/* 7025 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7037
-/* 7029 */    MCD_OPC_CheckPredicate, 6, 207, 23, // Skip to: 13128
-/* 7033 */    MCD_OPC_Decode, 145, 4, 113, // Opcode: DIV_U_H
-/* 7037 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7049
-/* 7041 */    MCD_OPC_CheckPredicate, 6, 195, 23, // Skip to: 13128
-/* 7045 */    MCD_OPC_Decode, 146, 4, 114, // Opcode: DIV_U_W
-/* 7049 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 7061
-/* 7053 */    MCD_OPC_CheckPredicate, 6, 183, 23, // Skip to: 13128
-/* 7057 */    MCD_OPC_Decode, 144, 4, 115, // Opcode: DIV_U_D
-/* 7061 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 7073
-/* 7065 */    MCD_OPC_CheckPredicate, 6, 171, 23, // Skip to: 13128
-/* 7069 */    MCD_OPC_Decode, 158, 8, 112, // Opcode: MOD_S_B
-/* 7073 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 7085
-/* 7077 */    MCD_OPC_CheckPredicate, 6, 159, 23, // Skip to: 13128
-/* 7081 */    MCD_OPC_Decode, 160, 8, 113, // Opcode: MOD_S_H
-/* 7085 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 7097
-/* 7089 */    MCD_OPC_CheckPredicate, 6, 147, 23, // Skip to: 13128
-/* 7093 */    MCD_OPC_Decode, 161, 8, 114, // Opcode: MOD_S_W
-/* 7097 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 7109
-/* 7101 */    MCD_OPC_CheckPredicate, 6, 135, 23, // Skip to: 13128
-/* 7105 */    MCD_OPC_Decode, 159, 8, 115, // Opcode: MOD_S_D
-/* 7109 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 7121
-/* 7113 */    MCD_OPC_CheckPredicate, 6, 123, 23, // Skip to: 13128
-/* 7117 */    MCD_OPC_Decode, 162, 8, 112, // Opcode: MOD_U_B
-/* 7121 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 7133
-/* 7125 */    MCD_OPC_CheckPredicate, 6, 111, 23, // Skip to: 13128
-/* 7129 */    MCD_OPC_Decode, 164, 8, 113, // Opcode: MOD_U_H
-/* 7133 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 7145
-/* 7137 */    MCD_OPC_CheckPredicate, 6, 99, 23, // Skip to: 13128
-/* 7141 */    MCD_OPC_Decode, 165, 8, 114, // Opcode: MOD_U_W
-/* 7145 */    MCD_OPC_FilterValue, 31, 91, 23, // Skip to: 13128
-/* 7149 */    MCD_OPC_CheckPredicate, 6, 87, 23, // Skip to: 13128
-/* 7153 */    MCD_OPC_Decode, 163, 8, 115, // Opcode: MOD_U_D
-/* 7157 */    MCD_OPC_FilterValue, 19, 219, 0, // Skip to: 7380
-/* 7161 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 7164 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7176
-/* 7168 */    MCD_OPC_CheckPredicate, 6, 68, 23, // Skip to: 13128
-/* 7172 */    MCD_OPC_Decode, 166, 4, 120, // Opcode: DOTP_S_H
-/* 7176 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7188
-/* 7180 */    MCD_OPC_CheckPredicate, 6, 56, 23, // Skip to: 13128
-/* 7184 */    MCD_OPC_Decode, 167, 4, 121, // Opcode: DOTP_S_W
-/* 7188 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 7200
-/* 7192 */    MCD_OPC_CheckPredicate, 6, 44, 23, // Skip to: 13128
-/* 7196 */    MCD_OPC_Decode, 165, 4, 122, // Opcode: DOTP_S_D
-/* 7200 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 7212
-/* 7204 */    MCD_OPC_CheckPredicate, 6, 32, 23, // Skip to: 13128
-/* 7208 */    MCD_OPC_Decode, 169, 4, 120, // Opcode: DOTP_U_H
-/* 7212 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 7224
-/* 7216 */    MCD_OPC_CheckPredicate, 6, 20, 23, // Skip to: 13128
-/* 7220 */    MCD_OPC_Decode, 170, 4, 121, // Opcode: DOTP_U_W
-/* 7224 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 7236
-/* 7228 */    MCD_OPC_CheckPredicate, 6, 8, 23, // Skip to: 13128
-/* 7232 */    MCD_OPC_Decode, 168, 4, 122, // Opcode: DOTP_U_D
-/* 7236 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7248
-/* 7240 */    MCD_OPC_CheckPredicate, 6, 252, 22, // Skip to: 13128
-/* 7244 */    MCD_OPC_Decode, 172, 4, 123, // Opcode: DPADD_S_H
-/* 7248 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 7260
-/* 7252 */    MCD_OPC_CheckPredicate, 6, 240, 22, // Skip to: 13128
-/* 7256 */    MCD_OPC_Decode, 173, 4, 124, // Opcode: DPADD_S_W
-/* 7260 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 7272
-/* 7264 */    MCD_OPC_CheckPredicate, 6, 228, 22, // Skip to: 13128
-/* 7268 */    MCD_OPC_Decode, 171, 4, 125, // Opcode: DPADD_S_D
-/* 7272 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 7284
-/* 7276 */    MCD_OPC_CheckPredicate, 6, 216, 22, // Skip to: 13128
-/* 7280 */    MCD_OPC_Decode, 175, 4, 123, // Opcode: DPADD_U_H
-/* 7284 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 7296
-/* 7288 */    MCD_OPC_CheckPredicate, 6, 204, 22, // Skip to: 13128
-/* 7292 */    MCD_OPC_Decode, 176, 4, 124, // Opcode: DPADD_U_W
-/* 7296 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 7308
-/* 7300 */    MCD_OPC_CheckPredicate, 6, 192, 22, // Skip to: 13128
-/* 7304 */    MCD_OPC_Decode, 174, 4, 125, // Opcode: DPADD_U_D
-/* 7308 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7320
-/* 7312 */    MCD_OPC_CheckPredicate, 6, 180, 22, // Skip to: 13128
-/* 7316 */    MCD_OPC_Decode, 191, 4, 123, // Opcode: DPSUB_S_H
-/* 7320 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7332
-/* 7324 */    MCD_OPC_CheckPredicate, 6, 168, 22, // Skip to: 13128
-/* 7328 */    MCD_OPC_Decode, 192, 4, 124, // Opcode: DPSUB_S_W
-/* 7332 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7344
-/* 7336 */    MCD_OPC_CheckPredicate, 6, 156, 22, // Skip to: 13128
-/* 7340 */    MCD_OPC_Decode, 190, 4, 125, // Opcode: DPSUB_S_D
-/* 7344 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7356
-/* 7348 */    MCD_OPC_CheckPredicate, 6, 144, 22, // Skip to: 13128
-/* 7352 */    MCD_OPC_Decode, 194, 4, 123, // Opcode: DPSUB_U_H
-/* 7356 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7368
-/* 7360 */    MCD_OPC_CheckPredicate, 6, 132, 22, // Skip to: 13128
-/* 7364 */    MCD_OPC_Decode, 195, 4, 124, // Opcode: DPSUB_U_W
-/* 7368 */    MCD_OPC_FilterValue, 23, 124, 22, // Skip to: 13128
-/* 7372 */    MCD_OPC_CheckPredicate, 6, 120, 22, // Skip to: 13128
-/* 7376 */    MCD_OPC_Decode, 193, 4, 125, // Opcode: DPSUB_U_D
-/* 7380 */    MCD_OPC_FilterValue, 20, 137, 1, // Skip to: 7777
-/* 7384 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 7387 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7399
-/* 7391 */    MCD_OPC_CheckPredicate, 6, 101, 22, // Skip to: 13128
-/* 7395 */    MCD_OPC_Decode, 129, 11, 126, // Opcode: SLD_B
-/* 7399 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7411
-/* 7403 */    MCD_OPC_CheckPredicate, 6, 89, 22, // Skip to: 13128
-/* 7407 */    MCD_OPC_Decode, 131, 11, 127, // Opcode: SLD_H
-/* 7411 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 7424
-/* 7415 */    MCD_OPC_CheckPredicate, 6, 77, 22, // Skip to: 13128
-/* 7419 */    MCD_OPC_Decode, 132, 11, 128, 1, // Opcode: SLD_W
-/* 7424 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 7437
-/* 7428 */    MCD_OPC_CheckPredicate, 6, 64, 22, // Skip to: 13128
-/* 7432 */    MCD_OPC_Decode, 130, 11, 129, 1, // Opcode: SLD_D
-/* 7437 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 7450
-/* 7441 */    MCD_OPC_CheckPredicate, 6, 51, 22, // Skip to: 13128
-/* 7445 */    MCD_OPC_Decode, 170, 11, 130, 1, // Opcode: SPLAT_B
-/* 7450 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 7463
-/* 7454 */    MCD_OPC_CheckPredicate, 6, 38, 22, // Skip to: 13128
-/* 7458 */    MCD_OPC_Decode, 172, 11, 131, 1, // Opcode: SPLAT_H
-/* 7463 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 7476
-/* 7467 */    MCD_OPC_CheckPredicate, 6, 25, 22, // Skip to: 13128
-/* 7471 */    MCD_OPC_Decode, 173, 11, 132, 1, // Opcode: SPLAT_W
-/* 7476 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 7489
-/* 7480 */    MCD_OPC_CheckPredicate, 6, 12, 22, // Skip to: 13128
-/* 7484 */    MCD_OPC_Decode, 171, 11, 133, 1, // Opcode: SPLAT_D
-/* 7489 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 7501
-/* 7493 */    MCD_OPC_CheckPredicate, 6, 255, 21, // Skip to: 13128
-/* 7497 */    MCD_OPC_Decode, 206, 9, 112, // Opcode: PCKEV_B
-/* 7501 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7513
-/* 7505 */    MCD_OPC_CheckPredicate, 6, 243, 21, // Skip to: 13128
-/* 7509 */    MCD_OPC_Decode, 208, 9, 113, // Opcode: PCKEV_H
-/* 7513 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 7525
-/* 7517 */    MCD_OPC_CheckPredicate, 6, 231, 21, // Skip to: 13128
-/* 7521 */    MCD_OPC_Decode, 209, 9, 114, // Opcode: PCKEV_W
-/* 7525 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 7537
-/* 7529 */    MCD_OPC_CheckPredicate, 6, 219, 21, // Skip to: 13128
-/* 7533 */    MCD_OPC_Decode, 207, 9, 115, // Opcode: PCKEV_D
-/* 7537 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 7549
-/* 7541 */    MCD_OPC_CheckPredicate, 6, 207, 21, // Skip to: 13128
-/* 7545 */    MCD_OPC_Decode, 210, 9, 112, // Opcode: PCKOD_B
-/* 7549 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 7561
-/* 7553 */    MCD_OPC_CheckPredicate, 6, 195, 21, // Skip to: 13128
-/* 7557 */    MCD_OPC_Decode, 212, 9, 113, // Opcode: PCKOD_H
-/* 7561 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 7573
-/* 7565 */    MCD_OPC_CheckPredicate, 6, 183, 21, // Skip to: 13128
-/* 7569 */    MCD_OPC_Decode, 213, 9, 114, // Opcode: PCKOD_W
-/* 7573 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 7585
-/* 7577 */    MCD_OPC_CheckPredicate, 6, 171, 21, // Skip to: 13128
-/* 7581 */    MCD_OPC_Decode, 211, 9, 115, // Opcode: PCKOD_D
-/* 7585 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 7597
-/* 7589 */    MCD_OPC_CheckPredicate, 6, 159, 21, // Skip to: 13128
-/* 7593 */    MCD_OPC_Decode, 169, 6, 112, // Opcode: ILVL_B
-/* 7597 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7609
-/* 7601 */    MCD_OPC_CheckPredicate, 6, 147, 21, // Skip to: 13128
-/* 7605 */    MCD_OPC_Decode, 171, 6, 113, // Opcode: ILVL_H
-/* 7609 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7621
-/* 7613 */    MCD_OPC_CheckPredicate, 6, 135, 21, // Skip to: 13128
-/* 7617 */    MCD_OPC_Decode, 172, 6, 114, // Opcode: ILVL_W
-/* 7621 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7633
-/* 7625 */    MCD_OPC_CheckPredicate, 6, 123, 21, // Skip to: 13128
-/* 7629 */    MCD_OPC_Decode, 170, 6, 115, // Opcode: ILVL_D
-/* 7633 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 7645
-/* 7637 */    MCD_OPC_CheckPredicate, 6, 111, 21, // Skip to: 13128
-/* 7641 */    MCD_OPC_Decode, 177, 6, 112, // Opcode: ILVR_B
-/* 7645 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7657
-/* 7649 */    MCD_OPC_CheckPredicate, 6, 99, 21, // Skip to: 13128
-/* 7653 */    MCD_OPC_Decode, 179, 6, 113, // Opcode: ILVR_H
-/* 7657 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7669
-/* 7661 */    MCD_OPC_CheckPredicate, 6, 87, 21, // Skip to: 13128
-/* 7665 */    MCD_OPC_Decode, 180, 6, 114, // Opcode: ILVR_W
-/* 7669 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 7681
-/* 7673 */    MCD_OPC_CheckPredicate, 6, 75, 21, // Skip to: 13128
-/* 7677 */    MCD_OPC_Decode, 178, 6, 115, // Opcode: ILVR_D
-/* 7681 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 7693
-/* 7685 */    MCD_OPC_CheckPredicate, 6, 63, 21, // Skip to: 13128
-/* 7689 */    MCD_OPC_Decode, 165, 6, 112, // Opcode: ILVEV_B
-/* 7693 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 7705
-/* 7697 */    MCD_OPC_CheckPredicate, 6, 51, 21, // Skip to: 13128
-/* 7701 */    MCD_OPC_Decode, 167, 6, 113, // Opcode: ILVEV_H
-/* 7705 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 7717
-/* 7709 */    MCD_OPC_CheckPredicate, 6, 39, 21, // Skip to: 13128
-/* 7713 */    MCD_OPC_Decode, 168, 6, 114, // Opcode: ILVEV_W
-/* 7717 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 7729
-/* 7721 */    MCD_OPC_CheckPredicate, 6, 27, 21, // Skip to: 13128
-/* 7725 */    MCD_OPC_Decode, 166, 6, 115, // Opcode: ILVEV_D
-/* 7729 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 7741
-/* 7733 */    MCD_OPC_CheckPredicate, 6, 15, 21, // Skip to: 13128
-/* 7737 */    MCD_OPC_Decode, 173, 6, 112, // Opcode: ILVOD_B
-/* 7741 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 7753
-/* 7745 */    MCD_OPC_CheckPredicate, 6, 3, 21, // Skip to: 13128
-/* 7749 */    MCD_OPC_Decode, 175, 6, 113, // Opcode: ILVOD_H
-/* 7753 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 7765
-/* 7757 */    MCD_OPC_CheckPredicate, 6, 247, 20, // Skip to: 13128
-/* 7761 */    MCD_OPC_Decode, 176, 6, 114, // Opcode: ILVOD_W
-/* 7765 */    MCD_OPC_FilterValue, 31, 239, 20, // Skip to: 13128
-/* 7769 */    MCD_OPC_CheckPredicate, 6, 235, 20, // Skip to: 13128
-/* 7773 */    MCD_OPC_Decode, 174, 6, 115, // Opcode: ILVOD_D
-/* 7777 */    MCD_OPC_FilterValue, 21, 35, 1, // Skip to: 8072
-/* 7781 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 7784 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7796
-/* 7788 */    MCD_OPC_CheckPredicate, 6, 216, 20, // Skip to: 13128
-/* 7792 */    MCD_OPC_Decode, 248, 12, 116, // Opcode: VSHF_B
-/* 7796 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7808
-/* 7800 */    MCD_OPC_CheckPredicate, 6, 204, 20, // Skip to: 13128
-/* 7804 */    MCD_OPC_Decode, 250, 12, 117, // Opcode: VSHF_H
-/* 7808 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7820
-/* 7812 */    MCD_OPC_CheckPredicate, 6, 192, 20, // Skip to: 13128
-/* 7816 */    MCD_OPC_Decode, 251, 12, 118, // Opcode: VSHF_W
-/* 7820 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 7832
-/* 7824 */    MCD_OPC_CheckPredicate, 6, 180, 20, // Skip to: 13128
-/* 7828 */    MCD_OPC_Decode, 249, 12, 119, // Opcode: VSHF_D
-/* 7832 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 7844
-/* 7836 */    MCD_OPC_CheckPredicate, 6, 168, 20, // Skip to: 13128
-/* 7840 */    MCD_OPC_Decode, 183, 11, 112, // Opcode: SRAR_B
-/* 7844 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 7856
-/* 7848 */    MCD_OPC_CheckPredicate, 6, 156, 20, // Skip to: 13128
-/* 7852 */    MCD_OPC_Decode, 185, 11, 113, // Opcode: SRAR_H
-/* 7856 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 7868
-/* 7860 */    MCD_OPC_CheckPredicate, 6, 144, 20, // Skip to: 13128
-/* 7864 */    MCD_OPC_Decode, 186, 11, 114, // Opcode: SRAR_W
-/* 7868 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 7880
-/* 7872 */    MCD_OPC_CheckPredicate, 6, 132, 20, // Skip to: 13128
-/* 7876 */    MCD_OPC_Decode, 184, 11, 115, // Opcode: SRAR_D
-/* 7880 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 7892
-/* 7884 */    MCD_OPC_CheckPredicate, 6, 120, 20, // Skip to: 13128
-/* 7888 */    MCD_OPC_Decode, 203, 11, 112, // Opcode: SRLR_B
-/* 7892 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7904
-/* 7896 */    MCD_OPC_CheckPredicate, 6, 108, 20, // Skip to: 13128
-/* 7900 */    MCD_OPC_Decode, 205, 11, 113, // Opcode: SRLR_H
-/* 7904 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 7916
-/* 7908 */    MCD_OPC_CheckPredicate, 6, 96, 20, // Skip to: 13128
-/* 7912 */    MCD_OPC_Decode, 206, 11, 114, // Opcode: SRLR_W
-/* 7916 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 7928
-/* 7920 */    MCD_OPC_CheckPredicate, 6, 84, 20, // Skip to: 13128
-/* 7924 */    MCD_OPC_Decode, 204, 11, 115, // Opcode: SRLR_D
-/* 7928 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7940
-/* 7932 */    MCD_OPC_CheckPredicate, 6, 72, 20, // Skip to: 13128
-/* 7936 */    MCD_OPC_Decode, 154, 6, 120, // Opcode: HADD_S_H
-/* 7940 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7952
-/* 7944 */    MCD_OPC_CheckPredicate, 6, 60, 20, // Skip to: 13128
-/* 7948 */    MCD_OPC_Decode, 155, 6, 121, // Opcode: HADD_S_W
-/* 7952 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7964
-/* 7956 */    MCD_OPC_CheckPredicate, 6, 48, 20, // Skip to: 13128
-/* 7960 */    MCD_OPC_Decode, 153, 6, 122, // Opcode: HADD_S_D
-/* 7964 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7976
-/* 7968 */    MCD_OPC_CheckPredicate, 6, 36, 20, // Skip to: 13128
-/* 7972 */    MCD_OPC_Decode, 157, 6, 120, // Opcode: HADD_U_H
-/* 7976 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7988
-/* 7980 */    MCD_OPC_CheckPredicate, 6, 24, 20, // Skip to: 13128
-/* 7984 */    MCD_OPC_Decode, 158, 6, 121, // Opcode: HADD_U_W
-/* 7988 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 8000
-/* 7992 */    MCD_OPC_CheckPredicate, 6, 12, 20, // Skip to: 13128
-/* 7996 */    MCD_OPC_Decode, 156, 6, 122, // Opcode: HADD_U_D
-/* 8000 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 8012
-/* 8004 */    MCD_OPC_CheckPredicate, 6, 0, 20, // Skip to: 13128
-/* 8008 */    MCD_OPC_Decode, 160, 6, 120, // Opcode: HSUB_S_H
-/* 8012 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 8024
-/* 8016 */    MCD_OPC_CheckPredicate, 6, 244, 19, // Skip to: 13128
-/* 8020 */    MCD_OPC_Decode, 161, 6, 121, // Opcode: HSUB_S_W
-/* 8024 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 8036
-/* 8028 */    MCD_OPC_CheckPredicate, 6, 232, 19, // Skip to: 13128
-/* 8032 */    MCD_OPC_Decode, 159, 6, 122, // Opcode: HSUB_S_D
-/* 8036 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 8048
-/* 8040 */    MCD_OPC_CheckPredicate, 6, 220, 19, // Skip to: 13128
-/* 8044 */    MCD_OPC_Decode, 163, 6, 120, // Opcode: HSUB_U_H
-/* 8048 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 8060
-/* 8052 */    MCD_OPC_CheckPredicate, 6, 208, 19, // Skip to: 13128
-/* 8056 */    MCD_OPC_Decode, 164, 6, 121, // Opcode: HSUB_U_W
-/* 8060 */    MCD_OPC_FilterValue, 31, 200, 19, // Skip to: 13128
-/* 8064 */    MCD_OPC_CheckPredicate, 6, 196, 19, // Skip to: 13128
-/* 8068 */    MCD_OPC_Decode, 162, 6, 122, // Opcode: HSUB_U_D
-/* 8072 */    MCD_OPC_FilterValue, 25, 230, 1, // Skip to: 8562
-/* 8076 */    MCD_OPC_ExtractField, 20, 6,  // Inst{25-20} ...
-/* 8079 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8092
-/* 8083 */    MCD_OPC_CheckPredicate, 6, 177, 19, // Skip to: 13128
-/* 8087 */    MCD_OPC_Decode, 253, 10, 134, 1, // Opcode: SLDI_B
-/* 8092 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8111
-/* 8096 */    MCD_OPC_CheckPredicate, 6, 164, 19, // Skip to: 13128
-/* 8100 */    MCD_OPC_CheckField, 19, 1, 0, 158, 19, // Skip to: 13128
-/* 8106 */    MCD_OPC_Decode, 255, 10, 135, 1, // Opcode: SLDI_H
-/* 8111 */    MCD_OPC_FilterValue, 3, 54, 0, // Skip to: 8169
-/* 8115 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 8118 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8131
-/* 8122 */    MCD_OPC_CheckPredicate, 6, 138, 19, // Skip to: 13128
-/* 8126 */    MCD_OPC_Decode, 128, 11, 136, 1, // Opcode: SLDI_W
-/* 8131 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8150
-/* 8135 */    MCD_OPC_CheckPredicate, 6, 125, 19, // Skip to: 13128
-/* 8139 */    MCD_OPC_CheckField, 17, 1, 0, 119, 19, // Skip to: 13128
-/* 8145 */    MCD_OPC_Decode, 254, 10, 137, 1, // Opcode: SLDI_D
-/* 8150 */    MCD_OPC_FilterValue, 3, 110, 19, // Skip to: 13128
-/* 8154 */    MCD_OPC_CheckPredicate, 6, 106, 19, // Skip to: 13128
-/* 8158 */    MCD_OPC_CheckField, 16, 2, 2, 100, 19, // Skip to: 13128
-/* 8164 */    MCD_OPC_Decode, 166, 3, 138, 1, // Opcode: CTCMSA
-/* 8169 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 8182
-/* 8173 */    MCD_OPC_CheckPredicate, 6, 87, 19, // Skip to: 13128
-/* 8177 */    MCD_OPC_Decode, 166, 11, 139, 1, // Opcode: SPLATI_B
-/* 8182 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 8201
-/* 8186 */    MCD_OPC_CheckPredicate, 6, 74, 19, // Skip to: 13128
-/* 8190 */    MCD_OPC_CheckField, 19, 1, 0, 68, 19, // Skip to: 13128
-/* 8196 */    MCD_OPC_Decode, 168, 11, 140, 1, // Opcode: SPLATI_H
-/* 8201 */    MCD_OPC_FilterValue, 7, 54, 0, // Skip to: 8259
-/* 8205 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 8208 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8221
-/* 8212 */    MCD_OPC_CheckPredicate, 6, 48, 19, // Skip to: 13128
-/* 8216 */    MCD_OPC_Decode, 169, 11, 141, 1, // Opcode: SPLATI_W
-/* 8221 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8240
-/* 8225 */    MCD_OPC_CheckPredicate, 6, 35, 19, // Skip to: 13128
-/* 8229 */    MCD_OPC_CheckField, 17, 1, 0, 29, 19, // Skip to: 13128
-/* 8235 */    MCD_OPC_Decode, 167, 11, 142, 1, // Opcode: SPLATI_D
-/* 8240 */    MCD_OPC_FilterValue, 3, 20, 19, // Skip to: 13128
-/* 8244 */    MCD_OPC_CheckPredicate, 6, 16, 19, // Skip to: 13128
-/* 8248 */    MCD_OPC_CheckField, 16, 2, 2, 10, 19, // Skip to: 13128
-/* 8254 */    MCD_OPC_Decode, 194, 2, 143, 1, // Opcode: CFCMSA
-/* 8259 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 8272
-/* 8263 */    MCD_OPC_CheckPredicate, 6, 253, 18, // Skip to: 13128
-/* 8267 */    MCD_OPC_Decode, 156, 3, 144, 1, // Opcode: COPY_S_B
-/* 8272 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 8291
-/* 8276 */    MCD_OPC_CheckPredicate, 6, 240, 18, // Skip to: 13128
-/* 8280 */    MCD_OPC_CheckField, 19, 1, 0, 234, 18, // Skip to: 13128
-/* 8286 */    MCD_OPC_Decode, 158, 3, 145, 1, // Opcode: COPY_S_H
-/* 8291 */    MCD_OPC_FilterValue, 11, 54, 0, // Skip to: 8349
-/* 8295 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 971 */     MCD_OPC_CheckPredicate, 1, 92, 48, // Skip to: 13355
+/* 975 */     MCD_OPC_Decode, 201, 1, 51, // Opcode: BGEZ
+/* 979 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 991
+/* 983 */     MCD_OPC_CheckPredicate, 1, 80, 48, // Skip to: 13355
+/* 987 */     MCD_OPC_Decode, 251, 1, 51, // Opcode: BLTZL
+/* 991 */     MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1003
+/* 995 */     MCD_OPC_CheckPredicate, 1, 68, 48, // Skip to: 13355
+/* 999 */     MCD_OPC_Decode, 209, 1, 51, // Opcode: BGEZL
+/* 1003 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 1015
+/* 1007 */    MCD_OPC_CheckPredicate, 14, 56, 48, // Skip to: 13355
+/* 1011 */    MCD_OPC_Decode, 241, 12, 52, // Opcode: TGEI
+/* 1015 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1027
+/* 1019 */    MCD_OPC_CheckPredicate, 14, 44, 48, // Skip to: 13355
+/* 1023 */    MCD_OPC_Decode, 242, 12, 52, // Opcode: TGEIU
+/* 1027 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1039
+/* 1031 */    MCD_OPC_CheckPredicate, 14, 32, 48, // Skip to: 13355
+/* 1035 */    MCD_OPC_Decode, 129, 13, 52, // Opcode: TLTI
+/* 1039 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1051
+/* 1043 */    MCD_OPC_CheckPredicate, 14, 20, 48, // Skip to: 13355
+/* 1047 */    MCD_OPC_Decode, 147, 13, 52, // Opcode: TTLTIU
+/* 1051 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 1063
+/* 1055 */    MCD_OPC_CheckPredicate, 14, 8, 48, // Skip to: 13355
+/* 1059 */    MCD_OPC_Decode, 237, 12, 52, // Opcode: TEQI
+/* 1063 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 1075
+/* 1067 */    MCD_OPC_CheckPredicate, 14, 252, 47, // Skip to: 13355
+/* 1071 */    MCD_OPC_Decode, 136, 13, 52, // Opcode: TNEI
+/* 1075 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 1087
+/* 1079 */    MCD_OPC_CheckPredicate, 12, 240, 47, // Skip to: 13355
+/* 1083 */    MCD_OPC_Decode, 245, 1, 51, // Opcode: BLTZAL
+/* 1087 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 1099
+/* 1091 */    MCD_OPC_CheckPredicate, 12, 228, 47, // Skip to: 13355
+/* 1095 */    MCD_OPC_Decode, 203, 1, 51, // Opcode: BGEZAL
+/* 1099 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 1111
+/* 1103 */    MCD_OPC_CheckPredicate, 1, 216, 47, // Skip to: 13355
+/* 1107 */    MCD_OPC_Decode, 247, 1, 51, // Opcode: BLTZALL
+/* 1111 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 1123
+/* 1115 */    MCD_OPC_CheckPredicate, 1, 204, 47, // Skip to: 13355
+/* 1119 */    MCD_OPC_Decode, 205, 1, 51, // Opcode: BGEZALL
+/* 1123 */    MCD_OPC_FilterValue, 28, 196, 47, // Skip to: 13355
+/* 1127 */    MCD_OPC_CheckPredicate, 11, 192, 47, // Skip to: 13355
+/* 1131 */    MCD_OPC_CheckField, 21, 5, 0, 186, 47, // Skip to: 13355
+/* 1137 */    MCD_OPC_Decode, 152, 2, 53, // Opcode: BPOSGE32
+/* 1141 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1153
+/* 1145 */    MCD_OPC_CheckPredicate, 9, 174, 47, // Skip to: 13355
+/* 1149 */    MCD_OPC_Decode, 226, 6, 54, // Opcode: J
+/* 1153 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1165
+/* 1157 */    MCD_OPC_CheckPredicate, 1, 162, 47, // Skip to: 13355
+/* 1161 */    MCD_OPC_Decode, 227, 6, 54, // Opcode: JAL
+/* 1165 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 1177
+/* 1169 */    MCD_OPC_CheckPredicate, 1, 150, 47, // Skip to: 13355
+/* 1173 */    MCD_OPC_Decode, 191, 1, 55, // Opcode: BEQ
+/* 1177 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 1189
+/* 1181 */    MCD_OPC_CheckPredicate, 1, 138, 47, // Skip to: 13355
+/* 1185 */    MCD_OPC_Decode, 129, 2, 55, // Opcode: BNE
+/* 1189 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1207
+/* 1193 */    MCD_OPC_CheckPredicate, 1, 126, 47, // Skip to: 13355
+/* 1197 */    MCD_OPC_CheckField, 16, 5, 0, 120, 47, // Skip to: 13355
+/* 1203 */    MCD_OPC_Decode, 235, 1, 51, // Opcode: BLEZ
+/* 1207 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1225
+/* 1211 */    MCD_OPC_CheckPredicate, 1, 108, 47, // Skip to: 13355
+/* 1215 */    MCD_OPC_CheckField, 16, 5, 0, 102, 47, // Skip to: 13355
+/* 1221 */    MCD_OPC_Decode, 211, 1, 51, // Opcode: BGTZ
+/* 1225 */    MCD_OPC_FilterValue, 8, 7, 0, // Skip to: 1236
+/* 1229 */    MCD_OPC_CheckPredicate, 12, 90, 47, // Skip to: 13355
+/* 1233 */    MCD_OPC_Decode, 65, 56, // Opcode: ADDi
+/* 1236 */    MCD_OPC_FilterValue, 9, 7, 0, // Skip to: 1247
+/* 1240 */    MCD_OPC_CheckPredicate, 1, 79, 47, // Skip to: 13355
+/* 1244 */    MCD_OPC_Decode, 67, 56, // Opcode: ADDiu
+/* 1247 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1259
+/* 1251 */    MCD_OPC_CheckPredicate, 1, 68, 47, // Skip to: 13355
+/* 1255 */    MCD_OPC_Decode, 179, 11, 56, // Opcode: SLTi
+/* 1259 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1271
+/* 1263 */    MCD_OPC_CheckPredicate, 1, 56, 47, // Skip to: 13355
+/* 1267 */    MCD_OPC_Decode, 182, 11, 56, // Opcode: SLTiu
+/* 1271 */    MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 1282
+/* 1275 */    MCD_OPC_CheckPredicate, 1, 44, 47, // Skip to: 13355
+/* 1279 */    MCD_OPC_Decode, 83, 57, // Opcode: ANDi
+/* 1282 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 1294
+/* 1286 */    MCD_OPC_CheckPredicate, 1, 33, 47, // Skip to: 13355
+/* 1290 */    MCD_OPC_Decode, 229, 9, 57, // Opcode: ORi
+/* 1294 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 1306
+/* 1298 */    MCD_OPC_CheckPredicate, 1, 21, 47, // Skip to: 13355
+/* 1302 */    MCD_OPC_Decode, 170, 13, 57, // Opcode: XORi
+/* 1306 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 1324
+/* 1310 */    MCD_OPC_CheckPredicate, 1, 9, 47, // Skip to: 13355
+/* 1314 */    MCD_OPC_CheckField, 21, 5, 0, 3, 47, // Skip to: 13355
+/* 1320 */    MCD_OPC_Decode, 180, 7, 30, // Opcode: LUi
+/* 1324 */    MCD_OPC_FilterValue, 16, 220, 0, // Skip to: 1548
+/* 1328 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 1331 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1349
+/* 1335 */    MCD_OPC_CheckPredicate, 9, 240, 46, // Skip to: 13355
+/* 1339 */    MCD_OPC_CheckField, 3, 8, 0, 234, 46, // Skip to: 13355
+/* 1345 */    MCD_OPC_Decode, 141, 8, 58, // Opcode: MFC0
+/* 1349 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 1367
+/* 1353 */    MCD_OPC_CheckPredicate, 9, 222, 46, // Skip to: 13355
+/* 1357 */    MCD_OPC_CheckField, 3, 8, 0, 216, 46, // Skip to: 13355
+/* 1363 */    MCD_OPC_Decode, 130, 9, 58, // Opcode: MTC0
+/* 1367 */    MCD_OPC_FilterValue, 8, 51, 0, // Skip to: 1422
+/* 1371 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 1374 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1386
+/* 1378 */    MCD_OPC_CheckPredicate, 12, 197, 46, // Skip to: 13355
+/* 1382 */    MCD_OPC_Decode, 161, 1, 59, // Opcode: BC0F
+/* 1386 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1398
+/* 1390 */    MCD_OPC_CheckPredicate, 12, 185, 46, // Skip to: 13355
+/* 1394 */    MCD_OPC_Decode, 163, 1, 59, // Opcode: BC0T
+/* 1398 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1410
+/* 1402 */    MCD_OPC_CheckPredicate, 12, 173, 46, // Skip to: 13355
+/* 1406 */    MCD_OPC_Decode, 162, 1, 59, // Opcode: BC0FL
+/* 1410 */    MCD_OPC_FilterValue, 3, 165, 46, // Skip to: 13355
+/* 1414 */    MCD_OPC_CheckPredicate, 12, 161, 46, // Skip to: 13355
+/* 1418 */    MCD_OPC_Decode, 164, 1, 59, // Opcode: BC0TL
+/* 1422 */    MCD_OPC_FilterValue, 11, 31, 0, // Skip to: 1457
+/* 1426 */    MCD_OPC_ExtractField, 0, 16,  // Inst{15-0} ...
+/* 1429 */    MCD_OPC_FilterValue, 128, 192, 1, 8, 0, // Skip to: 1443
+/* 1435 */    MCD_OPC_CheckPredicate, 4, 140, 46, // Skip to: 13355
+/* 1439 */    MCD_OPC_Decode, 159, 4, 23, // Opcode: DI
+/* 1443 */    MCD_OPC_FilterValue, 160, 192, 1, 130, 46, // Skip to: 13355
+/* 1449 */    MCD_OPC_CheckPredicate, 4, 126, 46, // Skip to: 13355
+/* 1453 */    MCD_OPC_Decode, 248, 4, 23, // Opcode: EI
+/* 1457 */    MCD_OPC_FilterValue, 16, 118, 46, // Skip to: 13355
+/* 1461 */    MCD_OPC_ExtractField, 0, 21,  // Inst{20-0} ...
+/* 1464 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1476
+/* 1468 */    MCD_OPC_CheckPredicate, 1, 107, 46, // Skip to: 13355
+/* 1472 */    MCD_OPC_Decode, 250, 12, 0, // Opcode: TLBR
+/* 1476 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1488
+/* 1480 */    MCD_OPC_CheckPredicate, 1, 95, 46, // Skip to: 13355
+/* 1484 */    MCD_OPC_Decode, 252, 12, 0, // Opcode: TLBWI
+/* 1488 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 1500
+/* 1492 */    MCD_OPC_CheckPredicate, 1, 83, 46, // Skip to: 13355
+/* 1496 */    MCD_OPC_Decode, 254, 12, 0, // Opcode: TLBWR
+/* 1500 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 1512
+/* 1504 */    MCD_OPC_CheckPredicate, 1, 71, 46, // Skip to: 13355
+/* 1508 */    MCD_OPC_Decode, 248, 12, 0, // Opcode: TLBP
+/* 1512 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 1524
+/* 1516 */    MCD_OPC_CheckPredicate, 15, 59, 46, // Skip to: 13355
+/* 1520 */    MCD_OPC_Decode, 250, 4, 0, // Opcode: ERET
+/* 1524 */    MCD_OPC_FilterValue, 31, 8, 0, // Skip to: 1536
+/* 1528 */    MCD_OPC_CheckPredicate, 9, 47, 46, // Skip to: 13355
+/* 1532 */    MCD_OPC_Decode, 154, 4, 0, // Opcode: DERET
+/* 1536 */    MCD_OPC_FilterValue, 32, 39, 46, // Skip to: 13355
+/* 1540 */    MCD_OPC_CheckPredicate, 16, 35, 46, // Skip to: 13355
+/* 1544 */    MCD_OPC_Decode, 157, 13, 0, // Opcode: WAIT
+/* 1548 */    MCD_OPC_FilterValue, 17, 21, 6, // Skip to: 3109
+/* 1552 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 1555 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1573
+/* 1559 */    MCD_OPC_CheckPredicate, 1, 16, 46, // Skip to: 13355
+/* 1563 */    MCD_OPC_CheckField, 0, 11, 0, 10, 46, // Skip to: 13355
+/* 1569 */    MCD_OPC_Decode, 142, 8, 60, // Opcode: MFC1
+/* 1573 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 1591
+/* 1577 */    MCD_OPC_CheckPredicate, 17, 254, 45, // Skip to: 13355
+/* 1581 */    MCD_OPC_CheckField, 0, 11, 0, 248, 45, // Skip to: 13355
+/* 1587 */    MCD_OPC_Decode, 177, 4, 61, // Opcode: DMFC1
+/* 1591 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 1609
+/* 1595 */    MCD_OPC_CheckPredicate, 1, 236, 45, // Skip to: 13355
+/* 1599 */    MCD_OPC_CheckField, 0, 11, 0, 230, 45, // Skip to: 13355
+/* 1605 */    MCD_OPC_Decode, 218, 2, 62, // Opcode: CFC1
+/* 1609 */    MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 1627
+/* 1613 */    MCD_OPC_CheckPredicate, 18, 218, 45, // Skip to: 13355
+/* 1617 */    MCD_OPC_CheckField, 0, 11, 0, 212, 45, // Skip to: 13355
+/* 1623 */    MCD_OPC_Decode, 145, 8, 63, // Opcode: MFHC1_D32
+/* 1627 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 1645
+/* 1631 */    MCD_OPC_CheckPredicate, 1, 200, 45, // Skip to: 13355
+/* 1635 */    MCD_OPC_CheckField, 0, 11, 0, 194, 45, // Skip to: 13355
+/* 1641 */    MCD_OPC_Decode, 131, 9, 64, // Opcode: MTC1
+/* 1645 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 1663
+/* 1649 */    MCD_OPC_CheckPredicate, 17, 182, 45, // Skip to: 13355
+/* 1653 */    MCD_OPC_CheckField, 0, 11, 0, 176, 45, // Skip to: 13355
+/* 1659 */    MCD_OPC_Decode, 182, 4, 65, // Opcode: DMTC1
+/* 1663 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1681
+/* 1667 */    MCD_OPC_CheckPredicate, 1, 164, 45, // Skip to: 13355
+/* 1671 */    MCD_OPC_CheckField, 0, 11, 0, 158, 45, // Skip to: 13355
+/* 1677 */    MCD_OPC_Decode, 190, 3, 66, // Opcode: CTC1
+/* 1681 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1699
+/* 1685 */    MCD_OPC_CheckPredicate, 18, 146, 45, // Skip to: 13355
+/* 1689 */    MCD_OPC_CheckField, 0, 11, 0, 140, 45, // Skip to: 13355
+/* 1695 */    MCD_OPC_Decode, 134, 9, 67, // Opcode: MTHC1_D32
+/* 1699 */    MCD_OPC_FilterValue, 8, 51, 0, // Skip to: 1754
+/* 1703 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 1706 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1718
+/* 1710 */    MCD_OPC_CheckPredicate, 12, 121, 45, // Skip to: 13355
+/* 1714 */    MCD_OPC_Decode, 166, 1, 68, // Opcode: BC1F
+/* 1718 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1730
+/* 1722 */    MCD_OPC_CheckPredicate, 12, 109, 45, // Skip to: 13355
+/* 1726 */    MCD_OPC_Decode, 170, 1, 68, // Opcode: BC1T
+/* 1730 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1742
+/* 1734 */    MCD_OPC_CheckPredicate, 12, 97, 45, // Skip to: 13355
+/* 1738 */    MCD_OPC_Decode, 167, 1, 68, // Opcode: BC1FL
+/* 1742 */    MCD_OPC_FilterValue, 3, 89, 45, // Skip to: 13355
+/* 1746 */    MCD_OPC_CheckPredicate, 12, 85, 45, // Skip to: 13355
+/* 1750 */    MCD_OPC_Decode, 171, 1, 68, // Opcode: BC1TL
+/* 1754 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1766
+/* 1758 */    MCD_OPC_CheckPredicate, 6, 73, 45, // Skip to: 13355
+/* 1762 */    MCD_OPC_Decode, 174, 2, 69, // Opcode: BZ_V
+/* 1766 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 1778
+/* 1770 */    MCD_OPC_CheckPredicate, 6, 61, 45, // Skip to: 13355
+/* 1774 */    MCD_OPC_Decode, 149, 2, 69, // Opcode: BNZ_V
+/* 1778 */    MCD_OPC_FilterValue, 16, 80, 2, // Skip to: 2374
+/* 1782 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 1785 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1797
+/* 1789 */    MCD_OPC_CheckPredicate, 1, 42, 45, // Skip to: 13355
+/* 1793 */    MCD_OPC_Decode, 153, 5, 70, // Opcode: FADD_S
+/* 1797 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1809
+/* 1801 */    MCD_OPC_CheckPredicate, 1, 30, 45, // Skip to: 13355
+/* 1805 */    MCD_OPC_Decode, 155, 6, 70, // Opcode: FSUB_S
+/* 1809 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1821
+/* 1813 */    MCD_OPC_CheckPredicate, 1, 18, 45, // Skip to: 13355
+/* 1817 */    MCD_OPC_Decode, 246, 5, 70, // Opcode: FMUL_S
+/* 1821 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1833
+/* 1825 */    MCD_OPC_CheckPredicate, 1, 6, 45, // Skip to: 13355
+/* 1829 */    MCD_OPC_Decode, 189, 5, 70, // Opcode: FDIV_S
+/* 1833 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 1851
+/* 1837 */    MCD_OPC_CheckPredicate, 2, 250, 44, // Skip to: 13355
+/* 1841 */    MCD_OPC_CheckField, 16, 5, 0, 244, 44, // Skip to: 13355
+/* 1847 */    MCD_OPC_Decode, 148, 6, 71, // Opcode: FSQRT_S
+/* 1851 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 1869
+/* 1855 */    MCD_OPC_CheckPredicate, 1, 232, 44, // Skip to: 13355
+/* 1859 */    MCD_OPC_CheckField, 16, 5, 0, 226, 44, // Skip to: 13355
+/* 1865 */    MCD_OPC_Decode, 146, 5, 71, // Opcode: FABS_S
+/* 1869 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1887
+/* 1873 */    MCD_OPC_CheckPredicate, 1, 214, 44, // Skip to: 13355
+/* 1877 */    MCD_OPC_CheckField, 16, 5, 0, 208, 44, // Skip to: 13355
+/* 1883 */    MCD_OPC_Decode, 238, 5, 71, // Opcode: FMOV_S
+/* 1887 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1905
+/* 1891 */    MCD_OPC_CheckPredicate, 1, 196, 44, // Skip to: 13355
+/* 1895 */    MCD_OPC_CheckField, 16, 5, 0, 190, 44, // Skip to: 13355
+/* 1901 */    MCD_OPC_Decode, 252, 5, 71, // Opcode: FNEG_S
+/* 1905 */    MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 1923
+/* 1909 */    MCD_OPC_CheckPredicate, 2, 178, 44, // Skip to: 13355
+/* 1913 */    MCD_OPC_CheckField, 16, 5, 0, 172, 44, // Skip to: 13355
+/* 1919 */    MCD_OPC_Decode, 197, 10, 71, // Opcode: ROUND_W_S
+/* 1923 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 1941
+/* 1927 */    MCD_OPC_CheckPredicate, 2, 160, 44, // Skip to: 13355
+/* 1931 */    MCD_OPC_CheckField, 16, 5, 0, 154, 44, // Skip to: 13355
+/* 1937 */    MCD_OPC_Decode, 145, 13, 71, // Opcode: TRUNC_W_S
+/* 1941 */    MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 1959
+/* 1945 */    MCD_OPC_CheckPredicate, 2, 142, 44, // Skip to: 13355
+/* 1949 */    MCD_OPC_CheckField, 16, 5, 0, 136, 44, // Skip to: 13355
+/* 1955 */    MCD_OPC_Decode, 208, 2, 71, // Opcode: CEIL_W_S
+/* 1959 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 1977
+/* 1963 */    MCD_OPC_CheckPredicate, 2, 124, 44, // Skip to: 13355
+/* 1967 */    MCD_OPC_CheckField, 16, 5, 0, 118, 44, // Skip to: 13355
+/* 1973 */    MCD_OPC_Decode, 223, 5, 71, // Opcode: FLOOR_W_S
+/* 1977 */    MCD_OPC_FilterValue, 17, 27, 0, // Skip to: 2008
+/* 1981 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 1984 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1996
+/* 1988 */    MCD_OPC_CheckPredicate, 5, 99, 44, // Skip to: 13355
+/* 1992 */    MCD_OPC_Decode, 203, 8, 72, // Opcode: MOVF_S
+/* 1996 */    MCD_OPC_FilterValue, 1, 91, 44, // Skip to: 13355
+/* 2000 */    MCD_OPC_CheckPredicate, 5, 87, 44, // Skip to: 13355
+/* 2004 */    MCD_OPC_Decode, 223, 8, 72, // Opcode: MOVT_S
+/* 2008 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 2020
+/* 2012 */    MCD_OPC_CheckPredicate, 5, 75, 44, // Skip to: 13355
+/* 2016 */    MCD_OPC_Decode, 235, 8, 73, // Opcode: MOVZ_I_S
+/* 2020 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 2032
+/* 2024 */    MCD_OPC_CheckPredicate, 5, 63, 44, // Skip to: 13355
+/* 2028 */    MCD_OPC_Decode, 215, 8, 73, // Opcode: MOVN_I_S
+/* 2032 */    MCD_OPC_FilterValue, 33, 14, 0, // Skip to: 2050
+/* 2036 */    MCD_OPC_CheckPredicate, 19, 51, 44, // Skip to: 13355
+/* 2040 */    MCD_OPC_CheckField, 16, 5, 0, 45, 44, // Skip to: 13355
+/* 2046 */    MCD_OPC_Decode, 193, 3, 74, // Opcode: CVT_D32_S
+/* 2050 */    MCD_OPC_FilterValue, 36, 14, 0, // Skip to: 2068
+/* 2054 */    MCD_OPC_CheckPredicate, 1, 33, 44, // Skip to: 13355
+/* 2058 */    MCD_OPC_CheckField, 16, 5, 0, 27, 44, // Skip to: 13355
+/* 2064 */    MCD_OPC_Decode, 213, 3, 71, // Opcode: CVT_W_S
+/* 2068 */    MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 2086
+/* 2072 */    MCD_OPC_CheckPredicate, 20, 15, 44, // Skip to: 13355
+/* 2076 */    MCD_OPC_CheckField, 16, 5, 0, 9, 44, // Skip to: 13355
+/* 2082 */    MCD_OPC_Decode, 202, 3, 75, // Opcode: CVT_L_S
+/* 2086 */    MCD_OPC_FilterValue, 48, 14, 0, // Skip to: 2104
+/* 2090 */    MCD_OPC_CheckPredicate, 12, 253, 43, // Skip to: 13355
+/* 2094 */    MCD_OPC_CheckField, 6, 5, 0, 247, 43, // Skip to: 13355
+/* 2100 */    MCD_OPC_Decode, 220, 3, 76, // Opcode: C_F_S
+/* 2104 */    MCD_OPC_FilterValue, 49, 14, 0, // Skip to: 2122
+/* 2108 */    MCD_OPC_CheckPredicate, 12, 235, 43, // Skip to: 13355
+/* 2112 */    MCD_OPC_CheckField, 6, 5, 0, 229, 43, // Skip to: 13355
+/* 2118 */    MCD_OPC_Decode, 134, 4, 76, // Opcode: C_UN_S
+/* 2122 */    MCD_OPC_FilterValue, 50, 14, 0, // Skip to: 2140
+/* 2126 */    MCD_OPC_CheckPredicate, 12, 217, 43, // Skip to: 13355
+/* 2130 */    MCD_OPC_CheckField, 6, 5, 0, 211, 43, // Skip to: 13355
+/* 2136 */    MCD_OPC_Decode, 217, 3, 76, // Opcode: C_EQ_S
+/* 2140 */    MCD_OPC_FilterValue, 51, 14, 0, // Skip to: 2158
+/* 2144 */    MCD_OPC_CheckPredicate, 12, 199, 43, // Skip to: 13355
+/* 2148 */    MCD_OPC_CheckField, 6, 5, 0, 193, 43, // Skip to: 13355
+/* 2154 */    MCD_OPC_Decode, 253, 3, 76, // Opcode: C_UEQ_S
+/* 2158 */    MCD_OPC_FilterValue, 52, 14, 0, // Skip to: 2176
+/* 2162 */    MCD_OPC_CheckPredicate, 12, 181, 43, // Skip to: 13355
+/* 2166 */    MCD_OPC_CheckField, 6, 5, 0, 175, 43, // Skip to: 13355
+/* 2172 */    MCD_OPC_Decode, 244, 3, 76, // Opcode: C_OLT_S
+/* 2176 */    MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 2194
+/* 2180 */    MCD_OPC_CheckPredicate, 12, 163, 43, // Skip to: 13355
+/* 2184 */    MCD_OPC_CheckField, 6, 5, 0, 157, 43, // Skip to: 13355
+/* 2190 */    MCD_OPC_Decode, 131, 4, 76, // Opcode: C_ULT_S
+/* 2194 */    MCD_OPC_FilterValue, 54, 14, 0, // Skip to: 2212
+/* 2198 */    MCD_OPC_CheckPredicate, 12, 145, 43, // Skip to: 13355
+/* 2202 */    MCD_OPC_CheckField, 6, 5, 0, 139, 43, // Skip to: 13355
+/* 2208 */    MCD_OPC_Decode, 241, 3, 76, // Opcode: C_OLE_S
+/* 2212 */    MCD_OPC_FilterValue, 55, 14, 0, // Skip to: 2230
+/* 2216 */    MCD_OPC_CheckPredicate, 12, 127, 43, // Skip to: 13355
+/* 2220 */    MCD_OPC_CheckField, 6, 5, 0, 121, 43, // Skip to: 13355
+/* 2226 */    MCD_OPC_Decode, 128, 4, 76, // Opcode: C_ULE_S
+/* 2230 */    MCD_OPC_FilterValue, 56, 14, 0, // Skip to: 2248
+/* 2234 */    MCD_OPC_CheckPredicate, 12, 109, 43, // Skip to: 13355
+/* 2238 */    MCD_OPC_CheckField, 6, 5, 0, 103, 43, // Skip to: 13355
+/* 2244 */    MCD_OPC_Decode, 250, 3, 76, // Opcode: C_SF_S
+/* 2248 */    MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 2266
+/* 2252 */    MCD_OPC_CheckPredicate, 12, 91, 43, // Skip to: 13355
+/* 2256 */    MCD_OPC_CheckField, 6, 5, 0, 85, 43, // Skip to: 13355
+/* 2262 */    MCD_OPC_Decode, 232, 3, 76, // Opcode: C_NGLE_S
+/* 2266 */    MCD_OPC_FilterValue, 58, 14, 0, // Skip to: 2284
+/* 2270 */    MCD_OPC_CheckPredicate, 12, 73, 43, // Skip to: 13355
+/* 2274 */    MCD_OPC_CheckField, 6, 5, 0, 67, 43, // Skip to: 13355
+/* 2280 */    MCD_OPC_Decode, 247, 3, 76, // Opcode: C_SEQ_S
+/* 2284 */    MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 2302
+/* 2288 */    MCD_OPC_CheckPredicate, 12, 55, 43, // Skip to: 13355
+/* 2292 */    MCD_OPC_CheckField, 6, 5, 0, 49, 43, // Skip to: 13355
+/* 2298 */    MCD_OPC_Decode, 235, 3, 76, // Opcode: C_NGL_S
+/* 2302 */    MCD_OPC_FilterValue, 60, 14, 0, // Skip to: 2320
+/* 2306 */    MCD_OPC_CheckPredicate, 12, 37, 43, // Skip to: 13355
+/* 2310 */    MCD_OPC_CheckField, 6, 5, 0, 31, 43, // Skip to: 13355
+/* 2316 */    MCD_OPC_Decode, 226, 3, 76, // Opcode: C_LT_S
+/* 2320 */    MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 2338
+/* 2324 */    MCD_OPC_CheckPredicate, 12, 19, 43, // Skip to: 13355
+/* 2328 */    MCD_OPC_CheckField, 6, 5, 0, 13, 43, // Skip to: 13355
+/* 2334 */    MCD_OPC_Decode, 229, 3, 76, // Opcode: C_NGE_S
+/* 2338 */    MCD_OPC_FilterValue, 62, 14, 0, // Skip to: 2356
+/* 2342 */    MCD_OPC_CheckPredicate, 12, 1, 43, // Skip to: 13355
+/* 2346 */    MCD_OPC_CheckField, 6, 5, 0, 251, 42, // Skip to: 13355
+/* 2352 */    MCD_OPC_Decode, 223, 3, 76, // Opcode: C_LE_S
+/* 2356 */    MCD_OPC_FilterValue, 63, 243, 42, // Skip to: 13355
+/* 2360 */    MCD_OPC_CheckPredicate, 12, 239, 42, // Skip to: 13355
+/* 2364 */    MCD_OPC_CheckField, 6, 5, 0, 233, 42, // Skip to: 13355
+/* 2370 */    MCD_OPC_Decode, 238, 3, 76, // Opcode: C_NGT_S
+/* 2374 */    MCD_OPC_FilterValue, 17, 80, 2, // Skip to: 2970
+/* 2378 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 2381 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2393
+/* 2385 */    MCD_OPC_CheckPredicate, 19, 214, 42, // Skip to: 13355
+/* 2389 */    MCD_OPC_Decode, 150, 5, 77, // Opcode: FADD_D32
+/* 2393 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 2405
+/* 2397 */    MCD_OPC_CheckPredicate, 19, 202, 42, // Skip to: 13355
+/* 2401 */    MCD_OPC_Decode, 152, 6, 77, // Opcode: FSUB_D32
+/* 2405 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 2417
+/* 2409 */    MCD_OPC_CheckPredicate, 19, 190, 42, // Skip to: 13355
+/* 2413 */    MCD_OPC_Decode, 243, 5, 77, // Opcode: FMUL_D32
+/* 2417 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 2429
+/* 2421 */    MCD_OPC_CheckPredicate, 19, 178, 42, // Skip to: 13355
+/* 2425 */    MCD_OPC_Decode, 186, 5, 77, // Opcode: FDIV_D32
+/* 2429 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 2447
+/* 2433 */    MCD_OPC_CheckPredicate, 21, 166, 42, // Skip to: 13355
+/* 2437 */    MCD_OPC_CheckField, 16, 5, 0, 160, 42, // Skip to: 13355
+/* 2443 */    MCD_OPC_Decode, 145, 6, 78, // Opcode: FSQRT_D32
+/* 2447 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 2465
+/* 2451 */    MCD_OPC_CheckPredicate, 19, 148, 42, // Skip to: 13355
+/* 2455 */    MCD_OPC_CheckField, 16, 5, 0, 142, 42, // Skip to: 13355
+/* 2461 */    MCD_OPC_Decode, 143, 5, 78, // Opcode: FABS_D32
+/* 2465 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 2483
+/* 2469 */    MCD_OPC_CheckPredicate, 19, 130, 42, // Skip to: 13355
+/* 2473 */    MCD_OPC_CheckField, 16, 5, 0, 124, 42, // Skip to: 13355
+/* 2479 */    MCD_OPC_Decode, 235, 5, 78, // Opcode: FMOV_D32
+/* 2483 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 2501
+/* 2487 */    MCD_OPC_CheckPredicate, 19, 112, 42, // Skip to: 13355
+/* 2491 */    MCD_OPC_CheckField, 16, 5, 0, 106, 42, // Skip to: 13355
+/* 2497 */    MCD_OPC_Decode, 249, 5, 78, // Opcode: FNEG_D32
+/* 2501 */    MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 2519
+/* 2505 */    MCD_OPC_CheckPredicate, 21, 94, 42, // Skip to: 13355
+/* 2509 */    MCD_OPC_CheckField, 16, 5, 0, 88, 42, // Skip to: 13355
+/* 2515 */    MCD_OPC_Decode, 194, 10, 79, // Opcode: ROUND_W_D32
+/* 2519 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 2537
+/* 2523 */    MCD_OPC_CheckPredicate, 21, 76, 42, // Skip to: 13355
+/* 2527 */    MCD_OPC_CheckField, 16, 5, 0, 70, 42, // Skip to: 13355
+/* 2533 */    MCD_OPC_Decode, 142, 13, 79, // Opcode: TRUNC_W_D32
+/* 2537 */    MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 2555
+/* 2541 */    MCD_OPC_CheckPredicate, 21, 58, 42, // Skip to: 13355
+/* 2545 */    MCD_OPC_CheckField, 16, 5, 0, 52, 42, // Skip to: 13355
+/* 2551 */    MCD_OPC_Decode, 205, 2, 79, // Opcode: CEIL_W_D32
+/* 2555 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 2573
+/* 2559 */    MCD_OPC_CheckPredicate, 21, 40, 42, // Skip to: 13355
+/* 2563 */    MCD_OPC_CheckField, 16, 5, 0, 34, 42, // Skip to: 13355
+/* 2569 */    MCD_OPC_Decode, 220, 5, 79, // Opcode: FLOOR_W_D32
+/* 2573 */    MCD_OPC_FilterValue, 17, 27, 0, // Skip to: 2604
+/* 2577 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 2580 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2592
+/* 2584 */    MCD_OPC_CheckPredicate, 22, 15, 42, // Skip to: 13355
+/* 2588 */    MCD_OPC_Decode, 197, 8, 80, // Opcode: MOVF_D32
+/* 2592 */    MCD_OPC_FilterValue, 1, 7, 42, // Skip to: 13355
+/* 2596 */    MCD_OPC_CheckPredicate, 22, 3, 42, // Skip to: 13355
+/* 2600 */    MCD_OPC_Decode, 217, 8, 80, // Opcode: MOVT_D32
+/* 2604 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 2616
+/* 2608 */    MCD_OPC_CheckPredicate, 22, 247, 41, // Skip to: 13355
+/* 2612 */    MCD_OPC_Decode, 229, 8, 81, // Opcode: MOVZ_I_D32
+/* 2616 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 2628
+/* 2620 */    MCD_OPC_CheckPredicate, 22, 235, 41, // Skip to: 13355
+/* 2624 */    MCD_OPC_Decode, 209, 8, 81, // Opcode: MOVN_I_D32
+/* 2628 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 2646
+/* 2632 */    MCD_OPC_CheckPredicate, 19, 223, 41, // Skip to: 13355
+/* 2636 */    MCD_OPC_CheckField, 16, 5, 0, 217, 41, // Skip to: 13355
+/* 2642 */    MCD_OPC_Decode, 204, 3, 79, // Opcode: CVT_S_D32
+/* 2646 */    MCD_OPC_FilterValue, 36, 14, 0, // Skip to: 2664
+/* 2650 */    MCD_OPC_CheckPredicate, 19, 205, 41, // Skip to: 13355
+/* 2654 */    MCD_OPC_CheckField, 16, 5, 0, 199, 41, // Skip to: 13355
+/* 2660 */    MCD_OPC_Decode, 210, 3, 79, // Opcode: CVT_W_D32
+/* 2664 */    MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 2682
+/* 2668 */    MCD_OPC_CheckPredicate, 20, 187, 41, // Skip to: 13355
+/* 2672 */    MCD_OPC_CheckField, 16, 5, 0, 181, 41, // Skip to: 13355
+/* 2678 */    MCD_OPC_Decode, 200, 3, 82, // Opcode: CVT_L_D64
+/* 2682 */    MCD_OPC_FilterValue, 48, 14, 0, // Skip to: 2700
+/* 2686 */    MCD_OPC_CheckPredicate, 23, 169, 41, // Skip to: 13355
+/* 2690 */    MCD_OPC_CheckField, 6, 5, 0, 163, 41, // Skip to: 13355
+/* 2696 */    MCD_OPC_Decode, 218, 3, 83, // Opcode: C_F_D32
+/* 2700 */    MCD_OPC_FilterValue, 49, 14, 0, // Skip to: 2718
+/* 2704 */    MCD_OPC_CheckPredicate, 23, 151, 41, // Skip to: 13355
+/* 2708 */    MCD_OPC_CheckField, 6, 5, 0, 145, 41, // Skip to: 13355
+/* 2714 */    MCD_OPC_Decode, 132, 4, 83, // Opcode: C_UN_D32
+/* 2718 */    MCD_OPC_FilterValue, 50, 14, 0, // Skip to: 2736
+/* 2722 */    MCD_OPC_CheckPredicate, 23, 133, 41, // Skip to: 13355
+/* 2726 */    MCD_OPC_CheckField, 6, 5, 0, 127, 41, // Skip to: 13355
+/* 2732 */    MCD_OPC_Decode, 215, 3, 83, // Opcode: C_EQ_D32
+/* 2736 */    MCD_OPC_FilterValue, 51, 14, 0, // Skip to: 2754
+/* 2740 */    MCD_OPC_CheckPredicate, 23, 115, 41, // Skip to: 13355
+/* 2744 */    MCD_OPC_CheckField, 6, 5, 0, 109, 41, // Skip to: 13355
+/* 2750 */    MCD_OPC_Decode, 251, 3, 83, // Opcode: C_UEQ_D32
+/* 2754 */    MCD_OPC_FilterValue, 52, 14, 0, // Skip to: 2772
+/* 2758 */    MCD_OPC_CheckPredicate, 23, 97, 41, // Skip to: 13355
+/* 2762 */    MCD_OPC_CheckField, 6, 5, 0, 91, 41, // Skip to: 13355
+/* 2768 */    MCD_OPC_Decode, 242, 3, 83, // Opcode: C_OLT_D32
+/* 2772 */    MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 2790
+/* 2776 */    MCD_OPC_CheckPredicate, 23, 79, 41, // Skip to: 13355
+/* 2780 */    MCD_OPC_CheckField, 6, 5, 0, 73, 41, // Skip to: 13355
+/* 2786 */    MCD_OPC_Decode, 129, 4, 83, // Opcode: C_ULT_D32
+/* 2790 */    MCD_OPC_FilterValue, 54, 14, 0, // Skip to: 2808
+/* 2794 */    MCD_OPC_CheckPredicate, 23, 61, 41, // Skip to: 13355
+/* 2798 */    MCD_OPC_CheckField, 6, 5, 0, 55, 41, // Skip to: 13355
+/* 2804 */    MCD_OPC_Decode, 239, 3, 83, // Opcode: C_OLE_D32
+/* 2808 */    MCD_OPC_FilterValue, 55, 14, 0, // Skip to: 2826
+/* 2812 */    MCD_OPC_CheckPredicate, 23, 43, 41, // Skip to: 13355
+/* 2816 */    MCD_OPC_CheckField, 6, 5, 0, 37, 41, // Skip to: 13355
+/* 2822 */    MCD_OPC_Decode, 254, 3, 83, // Opcode: C_ULE_D32
+/* 2826 */    MCD_OPC_FilterValue, 56, 14, 0, // Skip to: 2844
+/* 2830 */    MCD_OPC_CheckPredicate, 23, 25, 41, // Skip to: 13355
+/* 2834 */    MCD_OPC_CheckField, 6, 5, 0, 19, 41, // Skip to: 13355
+/* 2840 */    MCD_OPC_Decode, 248, 3, 83, // Opcode: C_SF_D32
+/* 2844 */    MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 2862
+/* 2848 */    MCD_OPC_CheckPredicate, 23, 7, 41, // Skip to: 13355
+/* 2852 */    MCD_OPC_CheckField, 6, 5, 0, 1, 41, // Skip to: 13355
+/* 2858 */    MCD_OPC_Decode, 230, 3, 83, // Opcode: C_NGLE_D32
+/* 2862 */    MCD_OPC_FilterValue, 58, 14, 0, // Skip to: 2880
+/* 2866 */    MCD_OPC_CheckPredicate, 23, 245, 40, // Skip to: 13355
+/* 2870 */    MCD_OPC_CheckField, 6, 5, 0, 239, 40, // Skip to: 13355
+/* 2876 */    MCD_OPC_Decode, 245, 3, 83, // Opcode: C_SEQ_D32
+/* 2880 */    MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 2898
+/* 2884 */    MCD_OPC_CheckPredicate, 23, 227, 40, // Skip to: 13355
+/* 2888 */    MCD_OPC_CheckField, 6, 5, 0, 221, 40, // Skip to: 13355
+/* 2894 */    MCD_OPC_Decode, 233, 3, 83, // Opcode: C_NGL_D32
+/* 2898 */    MCD_OPC_FilterValue, 60, 14, 0, // Skip to: 2916
+/* 2902 */    MCD_OPC_CheckPredicate, 23, 209, 40, // Skip to: 13355
+/* 2906 */    MCD_OPC_CheckField, 6, 5, 0, 203, 40, // Skip to: 13355
+/* 2912 */    MCD_OPC_Decode, 224, 3, 83, // Opcode: C_LT_D32
+/* 2916 */    MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 2934
+/* 2920 */    MCD_OPC_CheckPredicate, 23, 191, 40, // Skip to: 13355
+/* 2924 */    MCD_OPC_CheckField, 6, 5, 0, 185, 40, // Skip to: 13355
+/* 2930 */    MCD_OPC_Decode, 227, 3, 83, // Opcode: C_NGE_D32
+/* 2934 */    MCD_OPC_FilterValue, 62, 14, 0, // Skip to: 2952
+/* 2938 */    MCD_OPC_CheckPredicate, 23, 173, 40, // Skip to: 13355
+/* 2942 */    MCD_OPC_CheckField, 6, 5, 0, 167, 40, // Skip to: 13355
+/* 2948 */    MCD_OPC_Decode, 221, 3, 83, // Opcode: C_LE_D32
+/* 2952 */    MCD_OPC_FilterValue, 63, 159, 40, // Skip to: 13355
+/* 2956 */    MCD_OPC_CheckPredicate, 23, 155, 40, // Skip to: 13355
+/* 2960 */    MCD_OPC_CheckField, 6, 5, 0, 149, 40, // Skip to: 13355
+/* 2966 */    MCD_OPC_Decode, 236, 3, 83, // Opcode: C_NGT_D32
+/* 2970 */    MCD_OPC_FilterValue, 20, 39, 0, // Skip to: 3013
+/* 2974 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 2977 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 2995
+/* 2981 */    MCD_OPC_CheckPredicate, 1, 130, 40, // Skip to: 13355
+/* 2985 */    MCD_OPC_CheckField, 16, 5, 0, 124, 40, // Skip to: 13355
+/* 2991 */    MCD_OPC_Decode, 208, 3, 71, // Opcode: CVT_S_W
+/* 2995 */    MCD_OPC_FilterValue, 33, 116, 40, // Skip to: 13355
+/* 2999 */    MCD_OPC_CheckPredicate, 19, 112, 40, // Skip to: 13355
+/* 3003 */    MCD_OPC_CheckField, 16, 5, 0, 106, 40, // Skip to: 13355
+/* 3009 */    MCD_OPC_Decode, 194, 3, 74, // Opcode: CVT_D32_W
+/* 3013 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 3025
+/* 3017 */    MCD_OPC_CheckPredicate, 6, 94, 40, // Skip to: 13355
+/* 3021 */    MCD_OPC_Decode, 171, 2, 69, // Opcode: BZ_B
+/* 3025 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 3037
+/* 3029 */    MCD_OPC_CheckPredicate, 6, 82, 40, // Skip to: 13355
+/* 3033 */    MCD_OPC_Decode, 173, 2, 84, // Opcode: BZ_H
+/* 3037 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 3049
+/* 3041 */    MCD_OPC_CheckPredicate, 6, 70, 40, // Skip to: 13355
+/* 3045 */    MCD_OPC_Decode, 175, 2, 85, // Opcode: BZ_W
+/* 3049 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 3061
+/* 3053 */    MCD_OPC_CheckPredicate, 6, 58, 40, // Skip to: 13355
+/* 3057 */    MCD_OPC_Decode, 172, 2, 86, // Opcode: BZ_D
+/* 3061 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 3073
+/* 3065 */    MCD_OPC_CheckPredicate, 6, 46, 40, // Skip to: 13355
+/* 3069 */    MCD_OPC_Decode, 146, 2, 69, // Opcode: BNZ_B
+/* 3073 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 3085
+/* 3077 */    MCD_OPC_CheckPredicate, 6, 34, 40, // Skip to: 13355
+/* 3081 */    MCD_OPC_Decode, 148, 2, 84, // Opcode: BNZ_H
+/* 3085 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 3097
+/* 3089 */    MCD_OPC_CheckPredicate, 6, 22, 40, // Skip to: 13355
+/* 3093 */    MCD_OPC_Decode, 150, 2, 85, // Opcode: BNZ_W
+/* 3097 */    MCD_OPC_FilterValue, 31, 14, 40, // Skip to: 13355
+/* 3101 */    MCD_OPC_CheckPredicate, 6, 10, 40, // Skip to: 13355
+/* 3105 */    MCD_OPC_Decode, 147, 2, 86, // Opcode: BNZ_D
+/* 3109 */    MCD_OPC_FilterValue, 18, 94, 0, // Skip to: 3207
+/* 3113 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 3116 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 3134
+/* 3120 */    MCD_OPC_CheckPredicate, 1, 247, 39, // Skip to: 13355
+/* 3124 */    MCD_OPC_CheckField, 3, 8, 0, 241, 39, // Skip to: 13355
+/* 3130 */    MCD_OPC_Decode, 144, 8, 58, // Opcode: MFC2
+/* 3134 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 3152
+/* 3138 */    MCD_OPC_CheckPredicate, 1, 229, 39, // Skip to: 13355
+/* 3142 */    MCD_OPC_CheckField, 3, 8, 0, 223, 39, // Skip to: 13355
+/* 3148 */    MCD_OPC_Decode, 133, 9, 58, // Opcode: MTC2
+/* 3152 */    MCD_OPC_FilterValue, 8, 215, 39, // Skip to: 13355
+/* 3156 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 3159 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3171
+/* 3163 */    MCD_OPC_CheckPredicate, 12, 204, 39, // Skip to: 13355
+/* 3167 */    MCD_OPC_Decode, 174, 1, 59, // Opcode: BC2F
+/* 3171 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3183
+/* 3175 */    MCD_OPC_CheckPredicate, 12, 192, 39, // Skip to: 13355
+/* 3179 */    MCD_OPC_Decode, 177, 1, 59, // Opcode: BC2T
+/* 3183 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3195
+/* 3187 */    MCD_OPC_CheckPredicate, 12, 180, 39, // Skip to: 13355
+/* 3191 */    MCD_OPC_Decode, 175, 1, 59, // Opcode: BC2FL
+/* 3195 */    MCD_OPC_FilterValue, 3, 172, 39, // Skip to: 13355
+/* 3199 */    MCD_OPC_CheckPredicate, 12, 168, 39, // Skip to: 13355
+/* 3203 */    MCD_OPC_Decode, 178, 1, 59, // Opcode: BC2TL
+/* 3207 */    MCD_OPC_FilterValue, 19, 9, 1, // Skip to: 3476
+/* 3211 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 3214 */    MCD_OPC_FilterValue, 8, 51, 0, // Skip to: 3269
+/* 3218 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 3221 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3233
+/* 3225 */    MCD_OPC_CheckPredicate, 12, 40, 0, // Skip to: 3269
+/* 3229 */    MCD_OPC_Decode, 179, 1, 59, // Opcode: BC3F
+/* 3233 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3245
+/* 3237 */    MCD_OPC_CheckPredicate, 12, 28, 0, // Skip to: 3269
+/* 3241 */    MCD_OPC_Decode, 181, 1, 59, // Opcode: BC3T
+/* 3245 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3257
+/* 3249 */    MCD_OPC_CheckPredicate, 12, 16, 0, // Skip to: 3269
+/* 3253 */    MCD_OPC_Decode, 180, 1, 59, // Opcode: BC3FL
+/* 3257 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 3269
+/* 3261 */    MCD_OPC_CheckPredicate, 12, 4, 0, // Skip to: 3269
+/* 3265 */    MCD_OPC_Decode, 182, 1, 59, // Opcode: BC3TL
+/* 3269 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 3272 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 3290
+/* 3276 */    MCD_OPC_CheckPredicate, 24, 91, 39, // Skip to: 13355
+/* 3280 */    MCD_OPC_CheckField, 11, 5, 0, 85, 39, // Skip to: 13355
+/* 3286 */    MCD_OPC_Decode, 200, 7, 87, // Opcode: LWXC1
+/* 3290 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 3308
+/* 3294 */    MCD_OPC_CheckPredicate, 25, 73, 39, // Skip to: 13355
+/* 3298 */    MCD_OPC_CheckField, 11, 5, 0, 67, 39, // Skip to: 13355
+/* 3304 */    MCD_OPC_Decode, 147, 7, 88, // Opcode: LDXC1
+/* 3308 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 3326
+/* 3312 */    MCD_OPC_CheckPredicate, 26, 55, 39, // Skip to: 13355
+/* 3316 */    MCD_OPC_CheckField, 11, 5, 0, 49, 39, // Skip to: 13355
+/* 3322 */    MCD_OPC_Decode, 177, 7, 88, // Opcode: LUXC1
+/* 3326 */    MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 3344
+/* 3330 */    MCD_OPC_CheckPredicate, 24, 37, 39, // Skip to: 13355
+/* 3334 */    MCD_OPC_CheckField, 6, 5, 0, 31, 39, // Skip to: 13355
+/* 3340 */    MCD_OPC_Decode, 181, 12, 89, // Opcode: SWXC1
+/* 3344 */    MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 3362
+/* 3348 */    MCD_OPC_CheckPredicate, 25, 19, 39, // Skip to: 13355
+/* 3352 */    MCD_OPC_CheckField, 6, 5, 0, 13, 39, // Skip to: 13355
+/* 3358 */    MCD_OPC_Decode, 232, 10, 90, // Opcode: SDXC1
+/* 3362 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 3380
+/* 3366 */    MCD_OPC_CheckPredicate, 26, 1, 39, // Skip to: 13355
+/* 3370 */    MCD_OPC_CheckField, 6, 5, 0, 251, 38, // Skip to: 13355
+/* 3376 */    MCD_OPC_Decode, 165, 12, 90, // Opcode: SUXC1
+/* 3380 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 3392
+/* 3384 */    MCD_OPC_CheckPredicate, 27, 239, 38, // Skip to: 13355
+/* 3388 */    MCD_OPC_Decode, 239, 7, 91, // Opcode: MADD_S
+/* 3392 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 3404
+/* 3396 */    MCD_OPC_CheckPredicate, 28, 227, 38, // Skip to: 13355
+/* 3400 */    MCD_OPC_Decode, 232, 7, 92, // Opcode: MADD_D32
+/* 3404 */    MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 3416
+/* 3408 */    MCD_OPC_CheckPredicate, 27, 215, 38, // Skip to: 13355
+/* 3412 */    MCD_OPC_Decode, 128, 9, 91, // Opcode: MSUB_S
+/* 3416 */    MCD_OPC_FilterValue, 41, 8, 0, // Skip to: 3428
+/* 3420 */    MCD_OPC_CheckPredicate, 28, 203, 38, // Skip to: 13355
+/* 3424 */    MCD_OPC_Decode, 249, 8, 92, // Opcode: MSUB_D32
+/* 3428 */    MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 3440
+/* 3432 */    MCD_OPC_CheckPredicate, 27, 191, 38, // Skip to: 13355
+/* 3436 */    MCD_OPC_Decode, 203, 9, 91, // Opcode: NMADD_S
+/* 3440 */    MCD_OPC_FilterValue, 49, 8, 0, // Skip to: 3452
+/* 3444 */    MCD_OPC_CheckPredicate, 28, 179, 38, // Skip to: 13355
+/* 3448 */    MCD_OPC_Decode, 200, 9, 92, // Opcode: NMADD_D32
+/* 3452 */    MCD_OPC_FilterValue, 56, 8, 0, // Skip to: 3464
+/* 3456 */    MCD_OPC_CheckPredicate, 27, 167, 38, // Skip to: 13355
+/* 3460 */    MCD_OPC_Decode, 208, 9, 91, // Opcode: NMSUB_S
+/* 3464 */    MCD_OPC_FilterValue, 57, 159, 38, // Skip to: 13355
+/* 3468 */    MCD_OPC_CheckPredicate, 28, 155, 38, // Skip to: 13355
+/* 3472 */    MCD_OPC_Decode, 205, 9, 92, // Opcode: NMSUB_D32
+/* 3476 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 3488
+/* 3480 */    MCD_OPC_CheckPredicate, 1, 143, 38, // Skip to: 13355
+/* 3484 */    MCD_OPC_Decode, 194, 1, 55, // Opcode: BEQL
+/* 3488 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 3500
+/* 3492 */    MCD_OPC_CheckPredicate, 1, 131, 38, // Skip to: 13355
+/* 3496 */    MCD_OPC_Decode, 140, 2, 55, // Opcode: BNEL
+/* 3500 */    MCD_OPC_FilterValue, 22, 14, 0, // Skip to: 3518
+/* 3504 */    MCD_OPC_CheckPredicate, 1, 119, 38, // Skip to: 13355
+/* 3508 */    MCD_OPC_CheckField, 16, 5, 0, 113, 38, // Skip to: 13355
+/* 3514 */    MCD_OPC_Decode, 239, 1, 51, // Opcode: BLEZL
+/* 3518 */    MCD_OPC_FilterValue, 23, 14, 0, // Skip to: 3536
+/* 3522 */    MCD_OPC_CheckPredicate, 1, 101, 38, // Skip to: 13355
+/* 3526 */    MCD_OPC_CheckField, 16, 5, 0, 95, 38, // Skip to: 13355
+/* 3532 */    MCD_OPC_Decode, 215, 1, 51, // Opcode: BGTZL
+/* 3536 */    MCD_OPC_FilterValue, 28, 229, 0, // Skip to: 3769
+/* 3540 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 3543 */    MCD_OPC_FilterValue, 0, 36, 0, // Skip to: 3583
+/* 3547 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 3550 */    MCD_OPC_FilterValue, 0, 73, 38, // Skip to: 13355
+/* 3554 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 3557 */    MCD_OPC_FilterValue, 0, 66, 38, // Skip to: 13355
+/* 3561 */    MCD_OPC_CheckPredicate, 7, 10, 0, // Skip to: 3575
+/* 3565 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3575
+/* 3571 */    MCD_OPC_Decode, 220, 7, 24, // Opcode: MADD
+/* 3575 */    MCD_OPC_CheckPredicate, 11, 48, 38, // Skip to: 13355
+/* 3579 */    MCD_OPC_Decode, 235, 7, 93, // Opcode: MADD_DSP
+/* 3583 */    MCD_OPC_FilterValue, 1, 36, 0, // Skip to: 3623
+/* 3587 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 3590 */    MCD_OPC_FilterValue, 0, 33, 38, // Skip to: 13355
+/* 3594 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 3597 */    MCD_OPC_FilterValue, 0, 26, 38, // Skip to: 13355
+/* 3601 */    MCD_OPC_CheckPredicate, 7, 10, 0, // Skip to: 3615
+/* 3605 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3615
+/* 3611 */    MCD_OPC_Decode, 225, 7, 24, // Opcode: MADDU
+/* 3615 */    MCD_OPC_CheckPredicate, 11, 8, 38, // Skip to: 13355
+/* 3619 */    MCD_OPC_Decode, 226, 7, 93, // Opcode: MADDU_DSP
+/* 3623 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 3641
+/* 3627 */    MCD_OPC_CheckPredicate, 7, 252, 37, // Skip to: 13355
+/* 3631 */    MCD_OPC_CheckField, 6, 5, 0, 246, 37, // Skip to: 13355
+/* 3637 */    MCD_OPC_Decode, 154, 9, 17, // Opcode: MUL
+/* 3641 */    MCD_OPC_FilterValue, 4, 36, 0, // Skip to: 3681
+/* 3645 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 3648 */    MCD_OPC_FilterValue, 0, 231, 37, // Skip to: 13355
+/* 3652 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 3655 */    MCD_OPC_FilterValue, 0, 224, 37, // Skip to: 13355
+/* 3659 */    MCD_OPC_CheckPredicate, 7, 10, 0, // Skip to: 3673
+/* 3663 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3673
+/* 3669 */    MCD_OPC_Decode, 237, 8, 24, // Opcode: MSUB
+/* 3673 */    MCD_OPC_CheckPredicate, 11, 206, 37, // Skip to: 13355
+/* 3677 */    MCD_OPC_Decode, 252, 8, 93, // Opcode: MSUB_DSP
+/* 3681 */    MCD_OPC_FilterValue, 5, 36, 0, // Skip to: 3721
+/* 3685 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 3688 */    MCD_OPC_FilterValue, 0, 191, 37, // Skip to: 13355
+/* 3692 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 3695 */    MCD_OPC_FilterValue, 0, 184, 37, // Skip to: 13355
+/* 3699 */    MCD_OPC_CheckPredicate, 7, 10, 0, // Skip to: 3713
+/* 3703 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3713
+/* 3709 */    MCD_OPC_Decode, 242, 8, 24, // Opcode: MSUBU
+/* 3713 */    MCD_OPC_CheckPredicate, 11, 166, 37, // Skip to: 13355
+/* 3717 */    MCD_OPC_Decode, 243, 8, 93, // Opcode: MSUBU_DSP
+/* 3721 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 3739
+/* 3725 */    MCD_OPC_CheckPredicate, 7, 154, 37, // Skip to: 13355
+/* 3729 */    MCD_OPC_CheckField, 6, 5, 0, 148, 37, // Skip to: 13355
+/* 3735 */    MCD_OPC_Decode, 132, 3, 94, // Opcode: CLZ
+/* 3739 */    MCD_OPC_FilterValue, 33, 14, 0, // Skip to: 3757
+/* 3743 */    MCD_OPC_CheckPredicate, 7, 136, 37, // Skip to: 13355
+/* 3747 */    MCD_OPC_CheckField, 6, 5, 0, 130, 37, // Skip to: 13355
+/* 3753 */    MCD_OPC_Decode, 241, 2, 94, // Opcode: CLO
+/* 3757 */    MCD_OPC_FilterValue, 63, 122, 37, // Skip to: 13355
+/* 3761 */    MCD_OPC_CheckPredicate, 7, 118, 37, // Skip to: 13355
+/* 3765 */    MCD_OPC_Decode, 220, 10, 42, // Opcode: SDBBP
+/* 3769 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 3781
+/* 3773 */    MCD_OPC_CheckPredicate, 7, 106, 37, // Skip to: 13355
+/* 3777 */    MCD_OPC_Decode, 237, 6, 54, // Opcode: JALX
+/* 3781 */    MCD_OPC_FilterValue, 30, 181, 26, // Skip to: 10622
+/* 3785 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 3788 */    MCD_OPC_FilterValue, 0, 50, 0, // Skip to: 3842
+/* 3792 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 3795 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3806
+/* 3799 */    MCD_OPC_CheckPredicate, 6, 80, 37, // Skip to: 13355
+/* 3803 */    MCD_OPC_Decode, 77, 95, // Opcode: ANDI_B
+/* 3806 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3818
+/* 3810 */    MCD_OPC_CheckPredicate, 6, 69, 37, // Skip to: 13355
+/* 3814 */    MCD_OPC_Decode, 223, 9, 95, // Opcode: ORI_B
+/* 3818 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3830
+/* 3822 */    MCD_OPC_CheckPredicate, 6, 57, 37, // Skip to: 13355
+/* 3826 */    MCD_OPC_Decode, 213, 9, 95, // Opcode: NORI_B
+/* 3830 */    MCD_OPC_FilterValue, 3, 49, 37, // Skip to: 13355
+/* 3834 */    MCD_OPC_CheckPredicate, 6, 45, 37, // Skip to: 13355
+/* 3838 */    MCD_OPC_Decode, 164, 13, 95, // Opcode: XORI_B
+/* 3842 */    MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 3885
+/* 3846 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 3849 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3861
+/* 3853 */    MCD_OPC_CheckPredicate, 6, 26, 37, // Skip to: 13355
+/* 3857 */    MCD_OPC_Decode, 253, 1, 96, // Opcode: BMNZI_B
+/* 3861 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3873
+/* 3865 */    MCD_OPC_CheckPredicate, 6, 14, 37, // Skip to: 13355
+/* 3869 */    MCD_OPC_Decode, 255, 1, 96, // Opcode: BMZI_B
+/* 3873 */    MCD_OPC_FilterValue, 2, 6, 37, // Skip to: 13355
+/* 3877 */    MCD_OPC_CheckPredicate, 6, 2, 37, // Skip to: 13355
+/* 3881 */    MCD_OPC_Decode, 156, 2, 96, // Opcode: BSELI_B
+/* 3885 */    MCD_OPC_FilterValue, 2, 39, 0, // Skip to: 3928
+/* 3889 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 3892 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3904
+/* 3896 */    MCD_OPC_CheckPredicate, 6, 239, 36, // Skip to: 13355
+/* 3900 */    MCD_OPC_Decode, 254, 10, 95, // Opcode: SHF_B
+/* 3904 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3916
+/* 3908 */    MCD_OPC_CheckPredicate, 6, 227, 36, // Skip to: 13355
+/* 3912 */    MCD_OPC_Decode, 255, 10, 97, // Opcode: SHF_H
+/* 3916 */    MCD_OPC_FilterValue, 2, 219, 36, // Skip to: 13355
+/* 3920 */    MCD_OPC_CheckPredicate, 6, 215, 36, // Skip to: 13355
+/* 3924 */    MCD_OPC_Decode, 128, 11, 98, // Opcode: SHF_W
+/* 3928 */    MCD_OPC_FilterValue, 6, 31, 1, // Skip to: 4219
+/* 3932 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 3935 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3946
+/* 3939 */    MCD_OPC_CheckPredicate, 6, 196, 36, // Skip to: 13355
+/* 3943 */    MCD_OPC_Decode, 51, 99, // Opcode: ADDVI_B
+/* 3946 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 3957
+/* 3950 */    MCD_OPC_CheckPredicate, 6, 185, 36, // Skip to: 13355
+/* 3954 */    MCD_OPC_Decode, 53, 100, // Opcode: ADDVI_H
+/* 3957 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 3968
+/* 3961 */    MCD_OPC_CheckPredicate, 6, 174, 36, // Skip to: 13355
+/* 3965 */    MCD_OPC_Decode, 54, 101, // Opcode: ADDVI_W
+/* 3968 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 3979
+/* 3972 */    MCD_OPC_CheckPredicate, 6, 163, 36, // Skip to: 13355
+/* 3976 */    MCD_OPC_Decode, 52, 102, // Opcode: ADDVI_D
+/* 3979 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 3991
+/* 3983 */    MCD_OPC_CheckPredicate, 6, 152, 36, // Skip to: 13355
+/* 3987 */    MCD_OPC_Decode, 154, 12, 99, // Opcode: SUBVI_B
+/* 3991 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 4003
+/* 3995 */    MCD_OPC_CheckPredicate, 6, 140, 36, // Skip to: 13355
+/* 3999 */    MCD_OPC_Decode, 156, 12, 100, // Opcode: SUBVI_H
+/* 4003 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 4015
+/* 4007 */    MCD_OPC_CheckPredicate, 6, 128, 36, // Skip to: 13355
+/* 4011 */    MCD_OPC_Decode, 157, 12, 101, // Opcode: SUBVI_W
+/* 4015 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 4027
+/* 4019 */    MCD_OPC_CheckPredicate, 6, 116, 36, // Skip to: 13355
+/* 4023 */    MCD_OPC_Decode, 155, 12, 102, // Opcode: SUBVI_D
+/* 4027 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 4039
+/* 4031 */    MCD_OPC_CheckPredicate, 6, 104, 36, // Skip to: 13355
+/* 4035 */    MCD_OPC_Decode, 247, 7, 99, // Opcode: MAXI_S_B
+/* 4039 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 4051
+/* 4043 */    MCD_OPC_CheckPredicate, 6, 92, 36, // Skip to: 13355
+/* 4047 */    MCD_OPC_Decode, 249, 7, 100, // Opcode: MAXI_S_H
+/* 4051 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 4063
+/* 4055 */    MCD_OPC_CheckPredicate, 6, 80, 36, // Skip to: 13355
+/* 4059 */    MCD_OPC_Decode, 250, 7, 101, // Opcode: MAXI_S_W
+/* 4063 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 4075
+/* 4067 */    MCD_OPC_CheckPredicate, 6, 68, 36, // Skip to: 13355
+/* 4071 */    MCD_OPC_Decode, 248, 7, 102, // Opcode: MAXI_S_D
+/* 4075 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 4087
+/* 4079 */    MCD_OPC_CheckPredicate, 6, 56, 36, // Skip to: 13355
+/* 4083 */    MCD_OPC_Decode, 251, 7, 99, // Opcode: MAXI_U_B
+/* 4087 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 4099
+/* 4091 */    MCD_OPC_CheckPredicate, 6, 44, 36, // Skip to: 13355
+/* 4095 */    MCD_OPC_Decode, 253, 7, 100, // Opcode: MAXI_U_H
+/* 4099 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 4111
+/* 4103 */    MCD_OPC_CheckPredicate, 6, 32, 36, // Skip to: 13355
+/* 4107 */    MCD_OPC_Decode, 254, 7, 101, // Opcode: MAXI_U_W
+/* 4111 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 4123
+/* 4115 */    MCD_OPC_CheckPredicate, 6, 20, 36, // Skip to: 13355
+/* 4119 */    MCD_OPC_Decode, 252, 7, 102, // Opcode: MAXI_U_D
+/* 4123 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 4135
+/* 4127 */    MCD_OPC_CheckPredicate, 6, 8, 36, // Skip to: 13355
+/* 4131 */    MCD_OPC_Decode, 160, 8, 99, // Opcode: MINI_S_B
+/* 4135 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 4147
+/* 4139 */    MCD_OPC_CheckPredicate, 6, 252, 35, // Skip to: 13355
+/* 4143 */    MCD_OPC_Decode, 162, 8, 100, // Opcode: MINI_S_H
+/* 4147 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 4159
+/* 4151 */    MCD_OPC_CheckPredicate, 6, 240, 35, // Skip to: 13355
+/* 4155 */    MCD_OPC_Decode, 163, 8, 101, // Opcode: MINI_S_W
+/* 4159 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 4171
+/* 4163 */    MCD_OPC_CheckPredicate, 6, 228, 35, // Skip to: 13355
+/* 4167 */    MCD_OPC_Decode, 161, 8, 102, // Opcode: MINI_S_D
+/* 4171 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 4183
+/* 4175 */    MCD_OPC_CheckPredicate, 6, 216, 35, // Skip to: 13355
+/* 4179 */    MCD_OPC_Decode, 164, 8, 99, // Opcode: MINI_U_B
+/* 4183 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 4195
+/* 4187 */    MCD_OPC_CheckPredicate, 6, 204, 35, // Skip to: 13355
+/* 4191 */    MCD_OPC_Decode, 166, 8, 100, // Opcode: MINI_U_H
+/* 4195 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 4207
+/* 4199 */    MCD_OPC_CheckPredicate, 6, 192, 35, // Skip to: 13355
+/* 4203 */    MCD_OPC_Decode, 167, 8, 101, // Opcode: MINI_U_W
+/* 4207 */    MCD_OPC_FilterValue, 23, 184, 35, // Skip to: 13355
+/* 4211 */    MCD_OPC_CheckPredicate, 6, 180, 35, // Skip to: 13355
+/* 4215 */    MCD_OPC_Decode, 165, 8, 102, // Opcode: MINI_U_D
+/* 4219 */    MCD_OPC_FilterValue, 7, 35, 1, // Skip to: 4514
+/* 4223 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 4226 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4238
+/* 4230 */    MCD_OPC_CheckPredicate, 6, 161, 35, // Skip to: 13355
+/* 4234 */    MCD_OPC_Decode, 210, 2, 99, // Opcode: CEQI_B
+/* 4238 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 4250
+/* 4242 */    MCD_OPC_CheckPredicate, 6, 149, 35, // Skip to: 13355
+/* 4246 */    MCD_OPC_Decode, 212, 2, 100, // Opcode: CEQI_H
+/* 4250 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4262
+/* 4254 */    MCD_OPC_CheckPredicate, 6, 137, 35, // Skip to: 13355
+/* 4258 */    MCD_OPC_Decode, 213, 2, 101, // Opcode: CEQI_W
+/* 4262 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 4274
+/* 4266 */    MCD_OPC_CheckPredicate, 6, 125, 35, // Skip to: 13355
+/* 4270 */    MCD_OPC_Decode, 211, 2, 102, // Opcode: CEQI_D
+/* 4274 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 4286
+/* 4278 */    MCD_OPC_CheckPredicate, 6, 113, 35, // Skip to: 13355
+/* 4282 */    MCD_OPC_Decode, 244, 2, 99, // Opcode: CLTI_S_B
+/* 4286 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 4298
+/* 4290 */    MCD_OPC_CheckPredicate, 6, 101, 35, // Skip to: 13355
+/* 4294 */    MCD_OPC_Decode, 246, 2, 100, // Opcode: CLTI_S_H
+/* 4298 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 4310
+/* 4302 */    MCD_OPC_CheckPredicate, 6, 89, 35, // Skip to: 13355
+/* 4306 */    MCD_OPC_Decode, 247, 2, 101, // Opcode: CLTI_S_W
+/* 4310 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 4322
+/* 4314 */    MCD_OPC_CheckPredicate, 6, 77, 35, // Skip to: 13355
+/* 4318 */    MCD_OPC_Decode, 245, 2, 102, // Opcode: CLTI_S_D
+/* 4322 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 4334
+/* 4326 */    MCD_OPC_CheckPredicate, 6, 65, 35, // Skip to: 13355
+/* 4330 */    MCD_OPC_Decode, 248, 2, 99, // Opcode: CLTI_U_B
+/* 4334 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 4346
+/* 4338 */    MCD_OPC_CheckPredicate, 6, 53, 35, // Skip to: 13355
+/* 4342 */    MCD_OPC_Decode, 250, 2, 100, // Opcode: CLTI_U_H
+/* 4346 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 4358
+/* 4350 */    MCD_OPC_CheckPredicate, 6, 41, 35, // Skip to: 13355
+/* 4354 */    MCD_OPC_Decode, 251, 2, 101, // Opcode: CLTI_U_W
+/* 4358 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 4370
+/* 4362 */    MCD_OPC_CheckPredicate, 6, 29, 35, // Skip to: 13355
+/* 4366 */    MCD_OPC_Decode, 249, 2, 102, // Opcode: CLTI_U_D
+/* 4370 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 4382
+/* 4374 */    MCD_OPC_CheckPredicate, 6, 17, 35, // Skip to: 13355
+/* 4378 */    MCD_OPC_Decode, 225, 2, 99, // Opcode: CLEI_S_B
+/* 4382 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 4394
+/* 4386 */    MCD_OPC_CheckPredicate, 6, 5, 35, // Skip to: 13355
+/* 4390 */    MCD_OPC_Decode, 227, 2, 100, // Opcode: CLEI_S_H
+/* 4394 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 4406
+/* 4398 */    MCD_OPC_CheckPredicate, 6, 249, 34, // Skip to: 13355
+/* 4402 */    MCD_OPC_Decode, 228, 2, 101, // Opcode: CLEI_S_W
+/* 4406 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 4418
+/* 4410 */    MCD_OPC_CheckPredicate, 6, 237, 34, // Skip to: 13355
+/* 4414 */    MCD_OPC_Decode, 226, 2, 102, // Opcode: CLEI_S_D
+/* 4418 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 4430
+/* 4422 */    MCD_OPC_CheckPredicate, 6, 225, 34, // Skip to: 13355
+/* 4426 */    MCD_OPC_Decode, 229, 2, 99, // Opcode: CLEI_U_B
+/* 4430 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 4442
+/* 4434 */    MCD_OPC_CheckPredicate, 6, 213, 34, // Skip to: 13355
+/* 4438 */    MCD_OPC_Decode, 231, 2, 100, // Opcode: CLEI_U_H
+/* 4442 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 4454
+/* 4446 */    MCD_OPC_CheckPredicate, 6, 201, 34, // Skip to: 13355
+/* 4450 */    MCD_OPC_Decode, 232, 2, 101, // Opcode: CLEI_U_W
+/* 4454 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 4466
+/* 4458 */    MCD_OPC_CheckPredicate, 6, 189, 34, // Skip to: 13355
+/* 4462 */    MCD_OPC_Decode, 230, 2, 102, // Opcode: CLEI_U_D
+/* 4466 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 4478
+/* 4470 */    MCD_OPC_CheckPredicate, 6, 177, 34, // Skip to: 13355
+/* 4474 */    MCD_OPC_Decode, 140, 7, 103, // Opcode: LDI_B
+/* 4478 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 4490
+/* 4482 */    MCD_OPC_CheckPredicate, 6, 165, 34, // Skip to: 13355
+/* 4486 */    MCD_OPC_Decode, 142, 7, 104, // Opcode: LDI_H
+/* 4490 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 4502
+/* 4494 */    MCD_OPC_CheckPredicate, 6, 153, 34, // Skip to: 13355
+/* 4498 */    MCD_OPC_Decode, 143, 7, 105, // Opcode: LDI_W
+/* 4502 */    MCD_OPC_FilterValue, 27, 145, 34, // Skip to: 13355
+/* 4506 */    MCD_OPC_CheckPredicate, 6, 141, 34, // Skip to: 13355
+/* 4510 */    MCD_OPC_Decode, 141, 7, 106, // Opcode: LDI_D
+/* 4514 */    MCD_OPC_FilterValue, 9, 35, 2, // Skip to: 5065
+/* 4518 */    MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 4521 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4533
+/* 4525 */    MCD_OPC_CheckPredicate, 6, 122, 34, // Skip to: 13355
+/* 4529 */    MCD_OPC_Decode, 166, 11, 107, // Opcode: SLLI_D
+/* 4533 */    MCD_OPC_FilterValue, 1, 52, 0, // Skip to: 4589
+/* 4537 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4540 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4552
+/* 4544 */    MCD_OPC_CheckPredicate, 6, 103, 34, // Skip to: 13355
+/* 4548 */    MCD_OPC_Decode, 168, 11, 101, // Opcode: SLLI_W
+/* 4552 */    MCD_OPC_FilterValue, 1, 95, 34, // Skip to: 13355
+/* 4556 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4559 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4571
+/* 4563 */    MCD_OPC_CheckPredicate, 6, 84, 34, // Skip to: 13355
+/* 4567 */    MCD_OPC_Decode, 167, 11, 108, // Opcode: SLLI_H
+/* 4571 */    MCD_OPC_FilterValue, 1, 76, 34, // Skip to: 13355
+/* 4575 */    MCD_OPC_CheckPredicate, 6, 72, 34, // Skip to: 13355
+/* 4579 */    MCD_OPC_CheckField, 19, 1, 0, 66, 34, // Skip to: 13355
+/* 4585 */    MCD_OPC_Decode, 165, 11, 109, // Opcode: SLLI_B
+/* 4589 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4601
+/* 4593 */    MCD_OPC_CheckPredicate, 6, 54, 34, // Skip to: 13355
+/* 4597 */    MCD_OPC_Decode, 205, 11, 107, // Opcode: SRAI_D
+/* 4601 */    MCD_OPC_FilterValue, 3, 52, 0, // Skip to: 4657
+/* 4605 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4608 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4620
+/* 4612 */    MCD_OPC_CheckPredicate, 6, 35, 34, // Skip to: 13355
+/* 4616 */    MCD_OPC_Decode, 207, 11, 101, // Opcode: SRAI_W
+/* 4620 */    MCD_OPC_FilterValue, 1, 27, 34, // Skip to: 13355
+/* 4624 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4627 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4639
+/* 4631 */    MCD_OPC_CheckPredicate, 6, 16, 34, // Skip to: 13355
+/* 4635 */    MCD_OPC_Decode, 206, 11, 108, // Opcode: SRAI_H
+/* 4639 */    MCD_OPC_FilterValue, 1, 8, 34, // Skip to: 13355
+/* 4643 */    MCD_OPC_CheckPredicate, 6, 4, 34, // Skip to: 13355
+/* 4647 */    MCD_OPC_CheckField, 19, 1, 0, 254, 33, // Skip to: 13355
+/* 4653 */    MCD_OPC_Decode, 204, 11, 109, // Opcode: SRAI_B
+/* 4657 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 4669
+/* 4661 */    MCD_OPC_CheckPredicate, 6, 242, 33, // Skip to: 13355
+/* 4665 */    MCD_OPC_Decode, 225, 11, 107, // Opcode: SRLI_D
+/* 4669 */    MCD_OPC_FilterValue, 5, 52, 0, // Skip to: 4725
+/* 4673 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4676 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4688
+/* 4680 */    MCD_OPC_CheckPredicate, 6, 223, 33, // Skip to: 13355
+/* 4684 */    MCD_OPC_Decode, 227, 11, 101, // Opcode: SRLI_W
+/* 4688 */    MCD_OPC_FilterValue, 1, 215, 33, // Skip to: 13355
+/* 4692 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4695 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4707
+/* 4699 */    MCD_OPC_CheckPredicate, 6, 204, 33, // Skip to: 13355
+/* 4703 */    MCD_OPC_Decode, 226, 11, 108, // Opcode: SRLI_H
+/* 4707 */    MCD_OPC_FilterValue, 1, 196, 33, // Skip to: 13355
+/* 4711 */    MCD_OPC_CheckPredicate, 6, 192, 33, // Skip to: 13355
+/* 4715 */    MCD_OPC_CheckField, 19, 1, 0, 186, 33, // Skip to: 13355
+/* 4721 */    MCD_OPC_Decode, 224, 11, 109, // Opcode: SRLI_B
+/* 4725 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 4737
+/* 4729 */    MCD_OPC_CheckPredicate, 6, 174, 33, // Skip to: 13355
+/* 4733 */    MCD_OPC_Decode, 184, 1, 107, // Opcode: BCLRI_D
+/* 4737 */    MCD_OPC_FilterValue, 7, 52, 0, // Skip to: 4793
+/* 4741 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4744 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4756
+/* 4748 */    MCD_OPC_CheckPredicate, 6, 155, 33, // Skip to: 13355
+/* 4752 */    MCD_OPC_Decode, 186, 1, 101, // Opcode: BCLRI_W
+/* 4756 */    MCD_OPC_FilterValue, 1, 147, 33, // Skip to: 13355
+/* 4760 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4763 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4775
+/* 4767 */    MCD_OPC_CheckPredicate, 6, 136, 33, // Skip to: 13355
+/* 4771 */    MCD_OPC_Decode, 185, 1, 108, // Opcode: BCLRI_H
+/* 4775 */    MCD_OPC_FilterValue, 1, 128, 33, // Skip to: 13355
+/* 4779 */    MCD_OPC_CheckPredicate, 6, 124, 33, // Skip to: 13355
+/* 4783 */    MCD_OPC_CheckField, 19, 1, 0, 118, 33, // Skip to: 13355
+/* 4789 */    MCD_OPC_Decode, 183, 1, 109, // Opcode: BCLRI_B
+/* 4793 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 4805
+/* 4797 */    MCD_OPC_CheckPredicate, 6, 106, 33, // Skip to: 13355
+/* 4801 */    MCD_OPC_Decode, 164, 2, 107, // Opcode: BSETI_D
+/* 4805 */    MCD_OPC_FilterValue, 9, 52, 0, // Skip to: 4861
+/* 4809 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4812 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4824
+/* 4816 */    MCD_OPC_CheckPredicate, 6, 87, 33, // Skip to: 13355
+/* 4820 */    MCD_OPC_Decode, 166, 2, 101, // Opcode: BSETI_W
+/* 4824 */    MCD_OPC_FilterValue, 1, 79, 33, // Skip to: 13355
+/* 4828 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4831 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4843
+/* 4835 */    MCD_OPC_CheckPredicate, 6, 68, 33, // Skip to: 13355
+/* 4839 */    MCD_OPC_Decode, 165, 2, 108, // Opcode: BSETI_H
+/* 4843 */    MCD_OPC_FilterValue, 1, 60, 33, // Skip to: 13355
+/* 4847 */    MCD_OPC_CheckPredicate, 6, 56, 33, // Skip to: 13355
+/* 4851 */    MCD_OPC_CheckField, 19, 1, 0, 50, 33, // Skip to: 13355
+/* 4857 */    MCD_OPC_Decode, 163, 2, 109, // Opcode: BSETI_B
+/* 4861 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 4873
+/* 4865 */    MCD_OPC_CheckPredicate, 6, 38, 33, // Skip to: 13355
+/* 4869 */    MCD_OPC_Decode, 133, 2, 107, // Opcode: BNEGI_D
+/* 4873 */    MCD_OPC_FilterValue, 11, 52, 0, // Skip to: 4929
+/* 4877 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4880 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4892
+/* 4884 */    MCD_OPC_CheckPredicate, 6, 19, 33, // Skip to: 13355
+/* 4888 */    MCD_OPC_Decode, 135, 2, 101, // Opcode: BNEGI_W
+/* 4892 */    MCD_OPC_FilterValue, 1, 11, 33, // Skip to: 13355
+/* 4896 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4899 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4911
+/* 4903 */    MCD_OPC_CheckPredicate, 6, 0, 33, // Skip to: 13355
+/* 4907 */    MCD_OPC_Decode, 134, 2, 108, // Opcode: BNEGI_H
+/* 4911 */    MCD_OPC_FilterValue, 1, 248, 32, // Skip to: 13355
+/* 4915 */    MCD_OPC_CheckPredicate, 6, 244, 32, // Skip to: 13355
+/* 4919 */    MCD_OPC_CheckField, 19, 1, 0, 238, 32, // Skip to: 13355
+/* 4925 */    MCD_OPC_Decode, 132, 2, 109, // Opcode: BNEGI_B
+/* 4929 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 4941
+/* 4933 */    MCD_OPC_CheckPredicate, 6, 226, 32, // Skip to: 13355
+/* 4937 */    MCD_OPC_Decode, 218, 1, 110, // Opcode: BINSLI_D
+/* 4941 */    MCD_OPC_FilterValue, 13, 52, 0, // Skip to: 4997
+/* 4945 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4948 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4960
+/* 4952 */    MCD_OPC_CheckPredicate, 6, 207, 32, // Skip to: 13355
+/* 4956 */    MCD_OPC_Decode, 220, 1, 111, // Opcode: BINSLI_W
+/* 4960 */    MCD_OPC_FilterValue, 1, 199, 32, // Skip to: 13355
+/* 4964 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4967 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4979
+/* 4971 */    MCD_OPC_CheckPredicate, 6, 188, 32, // Skip to: 13355
+/* 4975 */    MCD_OPC_Decode, 219, 1, 112, // Opcode: BINSLI_H
+/* 4979 */    MCD_OPC_FilterValue, 1, 180, 32, // Skip to: 13355
+/* 4983 */    MCD_OPC_CheckPredicate, 6, 176, 32, // Skip to: 13355
+/* 4987 */    MCD_OPC_CheckField, 19, 1, 0, 170, 32, // Skip to: 13355
+/* 4993 */    MCD_OPC_Decode, 217, 1, 113, // Opcode: BINSLI_B
+/* 4997 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 5009
+/* 5001 */    MCD_OPC_CheckPredicate, 6, 158, 32, // Skip to: 13355
+/* 5005 */    MCD_OPC_Decode, 226, 1, 110, // Opcode: BINSRI_D
+/* 5009 */    MCD_OPC_FilterValue, 15, 150, 32, // Skip to: 13355
+/* 5013 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 5016 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5028
+/* 5020 */    MCD_OPC_CheckPredicate, 6, 139, 32, // Skip to: 13355
+/* 5024 */    MCD_OPC_Decode, 228, 1, 111, // Opcode: BINSRI_W
+/* 5028 */    MCD_OPC_FilterValue, 1, 131, 32, // Skip to: 13355
+/* 5032 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5035 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5047
+/* 5039 */    MCD_OPC_CheckPredicate, 6, 120, 32, // Skip to: 13355
+/* 5043 */    MCD_OPC_Decode, 227, 1, 112, // Opcode: BINSRI_H
+/* 5047 */    MCD_OPC_FilterValue, 1, 112, 32, // Skip to: 13355
+/* 5051 */    MCD_OPC_CheckPredicate, 6, 108, 32, // Skip to: 13355
+/* 5055 */    MCD_OPC_CheckField, 19, 1, 0, 102, 32, // Skip to: 13355
+/* 5061 */    MCD_OPC_Decode, 225, 1, 113, // Opcode: BINSRI_B
+/* 5065 */    MCD_OPC_FilterValue, 10, 19, 1, // Skip to: 5344
+/* 5069 */    MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 5072 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5084
+/* 5076 */    MCD_OPC_CheckPredicate, 6, 83, 32, // Skip to: 13355
+/* 5080 */    MCD_OPC_Decode, 204, 10, 107, // Opcode: SAT_S_D
+/* 5084 */    MCD_OPC_FilterValue, 1, 52, 0, // Skip to: 5140
+/* 5088 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 5091 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5103
+/* 5095 */    MCD_OPC_CheckPredicate, 6, 64, 32, // Skip to: 13355
+/* 5099 */    MCD_OPC_Decode, 206, 10, 101, // Opcode: SAT_S_W
+/* 5103 */    MCD_OPC_FilterValue, 1, 56, 32, // Skip to: 13355
+/* 5107 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5110 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5122
+/* 5114 */    MCD_OPC_CheckPredicate, 6, 45, 32, // Skip to: 13355
+/* 5118 */    MCD_OPC_Decode, 205, 10, 108, // Opcode: SAT_S_H
+/* 5122 */    MCD_OPC_FilterValue, 1, 37, 32, // Skip to: 13355
+/* 5126 */    MCD_OPC_CheckPredicate, 6, 33, 32, // Skip to: 13355
+/* 5130 */    MCD_OPC_CheckField, 19, 1, 0, 27, 32, // Skip to: 13355
+/* 5136 */    MCD_OPC_Decode, 203, 10, 109, // Opcode: SAT_S_B
+/* 5140 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5152
+/* 5144 */    MCD_OPC_CheckPredicate, 6, 15, 32, // Skip to: 13355
+/* 5148 */    MCD_OPC_Decode, 208, 10, 107, // Opcode: SAT_U_D
+/* 5152 */    MCD_OPC_FilterValue, 3, 52, 0, // Skip to: 5208
+/* 5156 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 5159 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5171
+/* 5163 */    MCD_OPC_CheckPredicate, 6, 252, 31, // Skip to: 13355
+/* 5167 */    MCD_OPC_Decode, 210, 10, 101, // Opcode: SAT_U_W
+/* 5171 */    MCD_OPC_FilterValue, 1, 244, 31, // Skip to: 13355
+/* 5175 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5178 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5190
+/* 5182 */    MCD_OPC_CheckPredicate, 6, 233, 31, // Skip to: 13355
+/* 5186 */    MCD_OPC_Decode, 209, 10, 108, // Opcode: SAT_U_H
+/* 5190 */    MCD_OPC_FilterValue, 1, 225, 31, // Skip to: 13355
+/* 5194 */    MCD_OPC_CheckPredicate, 6, 221, 31, // Skip to: 13355
+/* 5198 */    MCD_OPC_CheckField, 19, 1, 0, 215, 31, // Skip to: 13355
+/* 5204 */    MCD_OPC_Decode, 207, 10, 109, // Opcode: SAT_U_B
+/* 5208 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 5220
+/* 5212 */    MCD_OPC_CheckPredicate, 6, 203, 31, // Skip to: 13355
+/* 5216 */    MCD_OPC_Decode, 209, 11, 107, // Opcode: SRARI_D
+/* 5220 */    MCD_OPC_FilterValue, 5, 52, 0, // Skip to: 5276
+/* 5224 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 5227 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5239
+/* 5231 */    MCD_OPC_CheckPredicate, 6, 184, 31, // Skip to: 13355
+/* 5235 */    MCD_OPC_Decode, 211, 11, 101, // Opcode: SRARI_W
+/* 5239 */    MCD_OPC_FilterValue, 1, 176, 31, // Skip to: 13355
+/* 5243 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5246 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5258
+/* 5250 */    MCD_OPC_CheckPredicate, 6, 165, 31, // Skip to: 13355
+/* 5254 */    MCD_OPC_Decode, 210, 11, 108, // Opcode: SRARI_H
+/* 5258 */    MCD_OPC_FilterValue, 1, 157, 31, // Skip to: 13355
+/* 5262 */    MCD_OPC_CheckPredicate, 6, 153, 31, // Skip to: 13355
+/* 5266 */    MCD_OPC_CheckField, 19, 1, 0, 147, 31, // Skip to: 13355
+/* 5272 */    MCD_OPC_Decode, 208, 11, 109, // Opcode: SRARI_B
+/* 5276 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 5288
+/* 5280 */    MCD_OPC_CheckPredicate, 6, 135, 31, // Skip to: 13355
+/* 5284 */    MCD_OPC_Decode, 229, 11, 107, // Opcode: SRLRI_D
+/* 5288 */    MCD_OPC_FilterValue, 7, 127, 31, // Skip to: 13355
+/* 5292 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 5295 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5307
+/* 5299 */    MCD_OPC_CheckPredicate, 6, 116, 31, // Skip to: 13355
+/* 5303 */    MCD_OPC_Decode, 231, 11, 101, // Opcode: SRLRI_W
+/* 5307 */    MCD_OPC_FilterValue, 1, 108, 31, // Skip to: 13355
+/* 5311 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5314 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5326
+/* 5318 */    MCD_OPC_CheckPredicate, 6, 97, 31, // Skip to: 13355
+/* 5322 */    MCD_OPC_Decode, 230, 11, 108, // Opcode: SRLRI_H
+/* 5326 */    MCD_OPC_FilterValue, 1, 89, 31, // Skip to: 13355
+/* 5330 */    MCD_OPC_CheckPredicate, 6, 85, 31, // Skip to: 13355
+/* 5334 */    MCD_OPC_CheckField, 19, 1, 0, 79, 31, // Skip to: 13355
+/* 5340 */    MCD_OPC_Decode, 228, 11, 109, // Opcode: SRLRI_B
+/* 5344 */    MCD_OPC_FilterValue, 13, 131, 1, // Skip to: 5735
+/* 5348 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 5351 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5363
+/* 5355 */    MCD_OPC_CheckPredicate, 6, 60, 31, // Skip to: 13355
+/* 5359 */    MCD_OPC_Decode, 171, 11, 114, // Opcode: SLL_B
+/* 5363 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5375
+/* 5367 */    MCD_OPC_CheckPredicate, 6, 48, 31, // Skip to: 13355
+/* 5371 */    MCD_OPC_Decode, 173, 11, 115, // Opcode: SLL_H
+/* 5375 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5387
+/* 5379 */    MCD_OPC_CheckPredicate, 6, 36, 31, // Skip to: 13355
+/* 5383 */    MCD_OPC_Decode, 175, 11, 116, // Opcode: SLL_W
+/* 5387 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 5399
+/* 5391 */    MCD_OPC_CheckPredicate, 6, 24, 31, // Skip to: 13355
+/* 5395 */    MCD_OPC_Decode, 172, 11, 117, // Opcode: SLL_D
+/* 5399 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 5411
+/* 5403 */    MCD_OPC_CheckPredicate, 6, 12, 31, // Skip to: 13355
+/* 5407 */    MCD_OPC_Decode, 218, 11, 114, // Opcode: SRA_B
+/* 5411 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 5423
+/* 5415 */    MCD_OPC_CheckPredicate, 6, 0, 31, // Skip to: 13355
+/* 5419 */    MCD_OPC_Decode, 220, 11, 115, // Opcode: SRA_H
+/* 5423 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 5435
+/* 5427 */    MCD_OPC_CheckPredicate, 6, 244, 30, // Skip to: 13355
+/* 5431 */    MCD_OPC_Decode, 222, 11, 116, // Opcode: SRA_W
+/* 5435 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 5447
+/* 5439 */    MCD_OPC_CheckPredicate, 6, 232, 30, // Skip to: 13355
+/* 5443 */    MCD_OPC_Decode, 219, 11, 117, // Opcode: SRA_D
+/* 5447 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 5459
+/* 5451 */    MCD_OPC_CheckPredicate, 6, 220, 30, // Skip to: 13355
+/* 5455 */    MCD_OPC_Decode, 238, 11, 114, // Opcode: SRL_B
+/* 5459 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 5471
+/* 5463 */    MCD_OPC_CheckPredicate, 6, 208, 30, // Skip to: 13355
+/* 5467 */    MCD_OPC_Decode, 240, 11, 115, // Opcode: SRL_H
+/* 5471 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 5483
+/* 5475 */    MCD_OPC_CheckPredicate, 6, 196, 30, // Skip to: 13355
+/* 5479 */    MCD_OPC_Decode, 242, 11, 116, // Opcode: SRL_W
+/* 5483 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 5495
+/* 5487 */    MCD_OPC_CheckPredicate, 6, 184, 30, // Skip to: 13355
+/* 5491 */    MCD_OPC_Decode, 239, 11, 117, // Opcode: SRL_D
+/* 5495 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 5507
+/* 5499 */    MCD_OPC_CheckPredicate, 6, 172, 30, // Skip to: 13355
+/* 5503 */    MCD_OPC_Decode, 187, 1, 114, // Opcode: BCLR_B
+/* 5507 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 5519
+/* 5511 */    MCD_OPC_CheckPredicate, 6, 160, 30, // Skip to: 13355
+/* 5515 */    MCD_OPC_Decode, 189, 1, 115, // Opcode: BCLR_H
+/* 5519 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 5531
+/* 5523 */    MCD_OPC_CheckPredicate, 6, 148, 30, // Skip to: 13355
+/* 5527 */    MCD_OPC_Decode, 190, 1, 116, // Opcode: BCLR_W
+/* 5531 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 5543
+/* 5535 */    MCD_OPC_CheckPredicate, 6, 136, 30, // Skip to: 13355
+/* 5539 */    MCD_OPC_Decode, 188, 1, 117, // Opcode: BCLR_D
+/* 5543 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 5555
+/* 5547 */    MCD_OPC_CheckPredicate, 6, 124, 30, // Skip to: 13355
+/* 5551 */    MCD_OPC_Decode, 167, 2, 114, // Opcode: BSET_B
+/* 5555 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 5567
+/* 5559 */    MCD_OPC_CheckPredicate, 6, 112, 30, // Skip to: 13355
+/* 5563 */    MCD_OPC_Decode, 169, 2, 115, // Opcode: BSET_H
+/* 5567 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 5579
+/* 5571 */    MCD_OPC_CheckPredicate, 6, 100, 30, // Skip to: 13355
+/* 5575 */    MCD_OPC_Decode, 170, 2, 116, // Opcode: BSET_W
+/* 5579 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 5591
+/* 5583 */    MCD_OPC_CheckPredicate, 6, 88, 30, // Skip to: 13355
+/* 5587 */    MCD_OPC_Decode, 168, 2, 117, // Opcode: BSET_D
+/* 5591 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 5603
+/* 5595 */    MCD_OPC_CheckPredicate, 6, 76, 30, // Skip to: 13355
+/* 5599 */    MCD_OPC_Decode, 136, 2, 114, // Opcode: BNEG_B
+/* 5603 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 5615
+/* 5607 */    MCD_OPC_CheckPredicate, 6, 64, 30, // Skip to: 13355
+/* 5611 */    MCD_OPC_Decode, 138, 2, 115, // Opcode: BNEG_H
+/* 5615 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 5627
+/* 5619 */    MCD_OPC_CheckPredicate, 6, 52, 30, // Skip to: 13355
+/* 5623 */    MCD_OPC_Decode, 139, 2, 116, // Opcode: BNEG_W
+/* 5627 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 5639
+/* 5631 */    MCD_OPC_CheckPredicate, 6, 40, 30, // Skip to: 13355
+/* 5635 */    MCD_OPC_Decode, 137, 2, 117, // Opcode: BNEG_D
+/* 5639 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 5651
+/* 5643 */    MCD_OPC_CheckPredicate, 6, 28, 30, // Skip to: 13355
+/* 5647 */    MCD_OPC_Decode, 221, 1, 118, // Opcode: BINSL_B
+/* 5651 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 5663
+/* 5655 */    MCD_OPC_CheckPredicate, 6, 16, 30, // Skip to: 13355
+/* 5659 */    MCD_OPC_Decode, 223, 1, 119, // Opcode: BINSL_H
+/* 5663 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 5675
+/* 5667 */    MCD_OPC_CheckPredicate, 6, 4, 30, // Skip to: 13355
+/* 5671 */    MCD_OPC_Decode, 224, 1, 120, // Opcode: BINSL_W
+/* 5675 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 5687
+/* 5679 */    MCD_OPC_CheckPredicate, 6, 248, 29, // Skip to: 13355
+/* 5683 */    MCD_OPC_Decode, 222, 1, 121, // Opcode: BINSL_D
+/* 5687 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 5699
+/* 5691 */    MCD_OPC_CheckPredicate, 6, 236, 29, // Skip to: 13355
+/* 5695 */    MCD_OPC_Decode, 229, 1, 118, // Opcode: BINSR_B
+/* 5699 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 5711
+/* 5703 */    MCD_OPC_CheckPredicate, 6, 224, 29, // Skip to: 13355
+/* 5707 */    MCD_OPC_Decode, 231, 1, 119, // Opcode: BINSR_H
+/* 5711 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 5723
+/* 5715 */    MCD_OPC_CheckPredicate, 6, 212, 29, // Skip to: 13355
+/* 5719 */    MCD_OPC_Decode, 232, 1, 120, // Opcode: BINSR_W
+/* 5723 */    MCD_OPC_FilterValue, 31, 204, 29, // Skip to: 13355
+/* 5727 */    MCD_OPC_CheckPredicate, 6, 200, 29, // Skip to: 13355
+/* 5731 */    MCD_OPC_Decode, 230, 1, 121, // Opcode: BINSR_D
+/* 5735 */    MCD_OPC_FilterValue, 14, 127, 1, // Skip to: 6122
+/* 5739 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 5742 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 5753
+/* 5746 */    MCD_OPC_CheckPredicate, 6, 181, 29, // Skip to: 13355
+/* 5750 */    MCD_OPC_Decode, 55, 114, // Opcode: ADDV_B
+/* 5753 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 5764
+/* 5757 */    MCD_OPC_CheckPredicate, 6, 170, 29, // Skip to: 13355
+/* 5761 */    MCD_OPC_Decode, 57, 115, // Opcode: ADDV_H
+/* 5764 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 5775
+/* 5768 */    MCD_OPC_CheckPredicate, 6, 159, 29, // Skip to: 13355
+/* 5772 */    MCD_OPC_Decode, 58, 116, // Opcode: ADDV_W
+/* 5775 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 5786
+/* 5779 */    MCD_OPC_CheckPredicate, 6, 148, 29, // Skip to: 13355
+/* 5783 */    MCD_OPC_Decode, 56, 117, // Opcode: ADDV_D
+/* 5786 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 5798
+/* 5790 */    MCD_OPC_CheckPredicate, 6, 137, 29, // Skip to: 13355
+/* 5794 */    MCD_OPC_Decode, 158, 12, 114, // Opcode: SUBV_B
+/* 5798 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 5810
+/* 5802 */    MCD_OPC_CheckPredicate, 6, 125, 29, // Skip to: 13355
+/* 5806 */    MCD_OPC_Decode, 160, 12, 115, // Opcode: SUBV_H
+/* 5810 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 5822
+/* 5814 */    MCD_OPC_CheckPredicate, 6, 113, 29, // Skip to: 13355
+/* 5818 */    MCD_OPC_Decode, 161, 12, 116, // Opcode: SUBV_W
+/* 5822 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 5834
+/* 5826 */    MCD_OPC_CheckPredicate, 6, 101, 29, // Skip to: 13355
+/* 5830 */    MCD_OPC_Decode, 159, 12, 117, // Opcode: SUBV_D
+/* 5834 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 5846
+/* 5838 */    MCD_OPC_CheckPredicate, 6, 89, 29, // Skip to: 13355
+/* 5842 */    MCD_OPC_Decode, 133, 8, 114, // Opcode: MAX_S_B
+/* 5846 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 5858
+/* 5850 */    MCD_OPC_CheckPredicate, 6, 77, 29, // Skip to: 13355
+/* 5854 */    MCD_OPC_Decode, 135, 8, 115, // Opcode: MAX_S_H
+/* 5858 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 5870
+/* 5862 */    MCD_OPC_CheckPredicate, 6, 65, 29, // Skip to: 13355
+/* 5866 */    MCD_OPC_Decode, 136, 8, 116, // Opcode: MAX_S_W
+/* 5870 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 5882
+/* 5874 */    MCD_OPC_CheckPredicate, 6, 53, 29, // Skip to: 13355
+/* 5878 */    MCD_OPC_Decode, 134, 8, 117, // Opcode: MAX_S_D
+/* 5882 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 5894
+/* 5886 */    MCD_OPC_CheckPredicate, 6, 41, 29, // Skip to: 13355
+/* 5890 */    MCD_OPC_Decode, 137, 8, 114, // Opcode: MAX_U_B
+/* 5894 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 5906
+/* 5898 */    MCD_OPC_CheckPredicate, 6, 29, 29, // Skip to: 13355
+/* 5902 */    MCD_OPC_Decode, 139, 8, 115, // Opcode: MAX_U_H
+/* 5906 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 5918
+/* 5910 */    MCD_OPC_CheckPredicate, 6, 17, 29, // Skip to: 13355
+/* 5914 */    MCD_OPC_Decode, 140, 8, 116, // Opcode: MAX_U_W
+/* 5918 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 5930
+/* 5922 */    MCD_OPC_CheckPredicate, 6, 5, 29, // Skip to: 13355
+/* 5926 */    MCD_OPC_Decode, 138, 8, 117, // Opcode: MAX_U_D
+/* 5930 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 5942
+/* 5934 */    MCD_OPC_CheckPredicate, 6, 249, 28, // Skip to: 13355
+/* 5938 */    MCD_OPC_Decode, 174, 8, 114, // Opcode: MIN_S_B
+/* 5942 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 5954
+/* 5946 */    MCD_OPC_CheckPredicate, 6, 237, 28, // Skip to: 13355
+/* 5950 */    MCD_OPC_Decode, 176, 8, 115, // Opcode: MIN_S_H
+/* 5954 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 5966
+/* 5958 */    MCD_OPC_CheckPredicate, 6, 225, 28, // Skip to: 13355
+/* 5962 */    MCD_OPC_Decode, 177, 8, 116, // Opcode: MIN_S_W
+/* 5966 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 5978
+/* 5970 */    MCD_OPC_CheckPredicate, 6, 213, 28, // Skip to: 13355
+/* 5974 */    MCD_OPC_Decode, 175, 8, 117, // Opcode: MIN_S_D
+/* 5978 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 5990
+/* 5982 */    MCD_OPC_CheckPredicate, 6, 201, 28, // Skip to: 13355
+/* 5986 */    MCD_OPC_Decode, 178, 8, 114, // Opcode: MIN_U_B
+/* 5990 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 6002
+/* 5994 */    MCD_OPC_CheckPredicate, 6, 189, 28, // Skip to: 13355
+/* 5998 */    MCD_OPC_Decode, 180, 8, 115, // Opcode: MIN_U_H
+/* 6002 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 6014
+/* 6006 */    MCD_OPC_CheckPredicate, 6, 177, 28, // Skip to: 13355
+/* 6010 */    MCD_OPC_Decode, 181, 8, 116, // Opcode: MIN_U_W
+/* 6014 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 6026
+/* 6018 */    MCD_OPC_CheckPredicate, 6, 165, 28, // Skip to: 13355
+/* 6022 */    MCD_OPC_Decode, 179, 8, 117, // Opcode: MIN_U_D
+/* 6026 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 6038
+/* 6030 */    MCD_OPC_CheckPredicate, 6, 153, 28, // Skip to: 13355
+/* 6034 */    MCD_OPC_Decode, 255, 7, 114, // Opcode: MAX_A_B
+/* 6038 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 6050
+/* 6042 */    MCD_OPC_CheckPredicate, 6, 141, 28, // Skip to: 13355
+/* 6046 */    MCD_OPC_Decode, 129, 8, 115, // Opcode: MAX_A_H
+/* 6050 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 6062
+/* 6054 */    MCD_OPC_CheckPredicate, 6, 129, 28, // Skip to: 13355
+/* 6058 */    MCD_OPC_Decode, 130, 8, 116, // Opcode: MAX_A_W
+/* 6062 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 6074
+/* 6066 */    MCD_OPC_CheckPredicate, 6, 117, 28, // Skip to: 13355
+/* 6070 */    MCD_OPC_Decode, 128, 8, 117, // Opcode: MAX_A_D
+/* 6074 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 6086
+/* 6078 */    MCD_OPC_CheckPredicate, 6, 105, 28, // Skip to: 13355
+/* 6082 */    MCD_OPC_Decode, 168, 8, 114, // Opcode: MIN_A_B
+/* 6086 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 6098
+/* 6090 */    MCD_OPC_CheckPredicate, 6, 93, 28, // Skip to: 13355
+/* 6094 */    MCD_OPC_Decode, 170, 8, 115, // Opcode: MIN_A_H
+/* 6098 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 6110
+/* 6102 */    MCD_OPC_CheckPredicate, 6, 81, 28, // Skip to: 13355
+/* 6106 */    MCD_OPC_Decode, 171, 8, 116, // Opcode: MIN_A_W
+/* 6110 */    MCD_OPC_FilterValue, 31, 73, 28, // Skip to: 13355
+/* 6114 */    MCD_OPC_CheckPredicate, 6, 69, 28, // Skip to: 13355
+/* 6118 */    MCD_OPC_Decode, 169, 8, 117, // Opcode: MIN_A_D
+/* 6122 */    MCD_OPC_FilterValue, 15, 243, 0, // Skip to: 6369
+/* 6126 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 6129 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6141
+/* 6133 */    MCD_OPC_CheckPredicate, 6, 50, 28, // Skip to: 13355
+/* 6137 */    MCD_OPC_Decode, 214, 2, 114, // Opcode: CEQ_B
+/* 6141 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6153
+/* 6145 */    MCD_OPC_CheckPredicate, 6, 38, 28, // Skip to: 13355
+/* 6149 */    MCD_OPC_Decode, 216, 2, 115, // Opcode: CEQ_H
+/* 6153 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6165
+/* 6157 */    MCD_OPC_CheckPredicate, 6, 26, 28, // Skip to: 13355
+/* 6161 */    MCD_OPC_Decode, 217, 2, 116, // Opcode: CEQ_W
+/* 6165 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6177
+/* 6169 */    MCD_OPC_CheckPredicate, 6, 14, 28, // Skip to: 13355
+/* 6173 */    MCD_OPC_Decode, 215, 2, 117, // Opcode: CEQ_D
+/* 6177 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 6189
+/* 6181 */    MCD_OPC_CheckPredicate, 6, 2, 28, // Skip to: 13355
+/* 6185 */    MCD_OPC_Decode, 252, 2, 114, // Opcode: CLT_S_B
+/* 6189 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6201
+/* 6193 */    MCD_OPC_CheckPredicate, 6, 246, 27, // Skip to: 13355
+/* 6197 */    MCD_OPC_Decode, 254, 2, 115, // Opcode: CLT_S_H
+/* 6201 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 6213
+/* 6205 */    MCD_OPC_CheckPredicate, 6, 234, 27, // Skip to: 13355
+/* 6209 */    MCD_OPC_Decode, 255, 2, 116, // Opcode: CLT_S_W
+/* 6213 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6225
+/* 6217 */    MCD_OPC_CheckPredicate, 6, 222, 27, // Skip to: 13355
+/* 6221 */    MCD_OPC_Decode, 253, 2, 117, // Opcode: CLT_S_D
+/* 6225 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 6237
+/* 6229 */    MCD_OPC_CheckPredicate, 6, 210, 27, // Skip to: 13355
+/* 6233 */    MCD_OPC_Decode, 128, 3, 114, // Opcode: CLT_U_B
+/* 6237 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 6249
+/* 6241 */    MCD_OPC_CheckPredicate, 6, 198, 27, // Skip to: 13355
+/* 6245 */    MCD_OPC_Decode, 130, 3, 115, // Opcode: CLT_U_H
+/* 6249 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 6261
+/* 6253 */    MCD_OPC_CheckPredicate, 6, 186, 27, // Skip to: 13355
+/* 6257 */    MCD_OPC_Decode, 131, 3, 116, // Opcode: CLT_U_W
+/* 6261 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 6273
+/* 6265 */    MCD_OPC_CheckPredicate, 6, 174, 27, // Skip to: 13355
+/* 6269 */    MCD_OPC_Decode, 129, 3, 117, // Opcode: CLT_U_D
+/* 6273 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6285
+/* 6277 */    MCD_OPC_CheckPredicate, 6, 162, 27, // Skip to: 13355
+/* 6281 */    MCD_OPC_Decode, 233, 2, 114, // Opcode: CLE_S_B
+/* 6285 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 6297
+/* 6289 */    MCD_OPC_CheckPredicate, 6, 150, 27, // Skip to: 13355
+/* 6293 */    MCD_OPC_Decode, 235, 2, 115, // Opcode: CLE_S_H
+/* 6297 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 6309
+/* 6301 */    MCD_OPC_CheckPredicate, 6, 138, 27, // Skip to: 13355
+/* 6305 */    MCD_OPC_Decode, 236, 2, 116, // Opcode: CLE_S_W
+/* 6309 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 6321
+/* 6313 */    MCD_OPC_CheckPredicate, 6, 126, 27, // Skip to: 13355
+/* 6317 */    MCD_OPC_Decode, 234, 2, 117, // Opcode: CLE_S_D
+/* 6321 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 6333
+/* 6325 */    MCD_OPC_CheckPredicate, 6, 114, 27, // Skip to: 13355
+/* 6329 */    MCD_OPC_Decode, 237, 2, 114, // Opcode: CLE_U_B
+/* 6333 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 6345
+/* 6337 */    MCD_OPC_CheckPredicate, 6, 102, 27, // Skip to: 13355
+/* 6341 */    MCD_OPC_Decode, 239, 2, 115, // Opcode: CLE_U_H
+/* 6345 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 6357
+/* 6349 */    MCD_OPC_CheckPredicate, 6, 90, 27, // Skip to: 13355
+/* 6353 */    MCD_OPC_Decode, 240, 2, 116, // Opcode: CLE_U_W
+/* 6357 */    MCD_OPC_FilterValue, 23, 82, 27, // Skip to: 13355
+/* 6361 */    MCD_OPC_CheckPredicate, 6, 78, 27, // Skip to: 13355
+/* 6365 */    MCD_OPC_Decode, 238, 2, 117, // Opcode: CLE_U_D
+/* 6369 */    MCD_OPC_FilterValue, 16, 115, 1, // Skip to: 6744
+/* 6373 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 6376 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 6387
+/* 6380 */    MCD_OPC_CheckPredicate, 6, 59, 27, // Skip to: 13355
+/* 6384 */    MCD_OPC_Decode, 60, 114, // Opcode: ADD_A_B
+/* 6387 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 6398
+/* 6391 */    MCD_OPC_CheckPredicate, 6, 48, 27, // Skip to: 13355
+/* 6395 */    MCD_OPC_Decode, 62, 115, // Opcode: ADD_A_H
+/* 6398 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 6409
+/* 6402 */    MCD_OPC_CheckPredicate, 6, 37, 27, // Skip to: 13355
+/* 6406 */    MCD_OPC_Decode, 63, 116, // Opcode: ADD_A_W
+/* 6409 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 6420
+/* 6413 */    MCD_OPC_CheckPredicate, 6, 26, 27, // Skip to: 13355
+/* 6417 */    MCD_OPC_Decode, 61, 117, // Opcode: ADD_A_D
+/* 6420 */    MCD_OPC_FilterValue, 4, 7, 0, // Skip to: 6431
+/* 6424 */    MCD_OPC_CheckPredicate, 6, 15, 27, // Skip to: 13355
+/* 6428 */    MCD_OPC_Decode, 33, 114, // Opcode: ADDS_A_B
+/* 6431 */    MCD_OPC_FilterValue, 5, 7, 0, // Skip to: 6442
+/* 6435 */    MCD_OPC_CheckPredicate, 6, 4, 27, // Skip to: 13355
+/* 6439 */    MCD_OPC_Decode, 35, 115, // Opcode: ADDS_A_H
+/* 6442 */    MCD_OPC_FilterValue, 6, 7, 0, // Skip to: 6453
+/* 6446 */    MCD_OPC_CheckPredicate, 6, 249, 26, // Skip to: 13355
+/* 6450 */    MCD_OPC_Decode, 36, 116, // Opcode: ADDS_A_W
+/* 6453 */    MCD_OPC_FilterValue, 7, 7, 0, // Skip to: 6464
+/* 6457 */    MCD_OPC_CheckPredicate, 6, 238, 26, // Skip to: 13355
+/* 6461 */    MCD_OPC_Decode, 34, 117, // Opcode: ADDS_A_D
+/* 6464 */    MCD_OPC_FilterValue, 8, 7, 0, // Skip to: 6475
+/* 6468 */    MCD_OPC_CheckPredicate, 6, 227, 26, // Skip to: 13355
+/* 6472 */    MCD_OPC_Decode, 37, 114, // Opcode: ADDS_S_B
+/* 6475 */    MCD_OPC_FilterValue, 9, 7, 0, // Skip to: 6486
+/* 6479 */    MCD_OPC_CheckPredicate, 6, 216, 26, // Skip to: 13355
+/* 6483 */    MCD_OPC_Decode, 39, 115, // Opcode: ADDS_S_H
+/* 6486 */    MCD_OPC_FilterValue, 10, 7, 0, // Skip to: 6497
+/* 6490 */    MCD_OPC_CheckPredicate, 6, 205, 26, // Skip to: 13355
+/* 6494 */    MCD_OPC_Decode, 40, 116, // Opcode: ADDS_S_W
+/* 6497 */    MCD_OPC_FilterValue, 11, 7, 0, // Skip to: 6508
+/* 6501 */    MCD_OPC_CheckPredicate, 6, 194, 26, // Skip to: 13355
+/* 6505 */    MCD_OPC_Decode, 38, 117, // Opcode: ADDS_S_D
+/* 6508 */    MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 6519
+/* 6512 */    MCD_OPC_CheckPredicate, 6, 183, 26, // Skip to: 13355
+/* 6516 */    MCD_OPC_Decode, 41, 114, // Opcode: ADDS_U_B
+/* 6519 */    MCD_OPC_FilterValue, 13, 7, 0, // Skip to: 6530
+/* 6523 */    MCD_OPC_CheckPredicate, 6, 172, 26, // Skip to: 13355
+/* 6527 */    MCD_OPC_Decode, 43, 115, // Opcode: ADDS_U_H
+/* 6530 */    MCD_OPC_FilterValue, 14, 7, 0, // Skip to: 6541
+/* 6534 */    MCD_OPC_CheckPredicate, 6, 161, 26, // Skip to: 13355
+/* 6538 */    MCD_OPC_Decode, 44, 116, // Opcode: ADDS_U_W
+/* 6541 */    MCD_OPC_FilterValue, 15, 7, 0, // Skip to: 6552
+/* 6545 */    MCD_OPC_CheckPredicate, 6, 150, 26, // Skip to: 13355
+/* 6549 */    MCD_OPC_Decode, 42, 117, // Opcode: ADDS_U_D
+/* 6552 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6564
+/* 6556 */    MCD_OPC_CheckPredicate, 6, 139, 26, // Skip to: 13355
+/* 6560 */    MCD_OPC_Decode, 137, 1, 114, // Opcode: AVE_S_B
+/* 6564 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 6576
+/* 6568 */    MCD_OPC_CheckPredicate, 6, 127, 26, // Skip to: 13355
+/* 6572 */    MCD_OPC_Decode, 139, 1, 115, // Opcode: AVE_S_H
+/* 6576 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 6588
+/* 6580 */    MCD_OPC_CheckPredicate, 6, 115, 26, // Skip to: 13355
+/* 6584 */    MCD_OPC_Decode, 140, 1, 116, // Opcode: AVE_S_W
+/* 6588 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 6600
+/* 6592 */    MCD_OPC_CheckPredicate, 6, 103, 26, // Skip to: 13355
+/* 6596 */    MCD_OPC_Decode, 138, 1, 117, // Opcode: AVE_S_D
+/* 6600 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 6612
+/* 6604 */    MCD_OPC_CheckPredicate, 6, 91, 26, // Skip to: 13355
+/* 6608 */    MCD_OPC_Decode, 141, 1, 114, // Opcode: AVE_U_B
+/* 6612 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 6624
+/* 6616 */    MCD_OPC_CheckPredicate, 6, 79, 26, // Skip to: 13355
+/* 6620 */    MCD_OPC_Decode, 143, 1, 115, // Opcode: AVE_U_H
+/* 6624 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 6636
+/* 6628 */    MCD_OPC_CheckPredicate, 6, 67, 26, // Skip to: 13355
+/* 6632 */    MCD_OPC_Decode, 144, 1, 116, // Opcode: AVE_U_W
+/* 6636 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 6648
+/* 6640 */    MCD_OPC_CheckPredicate, 6, 55, 26, // Skip to: 13355
+/* 6644 */    MCD_OPC_Decode, 142, 1, 117, // Opcode: AVE_U_D
+/* 6648 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 6660
+/* 6652 */    MCD_OPC_CheckPredicate, 6, 43, 26, // Skip to: 13355
+/* 6656 */    MCD_OPC_Decode, 129, 1, 114, // Opcode: AVER_S_B
+/* 6660 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 6672
+/* 6664 */    MCD_OPC_CheckPredicate, 6, 31, 26, // Skip to: 13355
+/* 6668 */    MCD_OPC_Decode, 131, 1, 115, // Opcode: AVER_S_H
+/* 6672 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 6684
+/* 6676 */    MCD_OPC_CheckPredicate, 6, 19, 26, // Skip to: 13355
+/* 6680 */    MCD_OPC_Decode, 132, 1, 116, // Opcode: AVER_S_W
+/* 6684 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 6696
+/* 6688 */    MCD_OPC_CheckPredicate, 6, 7, 26, // Skip to: 13355
+/* 6692 */    MCD_OPC_Decode, 130, 1, 117, // Opcode: AVER_S_D
+/* 6696 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 6708
+/* 6700 */    MCD_OPC_CheckPredicate, 6, 251, 25, // Skip to: 13355
+/* 6704 */    MCD_OPC_Decode, 133, 1, 114, // Opcode: AVER_U_B
+/* 6708 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 6720
+/* 6712 */    MCD_OPC_CheckPredicate, 6, 239, 25, // Skip to: 13355
+/* 6716 */    MCD_OPC_Decode, 135, 1, 115, // Opcode: AVER_U_H
+/* 6720 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 6732
+/* 6724 */    MCD_OPC_CheckPredicate, 6, 227, 25, // Skip to: 13355
+/* 6728 */    MCD_OPC_Decode, 136, 1, 116, // Opcode: AVER_U_W
+/* 6732 */    MCD_OPC_FilterValue, 31, 219, 25, // Skip to: 13355
+/* 6736 */    MCD_OPC_CheckPredicate, 6, 215, 25, // Skip to: 13355
+/* 6740 */    MCD_OPC_Decode, 134, 1, 117, // Opcode: AVER_U_D
+/* 6744 */    MCD_OPC_FilterValue, 17, 27, 1, // Skip to: 7031
+/* 6748 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 6751 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6763
+/* 6755 */    MCD_OPC_CheckPredicate, 6, 196, 25, // Skip to: 13355
+/* 6759 */    MCD_OPC_Decode, 140, 12, 114, // Opcode: SUBS_S_B
+/* 6763 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6775
+/* 6767 */    MCD_OPC_CheckPredicate, 6, 184, 25, // Skip to: 13355
+/* 6771 */    MCD_OPC_Decode, 142, 12, 115, // Opcode: SUBS_S_H
+/* 6775 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6787
+/* 6779 */    MCD_OPC_CheckPredicate, 6, 172, 25, // Skip to: 13355
+/* 6783 */    MCD_OPC_Decode, 143, 12, 116, // Opcode: SUBS_S_W
+/* 6787 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6799
+/* 6791 */    MCD_OPC_CheckPredicate, 6, 160, 25, // Skip to: 13355
+/* 6795 */    MCD_OPC_Decode, 141, 12, 117, // Opcode: SUBS_S_D
+/* 6799 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 6811
+/* 6803 */    MCD_OPC_CheckPredicate, 6, 148, 25, // Skip to: 13355
+/* 6807 */    MCD_OPC_Decode, 144, 12, 114, // Opcode: SUBS_U_B
+/* 6811 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6823
+/* 6815 */    MCD_OPC_CheckPredicate, 6, 136, 25, // Skip to: 13355
+/* 6819 */    MCD_OPC_Decode, 146, 12, 115, // Opcode: SUBS_U_H
+/* 6823 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6835
+/* 6827 */    MCD_OPC_CheckPredicate, 6, 124, 25, // Skip to: 13355
+/* 6831 */    MCD_OPC_Decode, 147, 12, 116, // Opcode: SUBS_U_W
+/* 6835 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 6847
+/* 6839 */    MCD_OPC_CheckPredicate, 6, 112, 25, // Skip to: 13355
+/* 6843 */    MCD_OPC_Decode, 145, 12, 117, // Opcode: SUBS_U_D
+/* 6847 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 6859
+/* 6851 */    MCD_OPC_CheckPredicate, 6, 100, 25, // Skip to: 13355
+/* 6855 */    MCD_OPC_Decode, 132, 12, 114, // Opcode: SUBSUS_U_B
+/* 6859 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6871
+/* 6863 */    MCD_OPC_CheckPredicate, 6, 88, 25, // Skip to: 13355
+/* 6867 */    MCD_OPC_Decode, 134, 12, 115, // Opcode: SUBSUS_U_H
+/* 6871 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 6883
+/* 6875 */    MCD_OPC_CheckPredicate, 6, 76, 25, // Skip to: 13355
+/* 6879 */    MCD_OPC_Decode, 135, 12, 116, // Opcode: SUBSUS_U_W
+/* 6883 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6895
+/* 6887 */    MCD_OPC_CheckPredicate, 6, 64, 25, // Skip to: 13355
+/* 6891 */    MCD_OPC_Decode, 133, 12, 117, // Opcode: SUBSUS_U_D
+/* 6895 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 6907
+/* 6899 */    MCD_OPC_CheckPredicate, 6, 52, 25, // Skip to: 13355
+/* 6903 */    MCD_OPC_Decode, 136, 12, 114, // Opcode: SUBSUU_S_B
+/* 6907 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 6919
+/* 6911 */    MCD_OPC_CheckPredicate, 6, 40, 25, // Skip to: 13355
+/* 6915 */    MCD_OPC_Decode, 138, 12, 115, // Opcode: SUBSUU_S_H
+/* 6919 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 6931
+/* 6923 */    MCD_OPC_CheckPredicate, 6, 28, 25, // Skip to: 13355
+/* 6927 */    MCD_OPC_Decode, 139, 12, 116, // Opcode: SUBSUU_S_W
+/* 6931 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 6943
+/* 6935 */    MCD_OPC_CheckPredicate, 6, 16, 25, // Skip to: 13355
+/* 6939 */    MCD_OPC_Decode, 137, 12, 117, // Opcode: SUBSUU_S_D
+/* 6943 */    MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 6954
+/* 6947 */    MCD_OPC_CheckPredicate, 6, 4, 25, // Skip to: 13355
+/* 6951 */    MCD_OPC_Decode, 87, 114, // Opcode: ASUB_S_B
+/* 6954 */    MCD_OPC_FilterValue, 17, 7, 0, // Skip to: 6965
+/* 6958 */    MCD_OPC_CheckPredicate, 6, 249, 24, // Skip to: 13355
+/* 6962 */    MCD_OPC_Decode, 89, 115, // Opcode: ASUB_S_H
+/* 6965 */    MCD_OPC_FilterValue, 18, 7, 0, // Skip to: 6976
+/* 6969 */    MCD_OPC_CheckPredicate, 6, 238, 24, // Skip to: 13355
+/* 6973 */    MCD_OPC_Decode, 90, 116, // Opcode: ASUB_S_W
+/* 6976 */    MCD_OPC_FilterValue, 19, 7, 0, // Skip to: 6987
+/* 6980 */    MCD_OPC_CheckPredicate, 6, 227, 24, // Skip to: 13355
+/* 6984 */    MCD_OPC_Decode, 88, 117, // Opcode: ASUB_S_D
+/* 6987 */    MCD_OPC_FilterValue, 20, 7, 0, // Skip to: 6998
+/* 6991 */    MCD_OPC_CheckPredicate, 6, 216, 24, // Skip to: 13355
+/* 6995 */    MCD_OPC_Decode, 91, 114, // Opcode: ASUB_U_B
+/* 6998 */    MCD_OPC_FilterValue, 21, 7, 0, // Skip to: 7009
+/* 7002 */    MCD_OPC_CheckPredicate, 6, 205, 24, // Skip to: 13355
+/* 7006 */    MCD_OPC_Decode, 93, 115, // Opcode: ASUB_U_H
+/* 7009 */    MCD_OPC_FilterValue, 22, 7, 0, // Skip to: 7020
+/* 7013 */    MCD_OPC_CheckPredicate, 6, 194, 24, // Skip to: 13355
+/* 7017 */    MCD_OPC_Decode, 94, 116, // Opcode: ASUB_U_W
+/* 7020 */    MCD_OPC_FilterValue, 23, 187, 24, // Skip to: 13355
+/* 7024 */    MCD_OPC_CheckPredicate, 6, 183, 24, // Skip to: 13355
+/* 7028 */    MCD_OPC_Decode, 92, 117, // Opcode: ASUB_U_D
+/* 7031 */    MCD_OPC_FilterValue, 18, 83, 1, // Skip to: 7374
+/* 7035 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 7038 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7050
+/* 7042 */    MCD_OPC_CheckPredicate, 6, 165, 24, // Skip to: 13355
+/* 7046 */    MCD_OPC_Decode, 174, 9, 114, // Opcode: MULV_B
+/* 7050 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7062
+/* 7054 */    MCD_OPC_CheckPredicate, 6, 153, 24, // Skip to: 13355
+/* 7058 */    MCD_OPC_Decode, 176, 9, 115, // Opcode: MULV_H
+/* 7062 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7074
+/* 7066 */    MCD_OPC_CheckPredicate, 6, 141, 24, // Skip to: 13355
+/* 7070 */    MCD_OPC_Decode, 177, 9, 116, // Opcode: MULV_W
+/* 7074 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 7086
+/* 7078 */    MCD_OPC_CheckPredicate, 6, 129, 24, // Skip to: 13355
+/* 7082 */    MCD_OPC_Decode, 175, 9, 117, // Opcode: MULV_D
+/* 7086 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 7098
+/* 7090 */    MCD_OPC_CheckPredicate, 6, 117, 24, // Skip to: 13355
+/* 7094 */    MCD_OPC_Decode, 228, 7, 118, // Opcode: MADDV_B
+/* 7098 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 7110
+/* 7102 */    MCD_OPC_CheckPredicate, 6, 105, 24, // Skip to: 13355
+/* 7106 */    MCD_OPC_Decode, 230, 7, 119, // Opcode: MADDV_H
+/* 7110 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 7122
+/* 7114 */    MCD_OPC_CheckPredicate, 6, 93, 24, // Skip to: 13355
+/* 7118 */    MCD_OPC_Decode, 231, 7, 120, // Opcode: MADDV_W
+/* 7122 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 7134
+/* 7126 */    MCD_OPC_CheckPredicate, 6, 81, 24, // Skip to: 13355
+/* 7130 */    MCD_OPC_Decode, 229, 7, 121, // Opcode: MADDV_D
+/* 7134 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 7146
+/* 7138 */    MCD_OPC_CheckPredicate, 6, 69, 24, // Skip to: 13355
+/* 7142 */    MCD_OPC_Decode, 245, 8, 118, // Opcode: MSUBV_B
+/* 7146 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7158
+/* 7150 */    MCD_OPC_CheckPredicate, 6, 57, 24, // Skip to: 13355
+/* 7154 */    MCD_OPC_Decode, 247, 8, 119, // Opcode: MSUBV_H
+/* 7158 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 7170
+/* 7162 */    MCD_OPC_CheckPredicate, 6, 45, 24, // Skip to: 13355
+/* 7166 */    MCD_OPC_Decode, 248, 8, 120, // Opcode: MSUBV_W
+/* 7170 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 7182
+/* 7174 */    MCD_OPC_CheckPredicate, 6, 33, 24, // Skip to: 13355
+/* 7178 */    MCD_OPC_Decode, 246, 8, 121, // Opcode: MSUBV_D
+/* 7182 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 7194
+/* 7186 */    MCD_OPC_CheckPredicate, 6, 21, 24, // Skip to: 13355
+/* 7190 */    MCD_OPC_Decode, 165, 4, 114, // Opcode: DIV_S_B
+/* 7194 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7206
+/* 7198 */    MCD_OPC_CheckPredicate, 6, 9, 24, // Skip to: 13355
+/* 7202 */    MCD_OPC_Decode, 167, 4, 115, // Opcode: DIV_S_H
+/* 7206 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7218
+/* 7210 */    MCD_OPC_CheckPredicate, 6, 253, 23, // Skip to: 13355
+/* 7214 */    MCD_OPC_Decode, 168, 4, 116, // Opcode: DIV_S_W
+/* 7218 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7230
+/* 7222 */    MCD_OPC_CheckPredicate, 6, 241, 23, // Skip to: 13355
+/* 7226 */    MCD_OPC_Decode, 166, 4, 117, // Opcode: DIV_S_D
+/* 7230 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 7242
+/* 7234 */    MCD_OPC_CheckPredicate, 6, 229, 23, // Skip to: 13355
+/* 7238 */    MCD_OPC_Decode, 169, 4, 114, // Opcode: DIV_U_B
+/* 7242 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7254
+/* 7246 */    MCD_OPC_CheckPredicate, 6, 217, 23, // Skip to: 13355
+/* 7250 */    MCD_OPC_Decode, 171, 4, 115, // Opcode: DIV_U_H
+/* 7254 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7266
+/* 7258 */    MCD_OPC_CheckPredicate, 6, 205, 23, // Skip to: 13355
+/* 7262 */    MCD_OPC_Decode, 172, 4, 116, // Opcode: DIV_U_W
+/* 7266 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 7278
+/* 7270 */    MCD_OPC_CheckPredicate, 6, 193, 23, // Skip to: 13355
+/* 7274 */    MCD_OPC_Decode, 170, 4, 117, // Opcode: DIV_U_D
+/* 7278 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 7290
+/* 7282 */    MCD_OPC_CheckPredicate, 6, 181, 23, // Skip to: 13355
+/* 7286 */    MCD_OPC_Decode, 187, 8, 114, // Opcode: MOD_S_B
+/* 7290 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 7302
+/* 7294 */    MCD_OPC_CheckPredicate, 6, 169, 23, // Skip to: 13355
+/* 7298 */    MCD_OPC_Decode, 189, 8, 115, // Opcode: MOD_S_H
+/* 7302 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 7314
+/* 7306 */    MCD_OPC_CheckPredicate, 6, 157, 23, // Skip to: 13355
+/* 7310 */    MCD_OPC_Decode, 190, 8, 116, // Opcode: MOD_S_W
+/* 7314 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 7326
+/* 7318 */    MCD_OPC_CheckPredicate, 6, 145, 23, // Skip to: 13355
+/* 7322 */    MCD_OPC_Decode, 188, 8, 117, // Opcode: MOD_S_D
+/* 7326 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 7338
+/* 7330 */    MCD_OPC_CheckPredicate, 6, 133, 23, // Skip to: 13355
+/* 7334 */    MCD_OPC_Decode, 191, 8, 114, // Opcode: MOD_U_B
+/* 7338 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 7350
+/* 7342 */    MCD_OPC_CheckPredicate, 6, 121, 23, // Skip to: 13355
+/* 7346 */    MCD_OPC_Decode, 193, 8, 115, // Opcode: MOD_U_H
+/* 7350 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 7362
+/* 7354 */    MCD_OPC_CheckPredicate, 6, 109, 23, // Skip to: 13355
+/* 7358 */    MCD_OPC_Decode, 194, 8, 116, // Opcode: MOD_U_W
+/* 7362 */    MCD_OPC_FilterValue, 31, 101, 23, // Skip to: 13355
+/* 7366 */    MCD_OPC_CheckPredicate, 6, 97, 23, // Skip to: 13355
+/* 7370 */    MCD_OPC_Decode, 192, 8, 117, // Opcode: MOD_U_D
+/* 7374 */    MCD_OPC_FilterValue, 19, 219, 0, // Skip to: 7597
+/* 7378 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 7381 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7393
+/* 7385 */    MCD_OPC_CheckPredicate, 6, 78, 23, // Skip to: 13355
+/* 7389 */    MCD_OPC_Decode, 192, 4, 122, // Opcode: DOTP_S_H
+/* 7393 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7405
+/* 7397 */    MCD_OPC_CheckPredicate, 6, 66, 23, // Skip to: 13355
+/* 7401 */    MCD_OPC_Decode, 193, 4, 123, // Opcode: DOTP_S_W
+/* 7405 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 7417
+/* 7409 */    MCD_OPC_CheckPredicate, 6, 54, 23, // Skip to: 13355
+/* 7413 */    MCD_OPC_Decode, 191, 4, 124, // Opcode: DOTP_S_D
+/* 7417 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 7429
+/* 7421 */    MCD_OPC_CheckPredicate, 6, 42, 23, // Skip to: 13355
+/* 7425 */    MCD_OPC_Decode, 195, 4, 122, // Opcode: DOTP_U_H
+/* 7429 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 7441
+/* 7433 */    MCD_OPC_CheckPredicate, 6, 30, 23, // Skip to: 13355
+/* 7437 */    MCD_OPC_Decode, 196, 4, 123, // Opcode: DOTP_U_W
+/* 7441 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 7453
+/* 7445 */    MCD_OPC_CheckPredicate, 6, 18, 23, // Skip to: 13355
+/* 7449 */    MCD_OPC_Decode, 194, 4, 124, // Opcode: DOTP_U_D
+/* 7453 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7465
+/* 7457 */    MCD_OPC_CheckPredicate, 6, 6, 23, // Skip to: 13355
+/* 7461 */    MCD_OPC_Decode, 198, 4, 125, // Opcode: DPADD_S_H
+/* 7465 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 7477
+/* 7469 */    MCD_OPC_CheckPredicate, 6, 250, 22, // Skip to: 13355
+/* 7473 */    MCD_OPC_Decode, 199, 4, 126, // Opcode: DPADD_S_W
+/* 7477 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 7489
+/* 7481 */    MCD_OPC_CheckPredicate, 6, 238, 22, // Skip to: 13355
+/* 7485 */    MCD_OPC_Decode, 197, 4, 127, // Opcode: DPADD_S_D
+/* 7489 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 7501
+/* 7493 */    MCD_OPC_CheckPredicate, 6, 226, 22, // Skip to: 13355
+/* 7497 */    MCD_OPC_Decode, 201, 4, 125, // Opcode: DPADD_U_H
+/* 7501 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 7513
+/* 7505 */    MCD_OPC_CheckPredicate, 6, 214, 22, // Skip to: 13355
+/* 7509 */    MCD_OPC_Decode, 202, 4, 126, // Opcode: DPADD_U_W
+/* 7513 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 7525
+/* 7517 */    MCD_OPC_CheckPredicate, 6, 202, 22, // Skip to: 13355
+/* 7521 */    MCD_OPC_Decode, 200, 4, 127, // Opcode: DPADD_U_D
+/* 7525 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7537
+/* 7529 */    MCD_OPC_CheckPredicate, 6, 190, 22, // Skip to: 13355
+/* 7533 */    MCD_OPC_Decode, 217, 4, 125, // Opcode: DPSUB_S_H
+/* 7537 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7549
+/* 7541 */    MCD_OPC_CheckPredicate, 6, 178, 22, // Skip to: 13355
+/* 7545 */    MCD_OPC_Decode, 218, 4, 126, // Opcode: DPSUB_S_W
+/* 7549 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7561
+/* 7553 */    MCD_OPC_CheckPredicate, 6, 166, 22, // Skip to: 13355
+/* 7557 */    MCD_OPC_Decode, 216, 4, 127, // Opcode: DPSUB_S_D
+/* 7561 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7573
+/* 7565 */    MCD_OPC_CheckPredicate, 6, 154, 22, // Skip to: 13355
+/* 7569 */    MCD_OPC_Decode, 220, 4, 125, // Opcode: DPSUB_U_H
+/* 7573 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7585
+/* 7577 */    MCD_OPC_CheckPredicate, 6, 142, 22, // Skip to: 13355
+/* 7581 */    MCD_OPC_Decode, 221, 4, 126, // Opcode: DPSUB_U_W
+/* 7585 */    MCD_OPC_FilterValue, 23, 134, 22, // Skip to: 13355
+/* 7589 */    MCD_OPC_CheckPredicate, 6, 130, 22, // Skip to: 13355
+/* 7593 */    MCD_OPC_Decode, 219, 4, 127, // Opcode: DPSUB_U_D
+/* 7597 */    MCD_OPC_FilterValue, 20, 139, 1, // Skip to: 7996
+/* 7601 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 7604 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7617
+/* 7608 */    MCD_OPC_CheckPredicate, 6, 111, 22, // Skip to: 13355
+/* 7612 */    MCD_OPC_Decode, 158, 11, 128, 1, // Opcode: SLD_B
+/* 7617 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 7630
+/* 7621 */    MCD_OPC_CheckPredicate, 6, 98, 22, // Skip to: 13355
+/* 7625 */    MCD_OPC_Decode, 160, 11, 129, 1, // Opcode: SLD_H
+/* 7630 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 7643
+/* 7634 */    MCD_OPC_CheckPredicate, 6, 85, 22, // Skip to: 13355
+/* 7638 */    MCD_OPC_Decode, 161, 11, 130, 1, // Opcode: SLD_W
+/* 7643 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 7656
+/* 7647 */    MCD_OPC_CheckPredicate, 6, 72, 22, // Skip to: 13355
+/* 7651 */    MCD_OPC_Decode, 159, 11, 131, 1, // Opcode: SLD_D
+/* 7656 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 7669
+/* 7660 */    MCD_OPC_CheckPredicate, 6, 59, 22, // Skip to: 13355
+/* 7664 */    MCD_OPC_Decode, 199, 11, 132, 1, // Opcode: SPLAT_B
+/* 7669 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 7682
+/* 7673 */    MCD_OPC_CheckPredicate, 6, 46, 22, // Skip to: 13355
+/* 7677 */    MCD_OPC_Decode, 201, 11, 133, 1, // Opcode: SPLAT_H
+/* 7682 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 7695
+/* 7686 */    MCD_OPC_CheckPredicate, 6, 33, 22, // Skip to: 13355
+/* 7690 */    MCD_OPC_Decode, 202, 11, 134, 1, // Opcode: SPLAT_W
+/* 7695 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 7708
+/* 7699 */    MCD_OPC_CheckPredicate, 6, 20, 22, // Skip to: 13355
+/* 7703 */    MCD_OPC_Decode, 200, 11, 135, 1, // Opcode: SPLAT_D
+/* 7708 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 7720
+/* 7712 */    MCD_OPC_CheckPredicate, 6, 7, 22, // Skip to: 13355
+/* 7716 */    MCD_OPC_Decode, 235, 9, 114, // Opcode: PCKEV_B
+/* 7720 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7732
+/* 7724 */    MCD_OPC_CheckPredicate, 6, 251, 21, // Skip to: 13355
+/* 7728 */    MCD_OPC_Decode, 237, 9, 115, // Opcode: PCKEV_H
+/* 7732 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 7744
+/* 7736 */    MCD_OPC_CheckPredicate, 6, 239, 21, // Skip to: 13355
+/* 7740 */    MCD_OPC_Decode, 238, 9, 116, // Opcode: PCKEV_W
+/* 7744 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 7756
+/* 7748 */    MCD_OPC_CheckPredicate, 6, 227, 21, // Skip to: 13355
+/* 7752 */    MCD_OPC_Decode, 236, 9, 117, // Opcode: PCKEV_D
+/* 7756 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 7768
+/* 7760 */    MCD_OPC_CheckPredicate, 6, 215, 21, // Skip to: 13355
+/* 7764 */    MCD_OPC_Decode, 239, 9, 114, // Opcode: PCKOD_B
+/* 7768 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 7780
+/* 7772 */    MCD_OPC_CheckPredicate, 6, 203, 21, // Skip to: 13355
+/* 7776 */    MCD_OPC_Decode, 241, 9, 115, // Opcode: PCKOD_H
+/* 7780 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 7792
+/* 7784 */    MCD_OPC_CheckPredicate, 6, 191, 21, // Skip to: 13355
+/* 7788 */    MCD_OPC_Decode, 242, 9, 116, // Opcode: PCKOD_W
+/* 7792 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 7804
+/* 7796 */    MCD_OPC_CheckPredicate, 6, 179, 21, // Skip to: 13355
+/* 7800 */    MCD_OPC_Decode, 240, 9, 117, // Opcode: PCKOD_D
+/* 7804 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 7816
+/* 7808 */    MCD_OPC_CheckPredicate, 6, 167, 21, // Skip to: 13355
+/* 7812 */    MCD_OPC_Decode, 195, 6, 114, // Opcode: ILVL_B
+/* 7816 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7828
+/* 7820 */    MCD_OPC_CheckPredicate, 6, 155, 21, // Skip to: 13355
+/* 7824 */    MCD_OPC_Decode, 197, 6, 115, // Opcode: ILVL_H
+/* 7828 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7840
+/* 7832 */    MCD_OPC_CheckPredicate, 6, 143, 21, // Skip to: 13355
+/* 7836 */    MCD_OPC_Decode, 198, 6, 116, // Opcode: ILVL_W
+/* 7840 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7852
+/* 7844 */    MCD_OPC_CheckPredicate, 6, 131, 21, // Skip to: 13355
+/* 7848 */    MCD_OPC_Decode, 196, 6, 117, // Opcode: ILVL_D
+/* 7852 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 7864
+/* 7856 */    MCD_OPC_CheckPredicate, 6, 119, 21, // Skip to: 13355
+/* 7860 */    MCD_OPC_Decode, 203, 6, 114, // Opcode: ILVR_B
+/* 7864 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7876
+/* 7868 */    MCD_OPC_CheckPredicate, 6, 107, 21, // Skip to: 13355
+/* 7872 */    MCD_OPC_Decode, 205, 6, 115, // Opcode: ILVR_H
+/* 7876 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7888
+/* 7880 */    MCD_OPC_CheckPredicate, 6, 95, 21, // Skip to: 13355
+/* 7884 */    MCD_OPC_Decode, 206, 6, 116, // Opcode: ILVR_W
+/* 7888 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 7900
+/* 7892 */    MCD_OPC_CheckPredicate, 6, 83, 21, // Skip to: 13355
+/* 7896 */    MCD_OPC_Decode, 204, 6, 117, // Opcode: ILVR_D
+/* 7900 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 7912
+/* 7904 */    MCD_OPC_CheckPredicate, 6, 71, 21, // Skip to: 13355
+/* 7908 */    MCD_OPC_Decode, 191, 6, 114, // Opcode: ILVEV_B
+/* 7912 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 7924
+/* 7916 */    MCD_OPC_CheckPredicate, 6, 59, 21, // Skip to: 13355
+/* 7920 */    MCD_OPC_Decode, 193, 6, 115, // Opcode: ILVEV_H
+/* 7924 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 7936
+/* 7928 */    MCD_OPC_CheckPredicate, 6, 47, 21, // Skip to: 13355
+/* 7932 */    MCD_OPC_Decode, 194, 6, 116, // Opcode: ILVEV_W
+/* 7936 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 7948
+/* 7940 */    MCD_OPC_CheckPredicate, 6, 35, 21, // Skip to: 13355
+/* 7944 */    MCD_OPC_Decode, 192, 6, 117, // Opcode: ILVEV_D
+/* 7948 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 7960
+/* 7952 */    MCD_OPC_CheckPredicate, 6, 23, 21, // Skip to: 13355
+/* 7956 */    MCD_OPC_Decode, 199, 6, 114, // Opcode: ILVOD_B
+/* 7960 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 7972
+/* 7964 */    MCD_OPC_CheckPredicate, 6, 11, 21, // Skip to: 13355
+/* 7968 */    MCD_OPC_Decode, 201, 6, 115, // Opcode: ILVOD_H
+/* 7972 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 7984
+/* 7976 */    MCD_OPC_CheckPredicate, 6, 255, 20, // Skip to: 13355
+/* 7980 */    MCD_OPC_Decode, 202, 6, 116, // Opcode: ILVOD_W
+/* 7984 */    MCD_OPC_FilterValue, 31, 247, 20, // Skip to: 13355
+/* 7988 */    MCD_OPC_CheckPredicate, 6, 243, 20, // Skip to: 13355
+/* 7992 */    MCD_OPC_Decode, 200, 6, 117, // Opcode: ILVOD_D
+/* 7996 */    MCD_OPC_FilterValue, 21, 35, 1, // Skip to: 8291
+/* 8000 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 8003 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8015
+/* 8007 */    MCD_OPC_CheckPredicate, 6, 224, 20, // Skip to: 13355
+/* 8011 */    MCD_OPC_Decode, 153, 13, 118, // Opcode: VSHF_B
+/* 8015 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 8027
+/* 8019 */    MCD_OPC_CheckPredicate, 6, 212, 20, // Skip to: 13355
+/* 8023 */    MCD_OPC_Decode, 155, 13, 119, // Opcode: VSHF_H
+/* 8027 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 8039
+/* 8031 */    MCD_OPC_CheckPredicate, 6, 200, 20, // Skip to: 13355
+/* 8035 */    MCD_OPC_Decode, 156, 13, 120, // Opcode: VSHF_W
+/* 8039 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 8051
+/* 8043 */    MCD_OPC_CheckPredicate, 6, 188, 20, // Skip to: 13355
+/* 8047 */    MCD_OPC_Decode, 154, 13, 121, // Opcode: VSHF_D
+/* 8051 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 8063
+/* 8055 */    MCD_OPC_CheckPredicate, 6, 176, 20, // Skip to: 13355
+/* 8059 */    MCD_OPC_Decode, 212, 11, 114, // Opcode: SRAR_B
+/* 8063 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 8075
+/* 8067 */    MCD_OPC_CheckPredicate, 6, 164, 20, // Skip to: 13355
+/* 8071 */    MCD_OPC_Decode, 214, 11, 115, // Opcode: SRAR_H
+/* 8075 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 8087
+/* 8079 */    MCD_OPC_CheckPredicate, 6, 152, 20, // Skip to: 13355
+/* 8083 */    MCD_OPC_Decode, 215, 11, 116, // Opcode: SRAR_W
+/* 8087 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 8099
+/* 8091 */    MCD_OPC_CheckPredicate, 6, 140, 20, // Skip to: 13355
+/* 8095 */    MCD_OPC_Decode, 213, 11, 117, // Opcode: SRAR_D
+/* 8099 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 8111
+/* 8103 */    MCD_OPC_CheckPredicate, 6, 128, 20, // Skip to: 13355
+/* 8107 */    MCD_OPC_Decode, 232, 11, 114, // Opcode: SRLR_B
+/* 8111 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 8123
+/* 8115 */    MCD_OPC_CheckPredicate, 6, 116, 20, // Skip to: 13355
+/* 8119 */    MCD_OPC_Decode, 234, 11, 115, // Opcode: SRLR_H
+/* 8123 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 8135
+/* 8127 */    MCD_OPC_CheckPredicate, 6, 104, 20, // Skip to: 13355
+/* 8131 */    MCD_OPC_Decode, 235, 11, 116, // Opcode: SRLR_W
+/* 8135 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 8147
+/* 8139 */    MCD_OPC_CheckPredicate, 6, 92, 20, // Skip to: 13355
+/* 8143 */    MCD_OPC_Decode, 233, 11, 117, // Opcode: SRLR_D
+/* 8147 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 8159
+/* 8151 */    MCD_OPC_CheckPredicate, 6, 80, 20, // Skip to: 13355
+/* 8155 */    MCD_OPC_Decode, 180, 6, 122, // Opcode: HADD_S_H
+/* 8159 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 8171
+/* 8163 */    MCD_OPC_CheckPredicate, 6, 68, 20, // Skip to: 13355
+/* 8167 */    MCD_OPC_Decode, 181, 6, 123, // Opcode: HADD_S_W
+/* 8171 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 8183
+/* 8175 */    MCD_OPC_CheckPredicate, 6, 56, 20, // Skip to: 13355
+/* 8179 */    MCD_OPC_Decode, 179, 6, 124, // Opcode: HADD_S_D
+/* 8183 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 8195
+/* 8187 */    MCD_OPC_CheckPredicate, 6, 44, 20, // Skip to: 13355
+/* 8191 */    MCD_OPC_Decode, 183, 6, 122, // Opcode: HADD_U_H
+/* 8195 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 8207
+/* 8199 */    MCD_OPC_CheckPredicate, 6, 32, 20, // Skip to: 13355
+/* 8203 */    MCD_OPC_Decode, 184, 6, 123, // Opcode: HADD_U_W
+/* 8207 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 8219
+/* 8211 */    MCD_OPC_CheckPredicate, 6, 20, 20, // Skip to: 13355
+/* 8215 */    MCD_OPC_Decode, 182, 6, 124, // Opcode: HADD_U_D
+/* 8219 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 8231
+/* 8223 */    MCD_OPC_CheckPredicate, 6, 8, 20, // Skip to: 13355
+/* 8227 */    MCD_OPC_Decode, 186, 6, 122, // Opcode: HSUB_S_H
+/* 8231 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 8243
+/* 8235 */    MCD_OPC_CheckPredicate, 6, 252, 19, // Skip to: 13355
+/* 8239 */    MCD_OPC_Decode, 187, 6, 123, // Opcode: HSUB_S_W
+/* 8243 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 8255
+/* 8247 */    MCD_OPC_CheckPredicate, 6, 240, 19, // Skip to: 13355
+/* 8251 */    MCD_OPC_Decode, 185, 6, 124, // Opcode: HSUB_S_D
+/* 8255 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 8267
+/* 8259 */    MCD_OPC_CheckPredicate, 6, 228, 19, // Skip to: 13355
+/* 8263 */    MCD_OPC_Decode, 189, 6, 122, // Opcode: HSUB_U_H
+/* 8267 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 8279
+/* 8271 */    MCD_OPC_CheckPredicate, 6, 216, 19, // Skip to: 13355
+/* 8275 */    MCD_OPC_Decode, 190, 6, 123, // Opcode: HSUB_U_W
+/* 8279 */    MCD_OPC_FilterValue, 31, 208, 19, // Skip to: 13355
+/* 8283 */    MCD_OPC_CheckPredicate, 6, 204, 19, // Skip to: 13355
+/* 8287 */    MCD_OPC_Decode, 188, 6, 124, // Opcode: HSUB_U_D
+/* 8291 */    MCD_OPC_FilterValue, 25, 230, 1, // Skip to: 8781
+/* 8295 */    MCD_OPC_ExtractField, 20, 6,  // Inst{25-20} ...
 /* 8298 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8311
-/* 8302 */    MCD_OPC_CheckPredicate, 6, 214, 18, // Skip to: 13128
-/* 8306 */    MCD_OPC_Decode, 159, 3, 146, 1, // Opcode: COPY_S_W
+/* 8302 */    MCD_OPC_CheckPredicate, 6, 185, 19, // Skip to: 13355
+/* 8306 */    MCD_OPC_Decode, 154, 11, 136, 1, // Opcode: SLDI_B
 /* 8311 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8330
-/* 8315 */    MCD_OPC_CheckPredicate, 13, 201, 18, // Skip to: 13128
-/* 8319 */    MCD_OPC_CheckField, 17, 1, 0, 195, 18, // Skip to: 13128
-/* 8325 */    MCD_OPC_Decode, 157, 3, 147, 1, // Opcode: COPY_S_D
-/* 8330 */    MCD_OPC_FilterValue, 3, 186, 18, // Skip to: 13128
-/* 8334 */    MCD_OPC_CheckPredicate, 6, 182, 18, // Skip to: 13128
-/* 8338 */    MCD_OPC_CheckField, 16, 2, 2, 176, 18, // Skip to: 13128
-/* 8344 */    MCD_OPC_Decode, 167, 8, 148, 1, // Opcode: MOVE_V
-/* 8349 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 8362
-/* 8353 */    MCD_OPC_CheckPredicate, 6, 163, 18, // Skip to: 13128
-/* 8357 */    MCD_OPC_Decode, 160, 3, 144, 1, // Opcode: COPY_U_B
-/* 8362 */    MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 8381
-/* 8366 */    MCD_OPC_CheckPredicate, 6, 150, 18, // Skip to: 13128
-/* 8370 */    MCD_OPC_CheckField, 19, 1, 0, 144, 18, // Skip to: 13128
-/* 8376 */    MCD_OPC_Decode, 162, 3, 145, 1, // Opcode: COPY_U_H
-/* 8381 */    MCD_OPC_FilterValue, 15, 35, 0, // Skip to: 8420
-/* 8385 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 8388 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8401
-/* 8392 */    MCD_OPC_CheckPredicate, 6, 124, 18, // Skip to: 13128
-/* 8396 */    MCD_OPC_Decode, 163, 3, 146, 1, // Opcode: COPY_U_W
-/* 8401 */    MCD_OPC_FilterValue, 2, 115, 18, // Skip to: 13128
-/* 8405 */    MCD_OPC_CheckPredicate, 13, 111, 18, // Skip to: 13128
-/* 8409 */    MCD_OPC_CheckField, 17, 1, 0, 105, 18, // Skip to: 13128
-/* 8415 */    MCD_OPC_Decode, 161, 3, 147, 1, // Opcode: COPY_U_D
-/* 8420 */    MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 8433
-/* 8424 */    MCD_OPC_CheckPredicate, 6, 92, 18, // Skip to: 13128
-/* 8428 */    MCD_OPC_Decode, 182, 6, 149, 1, // Opcode: INSERT_B
-/* 8433 */    MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 8452
-/* 8437 */    MCD_OPC_CheckPredicate, 6, 79, 18, // Skip to: 13128
-/* 8441 */    MCD_OPC_CheckField, 19, 1, 0, 73, 18, // Skip to: 13128
-/* 8447 */    MCD_OPC_Decode, 190, 6, 150, 1, // Opcode: INSERT_H
-/* 8452 */    MCD_OPC_FilterValue, 19, 35, 0, // Skip to: 8491
-/* 8456 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 8459 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8472
-/* 8463 */    MCD_OPC_CheckPredicate, 6, 53, 18, // Skip to: 13128
-/* 8467 */    MCD_OPC_Decode, 192, 6, 151, 1, // Opcode: INSERT_W
-/* 8472 */    MCD_OPC_FilterValue, 2, 44, 18, // Skip to: 13128
-/* 8476 */    MCD_OPC_CheckPredicate, 13, 40, 18, // Skip to: 13128
-/* 8480 */    MCD_OPC_CheckField, 17, 1, 0, 34, 18, // Skip to: 13128
-/* 8486 */    MCD_OPC_Decode, 184, 6, 152, 1, // Opcode: INSERT_D
-/* 8491 */    MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 8504
-/* 8495 */    MCD_OPC_CheckPredicate, 6, 21, 18, // Skip to: 13128
-/* 8499 */    MCD_OPC_Decode, 195, 6, 153, 1, // Opcode: INSVE_B
-/* 8504 */    MCD_OPC_FilterValue, 22, 15, 0, // Skip to: 8523
-/* 8508 */    MCD_OPC_CheckPredicate, 6, 8, 18, // Skip to: 13128
-/* 8512 */    MCD_OPC_CheckField, 19, 1, 0, 2, 18, // Skip to: 13128
-/* 8518 */    MCD_OPC_Decode, 197, 6, 153, 1, // Opcode: INSVE_H
-/* 8523 */    MCD_OPC_FilterValue, 23, 249, 17, // Skip to: 13128
-/* 8527 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 8530 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8543
-/* 8534 */    MCD_OPC_CheckPredicate, 6, 238, 17, // Skip to: 13128
-/* 8538 */    MCD_OPC_Decode, 198, 6, 153, 1, // Opcode: INSVE_W
-/* 8543 */    MCD_OPC_FilterValue, 2, 229, 17, // Skip to: 13128
-/* 8547 */    MCD_OPC_CheckPredicate, 6, 225, 17, // Skip to: 13128
-/* 8551 */    MCD_OPC_CheckField, 17, 1, 0, 219, 17, // Skip to: 13128
-/* 8557 */    MCD_OPC_Decode, 196, 6, 153, 1, // Opcode: INSVE_D
-/* 8562 */    MCD_OPC_FilterValue, 26, 131, 1, // Skip to: 8953
-/* 8566 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 8569 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8581
-/* 8573 */    MCD_OPC_CheckPredicate, 6, 199, 17, // Skip to: 13128
-/* 8577 */    MCD_OPC_Decode, 131, 5, 114, // Opcode: FCAF_W
-/* 8581 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 8593
-/* 8585 */    MCD_OPC_CheckPredicate, 6, 187, 17, // Skip to: 13128
-/* 8589 */    MCD_OPC_Decode, 130, 5, 115, // Opcode: FCAF_D
-/* 8593 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 8605
-/* 8597 */    MCD_OPC_CheckPredicate, 6, 175, 17, // Skip to: 13128
-/* 8601 */    MCD_OPC_Decode, 158, 5, 114, // Opcode: FCUN_W
-/* 8605 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 8617
-/* 8609 */    MCD_OPC_CheckPredicate, 6, 163, 17, // Skip to: 13128
-/* 8613 */    MCD_OPC_Decode, 157, 5, 115, // Opcode: FCUN_D
-/* 8617 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 8629
-/* 8621 */    MCD_OPC_CheckPredicate, 6, 151, 17, // Skip to: 13128
-/* 8625 */    MCD_OPC_Decode, 133, 5, 114, // Opcode: FCEQ_W
-/* 8629 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 8641
-/* 8633 */    MCD_OPC_CheckPredicate, 6, 139, 17, // Skip to: 13128
-/* 8637 */    MCD_OPC_Decode, 132, 5, 115, // Opcode: FCEQ_D
-/* 8641 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 8653
-/* 8645 */    MCD_OPC_CheckPredicate, 6, 127, 17, // Skip to: 13128
-/* 8649 */    MCD_OPC_Decode, 150, 5, 114, // Opcode: FCUEQ_W
-/* 8653 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 8665
-/* 8657 */    MCD_OPC_CheckPredicate, 6, 115, 17, // Skip to: 13128
-/* 8661 */    MCD_OPC_Decode, 149, 5, 115, // Opcode: FCUEQ_D
-/* 8665 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 8677
-/* 8669 */    MCD_OPC_CheckPredicate, 6, 103, 17, // Skip to: 13128
-/* 8673 */    MCD_OPC_Decode, 139, 5, 114, // Opcode: FCLT_W
-/* 8677 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 8689
-/* 8681 */    MCD_OPC_CheckPredicate, 6, 91, 17, // Skip to: 13128
-/* 8685 */    MCD_OPC_Decode, 138, 5, 115, // Opcode: FCLT_D
-/* 8689 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 8701
-/* 8693 */    MCD_OPC_CheckPredicate, 6, 79, 17, // Skip to: 13128
-/* 8697 */    MCD_OPC_Decode, 154, 5, 114, // Opcode: FCULT_W
-/* 8701 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 8713
-/* 8705 */    MCD_OPC_CheckPredicate, 6, 67, 17, // Skip to: 13128
-/* 8709 */    MCD_OPC_Decode, 153, 5, 115, // Opcode: FCULT_D
-/* 8713 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 8725
-/* 8717 */    MCD_OPC_CheckPredicate, 6, 55, 17, // Skip to: 13128
-/* 8721 */    MCD_OPC_Decode, 137, 5, 114, // Opcode: FCLE_W
-/* 8725 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 8737
-/* 8729 */    MCD_OPC_CheckPredicate, 6, 43, 17, // Skip to: 13128
-/* 8733 */    MCD_OPC_Decode, 136, 5, 115, // Opcode: FCLE_D
-/* 8737 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 8749
-/* 8741 */    MCD_OPC_CheckPredicate, 6, 31, 17, // Skip to: 13128
-/* 8745 */    MCD_OPC_Decode, 152, 5, 114, // Opcode: FCULE_W
-/* 8749 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 8761
-/* 8753 */    MCD_OPC_CheckPredicate, 6, 19, 17, // Skip to: 13128
-/* 8757 */    MCD_OPC_Decode, 151, 5, 115, // Opcode: FCULE_D
-/* 8761 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 8773
-/* 8765 */    MCD_OPC_CheckPredicate, 6, 7, 17, // Skip to: 13128
-/* 8769 */    MCD_OPC_Decode, 235, 5, 114, // Opcode: FSAF_W
-/* 8773 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 8785
-/* 8777 */    MCD_OPC_CheckPredicate, 6, 251, 16, // Skip to: 13128
-/* 8781 */    MCD_OPC_Decode, 234, 5, 115, // Opcode: FSAF_D
-/* 8785 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 8797
-/* 8789 */    MCD_OPC_CheckPredicate, 6, 239, 16, // Skip to: 13128
-/* 8793 */    MCD_OPC_Decode, 141, 6, 114, // Opcode: FSUN_W
-/* 8797 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 8809
-/* 8801 */    MCD_OPC_CheckPredicate, 6, 227, 16, // Skip to: 13128
-/* 8805 */    MCD_OPC_Decode, 140, 6, 115, // Opcode: FSUN_D
-/* 8809 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 8821
-/* 8813 */    MCD_OPC_CheckPredicate, 6, 215, 16, // Skip to: 13128
-/* 8817 */    MCD_OPC_Decode, 237, 5, 114, // Opcode: FSEQ_W
-/* 8821 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 8833
-/* 8825 */    MCD_OPC_CheckPredicate, 6, 203, 16, // Skip to: 13128
-/* 8829 */    MCD_OPC_Decode, 236, 5, 115, // Opcode: FSEQ_D
-/* 8833 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 8845
-/* 8837 */    MCD_OPC_CheckPredicate, 6, 191, 16, // Skip to: 13128
-/* 8841 */    MCD_OPC_Decode, 133, 6, 114, // Opcode: FSUEQ_W
-/* 8845 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 8857
-/* 8849 */    MCD_OPC_CheckPredicate, 6, 179, 16, // Skip to: 13128
-/* 8853 */    MCD_OPC_Decode, 132, 6, 115, // Opcode: FSUEQ_D
-/* 8857 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 8869
-/* 8861 */    MCD_OPC_CheckPredicate, 6, 167, 16, // Skip to: 13128
-/* 8865 */    MCD_OPC_Decode, 241, 5, 114, // Opcode: FSLT_W
-/* 8869 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 8881
-/* 8873 */    MCD_OPC_CheckPredicate, 6, 155, 16, // Skip to: 13128
-/* 8877 */    MCD_OPC_Decode, 240, 5, 115, // Opcode: FSLT_D
-/* 8881 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 8893
-/* 8885 */    MCD_OPC_CheckPredicate, 6, 143, 16, // Skip to: 13128
-/* 8889 */    MCD_OPC_Decode, 137, 6, 114, // Opcode: FSULT_W
-/* 8893 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 8905
-/* 8897 */    MCD_OPC_CheckPredicate, 6, 131, 16, // Skip to: 13128
-/* 8901 */    MCD_OPC_Decode, 136, 6, 115, // Opcode: FSULT_D
-/* 8905 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 8917
-/* 8909 */    MCD_OPC_CheckPredicate, 6, 119, 16, // Skip to: 13128
-/* 8913 */    MCD_OPC_Decode, 239, 5, 114, // Opcode: FSLE_W
-/* 8917 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 8929
-/* 8921 */    MCD_OPC_CheckPredicate, 6, 107, 16, // Skip to: 13128
-/* 8925 */    MCD_OPC_Decode, 238, 5, 115, // Opcode: FSLE_D
-/* 8929 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 8941
-/* 8933 */    MCD_OPC_CheckPredicate, 6, 95, 16, // Skip to: 13128
-/* 8937 */    MCD_OPC_Decode, 135, 6, 114, // Opcode: FSULE_W
-/* 8941 */    MCD_OPC_FilterValue, 31, 87, 16, // Skip to: 13128
-/* 8945 */    MCD_OPC_CheckPredicate, 6, 83, 16, // Skip to: 13128
-/* 8949 */    MCD_OPC_Decode, 134, 6, 115, // Opcode: FSULE_D
-/* 8953 */    MCD_OPC_FilterValue, 27, 63, 1, // Skip to: 9276
-/* 8957 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 8960 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8972
-/* 8964 */    MCD_OPC_CheckPredicate, 6, 64, 16, // Skip to: 13128
-/* 8968 */    MCD_OPC_Decode, 129, 5, 114, // Opcode: FADD_W
-/* 8972 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 8984
-/* 8976 */    MCD_OPC_CheckPredicate, 6, 52, 16, // Skip to: 13128
-/* 8980 */    MCD_OPC_Decode, 251, 4, 115, // Opcode: FADD_D
-/* 8984 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 8996
-/* 8988 */    MCD_OPC_CheckPredicate, 6, 40, 16, // Skip to: 13128
-/* 8992 */    MCD_OPC_Decode, 131, 6, 114, // Opcode: FSUB_W
-/* 8996 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 9008
-/* 9000 */    MCD_OPC_CheckPredicate, 6, 28, 16, // Skip to: 13128
-/* 9004 */    MCD_OPC_Decode, 253, 5, 115, // Opcode: FSUB_D
-/* 9008 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 9020
-/* 9012 */    MCD_OPC_CheckPredicate, 6, 16, 16, // Skip to: 13128
-/* 9016 */    MCD_OPC_Decode, 222, 5, 114, // Opcode: FMUL_W
-/* 9020 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 9032
-/* 9024 */    MCD_OPC_CheckPredicate, 6, 4, 16, // Skip to: 13128
-/* 9028 */    MCD_OPC_Decode, 216, 5, 115, // Opcode: FMUL_D
-/* 9032 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9044
-/* 9036 */    MCD_OPC_CheckPredicate, 6, 248, 15, // Skip to: 13128
-/* 9040 */    MCD_OPC_Decode, 165, 5, 114, // Opcode: FDIV_W
-/* 9044 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 9056
-/* 9048 */    MCD_OPC_CheckPredicate, 6, 236, 15, // Skip to: 13128
-/* 9052 */    MCD_OPC_Decode, 159, 5, 115, // Opcode: FDIV_D
-/* 9056 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 9068
-/* 9060 */    MCD_OPC_CheckPredicate, 6, 224, 15, // Skip to: 13128
-/* 9064 */    MCD_OPC_Decode, 200, 5, 118, // Opcode: FMADD_W
-/* 9068 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 9080
-/* 9072 */    MCD_OPC_CheckPredicate, 6, 212, 15, // Skip to: 13128
-/* 9076 */    MCD_OPC_Decode, 199, 5, 119, // Opcode: FMADD_D
-/* 9080 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 9092
-/* 9084 */    MCD_OPC_CheckPredicate, 6, 200, 15, // Skip to: 13128
-/* 9088 */    MCD_OPC_Decode, 215, 5, 118, // Opcode: FMSUB_W
-/* 9092 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 9104
-/* 9096 */    MCD_OPC_CheckPredicate, 6, 188, 15, // Skip to: 13128
-/* 9100 */    MCD_OPC_Decode, 214, 5, 119, // Opcode: FMSUB_D
-/* 9104 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 9116
-/* 9108 */    MCD_OPC_CheckPredicate, 6, 176, 15, // Skip to: 13128
-/* 9112 */    MCD_OPC_Decode, 170, 5, 114, // Opcode: FEXP2_W
-/* 9116 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 9128
-/* 9120 */    MCD_OPC_CheckPredicate, 6, 164, 15, // Skip to: 13128
-/* 9124 */    MCD_OPC_Decode, 168, 5, 115, // Opcode: FEXP2_D
-/* 9128 */    MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 9141
-/* 9132 */    MCD_OPC_CheckPredicate, 6, 152, 15, // Skip to: 13128
-/* 9136 */    MCD_OPC_Decode, 166, 5, 154, 1, // Opcode: FEXDO_H
-/* 9141 */    MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 9154
-/* 9145 */    MCD_OPC_CheckPredicate, 6, 139, 15, // Skip to: 13128
-/* 9149 */    MCD_OPC_Decode, 167, 5, 155, 1, // Opcode: FEXDO_W
-/* 9154 */    MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 9167
-/* 9158 */    MCD_OPC_CheckPredicate, 6, 126, 15, // Skip to: 13128
-/* 9162 */    MCD_OPC_Decode, 146, 6, 154, 1, // Opcode: FTQ_H
-/* 9167 */    MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 9180
-/* 9171 */    MCD_OPC_CheckPredicate, 6, 113, 15, // Skip to: 13128
-/* 9175 */    MCD_OPC_Decode, 147, 6, 155, 1, // Opcode: FTQ_W
-/* 9180 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 9192
-/* 9184 */    MCD_OPC_CheckPredicate, 6, 100, 15, // Skip to: 13128
-/* 9188 */    MCD_OPC_Decode, 208, 5, 114, // Opcode: FMIN_W
-/* 9192 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 9204
-/* 9196 */    MCD_OPC_CheckPredicate, 6, 88, 15, // Skip to: 13128
-/* 9200 */    MCD_OPC_Decode, 207, 5, 115, // Opcode: FMIN_D
-/* 9204 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 9216
-/* 9208 */    MCD_OPC_CheckPredicate, 6, 76, 15, // Skip to: 13128
-/* 9212 */    MCD_OPC_Decode, 206, 5, 114, // Opcode: FMIN_A_W
-/* 9216 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 9228
-/* 9220 */    MCD_OPC_CheckPredicate, 6, 64, 15, // Skip to: 13128
-/* 9224 */    MCD_OPC_Decode, 205, 5, 115, // Opcode: FMIN_A_D
-/* 9228 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 9240
-/* 9232 */    MCD_OPC_CheckPredicate, 6, 52, 15, // Skip to: 13128
-/* 9236 */    MCD_OPC_Decode, 204, 5, 114, // Opcode: FMAX_W
-/* 9240 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 9252
-/* 9244 */    MCD_OPC_CheckPredicate, 6, 40, 15, // Skip to: 13128
-/* 9248 */    MCD_OPC_Decode, 203, 5, 115, // Opcode: FMAX_D
-/* 9252 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 9264
-/* 9256 */    MCD_OPC_CheckPredicate, 6, 28, 15, // Skip to: 13128
-/* 9260 */    MCD_OPC_Decode, 202, 5, 114, // Opcode: FMAX_A_W
-/* 9264 */    MCD_OPC_FilterValue, 31, 20, 15, // Skip to: 13128
-/* 9268 */    MCD_OPC_CheckPredicate, 6, 16, 15, // Skip to: 13128
-/* 9272 */    MCD_OPC_Decode, 201, 5, 115, // Opcode: FMAX_A_D
-/* 9276 */    MCD_OPC_FilterValue, 28, 35, 1, // Skip to: 9571
-/* 9280 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 9283 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 9295
-/* 9287 */    MCD_OPC_CheckPredicate, 6, 253, 14, // Skip to: 13128
-/* 9291 */    MCD_OPC_Decode, 148, 5, 114, // Opcode: FCOR_W
-/* 9295 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 9307
-/* 9299 */    MCD_OPC_CheckPredicate, 6, 241, 14, // Skip to: 13128
-/* 9303 */    MCD_OPC_Decode, 147, 5, 115, // Opcode: FCOR_D
-/* 9307 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 9319
-/* 9311 */    MCD_OPC_CheckPredicate, 6, 229, 14, // Skip to: 13128
-/* 9315 */    MCD_OPC_Decode, 156, 5, 114, // Opcode: FCUNE_W
-/* 9319 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 9331
-/* 9323 */    MCD_OPC_CheckPredicate, 6, 217, 14, // Skip to: 13128
-/* 9327 */    MCD_OPC_Decode, 155, 5, 115, // Opcode: FCUNE_D
-/* 9331 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9343
-/* 9335 */    MCD_OPC_CheckPredicate, 6, 205, 14, // Skip to: 13128
-/* 9339 */    MCD_OPC_Decode, 146, 5, 114, // Opcode: FCNE_W
-/* 9343 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 9355
-/* 9347 */    MCD_OPC_CheckPredicate, 6, 193, 14, // Skip to: 13128
-/* 9351 */    MCD_OPC_Decode, 145, 5, 115, // Opcode: FCNE_D
-/* 9355 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 9367
-/* 9359 */    MCD_OPC_CheckPredicate, 6, 181, 14, // Skip to: 13128
-/* 9363 */    MCD_OPC_Decode, 151, 9, 113, // Opcode: MUL_Q_H
-/* 9367 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 9379
-/* 9371 */    MCD_OPC_CheckPredicate, 6, 169, 14, // Skip to: 13128
-/* 9375 */    MCD_OPC_Decode, 152, 9, 114, // Opcode: MUL_Q_W
-/* 9379 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 9391
-/* 9383 */    MCD_OPC_CheckPredicate, 6, 157, 14, // Skip to: 13128
-/* 9387 */    MCD_OPC_Decode, 208, 7, 117, // Opcode: MADD_Q_H
-/* 9391 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 9403
-/* 9395 */    MCD_OPC_CheckPredicate, 6, 145, 14, // Skip to: 13128
-/* 9399 */    MCD_OPC_Decode, 209, 7, 118, // Opcode: MADD_Q_W
-/* 9403 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 9415
-/* 9407 */    MCD_OPC_CheckPredicate, 6, 133, 14, // Skip to: 13128
-/* 9411 */    MCD_OPC_Decode, 225, 8, 117, // Opcode: MSUB_Q_H
-/* 9415 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 9427
-/* 9419 */    MCD_OPC_CheckPredicate, 6, 121, 14, // Skip to: 13128
-/* 9423 */    MCD_OPC_Decode, 226, 8, 118, // Opcode: MSUB_Q_W
-/* 9427 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 9439
-/* 9431 */    MCD_OPC_CheckPredicate, 6, 109, 14, // Skip to: 13128
-/* 9435 */    MCD_OPC_Decode, 245, 5, 114, // Opcode: FSOR_W
-/* 9439 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 9451
-/* 9443 */    MCD_OPC_CheckPredicate, 6, 97, 14, // Skip to: 13128
-/* 9447 */    MCD_OPC_Decode, 244, 5, 115, // Opcode: FSOR_D
-/* 9451 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 9463
-/* 9455 */    MCD_OPC_CheckPredicate, 6, 85, 14, // Skip to: 13128
-/* 9459 */    MCD_OPC_Decode, 139, 6, 114, // Opcode: FSUNE_W
-/* 9463 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 9475
-/* 9467 */    MCD_OPC_CheckPredicate, 6, 73, 14, // Skip to: 13128
-/* 9471 */    MCD_OPC_Decode, 138, 6, 115, // Opcode: FSUNE_D
-/* 9475 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 9487
-/* 9479 */    MCD_OPC_CheckPredicate, 6, 61, 14, // Skip to: 13128
-/* 9483 */    MCD_OPC_Decode, 243, 5, 114, // Opcode: FSNE_W
-/* 9487 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 9499
-/* 9491 */    MCD_OPC_CheckPredicate, 6, 49, 14, // Skip to: 13128
-/* 9495 */    MCD_OPC_Decode, 242, 5, 115, // Opcode: FSNE_D
-/* 9499 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 9511
-/* 9503 */    MCD_OPC_CheckPredicate, 6, 37, 14, // Skip to: 13128
-/* 9507 */    MCD_OPC_Decode, 134, 9, 113, // Opcode: MULR_Q_H
-/* 9511 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 9523
-/* 9515 */    MCD_OPC_CheckPredicate, 6, 25, 14, // Skip to: 13128
-/* 9519 */    MCD_OPC_Decode, 135, 9, 114, // Opcode: MULR_Q_W
-/* 9523 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 9535
-/* 9527 */    MCD_OPC_CheckPredicate, 6, 13, 14, // Skip to: 13128
-/* 9531 */    MCD_OPC_Decode, 194, 7, 117, // Opcode: MADDR_Q_H
-/* 9535 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 9547
-/* 9539 */    MCD_OPC_CheckPredicate, 6, 1, 14, // Skip to: 13128
-/* 9543 */    MCD_OPC_Decode, 195, 7, 118, // Opcode: MADDR_Q_W
-/* 9547 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 9559
-/* 9551 */    MCD_OPC_CheckPredicate, 6, 245, 13, // Skip to: 13128
-/* 9555 */    MCD_OPC_Decode, 211, 8, 117, // Opcode: MSUBR_Q_H
-/* 9559 */    MCD_OPC_FilterValue, 29, 237, 13, // Skip to: 13128
-/* 9563 */    MCD_OPC_CheckPredicate, 6, 233, 13, // Skip to: 13128
-/* 9567 */    MCD_OPC_Decode, 212, 8, 118, // Opcode: MSUBR_Q_W
-/* 9571 */    MCD_OPC_FilterValue, 30, 212, 2, // Skip to: 10299
-/* 9575 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 9578 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 9589
-/* 9582 */    MCD_OPC_CheckPredicate, 6, 214, 13, // Skip to: 13128
-/* 9586 */    MCD_OPC_Decode, 79, 112, // Opcode: AND_V
-/* 9589 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 9601
-/* 9593 */    MCD_OPC_CheckPredicate, 6, 203, 13, // Skip to: 13128
-/* 9597 */    MCD_OPC_Decode, 196, 9, 112, // Opcode: OR_V
-/* 9601 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 9613
-/* 9605 */    MCD_OPC_CheckPredicate, 6, 191, 13, // Skip to: 13128
-/* 9609 */    MCD_OPC_Decode, 186, 9, 112, // Opcode: NOR_V
-/* 9613 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 9625
-/* 9617 */    MCD_OPC_CheckPredicate, 6, 179, 13, // Skip to: 13128
-/* 9621 */    MCD_OPC_Decode, 133, 13, 112, // Opcode: XOR_V
-/* 9625 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 9637
-/* 9629 */    MCD_OPC_CheckPredicate, 6, 167, 13, // Skip to: 13128
-/* 9633 */    MCD_OPC_Decode, 230, 1, 116, // Opcode: BMNZ_V
-/* 9637 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 9649
-/* 9641 */    MCD_OPC_CheckPredicate, 6, 155, 13, // Skip to: 13128
-/* 9645 */    MCD_OPC_Decode, 232, 1, 116, // Opcode: BMZ_V
-/* 9649 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9661
-/* 9653 */    MCD_OPC_CheckPredicate, 6, 143, 13, // Skip to: 13128
-/* 9657 */    MCD_OPC_Decode, 135, 2, 116, // Opcode: BSEL_V
-/* 9661 */    MCD_OPC_FilterValue, 24, 211, 0, // Skip to: 9876
-/* 9665 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 9668 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9681
-/* 9672 */    MCD_OPC_CheckPredicate, 6, 124, 13, // Skip to: 13128
-/* 9676 */    MCD_OPC_Decode, 184, 5, 156, 1, // Opcode: FILL_B
-/* 9681 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 9694
-/* 9685 */    MCD_OPC_CheckPredicate, 6, 111, 13, // Skip to: 13128
-/* 9689 */    MCD_OPC_Decode, 188, 5, 157, 1, // Opcode: FILL_H
-/* 9694 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 9707
-/* 9698 */    MCD_OPC_CheckPredicate, 6, 98, 13, // Skip to: 13128
-/* 9702 */    MCD_OPC_Decode, 189, 5, 158, 1, // Opcode: FILL_W
-/* 9707 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 9720
-/* 9711 */    MCD_OPC_CheckPredicate, 13, 85, 13, // Skip to: 13128
-/* 9715 */    MCD_OPC_Decode, 185, 5, 159, 1, // Opcode: FILL_D
-/* 9720 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 9733
-/* 9724 */    MCD_OPC_CheckPredicate, 6, 72, 13, // Skip to: 13128
-/* 9728 */    MCD_OPC_Decode, 214, 9, 148, 1, // Opcode: PCNT_B
-/* 9733 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 9746
-/* 9737 */    MCD_OPC_CheckPredicate, 6, 59, 13, // Skip to: 13128
-/* 9741 */    MCD_OPC_Decode, 216, 9, 160, 1, // Opcode: PCNT_H
-/* 9746 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 9759
-/* 9750 */    MCD_OPC_CheckPredicate, 6, 46, 13, // Skip to: 13128
-/* 9754 */    MCD_OPC_Decode, 217, 9, 161, 1, // Opcode: PCNT_W
-/* 9759 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 9772
-/* 9763 */    MCD_OPC_CheckPredicate, 6, 33, 13, // Skip to: 13128
-/* 9767 */    MCD_OPC_Decode, 215, 9, 162, 1, // Opcode: PCNT_D
-/* 9772 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 9785
-/* 9776 */    MCD_OPC_CheckPredicate, 6, 20, 13, // Skip to: 13128
-/* 9780 */    MCD_OPC_Decode, 163, 9, 148, 1, // Opcode: NLOC_B
-/* 9785 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 9798
-/* 9789 */    MCD_OPC_CheckPredicate, 6, 7, 13, // Skip to: 13128
-/* 9793 */    MCD_OPC_Decode, 165, 9, 160, 1, // Opcode: NLOC_H
-/* 9798 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 9811
-/* 9802 */    MCD_OPC_CheckPredicate, 6, 250, 12, // Skip to: 13128
-/* 9806 */    MCD_OPC_Decode, 166, 9, 161, 1, // Opcode: NLOC_W
-/* 9811 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 9824
-/* 9815 */    MCD_OPC_CheckPredicate, 6, 237, 12, // Skip to: 13128
-/* 9819 */    MCD_OPC_Decode, 164, 9, 162, 1, // Opcode: NLOC_D
-/* 9824 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 9837
-/* 9828 */    MCD_OPC_CheckPredicate, 6, 224, 12, // Skip to: 13128
-/* 9832 */    MCD_OPC_Decode, 167, 9, 148, 1, // Opcode: NLZC_B
-/* 9837 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 9850
-/* 9841 */    MCD_OPC_CheckPredicate, 6, 211, 12, // Skip to: 13128
-/* 9845 */    MCD_OPC_Decode, 169, 9, 160, 1, // Opcode: NLZC_H
-/* 9850 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 9863
-/* 9854 */    MCD_OPC_CheckPredicate, 6, 198, 12, // Skip to: 13128
-/* 9858 */    MCD_OPC_Decode, 170, 9, 161, 1, // Opcode: NLZC_W
-/* 9863 */    MCD_OPC_FilterValue, 15, 189, 12, // Skip to: 13128
-/* 9867 */    MCD_OPC_CheckPredicate, 6, 185, 12, // Skip to: 13128
-/* 9871 */    MCD_OPC_Decode, 168, 9, 162, 1, // Opcode: NLZC_D
-/* 9876 */    MCD_OPC_FilterValue, 25, 176, 12, // Skip to: 13128
-/* 9880 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 9883 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9896
-/* 9887 */    MCD_OPC_CheckPredicate, 6, 165, 12, // Skip to: 13128
-/* 9891 */    MCD_OPC_Decode, 135, 5, 161, 1, // Opcode: FCLASS_W
-/* 9896 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 9909
-/* 9900 */    MCD_OPC_CheckPredicate, 6, 152, 12, // Skip to: 13128
-/* 9904 */    MCD_OPC_Decode, 134, 5, 162, 1, // Opcode: FCLASS_D
-/* 9909 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 9922
-/* 9913 */    MCD_OPC_CheckPredicate, 6, 139, 12, // Skip to: 13128
-/* 9917 */    MCD_OPC_Decode, 149, 6, 161, 1, // Opcode: FTRUNC_S_W
-/* 9922 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 9935
-/* 9926 */    MCD_OPC_CheckPredicate, 6, 126, 12, // Skip to: 13128
-/* 9930 */    MCD_OPC_Decode, 148, 6, 162, 1, // Opcode: FTRUNC_S_D
-/* 9935 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 9948
-/* 9939 */    MCD_OPC_CheckPredicate, 6, 113, 12, // Skip to: 13128
-/* 9943 */    MCD_OPC_Decode, 151, 6, 161, 1, // Opcode: FTRUNC_U_W
-/* 9948 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 9961
-/* 9952 */    MCD_OPC_CheckPredicate, 6, 100, 12, // Skip to: 13128
-/* 9956 */    MCD_OPC_Decode, 150, 6, 162, 1, // Opcode: FTRUNC_U_D
-/* 9961 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 9974
-/* 9965 */    MCD_OPC_CheckPredicate, 6, 87, 12, // Skip to: 13128
-/* 9969 */    MCD_OPC_Decode, 252, 5, 161, 1, // Opcode: FSQRT_W
-/* 9974 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 9987
-/* 9978 */    MCD_OPC_CheckPredicate, 6, 74, 12, // Skip to: 13128
-/* 9982 */    MCD_OPC_Decode, 246, 5, 162, 1, // Opcode: FSQRT_D
-/* 9987 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 10000
-/* 9991 */    MCD_OPC_CheckPredicate, 6, 61, 12, // Skip to: 13128
-/* 9995 */    MCD_OPC_Decode, 233, 5, 161, 1, // Opcode: FRSQRT_W
-/* 10000 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 10013
-/* 10004 */   MCD_OPC_CheckPredicate, 6, 48, 12, // Skip to: 13128
-/* 10008 */   MCD_OPC_Decode, 232, 5, 162, 1, // Opcode: FRSQRT_D
-/* 10013 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 10026
-/* 10017 */   MCD_OPC_CheckPredicate, 6, 35, 12, // Skip to: 13128
-/* 10021 */   MCD_OPC_Decode, 229, 5, 161, 1, // Opcode: FRCP_W
-/* 10026 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 10039
-/* 10030 */   MCD_OPC_CheckPredicate, 6, 22, 12, // Skip to: 13128
-/* 10034 */   MCD_OPC_Decode, 228, 5, 162, 1, // Opcode: FRCP_D
-/* 10039 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 10052
-/* 10043 */   MCD_OPC_CheckPredicate, 6, 9, 12, // Skip to: 13128
-/* 10047 */   MCD_OPC_Decode, 231, 5, 161, 1, // Opcode: FRINT_W
-/* 10052 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 10065
-/* 10056 */   MCD_OPC_CheckPredicate, 6, 252, 11, // Skip to: 13128
-/* 10060 */   MCD_OPC_Decode, 230, 5, 162, 1, // Opcode: FRINT_D
-/* 10065 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 10078
-/* 10069 */   MCD_OPC_CheckPredicate, 6, 239, 11, // Skip to: 13128
-/* 10073 */   MCD_OPC_Decode, 191, 5, 161, 1, // Opcode: FLOG2_W
-/* 10078 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 10091
-/* 10082 */   MCD_OPC_CheckPredicate, 6, 226, 11, // Skip to: 13128
-/* 10086 */   MCD_OPC_Decode, 190, 5, 162, 1, // Opcode: FLOG2_D
-/* 10091 */   MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 10104
-/* 10095 */   MCD_OPC_CheckPredicate, 6, 213, 11, // Skip to: 13128
-/* 10099 */   MCD_OPC_Decode, 173, 5, 163, 1, // Opcode: FEXUPL_W
-/* 10104 */   MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 10117
-/* 10108 */   MCD_OPC_CheckPredicate, 6, 200, 11, // Skip to: 13128
-/* 10112 */   MCD_OPC_Decode, 172, 5, 164, 1, // Opcode: FEXUPL_D
-/* 10117 */   MCD_OPC_FilterValue, 18, 9, 0, // Skip to: 10130
-/* 10121 */   MCD_OPC_CheckPredicate, 6, 187, 11, // Skip to: 13128
-/* 10125 */   MCD_OPC_Decode, 175, 5, 163, 1, // Opcode: FEXUPR_W
-/* 10130 */   MCD_OPC_FilterValue, 19, 9, 0, // Skip to: 10143
-/* 10134 */   MCD_OPC_CheckPredicate, 6, 174, 11, // Skip to: 13128
-/* 10138 */   MCD_OPC_Decode, 174, 5, 164, 1, // Opcode: FEXUPR_D
-/* 10143 */   MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 10156
-/* 10147 */   MCD_OPC_CheckPredicate, 6, 161, 11, // Skip to: 13128
-/* 10151 */   MCD_OPC_Decode, 181, 5, 163, 1, // Opcode: FFQL_W
-/* 10156 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 10169
-/* 10160 */   MCD_OPC_CheckPredicate, 6, 148, 11, // Skip to: 13128
-/* 10164 */   MCD_OPC_Decode, 180, 5, 164, 1, // Opcode: FFQL_D
-/* 10169 */   MCD_OPC_FilterValue, 22, 9, 0, // Skip to: 10182
-/* 10173 */   MCD_OPC_CheckPredicate, 6, 135, 11, // Skip to: 13128
-/* 10177 */   MCD_OPC_Decode, 183, 5, 163, 1, // Opcode: FFQR_W
-/* 10182 */   MCD_OPC_FilterValue, 23, 9, 0, // Skip to: 10195
-/* 10186 */   MCD_OPC_CheckPredicate, 6, 122, 11, // Skip to: 13128
-/* 10190 */   MCD_OPC_Decode, 182, 5, 164, 1, // Opcode: FFQR_D
-/* 10195 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 10208
-/* 10199 */   MCD_OPC_CheckPredicate, 6, 109, 11, // Skip to: 13128
-/* 10203 */   MCD_OPC_Decode, 143, 6, 161, 1, // Opcode: FTINT_S_W
-/* 10208 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 10221
-/* 10212 */   MCD_OPC_CheckPredicate, 6, 96, 11, // Skip to: 13128
-/* 10216 */   MCD_OPC_Decode, 142, 6, 162, 1, // Opcode: FTINT_S_D
-/* 10221 */   MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 10234
-/* 10225 */   MCD_OPC_CheckPredicate, 6, 83, 11, // Skip to: 13128
-/* 10229 */   MCD_OPC_Decode, 145, 6, 161, 1, // Opcode: FTINT_U_W
-/* 10234 */   MCD_OPC_FilterValue, 27, 9, 0, // Skip to: 10247
-/* 10238 */   MCD_OPC_CheckPredicate, 6, 70, 11, // Skip to: 13128
-/* 10242 */   MCD_OPC_Decode, 144, 6, 162, 1, // Opcode: FTINT_U_D
-/* 10247 */   MCD_OPC_FilterValue, 28, 9, 0, // Skip to: 10260
-/* 10251 */   MCD_OPC_CheckPredicate, 6, 57, 11, // Skip to: 13128
-/* 10255 */   MCD_OPC_Decode, 177, 5, 161, 1, // Opcode: FFINT_S_W
-/* 10260 */   MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 10273
-/* 10264 */   MCD_OPC_CheckPredicate, 6, 44, 11, // Skip to: 13128
-/* 10268 */   MCD_OPC_Decode, 176, 5, 162, 1, // Opcode: FFINT_S_D
-/* 10273 */   MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 10286
-/* 10277 */   MCD_OPC_CheckPredicate, 6, 31, 11, // Skip to: 13128
-/* 10281 */   MCD_OPC_Decode, 179, 5, 161, 1, // Opcode: FFINT_U_W
-/* 10286 */   MCD_OPC_FilterValue, 31, 22, 11, // Skip to: 13128
-/* 10290 */   MCD_OPC_CheckPredicate, 6, 18, 11, // Skip to: 13128
-/* 10294 */   MCD_OPC_Decode, 178, 5, 162, 1, // Opcode: FFINT_U_D
-/* 10299 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 10312
-/* 10303 */   MCD_OPC_CheckPredicate, 6, 5, 11, // Skip to: 13128
-/* 10307 */   MCD_OPC_Decode, 248, 6, 165, 1, // Opcode: LD_B
-/* 10312 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 10325
-/* 10316 */   MCD_OPC_CheckPredicate, 6, 248, 10, // Skip to: 13128
-/* 10320 */   MCD_OPC_Decode, 250, 6, 165, 1, // Opcode: LD_H
-/* 10325 */   MCD_OPC_FilterValue, 34, 9, 0, // Skip to: 10338
-/* 10329 */   MCD_OPC_CheckPredicate, 6, 235, 10, // Skip to: 13128
-/* 10333 */   MCD_OPC_Decode, 251, 6, 165, 1, // Opcode: LD_W
-/* 10338 */   MCD_OPC_FilterValue, 35, 9, 0, // Skip to: 10351
-/* 10342 */   MCD_OPC_CheckPredicate, 6, 222, 10, // Skip to: 13128
-/* 10346 */   MCD_OPC_Decode, 249, 6, 165, 1, // Opcode: LD_D
-/* 10351 */   MCD_OPC_FilterValue, 36, 9, 0, // Skip to: 10364
-/* 10355 */   MCD_OPC_CheckPredicate, 6, 209, 10, // Skip to: 13128
-/* 10359 */   MCD_OPC_Decode, 219, 11, 165, 1, // Opcode: ST_B
-/* 10364 */   MCD_OPC_FilterValue, 37, 9, 0, // Skip to: 10377
-/* 10368 */   MCD_OPC_CheckPredicate, 6, 196, 10, // Skip to: 13128
-/* 10372 */   MCD_OPC_Decode, 221, 11, 165, 1, // Opcode: ST_H
-/* 10377 */   MCD_OPC_FilterValue, 38, 9, 0, // Skip to: 10390
-/* 10381 */   MCD_OPC_CheckPredicate, 6, 183, 10, // Skip to: 13128
-/* 10385 */   MCD_OPC_Decode, 222, 11, 165, 1, // Opcode: ST_W
-/* 10390 */   MCD_OPC_FilterValue, 39, 174, 10, // Skip to: 13128
-/* 10394 */   MCD_OPC_CheckPredicate, 6, 170, 10, // Skip to: 13128
-/* 10398 */   MCD_OPC_Decode, 220, 11, 165, 1, // Opcode: ST_D
-/* 10403 */   MCD_OPC_FilterValue, 31, 113, 9, // Skip to: 12824
-/* 10407 */   MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 10410 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10423
-/* 10414 */   MCD_OPC_CheckPredicate, 4, 150, 10, // Skip to: 13128
-/* 10418 */   MCD_OPC_Decode, 226, 4, 166, 1, // Opcode: EXT
-/* 10423 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10436
-/* 10427 */   MCD_OPC_CheckPredicate, 4, 137, 10, // Skip to: 13128
-/* 10431 */   MCD_OPC_Decode, 181, 6, 167, 1, // Opcode: INS
-/* 10436 */   MCD_OPC_FilterValue, 10, 42, 0, // Skip to: 10482
-/* 10440 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 10443 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10456
-/* 10447 */   MCD_OPC_CheckPredicate, 11, 117, 10, // Skip to: 13128
-/* 10451 */   MCD_OPC_Decode, 170, 7, 168, 1, // Opcode: LWX
-/* 10456 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10469
-/* 10460 */   MCD_OPC_CheckPredicate, 11, 104, 10, // Skip to: 13128
-/* 10464 */   MCD_OPC_Decode, 129, 7, 168, 1, // Opcode: LHX
-/* 10469 */   MCD_OPC_FilterValue, 6, 95, 10, // Skip to: 13128
-/* 10473 */   MCD_OPC_CheckPredicate, 11, 91, 10, // Skip to: 13128
-/* 10477 */   MCD_OPC_Decode, 227, 6, 168, 1, // Opcode: LBUX
-/* 10482 */   MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 10501
-/* 10486 */   MCD_OPC_CheckPredicate, 11, 78, 10, // Skip to: 13128
-/* 10490 */   MCD_OPC_CheckField, 6, 10, 0, 72, 10, // Skip to: 13128
-/* 10496 */   MCD_OPC_Decode, 194, 6, 169, 1, // Opcode: INSV
-/* 10501 */   MCD_OPC_FilterValue, 16, 51, 1, // Skip to: 10812
-/* 10505 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 10508 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10520
-/* 10512 */   MCD_OPC_CheckPredicate, 11, 52, 10, // Skip to: 13128
-/* 10516 */   MCD_OPC_Decode, 48, 170, 1, // Opcode: ADDU_QB
-/* 10520 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 10533
-/* 10524 */   MCD_OPC_CheckPredicate, 11, 40, 10, // Skip to: 13128
-/* 10528 */   MCD_OPC_Decode, 250, 11, 170, 1, // Opcode: SUBU_QB
-/* 10533 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 10545
-/* 10537 */   MCD_OPC_CheckPredicate, 11, 27, 10, // Skip to: 13128
-/* 10541 */   MCD_OPC_Decode, 50, 170, 1, // Opcode: ADDU_S_QB
-/* 10545 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 10558
-/* 10549 */   MCD_OPC_CheckPredicate, 11, 15, 10, // Skip to: 13128
-/* 10553 */   MCD_OPC_Decode, 252, 11, 170, 1, // Opcode: SUBU_S_QB
-/* 10558 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 10571
-/* 10562 */   MCD_OPC_CheckPredicate, 11, 2, 10, // Skip to: 13128
-/* 10566 */   MCD_OPC_Decode, 128, 9, 170, 1, // Opcode: MULEU_S_PH_QBL
-/* 10571 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 10584
-/* 10575 */   MCD_OPC_CheckPredicate, 11, 245, 9, // Skip to: 13128
-/* 10579 */   MCD_OPC_Decode, 129, 9, 170, 1, // Opcode: MULEU_S_PH_QBR
-/* 10584 */   MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 10596
-/* 10588 */   MCD_OPC_CheckPredicate, 29, 232, 9, // Skip to: 13128
-/* 10592 */   MCD_OPC_Decode, 47, 170, 1, // Opcode: ADDU_PH
-/* 10596 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 10609
-/* 10600 */   MCD_OPC_CheckPredicate, 29, 220, 9, // Skip to: 13128
-/* 10604 */   MCD_OPC_Decode, 249, 11, 170, 1, // Opcode: SUBU_PH
-/* 10609 */   MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 10621
-/* 10613 */   MCD_OPC_CheckPredicate, 11, 207, 9, // Skip to: 13128
-/* 10617 */   MCD_OPC_Decode, 29, 170, 1, // Opcode: ADDQ_PH
-/* 10621 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 10634
-/* 10625 */   MCD_OPC_CheckPredicate, 11, 195, 9, // Skip to: 13128
-/* 10629 */   MCD_OPC_Decode, 228, 11, 170, 1, // Opcode: SUBQ_PH
-/* 10634 */   MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 10646
-/* 10638 */   MCD_OPC_CheckPredicate, 29, 182, 9, // Skip to: 13128
-/* 10642 */   MCD_OPC_Decode, 49, 170, 1, // Opcode: ADDU_S_PH
-/* 10646 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 10659
-/* 10650 */   MCD_OPC_CheckPredicate, 29, 170, 9, // Skip to: 13128
-/* 10654 */   MCD_OPC_Decode, 251, 11, 170, 1, // Opcode: SUBU_S_PH
-/* 10659 */   MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 10671
-/* 10663 */   MCD_OPC_CheckPredicate, 11, 157, 9, // Skip to: 13128
-/* 10667 */   MCD_OPC_Decode, 30, 170, 1, // Opcode: ADDQ_S_PH
-/* 10671 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 10684
-/* 10675 */   MCD_OPC_CheckPredicate, 11, 145, 9, // Skip to: 13128
-/* 10679 */   MCD_OPC_Decode, 229, 11, 170, 1, // Opcode: SUBQ_S_PH
-/* 10684 */   MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 10695
-/* 10688 */   MCD_OPC_CheckPredicate, 11, 132, 9, // Skip to: 13128
-/* 10692 */   MCD_OPC_Decode, 32, 16, // Opcode: ADDSC
-/* 10695 */   MCD_OPC_FilterValue, 17, 7, 0, // Skip to: 10706
-/* 10699 */   MCD_OPC_CheckPredicate, 11, 121, 9, // Skip to: 13128
-/* 10703 */   MCD_OPC_Decode, 59, 16, // Opcode: ADDWC
-/* 10706 */   MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 10718
-/* 10710 */   MCD_OPC_CheckPredicate, 11, 110, 9, // Skip to: 13128
-/* 10714 */   MCD_OPC_Decode, 156, 8, 16, // Opcode: MODSUB
-/* 10718 */   MCD_OPC_FilterValue, 20, 15, 0, // Skip to: 10737
-/* 10722 */   MCD_OPC_CheckPredicate, 11, 98, 9, // Skip to: 13128
-/* 10726 */   MCD_OPC_CheckField, 16, 5, 0, 92, 9, // Skip to: 13128
-/* 10732 */   MCD_OPC_Decode, 149, 10, 171, 1, // Opcode: RADDU_W_QB
-/* 10737 */   MCD_OPC_FilterValue, 22, 7, 0, // Skip to: 10748
-/* 10741 */   MCD_OPC_CheckPredicate, 11, 79, 9, // Skip to: 13128
-/* 10745 */   MCD_OPC_Decode, 31, 16, // Opcode: ADDQ_S_W
-/* 10748 */   MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 10760
-/* 10752 */   MCD_OPC_CheckPredicate, 11, 68, 9, // Skip to: 13128
-/* 10756 */   MCD_OPC_Decode, 230, 11, 16, // Opcode: SUBQ_S_W
-/* 10760 */   MCD_OPC_FilterValue, 28, 9, 0, // Skip to: 10773
-/* 10764 */   MCD_OPC_CheckPredicate, 11, 56, 9, // Skip to: 13128
-/* 10768 */   MCD_OPC_Decode, 254, 8, 172, 1, // Opcode: MULEQ_S_W_PHL
-/* 10773 */   MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 10786
-/* 10777 */   MCD_OPC_CheckPredicate, 11, 43, 9, // Skip to: 13128
-/* 10781 */   MCD_OPC_Decode, 255, 8, 172, 1, // Opcode: MULEQ_S_W_PHR
-/* 10786 */   MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 10799
-/* 10790 */   MCD_OPC_CheckPredicate, 29, 30, 9, // Skip to: 13128
-/* 10794 */   MCD_OPC_Decode, 132, 9, 170, 1, // Opcode: MULQ_S_PH
-/* 10799 */   MCD_OPC_FilterValue, 31, 21, 9, // Skip to: 13128
-/* 10803 */   MCD_OPC_CheckPredicate, 11, 17, 9, // Skip to: 13128
-/* 10807 */   MCD_OPC_Decode, 130, 9, 170, 1, // Opcode: MULQ_RS_PH
-/* 10812 */   MCD_OPC_FilterValue, 17, 69, 1, // Skip to: 11141
-/* 10816 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 10819 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 10838
-/* 10823 */   MCD_OPC_CheckPredicate, 11, 253, 8, // Skip to: 13128
-/* 10827 */   MCD_OPC_CheckField, 11, 5, 0, 247, 8, // Skip to: 13128
-/* 10833 */   MCD_OPC_Decode, 243, 2, 173, 1, // Opcode: CMPU_EQ_QB
-/* 10838 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 10857
-/* 10842 */   MCD_OPC_CheckPredicate, 11, 234, 8, // Skip to: 13128
-/* 10846 */   MCD_OPC_CheckField, 11, 5, 0, 228, 8, // Skip to: 13128
-/* 10852 */   MCD_OPC_Decode, 245, 2, 173, 1, // Opcode: CMPU_LT_QB
-/* 10857 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 10876
-/* 10861 */   MCD_OPC_CheckPredicate, 11, 215, 8, // Skip to: 13128
-/* 10865 */   MCD_OPC_CheckField, 11, 5, 0, 209, 8, // Skip to: 13128
-/* 10871 */   MCD_OPC_Decode, 244, 2, 173, 1, // Opcode: CMPU_LE_QB
-/* 10876 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 10889
-/* 10880 */   MCD_OPC_CheckPredicate, 11, 196, 8, // Skip to: 13128
-/* 10884 */   MCD_OPC_Decode, 219, 9, 170, 1, // Opcode: PICK_QB
-/* 10889 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10902
-/* 10893 */   MCD_OPC_CheckPredicate, 11, 183, 8, // Skip to: 13128
-/* 10897 */   MCD_OPC_Decode, 240, 2, 172, 1, // Opcode: CMPGU_EQ_QB
-/* 10902 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 10915
-/* 10906 */   MCD_OPC_CheckPredicate, 11, 170, 8, // Skip to: 13128
-/* 10910 */   MCD_OPC_Decode, 242, 2, 172, 1, // Opcode: CMPGU_LT_QB
-/* 10915 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 10928
-/* 10919 */   MCD_OPC_CheckPredicate, 11, 157, 8, // Skip to: 13128
-/* 10923 */   MCD_OPC_Decode, 241, 2, 172, 1, // Opcode: CMPGU_LE_QB
-/* 10928 */   MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 10947
-/* 10932 */   MCD_OPC_CheckPredicate, 11, 144, 8, // Skip to: 13128
-/* 10936 */   MCD_OPC_CheckField, 11, 5, 0, 138, 8, // Skip to: 13128
-/* 10942 */   MCD_OPC_Decode, 247, 2, 173, 1, // Opcode: CMP_EQ_PH
-/* 10947 */   MCD_OPC_FilterValue, 9, 15, 0, // Skip to: 10966
-/* 10951 */   MCD_OPC_CheckPredicate, 11, 125, 8, // Skip to: 13128
-/* 10955 */   MCD_OPC_CheckField, 11, 5, 0, 119, 8, // Skip to: 13128
-/* 10961 */   MCD_OPC_Decode, 255, 2, 173, 1, // Opcode: CMP_LT_PH
-/* 10966 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 10985
-/* 10970 */   MCD_OPC_CheckPredicate, 11, 106, 8, // Skip to: 13128
-/* 10974 */   MCD_OPC_CheckField, 11, 5, 0, 100, 8, // Skip to: 13128
-/* 10980 */   MCD_OPC_Decode, 252, 2, 173, 1, // Opcode: CMP_LE_PH
-/* 10985 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 10998
-/* 10989 */   MCD_OPC_CheckPredicate, 11, 87, 8, // Skip to: 13128
-/* 10993 */   MCD_OPC_Decode, 218, 9, 170, 1, // Opcode: PICK_PH
-/* 10998 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 11011
-/* 11002 */   MCD_OPC_CheckPredicate, 11, 74, 8, // Skip to: 13128
-/* 11006 */   MCD_OPC_Decode, 233, 9, 170, 1, // Opcode: PRECRQ_QB_PH
-/* 11011 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 11024
-/* 11015 */   MCD_OPC_CheckPredicate, 29, 61, 8, // Skip to: 13128
-/* 11019 */   MCD_OPC_Decode, 235, 9, 170, 1, // Opcode: PRECR_QB_PH
-/* 11024 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 11037
-/* 11028 */   MCD_OPC_CheckPredicate, 11, 48, 8, // Skip to: 13128
-/* 11032 */   MCD_OPC_Decode, 204, 9, 170, 1, // Opcode: PACKRL_PH
-/* 11037 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 11050
-/* 11041 */   MCD_OPC_CheckPredicate, 11, 35, 8, // Skip to: 13128
-/* 11045 */   MCD_OPC_Decode, 231, 9, 170, 1, // Opcode: PRECRQU_S_QB_PH
-/* 11050 */   MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 11063
-/* 11054 */   MCD_OPC_CheckPredicate, 11, 22, 8, // Skip to: 13128
-/* 11058 */   MCD_OPC_Decode, 232, 9, 174, 1, // Opcode: PRECRQ_PH_W
-/* 11063 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 11076
-/* 11067 */   MCD_OPC_CheckPredicate, 11, 9, 8, // Skip to: 13128
-/* 11071 */   MCD_OPC_Decode, 234, 9, 174, 1, // Opcode: PRECRQ_RS_PH_W
-/* 11076 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 11089
-/* 11080 */   MCD_OPC_CheckPredicate, 29, 252, 7, // Skip to: 13128
-/* 11084 */   MCD_OPC_Decode, 237, 2, 172, 1, // Opcode: CMPGDU_EQ_QB
-/* 11089 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 11102
-/* 11093 */   MCD_OPC_CheckPredicate, 29, 239, 7, // Skip to: 13128
-/* 11097 */   MCD_OPC_Decode, 239, 2, 172, 1, // Opcode: CMPGDU_LT_QB
-/* 11102 */   MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 11115
-/* 11106 */   MCD_OPC_CheckPredicate, 29, 226, 7, // Skip to: 13128
-/* 11110 */   MCD_OPC_Decode, 238, 2, 172, 1, // Opcode: CMPGDU_LE_QB
-/* 11115 */   MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 11128
-/* 11119 */   MCD_OPC_CheckPredicate, 29, 213, 7, // Skip to: 13128
-/* 11123 */   MCD_OPC_Decode, 236, 9, 175, 1, // Opcode: PRECR_SRA_PH_W
-/* 11128 */   MCD_OPC_FilterValue, 31, 204, 7, // Skip to: 13128
-/* 11132 */   MCD_OPC_CheckPredicate, 29, 200, 7, // Skip to: 13128
-/* 11136 */   MCD_OPC_Decode, 237, 9, 175, 1, // Opcode: PRECR_SRA_R_PH_W
-/* 11141 */   MCD_OPC_FilterValue, 18, 74, 1, // Skip to: 11475
-/* 11145 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 11148 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 11166
-/* 11152 */   MCD_OPC_CheckPredicate, 29, 180, 7, // Skip to: 13128
-/* 11156 */   MCD_OPC_CheckField, 21, 5, 0, 174, 7, // Skip to: 13128
-/* 11162 */   MCD_OPC_Decode, 21, 176, 1, // Opcode: ABSQ_S_QB
-/* 11166 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 11179
-/* 11170 */   MCD_OPC_CheckPredicate, 11, 162, 7, // Skip to: 13128
-/* 11174 */   MCD_OPC_Decode, 156, 10, 177, 1, // Opcode: REPL_QB
-/* 11179 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 11198
-/* 11183 */   MCD_OPC_CheckPredicate, 11, 149, 7, // Skip to: 13128
-/* 11187 */   MCD_OPC_CheckField, 21, 5, 0, 143, 7, // Skip to: 13128
-/* 11193 */   MCD_OPC_Decode, 154, 10, 178, 1, // Opcode: REPLV_QB
-/* 11198 */   MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 11217
-/* 11202 */   MCD_OPC_CheckPredicate, 11, 130, 7, // Skip to: 13128
-/* 11206 */   MCD_OPC_CheckField, 21, 5, 0, 124, 7, // Skip to: 13128
-/* 11212 */   MCD_OPC_Decode, 221, 9, 176, 1, // Opcode: PRECEQU_PH_QBL
-/* 11217 */   MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 11236
-/* 11221 */   MCD_OPC_CheckPredicate, 11, 111, 7, // Skip to: 13128
-/* 11225 */   MCD_OPC_CheckField, 21, 5, 0, 105, 7, // Skip to: 13128
-/* 11231 */   MCD_OPC_Decode, 223, 9, 176, 1, // Opcode: PRECEQU_PH_QBR
-/* 11236 */   MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 11255
-/* 11240 */   MCD_OPC_CheckPredicate, 11, 92, 7, // Skip to: 13128
-/* 11244 */   MCD_OPC_CheckField, 21, 5, 0, 86, 7, // Skip to: 13128
-/* 11250 */   MCD_OPC_Decode, 222, 9, 176, 1, // Opcode: PRECEQU_PH_QBLA
-/* 11255 */   MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 11274
-/* 11259 */   MCD_OPC_CheckPredicate, 11, 73, 7, // Skip to: 13128
-/* 11263 */   MCD_OPC_CheckField, 21, 5, 0, 67, 7, // Skip to: 13128
-/* 11269 */   MCD_OPC_Decode, 224, 9, 176, 1, // Opcode: PRECEQU_PH_QBRA
-/* 11274 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 11292
-/* 11278 */   MCD_OPC_CheckPredicate, 11, 54, 7, // Skip to: 13128
-/* 11282 */   MCD_OPC_CheckField, 21, 5, 0, 48, 7, // Skip to: 13128
-/* 11288 */   MCD_OPC_Decode, 20, 176, 1, // Opcode: ABSQ_S_PH
-/* 11292 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 11305
-/* 11296 */   MCD_OPC_CheckPredicate, 11, 36, 7, // Skip to: 13128
-/* 11300 */   MCD_OPC_Decode, 155, 10, 177, 1, // Opcode: REPL_PH
-/* 11305 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 11324
-/* 11309 */   MCD_OPC_CheckPredicate, 11, 23, 7, // Skip to: 13128
-/* 11313 */   MCD_OPC_CheckField, 21, 5, 0, 17, 7, // Skip to: 13128
-/* 11319 */   MCD_OPC_Decode, 153, 10, 178, 1, // Opcode: REPLV_PH
-/* 11324 */   MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 11343
-/* 11328 */   MCD_OPC_CheckPredicate, 11, 4, 7, // Skip to: 13128
-/* 11332 */   MCD_OPC_CheckField, 21, 5, 0, 254, 6, // Skip to: 13128
-/* 11338 */   MCD_OPC_Decode, 225, 9, 179, 1, // Opcode: PRECEQ_W_PHL
-/* 11343 */   MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 11362
-/* 11347 */   MCD_OPC_CheckPredicate, 11, 241, 6, // Skip to: 13128
-/* 11351 */   MCD_OPC_CheckField, 21, 5, 0, 235, 6, // Skip to: 13128
-/* 11357 */   MCD_OPC_Decode, 226, 9, 179, 1, // Opcode: PRECEQ_W_PHR
-/* 11362 */   MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 11380
-/* 11366 */   MCD_OPC_CheckPredicate, 11, 222, 6, // Skip to: 13128
-/* 11370 */   MCD_OPC_CheckField, 21, 5, 0, 216, 6, // Skip to: 13128
-/* 11376 */   MCD_OPC_Decode, 22, 180, 1, // Opcode: ABSQ_S_W
-/* 11380 */   MCD_OPC_FilterValue, 27, 15, 0, // Skip to: 11399
-/* 11384 */   MCD_OPC_CheckPredicate, 11, 204, 6, // Skip to: 13128
-/* 11388 */   MCD_OPC_CheckField, 21, 5, 0, 198, 6, // Skip to: 13128
-/* 11394 */   MCD_OPC_Decode, 213, 1, 180, 1, // Opcode: BITREV
-/* 11399 */   MCD_OPC_FilterValue, 28, 15, 0, // Skip to: 11418
-/* 11403 */   MCD_OPC_CheckPredicate, 11, 185, 6, // Skip to: 13128
-/* 11407 */   MCD_OPC_CheckField, 21, 5, 0, 179, 6, // Skip to: 13128
-/* 11413 */   MCD_OPC_Decode, 227, 9, 176, 1, // Opcode: PRECEU_PH_QBL
-/* 11418 */   MCD_OPC_FilterValue, 29, 15, 0, // Skip to: 11437
-/* 11422 */   MCD_OPC_CheckPredicate, 11, 166, 6, // Skip to: 13128
-/* 11426 */   MCD_OPC_CheckField, 21, 5, 0, 160, 6, // Skip to: 13128
-/* 11432 */   MCD_OPC_Decode, 229, 9, 176, 1, // Opcode: PRECEU_PH_QBR
-/* 11437 */   MCD_OPC_FilterValue, 30, 15, 0, // Skip to: 11456
-/* 11441 */   MCD_OPC_CheckPredicate, 11, 147, 6, // Skip to: 13128
-/* 11445 */   MCD_OPC_CheckField, 21, 5, 0, 141, 6, // Skip to: 13128
-/* 11451 */   MCD_OPC_Decode, 228, 9, 176, 1, // Opcode: PRECEU_PH_QBLA
-/* 11456 */   MCD_OPC_FilterValue, 31, 132, 6, // Skip to: 13128
-/* 11460 */   MCD_OPC_CheckPredicate, 11, 128, 6, // Skip to: 13128
-/* 11464 */   MCD_OPC_CheckField, 21, 5, 0, 122, 6, // Skip to: 13128
-/* 11470 */   MCD_OPC_Decode, 230, 9, 176, 1, // Opcode: PRECEU_PH_QBRA
-/* 11475 */   MCD_OPC_FilterValue, 19, 31, 1, // Skip to: 11766
-/* 11479 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 11482 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 11495
-/* 11486 */   MCD_OPC_CheckPredicate, 11, 102, 6, // Skip to: 13128
-/* 11490 */   MCD_OPC_Decode, 235, 10, 181, 1, // Opcode: SHLL_QB
-/* 11495 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 11508
-/* 11499 */   MCD_OPC_CheckPredicate, 11, 89, 6, // Skip to: 13128
-/* 11503 */   MCD_OPC_Decode, 251, 10, 181, 1, // Opcode: SHRL_QB
-/* 11508 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 11521
-/* 11512 */   MCD_OPC_CheckPredicate, 11, 76, 6, // Skip to: 13128
-/* 11516 */   MCD_OPC_Decode, 231, 10, 182, 1, // Opcode: SHLLV_QB
-/* 11521 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 11534
-/* 11525 */   MCD_OPC_CheckPredicate, 11, 63, 6, // Skip to: 13128
-/* 11529 */   MCD_OPC_Decode, 249, 10, 182, 1, // Opcode: SHRLV_QB
-/* 11534 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 11547
-/* 11538 */   MCD_OPC_CheckPredicate, 29, 50, 6, // Skip to: 13128
-/* 11542 */   MCD_OPC_Decode, 244, 10, 181, 1, // Opcode: SHRA_QB
-/* 11547 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 11560
-/* 11551 */   MCD_OPC_CheckPredicate, 29, 37, 6, // Skip to: 13128
-/* 11555 */   MCD_OPC_Decode, 246, 10, 181, 1, // Opcode: SHRA_R_QB
-/* 11560 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 11573
-/* 11564 */   MCD_OPC_CheckPredicate, 29, 24, 6, // Skip to: 13128
-/* 11568 */   MCD_OPC_Decode, 239, 10, 182, 1, // Opcode: SHRAV_QB
-/* 11573 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 11586
-/* 11577 */   MCD_OPC_CheckPredicate, 29, 11, 6, // Skip to: 13128
-/* 11581 */   MCD_OPC_Decode, 241, 10, 182, 1, // Opcode: SHRAV_R_QB
-/* 11586 */   MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 11599
-/* 11590 */   MCD_OPC_CheckPredicate, 11, 254, 5, // Skip to: 13128
-/* 11594 */   MCD_OPC_Decode, 234, 10, 181, 1, // Opcode: SHLL_PH
-/* 11599 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 11612
-/* 11603 */   MCD_OPC_CheckPredicate, 11, 241, 5, // Skip to: 13128
-/* 11607 */   MCD_OPC_Decode, 243, 10, 181, 1, // Opcode: SHRA_PH
-/* 11612 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 11625
-/* 11616 */   MCD_OPC_CheckPredicate, 11, 228, 5, // Skip to: 13128
-/* 11620 */   MCD_OPC_Decode, 230, 10, 182, 1, // Opcode: SHLLV_PH
-/* 11625 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 11638
-/* 11629 */   MCD_OPC_CheckPredicate, 11, 215, 5, // Skip to: 13128
-/* 11633 */   MCD_OPC_Decode, 238, 10, 182, 1, // Opcode: SHRAV_PH
-/* 11638 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 11651
-/* 11642 */   MCD_OPC_CheckPredicate, 11, 202, 5, // Skip to: 13128
-/* 11646 */   MCD_OPC_Decode, 236, 10, 181, 1, // Opcode: SHLL_S_PH
-/* 11651 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 11664
-/* 11655 */   MCD_OPC_CheckPredicate, 11, 189, 5, // Skip to: 13128
-/* 11659 */   MCD_OPC_Decode, 245, 10, 181, 1, // Opcode: SHRA_R_PH
-/* 11664 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 11677
-/* 11668 */   MCD_OPC_CheckPredicate, 11, 176, 5, // Skip to: 13128
-/* 11672 */   MCD_OPC_Decode, 232, 10, 182, 1, // Opcode: SHLLV_S_PH
-/* 11677 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 11690
-/* 11681 */   MCD_OPC_CheckPredicate, 11, 163, 5, // Skip to: 13128
-/* 11685 */   MCD_OPC_Decode, 240, 10, 182, 1, // Opcode: SHRAV_R_PH
-/* 11690 */   MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 11703
-/* 11694 */   MCD_OPC_CheckPredicate, 11, 150, 5, // Skip to: 13128
-/* 11698 */   MCD_OPC_Decode, 237, 10, 183, 1, // Opcode: SHLL_S_W
-/* 11703 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 11716
-/* 11707 */   MCD_OPC_CheckPredicate, 11, 137, 5, // Skip to: 13128
-/* 11711 */   MCD_OPC_Decode, 247, 10, 183, 1, // Opcode: SHRA_R_W
-/* 11716 */   MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 11728
-/* 11720 */   MCD_OPC_CheckPredicate, 11, 124, 5, // Skip to: 13128
-/* 11724 */   MCD_OPC_Decode, 233, 10, 17, // Opcode: SHLLV_S_W
-/* 11728 */   MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 11740
-/* 11732 */   MCD_OPC_CheckPredicate, 11, 112, 5, // Skip to: 13128
-/* 11736 */   MCD_OPC_Decode, 242, 10, 17, // Opcode: SHRAV_R_W
-/* 11740 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 11753
-/* 11744 */   MCD_OPC_CheckPredicate, 29, 100, 5, // Skip to: 13128
-/* 11748 */   MCD_OPC_Decode, 250, 10, 181, 1, // Opcode: SHRL_PH
-/* 11753 */   MCD_OPC_FilterValue, 27, 91, 5, // Skip to: 13128
-/* 11757 */   MCD_OPC_CheckPredicate, 29, 87, 5, // Skip to: 13128
-/* 11761 */   MCD_OPC_Decode, 248, 10, 182, 1, // Opcode: SHRLV_PH
-/* 11766 */   MCD_OPC_FilterValue, 24, 199, 0, // Skip to: 11969
-/* 11770 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 11773 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 11785
-/* 11777 */   MCD_OPC_CheckPredicate, 29, 67, 5, // Skip to: 13128
-/* 11781 */   MCD_OPC_Decode, 45, 170, 1, // Opcode: ADDUH_QB
-/* 11785 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 11798
-/* 11789 */   MCD_OPC_CheckPredicate, 29, 55, 5, // Skip to: 13128
-/* 11793 */   MCD_OPC_Decode, 247, 11, 170, 1, // Opcode: SUBUH_QB
-/* 11798 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 11810
-/* 11802 */   MCD_OPC_CheckPredicate, 29, 42, 5, // Skip to: 13128
-/* 11806 */   MCD_OPC_Decode, 46, 170, 1, // Opcode: ADDUH_R_QB
-/* 11810 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 11823
-/* 11814 */   MCD_OPC_CheckPredicate, 29, 30, 5, // Skip to: 13128
-/* 11818 */   MCD_OPC_Decode, 248, 11, 170, 1, // Opcode: SUBUH_R_QB
-/* 11823 */   MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 11835
-/* 11827 */   MCD_OPC_CheckPredicate, 29, 17, 5, // Skip to: 13128
-/* 11831 */   MCD_OPC_Decode, 25, 170, 1, // Opcode: ADDQH_PH
-/* 11835 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 11848
-/* 11839 */   MCD_OPC_CheckPredicate, 29, 5, 5, // Skip to: 13128
-/* 11843 */   MCD_OPC_Decode, 224, 11, 170, 1, // Opcode: SUBQH_PH
-/* 11848 */   MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 11860
-/* 11852 */   MCD_OPC_CheckPredicate, 29, 248, 4, // Skip to: 13128
-/* 11856 */   MCD_OPC_Decode, 26, 170, 1, // Opcode: ADDQH_R_PH
-/* 11860 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 11873
-/* 11864 */   MCD_OPC_CheckPredicate, 29, 236, 4, // Skip to: 13128
-/* 11868 */   MCD_OPC_Decode, 225, 11, 170, 1, // Opcode: SUBQH_R_PH
-/* 11873 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 11886
-/* 11877 */   MCD_OPC_CheckPredicate, 29, 223, 4, // Skip to: 13128
-/* 11881 */   MCD_OPC_Decode, 150, 9, 170, 1, // Opcode: MUL_PH
-/* 11886 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 11899
-/* 11890 */   MCD_OPC_CheckPredicate, 29, 210, 4, // Skip to: 13128
-/* 11894 */   MCD_OPC_Decode, 154, 9, 170, 1, // Opcode: MUL_S_PH
-/* 11899 */   MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 11910
-/* 11903 */   MCD_OPC_CheckPredicate, 29, 197, 4, // Skip to: 13128
-/* 11907 */   MCD_OPC_Decode, 28, 16, // Opcode: ADDQH_W
-/* 11910 */   MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 11922
-/* 11914 */   MCD_OPC_CheckPredicate, 29, 186, 4, // Skip to: 13128
-/* 11918 */   MCD_OPC_Decode, 227, 11, 16, // Opcode: SUBQH_W
-/* 11922 */   MCD_OPC_FilterValue, 18, 7, 0, // Skip to: 11933
-/* 11926 */   MCD_OPC_CheckPredicate, 29, 174, 4, // Skip to: 13128
-/* 11930 */   MCD_OPC_Decode, 27, 16, // Opcode: ADDQH_R_W
-/* 11933 */   MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 11945
-/* 11937 */   MCD_OPC_CheckPredicate, 29, 163, 4, // Skip to: 13128
-/* 11941 */   MCD_OPC_Decode, 226, 11, 16, // Opcode: SUBQH_R_W
-/* 11945 */   MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 11957
-/* 11949 */   MCD_OPC_CheckPredicate, 29, 151, 4, // Skip to: 13128
-/* 11953 */   MCD_OPC_Decode, 133, 9, 16, // Opcode: MULQ_S_W
-/* 11957 */   MCD_OPC_FilterValue, 23, 143, 4, // Skip to: 13128
-/* 11961 */   MCD_OPC_CheckPredicate, 29, 139, 4, // Skip to: 13128
-/* 11965 */   MCD_OPC_Decode, 131, 9, 16, // Opcode: MULQ_RS_W
-/* 11969 */   MCD_OPC_FilterValue, 32, 60, 0, // Skip to: 12033
-/* 11973 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 11976 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 11995
-/* 11980 */   MCD_OPC_CheckPredicate, 4, 120, 4, // Skip to: 13128
-/* 11984 */   MCD_OPC_CheckField, 21, 5, 0, 114, 4, // Skip to: 13128
-/* 11990 */   MCD_OPC_Decode, 255, 12, 180, 1, // Opcode: WSBH
-/* 11995 */   MCD_OPC_FilterValue, 16, 15, 0, // Skip to: 12014
-/* 11999 */   MCD_OPC_CheckPredicate, 4, 101, 4, // Skip to: 13128
-/* 12003 */   MCD_OPC_CheckField, 21, 5, 0, 95, 4, // Skip to: 13128
-/* 12009 */   MCD_OPC_Decode, 205, 10, 180, 1, // Opcode: SEB
-/* 12014 */   MCD_OPC_FilterValue, 24, 86, 4, // Skip to: 13128
-/* 12018 */   MCD_OPC_CheckPredicate, 4, 82, 4, // Skip to: 13128
-/* 12022 */   MCD_OPC_CheckField, 21, 5, 0, 76, 4, // Skip to: 13128
-/* 12028 */   MCD_OPC_Decode, 208, 10, 180, 1, // Opcode: SEH
-/* 12033 */   MCD_OPC_FilterValue, 48, 143, 1, // Skip to: 12436
-/* 12037 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 12040 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 12058
-/* 12044 */   MCD_OPC_CheckPredicate, 29, 56, 4, // Skip to: 13128
-/* 12048 */   MCD_OPC_CheckField, 13, 3, 0, 50, 4, // Skip to: 13128
-/* 12054 */   MCD_OPC_Decode, 184, 4, 91, // Opcode: DPA_W_PH
-/* 12058 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 12076
-/* 12062 */   MCD_OPC_CheckPredicate, 29, 38, 4, // Skip to: 13128
-/* 12066 */   MCD_OPC_CheckField, 13, 3, 0, 32, 4, // Skip to: 13128
-/* 12072 */   MCD_OPC_Decode, 199, 4, 91, // Opcode: DPS_W_PH
-/* 12076 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 12094
-/* 12080 */   MCD_OPC_CheckPredicate, 29, 20, 4, // Skip to: 13128
-/* 12084 */   MCD_OPC_CheckField, 13, 3, 0, 14, 4, // Skip to: 13128
-/* 12090 */   MCD_OPC_Decode, 137, 9, 91, // Opcode: MULSA_W_PH
-/* 12094 */   MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 12112
-/* 12098 */   MCD_OPC_CheckPredicate, 11, 2, 4, // Skip to: 13128
-/* 12102 */   MCD_OPC_CheckField, 13, 3, 0, 252, 3, // Skip to: 13128
-/* 12108 */   MCD_OPC_Decode, 181, 4, 91, // Opcode: DPAU_H_QBL
-/* 12112 */   MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 12130
-/* 12116 */   MCD_OPC_CheckPredicate, 11, 240, 3, // Skip to: 13128
-/* 12120 */   MCD_OPC_CheckField, 13, 3, 0, 234, 3, // Skip to: 13128
-/* 12126 */   MCD_OPC_Decode, 180, 4, 91, // Opcode: DPAQ_S_W_PH
-/* 12130 */   MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 12148
-/* 12134 */   MCD_OPC_CheckPredicate, 11, 222, 3, // Skip to: 13128
-/* 12138 */   MCD_OPC_CheckField, 13, 3, 0, 216, 3, // Skip to: 13128
-/* 12144 */   MCD_OPC_Decode, 189, 4, 91, // Opcode: DPSQ_S_W_PH
-/* 12148 */   MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 12166
-/* 12152 */   MCD_OPC_CheckPredicate, 11, 204, 3, // Skip to: 13128
-/* 12156 */   MCD_OPC_CheckField, 13, 3, 0, 198, 3, // Skip to: 13128
-/* 12162 */   MCD_OPC_Decode, 136, 9, 91, // Opcode: MULSAQ_S_W_PH
-/* 12166 */   MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 12184
-/* 12170 */   MCD_OPC_CheckPredicate, 11, 186, 3, // Skip to: 13128
-/* 12174 */   MCD_OPC_CheckField, 13, 3, 0, 180, 3, // Skip to: 13128
-/* 12180 */   MCD_OPC_Decode, 182, 4, 91, // Opcode: DPAU_H_QBR
-/* 12184 */   MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 12202
-/* 12188 */   MCD_OPC_CheckPredicate, 29, 168, 3, // Skip to: 13128
-/* 12192 */   MCD_OPC_CheckField, 13, 3, 0, 162, 3, // Skip to: 13128
-/* 12198 */   MCD_OPC_Decode, 183, 4, 91, // Opcode: DPAX_W_PH
-/* 12202 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 12220
-/* 12206 */   MCD_OPC_CheckPredicate, 29, 150, 3, // Skip to: 13128
-/* 12210 */   MCD_OPC_CheckField, 13, 3, 0, 144, 3, // Skip to: 13128
-/* 12216 */   MCD_OPC_Decode, 198, 4, 91, // Opcode: DPSX_W_PH
-/* 12220 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 12238
-/* 12224 */   MCD_OPC_CheckPredicate, 11, 132, 3, // Skip to: 13128
-/* 12228 */   MCD_OPC_CheckField, 13, 3, 0, 126, 3, // Skip to: 13128
-/* 12234 */   MCD_OPC_Decode, 196, 4, 91, // Opcode: DPSU_H_QBL
-/* 12238 */   MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 12256
-/* 12242 */   MCD_OPC_CheckPredicate, 11, 114, 3, // Skip to: 13128
-/* 12246 */   MCD_OPC_CheckField, 13, 3, 0, 108, 3, // Skip to: 13128
-/* 12252 */   MCD_OPC_Decode, 179, 4, 91, // Opcode: DPAQ_SA_L_W
-/* 12256 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 12274
-/* 12260 */   MCD_OPC_CheckPredicate, 11, 96, 3, // Skip to: 13128
-/* 12264 */   MCD_OPC_CheckField, 13, 3, 0, 90, 3, // Skip to: 13128
-/* 12270 */   MCD_OPC_Decode, 188, 4, 91, // Opcode: DPSQ_SA_L_W
-/* 12274 */   MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 12292
-/* 12278 */   MCD_OPC_CheckPredicate, 11, 78, 3, // Skip to: 13128
-/* 12282 */   MCD_OPC_CheckField, 13, 3, 0, 72, 3, // Skip to: 13128
-/* 12288 */   MCD_OPC_Decode, 197, 4, 91, // Opcode: DPSU_H_QBR
-/* 12292 */   MCD_OPC_FilterValue, 16, 14, 0, // Skip to: 12310
-/* 12296 */   MCD_OPC_CheckPredicate, 11, 60, 3, // Skip to: 13128
-/* 12300 */   MCD_OPC_CheckField, 13, 3, 0, 54, 3, // Skip to: 13128
-/* 12306 */   MCD_OPC_Decode, 212, 7, 91, // Opcode: MAQ_SA_W_PHL
-/* 12310 */   MCD_OPC_FilterValue, 18, 14, 0, // Skip to: 12328
-/* 12314 */   MCD_OPC_CheckPredicate, 11, 42, 3, // Skip to: 13128
-/* 12318 */   MCD_OPC_CheckField, 13, 3, 0, 36, 3, // Skip to: 13128
-/* 12324 */   MCD_OPC_Decode, 213, 7, 91, // Opcode: MAQ_SA_W_PHR
-/* 12328 */   MCD_OPC_FilterValue, 20, 14, 0, // Skip to: 12346
-/* 12332 */   MCD_OPC_CheckPredicate, 11, 24, 3, // Skip to: 13128
-/* 12336 */   MCD_OPC_CheckField, 13, 3, 0, 18, 3, // Skip to: 13128
-/* 12342 */   MCD_OPC_Decode, 214, 7, 91, // Opcode: MAQ_S_W_PHL
-/* 12346 */   MCD_OPC_FilterValue, 22, 14, 0, // Skip to: 12364
-/* 12350 */   MCD_OPC_CheckPredicate, 11, 6, 3, // Skip to: 13128
-/* 12354 */   MCD_OPC_CheckField, 13, 3, 0, 0, 3, // Skip to: 13128
-/* 12360 */   MCD_OPC_Decode, 215, 7, 91, // Opcode: MAQ_S_W_PHR
-/* 12364 */   MCD_OPC_FilterValue, 24, 14, 0, // Skip to: 12382
-/* 12368 */   MCD_OPC_CheckPredicate, 29, 244, 2, // Skip to: 13128
-/* 12372 */   MCD_OPC_CheckField, 13, 3, 0, 238, 2, // Skip to: 13128
-/* 12378 */   MCD_OPC_Decode, 178, 4, 91, // Opcode: DPAQX_S_W_PH
-/* 12382 */   MCD_OPC_FilterValue, 25, 14, 0, // Skip to: 12400
-/* 12386 */   MCD_OPC_CheckPredicate, 29, 226, 2, // Skip to: 13128
-/* 12390 */   MCD_OPC_CheckField, 13, 3, 0, 220, 2, // Skip to: 13128
-/* 12396 */   MCD_OPC_Decode, 187, 4, 91, // Opcode: DPSQX_S_W_PH
-/* 12400 */   MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 12418
-/* 12404 */   MCD_OPC_CheckPredicate, 29, 208, 2, // Skip to: 13128
-/* 12408 */   MCD_OPC_CheckField, 13, 3, 0, 202, 2, // Skip to: 13128
-/* 12414 */   MCD_OPC_Decode, 177, 4, 91, // Opcode: DPAQX_SA_W_PH
-/* 12418 */   MCD_OPC_FilterValue, 27, 194, 2, // Skip to: 13128
-/* 12422 */   MCD_OPC_CheckPredicate, 29, 190, 2, // Skip to: 13128
-/* 12426 */   MCD_OPC_CheckField, 13, 3, 0, 184, 2, // Skip to: 13128
-/* 12432 */   MCD_OPC_Decode, 186, 4, 91, // Opcode: DPSQX_SA_W_PH
-/* 12436 */   MCD_OPC_FilterValue, 49, 41, 0, // Skip to: 12481
-/* 12440 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 12443 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12455
-/* 12447 */   MCD_OPC_CheckPredicate, 29, 165, 2, // Skip to: 13128
-/* 12451 */   MCD_OPC_Decode, 86, 184, 1, // Opcode: APPEND
-/* 12455 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 12468
-/* 12459 */   MCD_OPC_CheckPredicate, 29, 153, 2, // Skip to: 13128
-/* 12463 */   MCD_OPC_Decode, 240, 9, 184, 1, // Opcode: PREPEND
-/* 12468 */   MCD_OPC_FilterValue, 16, 144, 2, // Skip to: 13128
-/* 12472 */   MCD_OPC_CheckPredicate, 29, 140, 2, // Skip to: 13128
-/* 12476 */   MCD_OPC_Decode, 158, 1, 184, 1, // Opcode: BALIGN
-/* 12481 */   MCD_OPC_FilterValue, 56, 58, 1, // Skip to: 12799
-/* 12485 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 12488 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 12507
-/* 12492 */   MCD_OPC_CheckPredicate, 11, 120, 2, // Skip to: 13128
-/* 12496 */   MCD_OPC_CheckField, 13, 3, 0, 114, 2, // Skip to: 13128
-/* 12502 */   MCD_OPC_Decode, 238, 4, 185, 1, // Opcode: EXTR_W
-/* 12507 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 12526
-/* 12511 */   MCD_OPC_CheckPredicate, 11, 101, 2, // Skip to: 13128
-/* 12515 */   MCD_OPC_CheckField, 13, 3, 0, 95, 2, // Skip to: 13128
-/* 12521 */   MCD_OPC_Decode, 234, 4, 186, 1, // Opcode: EXTRV_W
-/* 12526 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 12545
-/* 12530 */   MCD_OPC_CheckPredicate, 11, 82, 2, // Skip to: 13128
-/* 12534 */   MCD_OPC_CheckField, 13, 3, 0, 76, 2, // Skip to: 13128
-/* 12540 */   MCD_OPC_Decode, 227, 4, 185, 1, // Opcode: EXTP
-/* 12545 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 12564
-/* 12549 */   MCD_OPC_CheckPredicate, 11, 63, 2, // Skip to: 13128
-/* 12553 */   MCD_OPC_CheckField, 13, 3, 0, 57, 2, // Skip to: 13128
-/* 12559 */   MCD_OPC_Decode, 230, 4, 186, 1, // Opcode: EXTPV
-/* 12564 */   MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 12583
-/* 12568 */   MCD_OPC_CheckPredicate, 11, 44, 2, // Skip to: 13128
-/* 12572 */   MCD_OPC_CheckField, 13, 3, 0, 38, 2, // Skip to: 13128
-/* 12578 */   MCD_OPC_Decode, 236, 4, 185, 1, // Opcode: EXTR_R_W
-/* 12583 */   MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 12602
-/* 12587 */   MCD_OPC_CheckPredicate, 11, 25, 2, // Skip to: 13128
-/* 12591 */   MCD_OPC_CheckField, 13, 3, 0, 19, 2, // Skip to: 13128
-/* 12597 */   MCD_OPC_Decode, 232, 4, 186, 1, // Opcode: EXTRV_R_W
-/* 12602 */   MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 12621
-/* 12606 */   MCD_OPC_CheckPredicate, 11, 6, 2, // Skip to: 13128
-/* 12610 */   MCD_OPC_CheckField, 13, 3, 0, 0, 2, // Skip to: 13128
-/* 12616 */   MCD_OPC_Decode, 235, 4, 185, 1, // Opcode: EXTR_RS_W
-/* 12621 */   MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 12640
-/* 12625 */   MCD_OPC_CheckPredicate, 11, 243, 1, // Skip to: 13128
-/* 12629 */   MCD_OPC_CheckField, 13, 3, 0, 237, 1, // Skip to: 13128
-/* 12635 */   MCD_OPC_Decode, 231, 4, 186, 1, // Opcode: EXTRV_RS_W
-/* 12640 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 12659
-/* 12644 */   MCD_OPC_CheckPredicate, 11, 224, 1, // Skip to: 13128
-/* 12648 */   MCD_OPC_CheckField, 13, 3, 0, 218, 1, // Skip to: 13128
-/* 12654 */   MCD_OPC_Decode, 228, 4, 185, 1, // Opcode: EXTPDP
-/* 12659 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 12678
-/* 12663 */   MCD_OPC_CheckPredicate, 11, 205, 1, // Skip to: 13128
-/* 12667 */   MCD_OPC_CheckField, 13, 3, 0, 199, 1, // Skip to: 13128
-/* 12673 */   MCD_OPC_Decode, 229, 4, 186, 1, // Opcode: EXTPDPV
-/* 12678 */   MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 12697
-/* 12682 */   MCD_OPC_CheckPredicate, 11, 186, 1, // Skip to: 13128
-/* 12686 */   MCD_OPC_CheckField, 13, 3, 0, 180, 1, // Skip to: 13128
-/* 12692 */   MCD_OPC_Decode, 237, 4, 185, 1, // Opcode: EXTR_S_H
-/* 12697 */   MCD_OPC_FilterValue, 15, 15, 0, // Skip to: 12716
-/* 12701 */   MCD_OPC_CheckPredicate, 11, 167, 1, // Skip to: 13128
-/* 12705 */   MCD_OPC_CheckField, 13, 3, 0, 161, 1, // Skip to: 13128
-/* 12711 */   MCD_OPC_Decode, 233, 4, 186, 1, // Opcode: EXTRV_S_H
-/* 12716 */   MCD_OPC_FilterValue, 18, 9, 0, // Skip to: 12729
-/* 12720 */   MCD_OPC_CheckPredicate, 11, 148, 1, // Skip to: 13128
-/* 12724 */   MCD_OPC_Decode, 150, 10, 187, 1, // Opcode: RDDSP
-/* 12729 */   MCD_OPC_FilterValue, 19, 9, 0, // Skip to: 12742
-/* 12733 */   MCD_OPC_CheckPredicate, 11, 135, 1, // Skip to: 13128
-/* 12737 */   MCD_OPC_Decode, 254, 12, 188, 1, // Opcode: WRDSP
-/* 12742 */   MCD_OPC_FilterValue, 26, 15, 0, // Skip to: 12761
-/* 12746 */   MCD_OPC_CheckPredicate, 11, 122, 1, // Skip to: 13128
-/* 12750 */   MCD_OPC_CheckField, 13, 7, 0, 116, 1, // Skip to: 13128
-/* 12756 */   MCD_OPC_Decode, 228, 10, 189, 1, // Opcode: SHILO
-/* 12761 */   MCD_OPC_FilterValue, 27, 15, 0, // Skip to: 12780
-/* 12765 */   MCD_OPC_CheckPredicate, 11, 103, 1, // Skip to: 13128
-/* 12769 */   MCD_OPC_CheckField, 13, 8, 0, 97, 1, // Skip to: 13128
-/* 12775 */   MCD_OPC_Decode, 229, 10, 190, 1, // Opcode: SHILOV
-/* 12780 */   MCD_OPC_FilterValue, 31, 88, 1, // Skip to: 13128
-/* 12784 */   MCD_OPC_CheckPredicate, 11, 84, 1, // Skip to: 13128
-/* 12788 */   MCD_OPC_CheckField, 13, 8, 0, 78, 1, // Skip to: 13128
-/* 12794 */   MCD_OPC_Decode, 240, 8, 190, 1, // Opcode: MTHLIP
-/* 12799 */   MCD_OPC_FilterValue, 59, 69, 1, // Skip to: 13128
-/* 12803 */   MCD_OPC_CheckPredicate, 1, 65, 1, // Skip to: 13128
-/* 12807 */   MCD_OPC_CheckField, 21, 5, 0, 59, 1, // Skip to: 13128
-/* 12813 */   MCD_OPC_CheckField, 6, 5, 0, 53, 1, // Skip to: 13128
-/* 12819 */   MCD_OPC_Decode, 151, 10, 191, 1, // Opcode: RDHWR
-/* 12824 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 12837
-/* 12828 */   MCD_OPC_CheckPredicate, 1, 40, 1, // Skip to: 13128
-/* 12832 */   MCD_OPC_Decode, 225, 6, 192, 1, // Opcode: LB
-/* 12837 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 12850
-/* 12841 */   MCD_OPC_CheckPredicate, 1, 27, 1, // Skip to: 13128
-/* 12845 */   MCD_OPC_Decode, 255, 6, 192, 1, // Opcode: LH
-/* 12850 */   MCD_OPC_FilterValue, 34, 9, 0, // Skip to: 12863
-/* 12854 */   MCD_OPC_CheckPredicate, 10, 14, 1, // Skip to: 13128
-/* 12858 */   MCD_OPC_Decode, 161, 7, 192, 1, // Opcode: LWL
-/* 12863 */   MCD_OPC_FilterValue, 35, 9, 0, // Skip to: 12876
-/* 12867 */   MCD_OPC_CheckPredicate, 1, 1, 1, // Skip to: 13128
-/* 12871 */   MCD_OPC_Decode, 154, 7, 192, 1, // Opcode: LW
-/* 12876 */   MCD_OPC_FilterValue, 36, 9, 0, // Skip to: 12889
-/* 12880 */   MCD_OPC_CheckPredicate, 1, 244, 0, // Skip to: 13128
-/* 12884 */   MCD_OPC_Decode, 229, 6, 192, 1, // Opcode: LBu
-/* 12889 */   MCD_OPC_FilterValue, 37, 9, 0, // Skip to: 12902
-/* 12893 */   MCD_OPC_CheckPredicate, 1, 231, 0, // Skip to: 13128
-/* 12897 */   MCD_OPC_Decode, 131, 7, 192, 1, // Opcode: LHu
-/* 12902 */   MCD_OPC_FilterValue, 38, 9, 0, // Skip to: 12915
-/* 12906 */   MCD_OPC_CheckPredicate, 10, 218, 0, // Skip to: 13128
-/* 12910 */   MCD_OPC_Decode, 165, 7, 192, 1, // Opcode: LWR
-/* 12915 */   MCD_OPC_FilterValue, 40, 9, 0, // Skip to: 12928
-/* 12919 */   MCD_OPC_CheckPredicate, 1, 205, 0, // Skip to: 13128
-/* 12923 */   MCD_OPC_Decode, 182, 10, 192, 1, // Opcode: SB
-/* 12928 */   MCD_OPC_FilterValue, 41, 9, 0, // Skip to: 12941
-/* 12932 */   MCD_OPC_CheckPredicate, 1, 192, 0, // Skip to: 13128
-/* 12936 */   MCD_OPC_Decode, 223, 10, 192, 1, // Opcode: SH
-/* 12941 */   MCD_OPC_FilterValue, 42, 9, 0, // Skip to: 12954
-/* 12945 */   MCD_OPC_CheckPredicate, 10, 179, 0, // Skip to: 13128
-/* 12949 */   MCD_OPC_Decode, 146, 12, 192, 1, // Opcode: SWL
-/* 12954 */   MCD_OPC_FilterValue, 43, 9, 0, // Skip to: 12967
-/* 12958 */   MCD_OPC_CheckPredicate, 1, 166, 0, // Skip to: 13128
-/* 12962 */   MCD_OPC_Decode, 139, 12, 192, 1, // Opcode: SW
-/* 12967 */   MCD_OPC_FilterValue, 46, 9, 0, // Skip to: 12980
-/* 12971 */   MCD_OPC_CheckPredicate, 10, 153, 0, // Skip to: 13128
-/* 12975 */   MCD_OPC_Decode, 149, 12, 192, 1, // Opcode: SWR
-/* 12980 */   MCD_OPC_FilterValue, 47, 9, 0, // Skip to: 12993
-/* 12984 */   MCD_OPC_CheckPredicate, 30, 140, 0, // Skip to: 13128
-/* 12988 */   MCD_OPC_Decode, 175, 2, 193, 1, // Opcode: CACHE
-/* 12993 */   MCD_OPC_FilterValue, 48, 9, 0, // Skip to: 13006
-/* 12997 */   MCD_OPC_CheckPredicate, 31, 127, 0, // Skip to: 13128
-/* 13001 */   MCD_OPC_Decode, 134, 7, 192, 1, // Opcode: LL
-/* 13006 */   MCD_OPC_FilterValue, 49, 8, 0, // Skip to: 13018
-/* 13010 */   MCD_OPC_CheckPredicate, 1, 114, 0, // Skip to: 13128
-/* 13014 */   MCD_OPC_Decode, 156, 7, 10, // Opcode: LWC1
-/* 13018 */   MCD_OPC_FilterValue, 50, 8, 0, // Skip to: 13030
-/* 13022 */   MCD_OPC_CheckPredicate, 12, 102, 0, // Skip to: 13128
-/* 13026 */   MCD_OPC_Decode, 158, 7, 10, // Opcode: LWC2
-/* 13030 */   MCD_OPC_FilterValue, 51, 9, 0, // Skip to: 13043
-/* 13034 */   MCD_OPC_CheckPredicate, 30, 90, 0, // Skip to: 13128
-/* 13038 */   MCD_OPC_Decode, 238, 9, 193, 1, // Opcode: PREF
-/* 13043 */   MCD_OPC_FilterValue, 53, 8, 0, // Skip to: 13055
-/* 13047 */   MCD_OPC_CheckPredicate, 32, 77, 0, // Skip to: 13128
-/* 13051 */   MCD_OPC_Decode, 233, 6, 10, // Opcode: LDC1
-/* 13055 */   MCD_OPC_FilterValue, 54, 8, 0, // Skip to: 13067
-/* 13059 */   MCD_OPC_CheckPredicate, 14, 65, 0, // Skip to: 13128
-/* 13063 */   MCD_OPC_Decode, 236, 6, 10, // Opcode: LDC2
-/* 13067 */   MCD_OPC_FilterValue, 56, 9, 0, // Skip to: 13080
-/* 13071 */   MCD_OPC_CheckPredicate, 31, 53, 0, // Skip to: 13128
-/* 13075 */   MCD_OPC_Decode, 185, 10, 192, 1, // Opcode: SC
-/* 13080 */   MCD_OPC_FilterValue, 57, 8, 0, // Skip to: 13092
-/* 13084 */   MCD_OPC_CheckPredicate, 1, 40, 0, // Skip to: 13128
-/* 13088 */   MCD_OPC_Decode, 141, 12, 10, // Opcode: SWC1
-/* 13092 */   MCD_OPC_FilterValue, 58, 8, 0, // Skip to: 13104
-/* 13096 */   MCD_OPC_CheckPredicate, 12, 28, 0, // Skip to: 13128
-/* 13100 */   MCD_OPC_Decode, 143, 12, 10, // Opcode: SWC2
-/* 13104 */   MCD_OPC_FilterValue, 61, 8, 0, // Skip to: 13116
-/* 13108 */   MCD_OPC_CheckPredicate, 32, 16, 0, // Skip to: 13128
-/* 13112 */   MCD_OPC_Decode, 193, 10, 10, // Opcode: SDC1
-/* 13116 */   MCD_OPC_FilterValue, 62, 8, 0, // Skip to: 13128
-/* 13120 */   MCD_OPC_CheckPredicate, 14, 4, 0, // Skip to: 13128
-/* 13124 */   MCD_OPC_Decode, 196, 10, 10, // Opcode: SDC2
-/* 13128 */   MCD_OPC_Fail,
+/* 8315 */    MCD_OPC_CheckPredicate, 6, 172, 19, // Skip to: 13355
+/* 8319 */    MCD_OPC_CheckField, 19, 1, 0, 166, 19, // Skip to: 13355
+/* 8325 */    MCD_OPC_Decode, 156, 11, 137, 1, // Opcode: SLDI_H
+/* 8330 */    MCD_OPC_FilterValue, 3, 54, 0, // Skip to: 8388
+/* 8334 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8337 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8350
+/* 8341 */    MCD_OPC_CheckPredicate, 6, 146, 19, // Skip to: 13355
+/* 8345 */    MCD_OPC_Decode, 157, 11, 138, 1, // Opcode: SLDI_W
+/* 8350 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8369
+/* 8354 */    MCD_OPC_CheckPredicate, 6, 133, 19, // Skip to: 13355
+/* 8358 */    MCD_OPC_CheckField, 17, 1, 0, 127, 19, // Skip to: 13355
+/* 8364 */    MCD_OPC_Decode, 155, 11, 139, 1, // Opcode: SLDI_D
+/* 8369 */    MCD_OPC_FilterValue, 3, 118, 19, // Skip to: 13355
+/* 8373 */    MCD_OPC_CheckPredicate, 6, 114, 19, // Skip to: 13355
+/* 8377 */    MCD_OPC_CheckField, 16, 2, 2, 108, 19, // Skip to: 13355
+/* 8383 */    MCD_OPC_Decode, 192, 3, 140, 1, // Opcode: CTCMSA
+/* 8388 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 8401
+/* 8392 */    MCD_OPC_CheckPredicate, 6, 95, 19, // Skip to: 13355
+/* 8396 */    MCD_OPC_Decode, 195, 11, 141, 1, // Opcode: SPLATI_B
+/* 8401 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 8420
+/* 8405 */    MCD_OPC_CheckPredicate, 6, 82, 19, // Skip to: 13355
+/* 8409 */    MCD_OPC_CheckField, 19, 1, 0, 76, 19, // Skip to: 13355
+/* 8415 */    MCD_OPC_Decode, 197, 11, 142, 1, // Opcode: SPLATI_H
+/* 8420 */    MCD_OPC_FilterValue, 7, 54, 0, // Skip to: 8478
+/* 8424 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8427 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8440
+/* 8431 */    MCD_OPC_CheckPredicate, 6, 56, 19, // Skip to: 13355
+/* 8435 */    MCD_OPC_Decode, 198, 11, 143, 1, // Opcode: SPLATI_W
+/* 8440 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8459
+/* 8444 */    MCD_OPC_CheckPredicate, 6, 43, 19, // Skip to: 13355
+/* 8448 */    MCD_OPC_CheckField, 17, 1, 0, 37, 19, // Skip to: 13355
+/* 8454 */    MCD_OPC_Decode, 196, 11, 144, 1, // Opcode: SPLATI_D
+/* 8459 */    MCD_OPC_FilterValue, 3, 28, 19, // Skip to: 13355
+/* 8463 */    MCD_OPC_CheckPredicate, 6, 24, 19, // Skip to: 13355
+/* 8467 */    MCD_OPC_CheckField, 16, 2, 2, 18, 19, // Skip to: 13355
+/* 8473 */    MCD_OPC_Decode, 220, 2, 145, 1, // Opcode: CFCMSA
+/* 8478 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 8491
+/* 8482 */    MCD_OPC_CheckPredicate, 6, 5, 19, // Skip to: 13355
+/* 8486 */    MCD_OPC_Decode, 182, 3, 146, 1, // Opcode: COPY_S_B
+/* 8491 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 8510
+/* 8495 */    MCD_OPC_CheckPredicate, 6, 248, 18, // Skip to: 13355
+/* 8499 */    MCD_OPC_CheckField, 19, 1, 0, 242, 18, // Skip to: 13355
+/* 8505 */    MCD_OPC_Decode, 184, 3, 147, 1, // Opcode: COPY_S_H
+/* 8510 */    MCD_OPC_FilterValue, 11, 54, 0, // Skip to: 8568
+/* 8514 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8517 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8530
+/* 8521 */    MCD_OPC_CheckPredicate, 6, 222, 18, // Skip to: 13355
+/* 8525 */    MCD_OPC_Decode, 185, 3, 148, 1, // Opcode: COPY_S_W
+/* 8530 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8549
+/* 8534 */    MCD_OPC_CheckPredicate, 13, 209, 18, // Skip to: 13355
+/* 8538 */    MCD_OPC_CheckField, 17, 1, 0, 203, 18, // Skip to: 13355
+/* 8544 */    MCD_OPC_Decode, 183, 3, 149, 1, // Opcode: COPY_S_D
+/* 8549 */    MCD_OPC_FilterValue, 3, 194, 18, // Skip to: 13355
+/* 8553 */    MCD_OPC_CheckPredicate, 6, 190, 18, // Skip to: 13355
+/* 8557 */    MCD_OPC_CheckField, 16, 2, 2, 184, 18, // Skip to: 13355
+/* 8563 */    MCD_OPC_Decode, 196, 8, 150, 1, // Opcode: MOVE_V
+/* 8568 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 8581
+/* 8572 */    MCD_OPC_CheckPredicate, 6, 171, 18, // Skip to: 13355
+/* 8576 */    MCD_OPC_Decode, 186, 3, 146, 1, // Opcode: COPY_U_B
+/* 8581 */    MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 8600
+/* 8585 */    MCD_OPC_CheckPredicate, 6, 158, 18, // Skip to: 13355
+/* 8589 */    MCD_OPC_CheckField, 19, 1, 0, 152, 18, // Skip to: 13355
+/* 8595 */    MCD_OPC_Decode, 188, 3, 147, 1, // Opcode: COPY_U_H
+/* 8600 */    MCD_OPC_FilterValue, 15, 35, 0, // Skip to: 8639
+/* 8604 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8607 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8620
+/* 8611 */    MCD_OPC_CheckPredicate, 6, 132, 18, // Skip to: 13355
+/* 8615 */    MCD_OPC_Decode, 189, 3, 148, 1, // Opcode: COPY_U_W
+/* 8620 */    MCD_OPC_FilterValue, 2, 123, 18, // Skip to: 13355
+/* 8624 */    MCD_OPC_CheckPredicate, 13, 119, 18, // Skip to: 13355
+/* 8628 */    MCD_OPC_CheckField, 17, 1, 0, 113, 18, // Skip to: 13355
+/* 8634 */    MCD_OPC_Decode, 187, 3, 149, 1, // Opcode: COPY_U_D
+/* 8639 */    MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 8652
+/* 8643 */    MCD_OPC_CheckPredicate, 6, 100, 18, // Skip to: 13355
+/* 8647 */    MCD_OPC_Decode, 208, 6, 151, 1, // Opcode: INSERT_B
+/* 8652 */    MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 8671
+/* 8656 */    MCD_OPC_CheckPredicate, 6, 87, 18, // Skip to: 13355
+/* 8660 */    MCD_OPC_CheckField, 19, 1, 0, 81, 18, // Skip to: 13355
+/* 8666 */    MCD_OPC_Decode, 216, 6, 152, 1, // Opcode: INSERT_H
+/* 8671 */    MCD_OPC_FilterValue, 19, 35, 0, // Skip to: 8710
+/* 8675 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8678 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8691
+/* 8682 */    MCD_OPC_CheckPredicate, 6, 61, 18, // Skip to: 13355
+/* 8686 */    MCD_OPC_Decode, 218, 6, 153, 1, // Opcode: INSERT_W
+/* 8691 */    MCD_OPC_FilterValue, 2, 52, 18, // Skip to: 13355
+/* 8695 */    MCD_OPC_CheckPredicate, 13, 48, 18, // Skip to: 13355
+/* 8699 */    MCD_OPC_CheckField, 17, 1, 0, 42, 18, // Skip to: 13355
+/* 8705 */    MCD_OPC_Decode, 210, 6, 154, 1, // Opcode: INSERT_D
+/* 8710 */    MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 8723
+/* 8714 */    MCD_OPC_CheckPredicate, 6, 29, 18, // Skip to: 13355
+/* 8718 */    MCD_OPC_Decode, 221, 6, 155, 1, // Opcode: INSVE_B
+/* 8723 */    MCD_OPC_FilterValue, 22, 15, 0, // Skip to: 8742
+/* 8727 */    MCD_OPC_CheckPredicate, 6, 16, 18, // Skip to: 13355
+/* 8731 */    MCD_OPC_CheckField, 19, 1, 0, 10, 18, // Skip to: 13355
+/* 8737 */    MCD_OPC_Decode, 223, 6, 155, 1, // Opcode: INSVE_H
+/* 8742 */    MCD_OPC_FilterValue, 23, 1, 18, // Skip to: 13355
+/* 8746 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8749 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8762
+/* 8753 */    MCD_OPC_CheckPredicate, 6, 246, 17, // Skip to: 13355
+/* 8757 */    MCD_OPC_Decode, 224, 6, 155, 1, // Opcode: INSVE_W
+/* 8762 */    MCD_OPC_FilterValue, 2, 237, 17, // Skip to: 13355
+/* 8766 */    MCD_OPC_CheckPredicate, 6, 233, 17, // Skip to: 13355
+/* 8770 */    MCD_OPC_CheckField, 17, 1, 0, 227, 17, // Skip to: 13355
+/* 8776 */    MCD_OPC_Decode, 222, 6, 155, 1, // Opcode: INSVE_D
+/* 8781 */    MCD_OPC_FilterValue, 26, 131, 1, // Skip to: 9172
+/* 8785 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 8788 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8800
+/* 8792 */    MCD_OPC_CheckPredicate, 6, 207, 17, // Skip to: 13355
+/* 8796 */    MCD_OPC_Decode, 157, 5, 116, // Opcode: FCAF_W
+/* 8800 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 8812
+/* 8804 */    MCD_OPC_CheckPredicate, 6, 195, 17, // Skip to: 13355
+/* 8808 */    MCD_OPC_Decode, 156, 5, 117, // Opcode: FCAF_D
+/* 8812 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 8824
+/* 8816 */    MCD_OPC_CheckPredicate, 6, 183, 17, // Skip to: 13355
+/* 8820 */    MCD_OPC_Decode, 184, 5, 116, // Opcode: FCUN_W
+/* 8824 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 8836
+/* 8828 */    MCD_OPC_CheckPredicate, 6, 171, 17, // Skip to: 13355
+/* 8832 */    MCD_OPC_Decode, 183, 5, 117, // Opcode: FCUN_D
+/* 8836 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 8848
+/* 8840 */    MCD_OPC_CheckPredicate, 6, 159, 17, // Skip to: 13355
+/* 8844 */    MCD_OPC_Decode, 159, 5, 116, // Opcode: FCEQ_W
+/* 8848 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 8860
+/* 8852 */    MCD_OPC_CheckPredicate, 6, 147, 17, // Skip to: 13355
+/* 8856 */    MCD_OPC_Decode, 158, 5, 117, // Opcode: FCEQ_D
+/* 8860 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 8872
+/* 8864 */    MCD_OPC_CheckPredicate, 6, 135, 17, // Skip to: 13355
+/* 8868 */    MCD_OPC_Decode, 176, 5, 116, // Opcode: FCUEQ_W
+/* 8872 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 8884
+/* 8876 */    MCD_OPC_CheckPredicate, 6, 123, 17, // Skip to: 13355
+/* 8880 */    MCD_OPC_Decode, 175, 5, 117, // Opcode: FCUEQ_D
+/* 8884 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 8896
+/* 8888 */    MCD_OPC_CheckPredicate, 6, 111, 17, // Skip to: 13355
+/* 8892 */    MCD_OPC_Decode, 165, 5, 116, // Opcode: FCLT_W
+/* 8896 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 8908
+/* 8900 */    MCD_OPC_CheckPredicate, 6, 99, 17, // Skip to: 13355
+/* 8904 */    MCD_OPC_Decode, 164, 5, 117, // Opcode: FCLT_D
+/* 8908 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 8920
+/* 8912 */    MCD_OPC_CheckPredicate, 6, 87, 17, // Skip to: 13355
+/* 8916 */    MCD_OPC_Decode, 180, 5, 116, // Opcode: FCULT_W
+/* 8920 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 8932
+/* 8924 */    MCD_OPC_CheckPredicate, 6, 75, 17, // Skip to: 13355
+/* 8928 */    MCD_OPC_Decode, 179, 5, 117, // Opcode: FCULT_D
+/* 8932 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 8944
+/* 8936 */    MCD_OPC_CheckPredicate, 6, 63, 17, // Skip to: 13355
+/* 8940 */    MCD_OPC_Decode, 163, 5, 116, // Opcode: FCLE_W
+/* 8944 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 8956
+/* 8948 */    MCD_OPC_CheckPredicate, 6, 51, 17, // Skip to: 13355
+/* 8952 */    MCD_OPC_Decode, 162, 5, 117, // Opcode: FCLE_D
+/* 8956 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 8968
+/* 8960 */    MCD_OPC_CheckPredicate, 6, 39, 17, // Skip to: 13355
+/* 8964 */    MCD_OPC_Decode, 178, 5, 116, // Opcode: FCULE_W
+/* 8968 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 8980
+/* 8972 */    MCD_OPC_CheckPredicate, 6, 27, 17, // Skip to: 13355
+/* 8976 */    MCD_OPC_Decode, 177, 5, 117, // Opcode: FCULE_D
+/* 8980 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 8992
+/* 8984 */    MCD_OPC_CheckPredicate, 6, 15, 17, // Skip to: 13355
+/* 8988 */    MCD_OPC_Decode, 133, 6, 116, // Opcode: FSAF_W
+/* 8992 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 9004
+/* 8996 */    MCD_OPC_CheckPredicate, 6, 3, 17, // Skip to: 13355
+/* 9000 */    MCD_OPC_Decode, 132, 6, 117, // Opcode: FSAF_D
+/* 9004 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 9016
+/* 9008 */    MCD_OPC_CheckPredicate, 6, 247, 16, // Skip to: 13355
+/* 9012 */    MCD_OPC_Decode, 167, 6, 116, // Opcode: FSUN_W
+/* 9016 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 9028
+/* 9020 */    MCD_OPC_CheckPredicate, 6, 235, 16, // Skip to: 13355
+/* 9024 */    MCD_OPC_Decode, 166, 6, 117, // Opcode: FSUN_D
+/* 9028 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 9040
+/* 9032 */    MCD_OPC_CheckPredicate, 6, 223, 16, // Skip to: 13355
+/* 9036 */    MCD_OPC_Decode, 135, 6, 116, // Opcode: FSEQ_W
+/* 9040 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 9052
+/* 9044 */    MCD_OPC_CheckPredicate, 6, 211, 16, // Skip to: 13355
+/* 9048 */    MCD_OPC_Decode, 134, 6, 117, // Opcode: FSEQ_D
+/* 9052 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 9064
+/* 9056 */    MCD_OPC_CheckPredicate, 6, 199, 16, // Skip to: 13355
+/* 9060 */    MCD_OPC_Decode, 159, 6, 116, // Opcode: FSUEQ_W
+/* 9064 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 9076
+/* 9068 */    MCD_OPC_CheckPredicate, 6, 187, 16, // Skip to: 13355
+/* 9072 */    MCD_OPC_Decode, 158, 6, 117, // Opcode: FSUEQ_D
+/* 9076 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 9088
+/* 9080 */    MCD_OPC_CheckPredicate, 6, 175, 16, // Skip to: 13355
+/* 9084 */    MCD_OPC_Decode, 139, 6, 116, // Opcode: FSLT_W
+/* 9088 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 9100
+/* 9092 */    MCD_OPC_CheckPredicate, 6, 163, 16, // Skip to: 13355
+/* 9096 */    MCD_OPC_Decode, 138, 6, 117, // Opcode: FSLT_D
+/* 9100 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 9112
+/* 9104 */    MCD_OPC_CheckPredicate, 6, 151, 16, // Skip to: 13355
+/* 9108 */    MCD_OPC_Decode, 163, 6, 116, // Opcode: FSULT_W
+/* 9112 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 9124
+/* 9116 */    MCD_OPC_CheckPredicate, 6, 139, 16, // Skip to: 13355
+/* 9120 */    MCD_OPC_Decode, 162, 6, 117, // Opcode: FSULT_D
+/* 9124 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 9136
+/* 9128 */    MCD_OPC_CheckPredicate, 6, 127, 16, // Skip to: 13355
+/* 9132 */    MCD_OPC_Decode, 137, 6, 116, // Opcode: FSLE_W
+/* 9136 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 9148
+/* 9140 */    MCD_OPC_CheckPredicate, 6, 115, 16, // Skip to: 13355
+/* 9144 */    MCD_OPC_Decode, 136, 6, 117, // Opcode: FSLE_D
+/* 9148 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 9160
+/* 9152 */    MCD_OPC_CheckPredicate, 6, 103, 16, // Skip to: 13355
+/* 9156 */    MCD_OPC_Decode, 161, 6, 116, // Opcode: FSULE_W
+/* 9160 */    MCD_OPC_FilterValue, 31, 95, 16, // Skip to: 13355
+/* 9164 */    MCD_OPC_CheckPredicate, 6, 91, 16, // Skip to: 13355
+/* 9168 */    MCD_OPC_Decode, 160, 6, 117, // Opcode: FSULE_D
+/* 9172 */    MCD_OPC_FilterValue, 27, 63, 1, // Skip to: 9495
+/* 9176 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 9179 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 9191
+/* 9183 */    MCD_OPC_CheckPredicate, 6, 72, 16, // Skip to: 13355
+/* 9187 */    MCD_OPC_Decode, 155, 5, 116, // Opcode: FADD_W
+/* 9191 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 9203
+/* 9195 */    MCD_OPC_CheckPredicate, 6, 60, 16, // Skip to: 13355
+/* 9199 */    MCD_OPC_Decode, 149, 5, 117, // Opcode: FADD_D
+/* 9203 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 9215
+/* 9207 */    MCD_OPC_CheckPredicate, 6, 48, 16, // Skip to: 13355
+/* 9211 */    MCD_OPC_Decode, 157, 6, 116, // Opcode: FSUB_W
+/* 9215 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 9227
+/* 9219 */    MCD_OPC_CheckPredicate, 6, 36, 16, // Skip to: 13355
+/* 9223 */    MCD_OPC_Decode, 151, 6, 117, // Opcode: FSUB_D
+/* 9227 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 9239
+/* 9231 */    MCD_OPC_CheckPredicate, 6, 24, 16, // Skip to: 13355
+/* 9235 */    MCD_OPC_Decode, 248, 5, 116, // Opcode: FMUL_W
+/* 9239 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 9251
+/* 9243 */    MCD_OPC_CheckPredicate, 6, 12, 16, // Skip to: 13355
+/* 9247 */    MCD_OPC_Decode, 242, 5, 117, // Opcode: FMUL_D
+/* 9251 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9263
+/* 9255 */    MCD_OPC_CheckPredicate, 6, 0, 16, // Skip to: 13355
+/* 9259 */    MCD_OPC_Decode, 191, 5, 116, // Opcode: FDIV_W
+/* 9263 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 9275
+/* 9267 */    MCD_OPC_CheckPredicate, 6, 244, 15, // Skip to: 13355
+/* 9271 */    MCD_OPC_Decode, 185, 5, 117, // Opcode: FDIV_D
+/* 9275 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 9287
+/* 9279 */    MCD_OPC_CheckPredicate, 6, 232, 15, // Skip to: 13355
+/* 9283 */    MCD_OPC_Decode, 226, 5, 120, // Opcode: FMADD_W
+/* 9287 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 9299
+/* 9291 */    MCD_OPC_CheckPredicate, 6, 220, 15, // Skip to: 13355
+/* 9295 */    MCD_OPC_Decode, 225, 5, 121, // Opcode: FMADD_D
+/* 9299 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 9311
+/* 9303 */    MCD_OPC_CheckPredicate, 6, 208, 15, // Skip to: 13355
+/* 9307 */    MCD_OPC_Decode, 241, 5, 120, // Opcode: FMSUB_W
+/* 9311 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 9323
+/* 9315 */    MCD_OPC_CheckPredicate, 6, 196, 15, // Skip to: 13355
+/* 9319 */    MCD_OPC_Decode, 240, 5, 121, // Opcode: FMSUB_D
+/* 9323 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 9335
+/* 9327 */    MCD_OPC_CheckPredicate, 6, 184, 15, // Skip to: 13355
+/* 9331 */    MCD_OPC_Decode, 196, 5, 116, // Opcode: FEXP2_W
+/* 9335 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 9347
+/* 9339 */    MCD_OPC_CheckPredicate, 6, 172, 15, // Skip to: 13355
+/* 9343 */    MCD_OPC_Decode, 194, 5, 117, // Opcode: FEXP2_D
+/* 9347 */    MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 9360
+/* 9351 */    MCD_OPC_CheckPredicate, 6, 160, 15, // Skip to: 13355
+/* 9355 */    MCD_OPC_Decode, 192, 5, 156, 1, // Opcode: FEXDO_H
+/* 9360 */    MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 9373
+/* 9364 */    MCD_OPC_CheckPredicate, 6, 147, 15, // Skip to: 13355
+/* 9368 */    MCD_OPC_Decode, 193, 5, 157, 1, // Opcode: FEXDO_W
+/* 9373 */    MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 9386
+/* 9377 */    MCD_OPC_CheckPredicate, 6, 134, 15, // Skip to: 13355
+/* 9381 */    MCD_OPC_Decode, 172, 6, 156, 1, // Opcode: FTQ_H
+/* 9386 */    MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 9399
+/* 9390 */    MCD_OPC_CheckPredicate, 6, 121, 15, // Skip to: 13355
+/* 9394 */    MCD_OPC_Decode, 173, 6, 157, 1, // Opcode: FTQ_W
+/* 9399 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 9411
+/* 9403 */    MCD_OPC_CheckPredicate, 6, 108, 15, // Skip to: 13355
+/* 9407 */    MCD_OPC_Decode, 234, 5, 116, // Opcode: FMIN_W
+/* 9411 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 9423
+/* 9415 */    MCD_OPC_CheckPredicate, 6, 96, 15, // Skip to: 13355
+/* 9419 */    MCD_OPC_Decode, 233, 5, 117, // Opcode: FMIN_D
+/* 9423 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 9435
+/* 9427 */    MCD_OPC_CheckPredicate, 6, 84, 15, // Skip to: 13355
+/* 9431 */    MCD_OPC_Decode, 232, 5, 116, // Opcode: FMIN_A_W
+/* 9435 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 9447
+/* 9439 */    MCD_OPC_CheckPredicate, 6, 72, 15, // Skip to: 13355
+/* 9443 */    MCD_OPC_Decode, 231, 5, 117, // Opcode: FMIN_A_D
+/* 9447 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 9459
+/* 9451 */    MCD_OPC_CheckPredicate, 6, 60, 15, // Skip to: 13355
+/* 9455 */    MCD_OPC_Decode, 230, 5, 116, // Opcode: FMAX_W
+/* 9459 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 9471
+/* 9463 */    MCD_OPC_CheckPredicate, 6, 48, 15, // Skip to: 13355
+/* 9467 */    MCD_OPC_Decode, 229, 5, 117, // Opcode: FMAX_D
+/* 9471 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 9483
+/* 9475 */    MCD_OPC_CheckPredicate, 6, 36, 15, // Skip to: 13355
+/* 9479 */    MCD_OPC_Decode, 228, 5, 116, // Opcode: FMAX_A_W
+/* 9483 */    MCD_OPC_FilterValue, 31, 28, 15, // Skip to: 13355
+/* 9487 */    MCD_OPC_CheckPredicate, 6, 24, 15, // Skip to: 13355
+/* 9491 */    MCD_OPC_Decode, 227, 5, 117, // Opcode: FMAX_A_D
+/* 9495 */    MCD_OPC_FilterValue, 28, 35, 1, // Skip to: 9790
+/* 9499 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 9502 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 9514
+/* 9506 */    MCD_OPC_CheckPredicate, 6, 5, 15, // Skip to: 13355
+/* 9510 */    MCD_OPC_Decode, 174, 5, 116, // Opcode: FCOR_W
+/* 9514 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 9526
+/* 9518 */    MCD_OPC_CheckPredicate, 6, 249, 14, // Skip to: 13355
+/* 9522 */    MCD_OPC_Decode, 173, 5, 117, // Opcode: FCOR_D
+/* 9526 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 9538
+/* 9530 */    MCD_OPC_CheckPredicate, 6, 237, 14, // Skip to: 13355
+/* 9534 */    MCD_OPC_Decode, 182, 5, 116, // Opcode: FCUNE_W
+/* 9538 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 9550
+/* 9542 */    MCD_OPC_CheckPredicate, 6, 225, 14, // Skip to: 13355
+/* 9546 */    MCD_OPC_Decode, 181, 5, 117, // Opcode: FCUNE_D
+/* 9550 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9562
+/* 9554 */    MCD_OPC_CheckPredicate, 6, 213, 14, // Skip to: 13355
+/* 9558 */    MCD_OPC_Decode, 172, 5, 116, // Opcode: FCNE_W
+/* 9562 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 9574
+/* 9566 */    MCD_OPC_CheckPredicate, 6, 201, 14, // Skip to: 13355
+/* 9570 */    MCD_OPC_Decode, 171, 5, 117, // Opcode: FCNE_D
+/* 9574 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 9586
+/* 9578 */    MCD_OPC_CheckPredicate, 6, 189, 14, // Skip to: 13355
+/* 9582 */    MCD_OPC_Decode, 180, 9, 115, // Opcode: MUL_Q_H
+/* 9586 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 9598
+/* 9590 */    MCD_OPC_CheckPredicate, 6, 177, 14, // Skip to: 13355
+/* 9594 */    MCD_OPC_Decode, 181, 9, 116, // Opcode: MUL_Q_W
+/* 9598 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 9610
+/* 9602 */    MCD_OPC_CheckPredicate, 6, 165, 14, // Skip to: 13355
+/* 9606 */    MCD_OPC_Decode, 237, 7, 119, // Opcode: MADD_Q_H
+/* 9610 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 9622
+/* 9614 */    MCD_OPC_CheckPredicate, 6, 153, 14, // Skip to: 13355
+/* 9618 */    MCD_OPC_Decode, 238, 7, 120, // Opcode: MADD_Q_W
+/* 9622 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 9634
+/* 9626 */    MCD_OPC_CheckPredicate, 6, 141, 14, // Skip to: 13355
+/* 9630 */    MCD_OPC_Decode, 254, 8, 119, // Opcode: MSUB_Q_H
+/* 9634 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 9646
+/* 9638 */    MCD_OPC_CheckPredicate, 6, 129, 14, // Skip to: 13355
+/* 9642 */    MCD_OPC_Decode, 255, 8, 120, // Opcode: MSUB_Q_W
+/* 9646 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 9658
+/* 9650 */    MCD_OPC_CheckPredicate, 6, 117, 14, // Skip to: 13355
+/* 9654 */    MCD_OPC_Decode, 143, 6, 116, // Opcode: FSOR_W
+/* 9658 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 9670
+/* 9662 */    MCD_OPC_CheckPredicate, 6, 105, 14, // Skip to: 13355
+/* 9666 */    MCD_OPC_Decode, 142, 6, 117, // Opcode: FSOR_D
+/* 9670 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 9682
+/* 9674 */    MCD_OPC_CheckPredicate, 6, 93, 14, // Skip to: 13355
+/* 9678 */    MCD_OPC_Decode, 165, 6, 116, // Opcode: FSUNE_W
+/* 9682 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 9694
+/* 9686 */    MCD_OPC_CheckPredicate, 6, 81, 14, // Skip to: 13355
+/* 9690 */    MCD_OPC_Decode, 164, 6, 117, // Opcode: FSUNE_D
+/* 9694 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 9706
+/* 9698 */    MCD_OPC_CheckPredicate, 6, 69, 14, // Skip to: 13355
+/* 9702 */    MCD_OPC_Decode, 141, 6, 116, // Opcode: FSNE_W
+/* 9706 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 9718
+/* 9710 */    MCD_OPC_CheckPredicate, 6, 57, 14, // Skip to: 13355
+/* 9714 */    MCD_OPC_Decode, 140, 6, 117, // Opcode: FSNE_D
+/* 9718 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 9730
+/* 9722 */    MCD_OPC_CheckPredicate, 6, 45, 14, // Skip to: 13355
+/* 9726 */    MCD_OPC_Decode, 163, 9, 115, // Opcode: MULR_Q_H
+/* 9730 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 9742
+/* 9734 */    MCD_OPC_CheckPredicate, 6, 33, 14, // Skip to: 13355
+/* 9738 */    MCD_OPC_Decode, 164, 9, 116, // Opcode: MULR_Q_W
+/* 9742 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 9754
+/* 9746 */    MCD_OPC_CheckPredicate, 6, 21, 14, // Skip to: 13355
+/* 9750 */    MCD_OPC_Decode, 223, 7, 119, // Opcode: MADDR_Q_H
+/* 9754 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 9766
+/* 9758 */    MCD_OPC_CheckPredicate, 6, 9, 14, // Skip to: 13355
+/* 9762 */    MCD_OPC_Decode, 224, 7, 120, // Opcode: MADDR_Q_W
+/* 9766 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 9778
+/* 9770 */    MCD_OPC_CheckPredicate, 6, 253, 13, // Skip to: 13355
+/* 9774 */    MCD_OPC_Decode, 240, 8, 119, // Opcode: MSUBR_Q_H
+/* 9778 */    MCD_OPC_FilterValue, 29, 245, 13, // Skip to: 13355
+/* 9782 */    MCD_OPC_CheckPredicate, 6, 241, 13, // Skip to: 13355
+/* 9786 */    MCD_OPC_Decode, 241, 8, 120, // Opcode: MSUBR_Q_W
+/* 9790 */    MCD_OPC_FilterValue, 30, 212, 2, // Skip to: 10518
+/* 9794 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 9797 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 9808
+/* 9801 */    MCD_OPC_CheckPredicate, 6, 222, 13, // Skip to: 13355
+/* 9805 */    MCD_OPC_Decode, 79, 114, // Opcode: AND_V
+/* 9808 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 9820
+/* 9812 */    MCD_OPC_CheckPredicate, 6, 211, 13, // Skip to: 13355
+/* 9816 */    MCD_OPC_Decode, 225, 9, 114, // Opcode: OR_V
+/* 9820 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 9832
+/* 9824 */    MCD_OPC_CheckPredicate, 6, 199, 13, // Skip to: 13355
+/* 9828 */    MCD_OPC_Decode, 215, 9, 114, // Opcode: NOR_V
+/* 9832 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 9844
+/* 9836 */    MCD_OPC_CheckPredicate, 6, 187, 13, // Skip to: 13355
+/* 9840 */    MCD_OPC_Decode, 166, 13, 114, // Opcode: XOR_V
+/* 9844 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 9856
+/* 9848 */    MCD_OPC_CheckPredicate, 6, 175, 13, // Skip to: 13355
+/* 9852 */    MCD_OPC_Decode, 254, 1, 118, // Opcode: BMNZ_V
+/* 9856 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 9868
+/* 9860 */    MCD_OPC_CheckPredicate, 6, 163, 13, // Skip to: 13355
+/* 9864 */    MCD_OPC_Decode, 128, 2, 118, // Opcode: BMZ_V
+/* 9868 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9880
+/* 9872 */    MCD_OPC_CheckPredicate, 6, 151, 13, // Skip to: 13355
+/* 9876 */    MCD_OPC_Decode, 161, 2, 118, // Opcode: BSEL_V
+/* 9880 */    MCD_OPC_FilterValue, 24, 211, 0, // Skip to: 10095
+/* 9884 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 9887 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9900
+/* 9891 */    MCD_OPC_CheckPredicate, 6, 132, 13, // Skip to: 13355
+/* 9895 */    MCD_OPC_Decode, 210, 5, 158, 1, // Opcode: FILL_B
+/* 9900 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 9913
+/* 9904 */    MCD_OPC_CheckPredicate, 6, 119, 13, // Skip to: 13355
+/* 9908 */    MCD_OPC_Decode, 214, 5, 159, 1, // Opcode: FILL_H
+/* 9913 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 9926
+/* 9917 */    MCD_OPC_CheckPredicate, 6, 106, 13, // Skip to: 13355
+/* 9921 */    MCD_OPC_Decode, 215, 5, 160, 1, // Opcode: FILL_W
+/* 9926 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 9939
+/* 9930 */    MCD_OPC_CheckPredicate, 13, 93, 13, // Skip to: 13355
+/* 9934 */    MCD_OPC_Decode, 211, 5, 161, 1, // Opcode: FILL_D
+/* 9939 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 9952
+/* 9943 */    MCD_OPC_CheckPredicate, 6, 80, 13, // Skip to: 13355
+/* 9947 */    MCD_OPC_Decode, 243, 9, 150, 1, // Opcode: PCNT_B
+/* 9952 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 9965
+/* 9956 */    MCD_OPC_CheckPredicate, 6, 67, 13, // Skip to: 13355
+/* 9960 */    MCD_OPC_Decode, 245, 9, 162, 1, // Opcode: PCNT_H
+/* 9965 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 9978
+/* 9969 */    MCD_OPC_CheckPredicate, 6, 54, 13, // Skip to: 13355
+/* 9973 */    MCD_OPC_Decode, 246, 9, 163, 1, // Opcode: PCNT_W
+/* 9978 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 9991
+/* 9982 */    MCD_OPC_CheckPredicate, 6, 41, 13, // Skip to: 13355
+/* 9986 */    MCD_OPC_Decode, 244, 9, 164, 1, // Opcode: PCNT_D
+/* 9991 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 10004
+/* 9995 */    MCD_OPC_CheckPredicate, 6, 28, 13, // Skip to: 13355
+/* 9999 */    MCD_OPC_Decode, 192, 9, 150, 1, // Opcode: NLOC_B
+/* 10004 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 10017
+/* 10008 */   MCD_OPC_CheckPredicate, 6, 15, 13, // Skip to: 13355
+/* 10012 */   MCD_OPC_Decode, 194, 9, 162, 1, // Opcode: NLOC_H
+/* 10017 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 10030
+/* 10021 */   MCD_OPC_CheckPredicate, 6, 2, 13, // Skip to: 13355
+/* 10025 */   MCD_OPC_Decode, 195, 9, 163, 1, // Opcode: NLOC_W
+/* 10030 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 10043
+/* 10034 */   MCD_OPC_CheckPredicate, 6, 245, 12, // Skip to: 13355
+/* 10038 */   MCD_OPC_Decode, 193, 9, 164, 1, // Opcode: NLOC_D
+/* 10043 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 10056
+/* 10047 */   MCD_OPC_CheckPredicate, 6, 232, 12, // Skip to: 13355
+/* 10051 */   MCD_OPC_Decode, 196, 9, 150, 1, // Opcode: NLZC_B
+/* 10056 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 10069
+/* 10060 */   MCD_OPC_CheckPredicate, 6, 219, 12, // Skip to: 13355
+/* 10064 */   MCD_OPC_Decode, 198, 9, 162, 1, // Opcode: NLZC_H
+/* 10069 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 10082
+/* 10073 */   MCD_OPC_CheckPredicate, 6, 206, 12, // Skip to: 13355
+/* 10077 */   MCD_OPC_Decode, 199, 9, 163, 1, // Opcode: NLZC_W
+/* 10082 */   MCD_OPC_FilterValue, 15, 197, 12, // Skip to: 13355
+/* 10086 */   MCD_OPC_CheckPredicate, 6, 193, 12, // Skip to: 13355
+/* 10090 */   MCD_OPC_Decode, 197, 9, 164, 1, // Opcode: NLZC_D
+/* 10095 */   MCD_OPC_FilterValue, 25, 184, 12, // Skip to: 13355
+/* 10099 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 10102 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10115
+/* 10106 */   MCD_OPC_CheckPredicate, 6, 173, 12, // Skip to: 13355
+/* 10110 */   MCD_OPC_Decode, 161, 5, 163, 1, // Opcode: FCLASS_W
+/* 10115 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 10128
+/* 10119 */   MCD_OPC_CheckPredicate, 6, 160, 12, // Skip to: 13355
+/* 10123 */   MCD_OPC_Decode, 160, 5, 164, 1, // Opcode: FCLASS_D
+/* 10128 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 10141
+/* 10132 */   MCD_OPC_CheckPredicate, 6, 147, 12, // Skip to: 13355
+/* 10136 */   MCD_OPC_Decode, 175, 6, 163, 1, // Opcode: FTRUNC_S_W
+/* 10141 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 10154
+/* 10145 */   MCD_OPC_CheckPredicate, 6, 134, 12, // Skip to: 13355
+/* 10149 */   MCD_OPC_Decode, 174, 6, 164, 1, // Opcode: FTRUNC_S_D
+/* 10154 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10167
+/* 10158 */   MCD_OPC_CheckPredicate, 6, 121, 12, // Skip to: 13355
+/* 10162 */   MCD_OPC_Decode, 177, 6, 163, 1, // Opcode: FTRUNC_U_W
+/* 10167 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 10180
+/* 10171 */   MCD_OPC_CheckPredicate, 6, 108, 12, // Skip to: 13355
+/* 10175 */   MCD_OPC_Decode, 176, 6, 164, 1, // Opcode: FTRUNC_U_D
+/* 10180 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 10193
+/* 10184 */   MCD_OPC_CheckPredicate, 6, 95, 12, // Skip to: 13355
+/* 10188 */   MCD_OPC_Decode, 150, 6, 163, 1, // Opcode: FSQRT_W
+/* 10193 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 10206
+/* 10197 */   MCD_OPC_CheckPredicate, 6, 82, 12, // Skip to: 13355
+/* 10201 */   MCD_OPC_Decode, 144, 6, 164, 1, // Opcode: FSQRT_D
+/* 10206 */   MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 10219
+/* 10210 */   MCD_OPC_CheckPredicate, 6, 69, 12, // Skip to: 13355
+/* 10214 */   MCD_OPC_Decode, 131, 6, 163, 1, // Opcode: FRSQRT_W
+/* 10219 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 10232
+/* 10223 */   MCD_OPC_CheckPredicate, 6, 56, 12, // Skip to: 13355
+/* 10227 */   MCD_OPC_Decode, 130, 6, 164, 1, // Opcode: FRSQRT_D
+/* 10232 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 10245
+/* 10236 */   MCD_OPC_CheckPredicate, 6, 43, 12, // Skip to: 13355
+/* 10240 */   MCD_OPC_Decode, 255, 5, 163, 1, // Opcode: FRCP_W
+/* 10245 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 10258
+/* 10249 */   MCD_OPC_CheckPredicate, 6, 30, 12, // Skip to: 13355
+/* 10253 */   MCD_OPC_Decode, 254, 5, 164, 1, // Opcode: FRCP_D
+/* 10258 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 10271
+/* 10262 */   MCD_OPC_CheckPredicate, 6, 17, 12, // Skip to: 13355
+/* 10266 */   MCD_OPC_Decode, 129, 6, 163, 1, // Opcode: FRINT_W
+/* 10271 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 10284
+/* 10275 */   MCD_OPC_CheckPredicate, 6, 4, 12, // Skip to: 13355
+/* 10279 */   MCD_OPC_Decode, 128, 6, 164, 1, // Opcode: FRINT_D
+/* 10284 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 10297
+/* 10288 */   MCD_OPC_CheckPredicate, 6, 247, 11, // Skip to: 13355
+/* 10292 */   MCD_OPC_Decode, 217, 5, 163, 1, // Opcode: FLOG2_W
+/* 10297 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 10310
+/* 10301 */   MCD_OPC_CheckPredicate, 6, 234, 11, // Skip to: 13355
+/* 10305 */   MCD_OPC_Decode, 216, 5, 164, 1, // Opcode: FLOG2_D
+/* 10310 */   MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 10323
+/* 10314 */   MCD_OPC_CheckPredicate, 6, 221, 11, // Skip to: 13355
+/* 10318 */   MCD_OPC_Decode, 199, 5, 165, 1, // Opcode: FEXUPL_W
+/* 10323 */   MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 10336
+/* 10327 */   MCD_OPC_CheckPredicate, 6, 208, 11, // Skip to: 13355
+/* 10331 */   MCD_OPC_Decode, 198, 5, 166, 1, // Opcode: FEXUPL_D
+/* 10336 */   MCD_OPC_FilterValue, 18, 9, 0, // Skip to: 10349
+/* 10340 */   MCD_OPC_CheckPredicate, 6, 195, 11, // Skip to: 13355
+/* 10344 */   MCD_OPC_Decode, 201, 5, 165, 1, // Opcode: FEXUPR_W
+/* 10349 */   MCD_OPC_FilterValue, 19, 9, 0, // Skip to: 10362
+/* 10353 */   MCD_OPC_CheckPredicate, 6, 182, 11, // Skip to: 13355
+/* 10357 */   MCD_OPC_Decode, 200, 5, 166, 1, // Opcode: FEXUPR_D
+/* 10362 */   MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 10375
+/* 10366 */   MCD_OPC_CheckPredicate, 6, 169, 11, // Skip to: 13355
+/* 10370 */   MCD_OPC_Decode, 207, 5, 165, 1, // Opcode: FFQL_W
+/* 10375 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 10388
+/* 10379 */   MCD_OPC_CheckPredicate, 6, 156, 11, // Skip to: 13355
+/* 10383 */   MCD_OPC_Decode, 206, 5, 166, 1, // Opcode: FFQL_D
+/* 10388 */   MCD_OPC_FilterValue, 22, 9, 0, // Skip to: 10401
+/* 10392 */   MCD_OPC_CheckPredicate, 6, 143, 11, // Skip to: 13355
+/* 10396 */   MCD_OPC_Decode, 209, 5, 165, 1, // Opcode: FFQR_W
+/* 10401 */   MCD_OPC_FilterValue, 23, 9, 0, // Skip to: 10414
+/* 10405 */   MCD_OPC_CheckPredicate, 6, 130, 11, // Skip to: 13355
+/* 10409 */   MCD_OPC_Decode, 208, 5, 166, 1, // Opcode: FFQR_D
+/* 10414 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 10427
+/* 10418 */   MCD_OPC_CheckPredicate, 6, 117, 11, // Skip to: 13355
+/* 10422 */   MCD_OPC_Decode, 169, 6, 163, 1, // Opcode: FTINT_S_W
+/* 10427 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 10440
+/* 10431 */   MCD_OPC_CheckPredicate, 6, 104, 11, // Skip to: 13355
+/* 10435 */   MCD_OPC_Decode, 168, 6, 164, 1, // Opcode: FTINT_S_D
+/* 10440 */   MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 10453
+/* 10444 */   MCD_OPC_CheckPredicate, 6, 91, 11, // Skip to: 13355
+/* 10448 */   MCD_OPC_Decode, 171, 6, 163, 1, // Opcode: FTINT_U_W
+/* 10453 */   MCD_OPC_FilterValue, 27, 9, 0, // Skip to: 10466
+/* 10457 */   MCD_OPC_CheckPredicate, 6, 78, 11, // Skip to: 13355
+/* 10461 */   MCD_OPC_Decode, 170, 6, 164, 1, // Opcode: FTINT_U_D
+/* 10466 */   MCD_OPC_FilterValue, 28, 9, 0, // Skip to: 10479
+/* 10470 */   MCD_OPC_CheckPredicate, 6, 65, 11, // Skip to: 13355
+/* 10474 */   MCD_OPC_Decode, 203, 5, 163, 1, // Opcode: FFINT_S_W
+/* 10479 */   MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 10492
+/* 10483 */   MCD_OPC_CheckPredicate, 6, 52, 11, // Skip to: 13355
+/* 10487 */   MCD_OPC_Decode, 202, 5, 164, 1, // Opcode: FFINT_S_D
+/* 10492 */   MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 10505
+/* 10496 */   MCD_OPC_CheckPredicate, 6, 39, 11, // Skip to: 13355
+/* 10500 */   MCD_OPC_Decode, 205, 5, 163, 1, // Opcode: FFINT_U_W
+/* 10505 */   MCD_OPC_FilterValue, 31, 30, 11, // Skip to: 13355
+/* 10509 */   MCD_OPC_CheckPredicate, 6, 26, 11, // Skip to: 13355
+/* 10513 */   MCD_OPC_Decode, 204, 5, 164, 1, // Opcode: FFINT_U_D
+/* 10518 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 10531
+/* 10522 */   MCD_OPC_CheckPredicate, 6, 13, 11, // Skip to: 13355
+/* 10526 */   MCD_OPC_Decode, 149, 7, 167, 1, // Opcode: LD_B
+/* 10531 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 10544
+/* 10535 */   MCD_OPC_CheckPredicate, 6, 0, 11, // Skip to: 13355
+/* 10539 */   MCD_OPC_Decode, 151, 7, 167, 1, // Opcode: LD_H
+/* 10544 */   MCD_OPC_FilterValue, 34, 9, 0, // Skip to: 10557
+/* 10548 */   MCD_OPC_CheckPredicate, 6, 243, 10, // Skip to: 13355
+/* 10552 */   MCD_OPC_Decode, 152, 7, 167, 1, // Opcode: LD_W
+/* 10557 */   MCD_OPC_FilterValue, 35, 9, 0, // Skip to: 10570
+/* 10561 */   MCD_OPC_CheckPredicate, 6, 230, 10, // Skip to: 13355
+/* 10565 */   MCD_OPC_Decode, 150, 7, 167, 1, // Opcode: LD_D
+/* 10570 */   MCD_OPC_FilterValue, 36, 9, 0, // Skip to: 10583
+/* 10574 */   MCD_OPC_CheckPredicate, 6, 217, 10, // Skip to: 13355
+/* 10578 */   MCD_OPC_Decode, 248, 11, 167, 1, // Opcode: ST_B
+/* 10583 */   MCD_OPC_FilterValue, 37, 9, 0, // Skip to: 10596
+/* 10587 */   MCD_OPC_CheckPredicate, 6, 204, 10, // Skip to: 13355
+/* 10591 */   MCD_OPC_Decode, 250, 11, 167, 1, // Opcode: ST_H
+/* 10596 */   MCD_OPC_FilterValue, 38, 9, 0, // Skip to: 10609
+/* 10600 */   MCD_OPC_CheckPredicate, 6, 191, 10, // Skip to: 13355
+/* 10604 */   MCD_OPC_Decode, 251, 11, 167, 1, // Opcode: ST_W
+/* 10609 */   MCD_OPC_FilterValue, 39, 182, 10, // Skip to: 13355
+/* 10613 */   MCD_OPC_CheckPredicate, 6, 178, 10, // Skip to: 13355
+/* 10617 */   MCD_OPC_Decode, 249, 11, 167, 1, // Opcode: ST_D
+/* 10622 */   MCD_OPC_FilterValue, 31, 113, 9, // Skip to: 13043
+/* 10626 */   MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 10629 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10642
+/* 10633 */   MCD_OPC_CheckPredicate, 4, 158, 10, // Skip to: 13355
+/* 10637 */   MCD_OPC_Decode, 252, 4, 168, 1, // Opcode: EXT
+/* 10642 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10655
+/* 10646 */   MCD_OPC_CheckPredicate, 4, 145, 10, // Skip to: 13355
+/* 10650 */   MCD_OPC_Decode, 207, 6, 169, 1, // Opcode: INS
+/* 10655 */   MCD_OPC_FilterValue, 10, 42, 0, // Skip to: 10701
+/* 10659 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 10662 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10675
+/* 10666 */   MCD_OPC_CheckPredicate, 11, 125, 10, // Skip to: 13355
+/* 10670 */   MCD_OPC_Decode, 199, 7, 170, 1, // Opcode: LWX
+/* 10675 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10688
+/* 10679 */   MCD_OPC_CheckPredicate, 11, 112, 10, // Skip to: 13355
+/* 10683 */   MCD_OPC_Decode, 158, 7, 170, 1, // Opcode: LHX
+/* 10688 */   MCD_OPC_FilterValue, 6, 103, 10, // Skip to: 13355
+/* 10692 */   MCD_OPC_CheckPredicate, 11, 99, 10, // Skip to: 13355
+/* 10696 */   MCD_OPC_Decode, 128, 7, 170, 1, // Opcode: LBUX
+/* 10701 */   MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 10720
+/* 10705 */   MCD_OPC_CheckPredicate, 11, 86, 10, // Skip to: 13355
+/* 10709 */   MCD_OPC_CheckField, 6, 10, 0, 80, 10, // Skip to: 13355
+/* 10715 */   MCD_OPC_Decode, 220, 6, 171, 1, // Opcode: INSV
+/* 10720 */   MCD_OPC_FilterValue, 16, 51, 1, // Skip to: 11031
+/* 10724 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 10727 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10739
+/* 10731 */   MCD_OPC_CheckPredicate, 11, 60, 10, // Skip to: 13355
+/* 10735 */   MCD_OPC_Decode, 48, 172, 1, // Opcode: ADDU_QB
+/* 10739 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 10752
+/* 10743 */   MCD_OPC_CheckPredicate, 11, 48, 10, // Skip to: 13355
+/* 10747 */   MCD_OPC_Decode, 151, 12, 172, 1, // Opcode: SUBU_QB
+/* 10752 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 10764
+/* 10756 */   MCD_OPC_CheckPredicate, 11, 35, 10, // Skip to: 13355
+/* 10760 */   MCD_OPC_Decode, 50, 172, 1, // Opcode: ADDU_S_QB
+/* 10764 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 10777
+/* 10768 */   MCD_OPC_CheckPredicate, 11, 23, 10, // Skip to: 13355
+/* 10772 */   MCD_OPC_Decode, 153, 12, 172, 1, // Opcode: SUBU_S_QB
+/* 10777 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 10790
+/* 10781 */   MCD_OPC_CheckPredicate, 11, 10, 10, // Skip to: 13355
+/* 10785 */   MCD_OPC_Decode, 157, 9, 172, 1, // Opcode: MULEU_S_PH_QBL
+/* 10790 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 10803
+/* 10794 */   MCD_OPC_CheckPredicate, 11, 253, 9, // Skip to: 13355
+/* 10798 */   MCD_OPC_Decode, 158, 9, 172, 1, // Opcode: MULEU_S_PH_QBR
+/* 10803 */   MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 10815
+/* 10807 */   MCD_OPC_CheckPredicate, 29, 240, 9, // Skip to: 13355
+/* 10811 */   MCD_OPC_Decode, 47, 172, 1, // Opcode: ADDU_PH
+/* 10815 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 10828
+/* 10819 */   MCD_OPC_CheckPredicate, 29, 228, 9, // Skip to: 13355
+/* 10823 */   MCD_OPC_Decode, 150, 12, 172, 1, // Opcode: SUBU_PH
+/* 10828 */   MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 10840
+/* 10832 */   MCD_OPC_CheckPredicate, 11, 215, 9, // Skip to: 13355
+/* 10836 */   MCD_OPC_Decode, 29, 172, 1, // Opcode: ADDQ_PH
+/* 10840 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 10853
+/* 10844 */   MCD_OPC_CheckPredicate, 11, 203, 9, // Skip to: 13355
+/* 10848 */   MCD_OPC_Decode, 129, 12, 172, 1, // Opcode: SUBQ_PH
+/* 10853 */   MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 10865
+/* 10857 */   MCD_OPC_CheckPredicate, 29, 190, 9, // Skip to: 13355
+/* 10861 */   MCD_OPC_Decode, 49, 172, 1, // Opcode: ADDU_S_PH
+/* 10865 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 10878
+/* 10869 */   MCD_OPC_CheckPredicate, 29, 178, 9, // Skip to: 13355
+/* 10873 */   MCD_OPC_Decode, 152, 12, 172, 1, // Opcode: SUBU_S_PH
+/* 10878 */   MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 10890
+/* 10882 */   MCD_OPC_CheckPredicate, 11, 165, 9, // Skip to: 13355
+/* 10886 */   MCD_OPC_Decode, 30, 172, 1, // Opcode: ADDQ_S_PH
+/* 10890 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 10903
+/* 10894 */   MCD_OPC_CheckPredicate, 11, 153, 9, // Skip to: 13355
+/* 10898 */   MCD_OPC_Decode, 130, 12, 172, 1, // Opcode: SUBQ_S_PH
+/* 10903 */   MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 10914
+/* 10907 */   MCD_OPC_CheckPredicate, 11, 140, 9, // Skip to: 13355
+/* 10911 */   MCD_OPC_Decode, 32, 17, // Opcode: ADDSC
+/* 10914 */   MCD_OPC_FilterValue, 17, 7, 0, // Skip to: 10925
+/* 10918 */   MCD_OPC_CheckPredicate, 11, 129, 9, // Skip to: 13355
+/* 10922 */   MCD_OPC_Decode, 59, 17, // Opcode: ADDWC
+/* 10925 */   MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 10937
+/* 10929 */   MCD_OPC_CheckPredicate, 11, 118, 9, // Skip to: 13355
+/* 10933 */   MCD_OPC_Decode, 185, 8, 17, // Opcode: MODSUB
+/* 10937 */   MCD_OPC_FilterValue, 20, 15, 0, // Skip to: 10956
+/* 10941 */   MCD_OPC_CheckPredicate, 11, 106, 9, // Skip to: 13355
+/* 10945 */   MCD_OPC_CheckField, 16, 5, 0, 100, 9, // Skip to: 13355
+/* 10951 */   MCD_OPC_Decode, 178, 10, 173, 1, // Opcode: RADDU_W_QB
+/* 10956 */   MCD_OPC_FilterValue, 22, 7, 0, // Skip to: 10967
+/* 10960 */   MCD_OPC_CheckPredicate, 11, 87, 9, // Skip to: 13355
+/* 10964 */   MCD_OPC_Decode, 31, 17, // Opcode: ADDQ_S_W
+/* 10967 */   MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 10979
+/* 10971 */   MCD_OPC_CheckPredicate, 11, 76, 9, // Skip to: 13355
+/* 10975 */   MCD_OPC_Decode, 131, 12, 17, // Opcode: SUBQ_S_W
+/* 10979 */   MCD_OPC_FilterValue, 28, 9, 0, // Skip to: 10992
+/* 10983 */   MCD_OPC_CheckPredicate, 11, 64, 9, // Skip to: 13355
+/* 10987 */   MCD_OPC_Decode, 155, 9, 174, 1, // Opcode: MULEQ_S_W_PHL
+/* 10992 */   MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 11005
+/* 10996 */   MCD_OPC_CheckPredicate, 11, 51, 9, // Skip to: 13355
+/* 11000 */   MCD_OPC_Decode, 156, 9, 174, 1, // Opcode: MULEQ_S_W_PHR
+/* 11005 */   MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 11018
+/* 11009 */   MCD_OPC_CheckPredicate, 29, 38, 9, // Skip to: 13355
+/* 11013 */   MCD_OPC_Decode, 161, 9, 172, 1, // Opcode: MULQ_S_PH
+/* 11018 */   MCD_OPC_FilterValue, 31, 29, 9, // Skip to: 13355
+/* 11022 */   MCD_OPC_CheckPredicate, 11, 25, 9, // Skip to: 13355
+/* 11026 */   MCD_OPC_Decode, 159, 9, 172, 1, // Opcode: MULQ_RS_PH
+/* 11031 */   MCD_OPC_FilterValue, 17, 69, 1, // Skip to: 11360
+/* 11035 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 11038 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 11057
+/* 11042 */   MCD_OPC_CheckPredicate, 11, 5, 9, // Skip to: 13355
+/* 11046 */   MCD_OPC_CheckField, 11, 5, 0, 255, 8, // Skip to: 13355
+/* 11052 */   MCD_OPC_Decode, 141, 3, 175, 1, // Opcode: CMPU_EQ_QB
+/* 11057 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 11076
+/* 11061 */   MCD_OPC_CheckPredicate, 11, 242, 8, // Skip to: 13355
+/* 11065 */   MCD_OPC_CheckField, 11, 5, 0, 236, 8, // Skip to: 13355
+/* 11071 */   MCD_OPC_Decode, 143, 3, 175, 1, // Opcode: CMPU_LT_QB
+/* 11076 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 11095
+/* 11080 */   MCD_OPC_CheckPredicate, 11, 223, 8, // Skip to: 13355
+/* 11084 */   MCD_OPC_CheckField, 11, 5, 0, 217, 8, // Skip to: 13355
+/* 11090 */   MCD_OPC_Decode, 142, 3, 175, 1, // Opcode: CMPU_LE_QB
+/* 11095 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 11108
+/* 11099 */   MCD_OPC_CheckPredicate, 11, 204, 8, // Skip to: 13355
+/* 11103 */   MCD_OPC_Decode, 248, 9, 172, 1, // Opcode: PICK_QB
+/* 11108 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 11121
+/* 11112 */   MCD_OPC_CheckPredicate, 11, 191, 8, // Skip to: 13355
+/* 11116 */   MCD_OPC_Decode, 138, 3, 174, 1, // Opcode: CMPGU_EQ_QB
+/* 11121 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 11134
+/* 11125 */   MCD_OPC_CheckPredicate, 11, 178, 8, // Skip to: 13355
+/* 11129 */   MCD_OPC_Decode, 140, 3, 174, 1, // Opcode: CMPGU_LT_QB
+/* 11134 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 11147
+/* 11138 */   MCD_OPC_CheckPredicate, 11, 165, 8, // Skip to: 13355
+/* 11142 */   MCD_OPC_Decode, 139, 3, 174, 1, // Opcode: CMPGU_LE_QB
+/* 11147 */   MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 11166
+/* 11151 */   MCD_OPC_CheckPredicate, 11, 152, 8, // Skip to: 13355
+/* 11155 */   MCD_OPC_CheckField, 11, 5, 0, 146, 8, // Skip to: 13355
+/* 11161 */   MCD_OPC_Decode, 145, 3, 175, 1, // Opcode: CMP_EQ_PH
+/* 11166 */   MCD_OPC_FilterValue, 9, 15, 0, // Skip to: 11185
+/* 11170 */   MCD_OPC_CheckPredicate, 11, 133, 8, // Skip to: 13355
+/* 11174 */   MCD_OPC_CheckField, 11, 5, 0, 127, 8, // Skip to: 13355
+/* 11180 */   MCD_OPC_Decode, 153, 3, 175, 1, // Opcode: CMP_LT_PH
+/* 11185 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 11204
+/* 11189 */   MCD_OPC_CheckPredicate, 11, 114, 8, // Skip to: 13355
+/* 11193 */   MCD_OPC_CheckField, 11, 5, 0, 108, 8, // Skip to: 13355
+/* 11199 */   MCD_OPC_Decode, 150, 3, 175, 1, // Opcode: CMP_LE_PH
+/* 11204 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 11217
+/* 11208 */   MCD_OPC_CheckPredicate, 11, 95, 8, // Skip to: 13355
+/* 11212 */   MCD_OPC_Decode, 247, 9, 172, 1, // Opcode: PICK_PH
+/* 11217 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 11230
+/* 11221 */   MCD_OPC_CheckPredicate, 11, 82, 8, // Skip to: 13355
+/* 11225 */   MCD_OPC_Decode, 134, 10, 172, 1, // Opcode: PRECRQ_QB_PH
+/* 11230 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 11243
+/* 11234 */   MCD_OPC_CheckPredicate, 29, 69, 8, // Skip to: 13355
+/* 11238 */   MCD_OPC_Decode, 136, 10, 172, 1, // Opcode: PRECR_QB_PH
+/* 11243 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 11256
+/* 11247 */   MCD_OPC_CheckPredicate, 11, 56, 8, // Skip to: 13355
+/* 11251 */   MCD_OPC_Decode, 233, 9, 172, 1, // Opcode: PACKRL_PH
+/* 11256 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 11269
+/* 11260 */   MCD_OPC_CheckPredicate, 11, 43, 8, // Skip to: 13355
+/* 11264 */   MCD_OPC_Decode, 132, 10, 172, 1, // Opcode: PRECRQU_S_QB_PH
+/* 11269 */   MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 11282
+/* 11273 */   MCD_OPC_CheckPredicate, 11, 30, 8, // Skip to: 13355
+/* 11277 */   MCD_OPC_Decode, 133, 10, 176, 1, // Opcode: PRECRQ_PH_W
+/* 11282 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 11295
+/* 11286 */   MCD_OPC_CheckPredicate, 11, 17, 8, // Skip to: 13355
+/* 11290 */   MCD_OPC_Decode, 135, 10, 176, 1, // Opcode: PRECRQ_RS_PH_W
+/* 11295 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 11308
+/* 11299 */   MCD_OPC_CheckPredicate, 29, 4, 8, // Skip to: 13355
+/* 11303 */   MCD_OPC_Decode, 135, 3, 174, 1, // Opcode: CMPGDU_EQ_QB
+/* 11308 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 11321
+/* 11312 */   MCD_OPC_CheckPredicate, 29, 247, 7, // Skip to: 13355
+/* 11316 */   MCD_OPC_Decode, 137, 3, 174, 1, // Opcode: CMPGDU_LT_QB
+/* 11321 */   MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 11334
+/* 11325 */   MCD_OPC_CheckPredicate, 29, 234, 7, // Skip to: 13355
+/* 11329 */   MCD_OPC_Decode, 136, 3, 174, 1, // Opcode: CMPGDU_LE_QB
+/* 11334 */   MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 11347
+/* 11338 */   MCD_OPC_CheckPredicate, 29, 221, 7, // Skip to: 13355
+/* 11342 */   MCD_OPC_Decode, 137, 10, 177, 1, // Opcode: PRECR_SRA_PH_W
+/* 11347 */   MCD_OPC_FilterValue, 31, 212, 7, // Skip to: 13355
+/* 11351 */   MCD_OPC_CheckPredicate, 29, 208, 7, // Skip to: 13355
+/* 11355 */   MCD_OPC_Decode, 138, 10, 177, 1, // Opcode: PRECR_SRA_R_PH_W
+/* 11360 */   MCD_OPC_FilterValue, 18, 74, 1, // Skip to: 11694
+/* 11364 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 11367 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 11385
+/* 11371 */   MCD_OPC_CheckPredicate, 29, 188, 7, // Skip to: 13355
+/* 11375 */   MCD_OPC_CheckField, 21, 5, 0, 182, 7, // Skip to: 13355
+/* 11381 */   MCD_OPC_Decode, 21, 178, 1, // Opcode: ABSQ_S_QB
+/* 11385 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 11398
+/* 11389 */   MCD_OPC_CheckPredicate, 11, 170, 7, // Skip to: 13355
+/* 11393 */   MCD_OPC_Decode, 185, 10, 179, 1, // Opcode: REPL_QB
+/* 11398 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 11417
+/* 11402 */   MCD_OPC_CheckPredicate, 11, 157, 7, // Skip to: 13355
+/* 11406 */   MCD_OPC_CheckField, 21, 5, 0, 151, 7, // Skip to: 13355
+/* 11412 */   MCD_OPC_Decode, 183, 10, 180, 1, // Opcode: REPLV_QB
+/* 11417 */   MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 11436
+/* 11421 */   MCD_OPC_CheckPredicate, 11, 138, 7, // Skip to: 13355
+/* 11425 */   MCD_OPC_CheckField, 21, 5, 0, 132, 7, // Skip to: 13355
+/* 11431 */   MCD_OPC_Decode, 250, 9, 178, 1, // Opcode: PRECEQU_PH_QBL
+/* 11436 */   MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 11455
+/* 11440 */   MCD_OPC_CheckPredicate, 11, 119, 7, // Skip to: 13355
+/* 11444 */   MCD_OPC_CheckField, 21, 5, 0, 113, 7, // Skip to: 13355
+/* 11450 */   MCD_OPC_Decode, 252, 9, 178, 1, // Opcode: PRECEQU_PH_QBR
+/* 11455 */   MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 11474
+/* 11459 */   MCD_OPC_CheckPredicate, 11, 100, 7, // Skip to: 13355
+/* 11463 */   MCD_OPC_CheckField, 21, 5, 0, 94, 7, // Skip to: 13355
+/* 11469 */   MCD_OPC_Decode, 251, 9, 178, 1, // Opcode: PRECEQU_PH_QBLA
+/* 11474 */   MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 11493
+/* 11478 */   MCD_OPC_CheckPredicate, 11, 81, 7, // Skip to: 13355
+/* 11482 */   MCD_OPC_CheckField, 21, 5, 0, 75, 7, // Skip to: 13355
+/* 11488 */   MCD_OPC_Decode, 253, 9, 178, 1, // Opcode: PRECEQU_PH_QBRA
+/* 11493 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 11511
+/* 11497 */   MCD_OPC_CheckPredicate, 11, 62, 7, // Skip to: 13355
+/* 11501 */   MCD_OPC_CheckField, 21, 5, 0, 56, 7, // Skip to: 13355
+/* 11507 */   MCD_OPC_Decode, 20, 178, 1, // Opcode: ABSQ_S_PH
+/* 11511 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 11524
+/* 11515 */   MCD_OPC_CheckPredicate, 11, 44, 7, // Skip to: 13355
+/* 11519 */   MCD_OPC_Decode, 184, 10, 179, 1, // Opcode: REPL_PH
+/* 11524 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 11543
+/* 11528 */   MCD_OPC_CheckPredicate, 11, 31, 7, // Skip to: 13355
+/* 11532 */   MCD_OPC_CheckField, 21, 5, 0, 25, 7, // Skip to: 13355
+/* 11538 */   MCD_OPC_Decode, 182, 10, 180, 1, // Opcode: REPLV_PH
+/* 11543 */   MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 11562
+/* 11547 */   MCD_OPC_CheckPredicate, 11, 12, 7, // Skip to: 13355
+/* 11551 */   MCD_OPC_CheckField, 21, 5, 0, 6, 7, // Skip to: 13355
+/* 11557 */   MCD_OPC_Decode, 254, 9, 181, 1, // Opcode: PRECEQ_W_PHL
+/* 11562 */   MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 11581
+/* 11566 */   MCD_OPC_CheckPredicate, 11, 249, 6, // Skip to: 13355
+/* 11570 */   MCD_OPC_CheckField, 21, 5, 0, 243, 6, // Skip to: 13355
+/* 11576 */   MCD_OPC_Decode, 255, 9, 181, 1, // Opcode: PRECEQ_W_PHR
+/* 11581 */   MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 11599
+/* 11585 */   MCD_OPC_CheckPredicate, 11, 230, 6, // Skip to: 13355
+/* 11589 */   MCD_OPC_CheckField, 21, 5, 0, 224, 6, // Skip to: 13355
+/* 11595 */   MCD_OPC_Decode, 22, 182, 1, // Opcode: ABSQ_S_W
+/* 11599 */   MCD_OPC_FilterValue, 27, 15, 0, // Skip to: 11618
+/* 11603 */   MCD_OPC_CheckPredicate, 11, 212, 6, // Skip to: 13355
+/* 11607 */   MCD_OPC_CheckField, 21, 5, 0, 206, 6, // Skip to: 13355
+/* 11613 */   MCD_OPC_Decode, 233, 1, 182, 1, // Opcode: BITREV
+/* 11618 */   MCD_OPC_FilterValue, 28, 15, 0, // Skip to: 11637
+/* 11622 */   MCD_OPC_CheckPredicate, 11, 193, 6, // Skip to: 13355
+/* 11626 */   MCD_OPC_CheckField, 21, 5, 0, 187, 6, // Skip to: 13355
+/* 11632 */   MCD_OPC_Decode, 128, 10, 178, 1, // Opcode: PRECEU_PH_QBL
+/* 11637 */   MCD_OPC_FilterValue, 29, 15, 0, // Skip to: 11656
+/* 11641 */   MCD_OPC_CheckPredicate, 11, 174, 6, // Skip to: 13355
+/* 11645 */   MCD_OPC_CheckField, 21, 5, 0, 168, 6, // Skip to: 13355
+/* 11651 */   MCD_OPC_Decode, 130, 10, 178, 1, // Opcode: PRECEU_PH_QBR
+/* 11656 */   MCD_OPC_FilterValue, 30, 15, 0, // Skip to: 11675
+/* 11660 */   MCD_OPC_CheckPredicate, 11, 155, 6, // Skip to: 13355
+/* 11664 */   MCD_OPC_CheckField, 21, 5, 0, 149, 6, // Skip to: 13355
+/* 11670 */   MCD_OPC_Decode, 129, 10, 178, 1, // Opcode: PRECEU_PH_QBLA
+/* 11675 */   MCD_OPC_FilterValue, 31, 140, 6, // Skip to: 13355
+/* 11679 */   MCD_OPC_CheckPredicate, 11, 136, 6, // Skip to: 13355
+/* 11683 */   MCD_OPC_CheckField, 21, 5, 0, 130, 6, // Skip to: 13355
+/* 11689 */   MCD_OPC_Decode, 131, 10, 178, 1, // Opcode: PRECEU_PH_QBRA
+/* 11694 */   MCD_OPC_FilterValue, 19, 31, 1, // Skip to: 11985
+/* 11698 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 11701 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 11714
+/* 11705 */   MCD_OPC_CheckPredicate, 11, 110, 6, // Skip to: 13355
+/* 11709 */   MCD_OPC_Decode, 136, 11, 183, 1, // Opcode: SHLL_QB
+/* 11714 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 11727
+/* 11718 */   MCD_OPC_CheckPredicate, 11, 97, 6, // Skip to: 13355
+/* 11722 */   MCD_OPC_Decode, 152, 11, 183, 1, // Opcode: SHRL_QB
+/* 11727 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 11740
+/* 11731 */   MCD_OPC_CheckPredicate, 11, 84, 6, // Skip to: 13355
+/* 11735 */   MCD_OPC_Decode, 132, 11, 184, 1, // Opcode: SHLLV_QB
+/* 11740 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 11753
+/* 11744 */   MCD_OPC_CheckPredicate, 11, 71, 6, // Skip to: 13355
+/* 11748 */   MCD_OPC_Decode, 150, 11, 184, 1, // Opcode: SHRLV_QB
+/* 11753 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 11766
+/* 11757 */   MCD_OPC_CheckPredicate, 29, 58, 6, // Skip to: 13355
+/* 11761 */   MCD_OPC_Decode, 145, 11, 183, 1, // Opcode: SHRA_QB
+/* 11766 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 11779
+/* 11770 */   MCD_OPC_CheckPredicate, 29, 45, 6, // Skip to: 13355
+/* 11774 */   MCD_OPC_Decode, 147, 11, 183, 1, // Opcode: SHRA_R_QB
+/* 11779 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 11792
+/* 11783 */   MCD_OPC_CheckPredicate, 29, 32, 6, // Skip to: 13355
+/* 11787 */   MCD_OPC_Decode, 140, 11, 184, 1, // Opcode: SHRAV_QB
+/* 11792 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 11805
+/* 11796 */   MCD_OPC_CheckPredicate, 29, 19, 6, // Skip to: 13355
+/* 11800 */   MCD_OPC_Decode, 142, 11, 184, 1, // Opcode: SHRAV_R_QB
+/* 11805 */   MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 11818
+/* 11809 */   MCD_OPC_CheckPredicate, 11, 6, 6, // Skip to: 13355
+/* 11813 */   MCD_OPC_Decode, 135, 11, 183, 1, // Opcode: SHLL_PH
+/* 11818 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 11831
+/* 11822 */   MCD_OPC_CheckPredicate, 11, 249, 5, // Skip to: 13355
+/* 11826 */   MCD_OPC_Decode, 144, 11, 183, 1, // Opcode: SHRA_PH
+/* 11831 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 11844
+/* 11835 */   MCD_OPC_CheckPredicate, 11, 236, 5, // Skip to: 13355
+/* 11839 */   MCD_OPC_Decode, 131, 11, 184, 1, // Opcode: SHLLV_PH
+/* 11844 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 11857
+/* 11848 */   MCD_OPC_CheckPredicate, 11, 223, 5, // Skip to: 13355
+/* 11852 */   MCD_OPC_Decode, 139, 11, 184, 1, // Opcode: SHRAV_PH
+/* 11857 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 11870
+/* 11861 */   MCD_OPC_CheckPredicate, 11, 210, 5, // Skip to: 13355
+/* 11865 */   MCD_OPC_Decode, 137, 11, 183, 1, // Opcode: SHLL_S_PH
+/* 11870 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 11883
+/* 11874 */   MCD_OPC_CheckPredicate, 11, 197, 5, // Skip to: 13355
+/* 11878 */   MCD_OPC_Decode, 146, 11, 183, 1, // Opcode: SHRA_R_PH
+/* 11883 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 11896
+/* 11887 */   MCD_OPC_CheckPredicate, 11, 184, 5, // Skip to: 13355
+/* 11891 */   MCD_OPC_Decode, 133, 11, 184, 1, // Opcode: SHLLV_S_PH
+/* 11896 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 11909
+/* 11900 */   MCD_OPC_CheckPredicate, 11, 171, 5, // Skip to: 13355
+/* 11904 */   MCD_OPC_Decode, 141, 11, 184, 1, // Opcode: SHRAV_R_PH
+/* 11909 */   MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 11922
+/* 11913 */   MCD_OPC_CheckPredicate, 11, 158, 5, // Skip to: 13355
+/* 11917 */   MCD_OPC_Decode, 138, 11, 185, 1, // Opcode: SHLL_S_W
+/* 11922 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 11935
+/* 11926 */   MCD_OPC_CheckPredicate, 11, 145, 5, // Skip to: 13355
+/* 11930 */   MCD_OPC_Decode, 148, 11, 185, 1, // Opcode: SHRA_R_W
+/* 11935 */   MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 11947
+/* 11939 */   MCD_OPC_CheckPredicate, 11, 132, 5, // Skip to: 13355
+/* 11943 */   MCD_OPC_Decode, 134, 11, 18, // Opcode: SHLLV_S_W
+/* 11947 */   MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 11959
+/* 11951 */   MCD_OPC_CheckPredicate, 11, 120, 5, // Skip to: 13355
+/* 11955 */   MCD_OPC_Decode, 143, 11, 18, // Opcode: SHRAV_R_W
+/* 11959 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 11972
+/* 11963 */   MCD_OPC_CheckPredicate, 29, 108, 5, // Skip to: 13355
+/* 11967 */   MCD_OPC_Decode, 151, 11, 183, 1, // Opcode: SHRL_PH
+/* 11972 */   MCD_OPC_FilterValue, 27, 99, 5, // Skip to: 13355
+/* 11976 */   MCD_OPC_CheckPredicate, 29, 95, 5, // Skip to: 13355
+/* 11980 */   MCD_OPC_Decode, 149, 11, 184, 1, // Opcode: SHRLV_PH
+/* 11985 */   MCD_OPC_FilterValue, 24, 199, 0, // Skip to: 12188
+/* 11989 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 11992 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12004
+/* 11996 */   MCD_OPC_CheckPredicate, 29, 75, 5, // Skip to: 13355
+/* 12000 */   MCD_OPC_Decode, 45, 172, 1, // Opcode: ADDUH_QB
+/* 12004 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 12017
+/* 12008 */   MCD_OPC_CheckPredicate, 29, 63, 5, // Skip to: 13355
+/* 12012 */   MCD_OPC_Decode, 148, 12, 172, 1, // Opcode: SUBUH_QB
+/* 12017 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 12029
+/* 12021 */   MCD_OPC_CheckPredicate, 29, 50, 5, // Skip to: 13355
+/* 12025 */   MCD_OPC_Decode, 46, 172, 1, // Opcode: ADDUH_R_QB
+/* 12029 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 12042
+/* 12033 */   MCD_OPC_CheckPredicate, 29, 38, 5, // Skip to: 13355
+/* 12037 */   MCD_OPC_Decode, 149, 12, 172, 1, // Opcode: SUBUH_R_QB
+/* 12042 */   MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 12054
+/* 12046 */   MCD_OPC_CheckPredicate, 29, 25, 5, // Skip to: 13355
+/* 12050 */   MCD_OPC_Decode, 25, 172, 1, // Opcode: ADDQH_PH
+/* 12054 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 12067
+/* 12058 */   MCD_OPC_CheckPredicate, 29, 13, 5, // Skip to: 13355
+/* 12062 */   MCD_OPC_Decode, 253, 11, 172, 1, // Opcode: SUBQH_PH
+/* 12067 */   MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 12079
+/* 12071 */   MCD_OPC_CheckPredicate, 29, 0, 5, // Skip to: 13355
+/* 12075 */   MCD_OPC_Decode, 26, 172, 1, // Opcode: ADDQH_R_PH
+/* 12079 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 12092
+/* 12083 */   MCD_OPC_CheckPredicate, 29, 244, 4, // Skip to: 13355
+/* 12087 */   MCD_OPC_Decode, 254, 11, 172, 1, // Opcode: SUBQH_R_PH
+/* 12092 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 12105
+/* 12096 */   MCD_OPC_CheckPredicate, 29, 231, 4, // Skip to: 13355
+/* 12100 */   MCD_OPC_Decode, 179, 9, 172, 1, // Opcode: MUL_PH
+/* 12105 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 12118
+/* 12109 */   MCD_OPC_CheckPredicate, 29, 218, 4, // Skip to: 13355
+/* 12113 */   MCD_OPC_Decode, 183, 9, 172, 1, // Opcode: MUL_S_PH
+/* 12118 */   MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 12129
+/* 12122 */   MCD_OPC_CheckPredicate, 29, 205, 4, // Skip to: 13355
+/* 12126 */   MCD_OPC_Decode, 28, 17, // Opcode: ADDQH_W
+/* 12129 */   MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 12141
+/* 12133 */   MCD_OPC_CheckPredicate, 29, 194, 4, // Skip to: 13355
+/* 12137 */   MCD_OPC_Decode, 128, 12, 17, // Opcode: SUBQH_W
+/* 12141 */   MCD_OPC_FilterValue, 18, 7, 0, // Skip to: 12152
+/* 12145 */   MCD_OPC_CheckPredicate, 29, 182, 4, // Skip to: 13355
+/* 12149 */   MCD_OPC_Decode, 27, 17, // Opcode: ADDQH_R_W
+/* 12152 */   MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 12164
+/* 12156 */   MCD_OPC_CheckPredicate, 29, 171, 4, // Skip to: 13355
+/* 12160 */   MCD_OPC_Decode, 255, 11, 17, // Opcode: SUBQH_R_W
+/* 12164 */   MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 12176
+/* 12168 */   MCD_OPC_CheckPredicate, 29, 159, 4, // Skip to: 13355
+/* 12172 */   MCD_OPC_Decode, 162, 9, 17, // Opcode: MULQ_S_W
+/* 12176 */   MCD_OPC_FilterValue, 23, 151, 4, // Skip to: 13355
+/* 12180 */   MCD_OPC_CheckPredicate, 29, 147, 4, // Skip to: 13355
+/* 12184 */   MCD_OPC_Decode, 160, 9, 17, // Opcode: MULQ_RS_W
+/* 12188 */   MCD_OPC_FilterValue, 32, 60, 0, // Skip to: 12252
+/* 12192 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 12195 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 12214
+/* 12199 */   MCD_OPC_CheckPredicate, 4, 128, 4, // Skip to: 13355
+/* 12203 */   MCD_OPC_CheckField, 21, 5, 0, 122, 4, // Skip to: 13355
+/* 12209 */   MCD_OPC_Decode, 160, 13, 182, 1, // Opcode: WSBH
+/* 12214 */   MCD_OPC_FilterValue, 16, 15, 0, // Skip to: 12233
+/* 12218 */   MCD_OPC_CheckPredicate, 4, 109, 4, // Skip to: 13355
+/* 12222 */   MCD_OPC_CheckField, 21, 5, 0, 103, 4, // Skip to: 13355
+/* 12228 */   MCD_OPC_Decode, 234, 10, 182, 1, // Opcode: SEB
+/* 12233 */   MCD_OPC_FilterValue, 24, 94, 4, // Skip to: 13355
+/* 12237 */   MCD_OPC_CheckPredicate, 4, 90, 4, // Skip to: 13355
+/* 12241 */   MCD_OPC_CheckField, 21, 5, 0, 84, 4, // Skip to: 13355
+/* 12247 */   MCD_OPC_Decode, 237, 10, 182, 1, // Opcode: SEH
+/* 12252 */   MCD_OPC_FilterValue, 48, 143, 1, // Skip to: 12655
+/* 12256 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 12259 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 12277
+/* 12263 */   MCD_OPC_CheckPredicate, 29, 64, 4, // Skip to: 13355
+/* 12267 */   MCD_OPC_CheckField, 13, 3, 0, 58, 4, // Skip to: 13355
+/* 12273 */   MCD_OPC_Decode, 210, 4, 93, // Opcode: DPA_W_PH
+/* 12277 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 12295
+/* 12281 */   MCD_OPC_CheckPredicate, 29, 46, 4, // Skip to: 13355
+/* 12285 */   MCD_OPC_CheckField, 13, 3, 0, 40, 4, // Skip to: 13355
+/* 12291 */   MCD_OPC_Decode, 225, 4, 93, // Opcode: DPS_W_PH
+/* 12295 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 12313
+/* 12299 */   MCD_OPC_CheckPredicate, 29, 28, 4, // Skip to: 13355
+/* 12303 */   MCD_OPC_CheckField, 13, 3, 0, 22, 4, // Skip to: 13355
+/* 12309 */   MCD_OPC_Decode, 166, 9, 93, // Opcode: MULSA_W_PH
+/* 12313 */   MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 12331
+/* 12317 */   MCD_OPC_CheckPredicate, 11, 10, 4, // Skip to: 13355
+/* 12321 */   MCD_OPC_CheckField, 13, 3, 0, 4, 4, // Skip to: 13355
+/* 12327 */   MCD_OPC_Decode, 207, 4, 93, // Opcode: DPAU_H_QBL
+/* 12331 */   MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 12349
+/* 12335 */   MCD_OPC_CheckPredicate, 11, 248, 3, // Skip to: 13355
+/* 12339 */   MCD_OPC_CheckField, 13, 3, 0, 242, 3, // Skip to: 13355
+/* 12345 */   MCD_OPC_Decode, 206, 4, 93, // Opcode: DPAQ_S_W_PH
+/* 12349 */   MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 12367
+/* 12353 */   MCD_OPC_CheckPredicate, 11, 230, 3, // Skip to: 13355
+/* 12357 */   MCD_OPC_CheckField, 13, 3, 0, 224, 3, // Skip to: 13355
+/* 12363 */   MCD_OPC_Decode, 215, 4, 93, // Opcode: DPSQ_S_W_PH
+/* 12367 */   MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 12385
+/* 12371 */   MCD_OPC_CheckPredicate, 11, 212, 3, // Skip to: 13355
+/* 12375 */   MCD_OPC_CheckField, 13, 3, 0, 206, 3, // Skip to: 13355
+/* 12381 */   MCD_OPC_Decode, 165, 9, 93, // Opcode: MULSAQ_S_W_PH
+/* 12385 */   MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 12403
+/* 12389 */   MCD_OPC_CheckPredicate, 11, 194, 3, // Skip to: 13355
+/* 12393 */   MCD_OPC_CheckField, 13, 3, 0, 188, 3, // Skip to: 13355
+/* 12399 */   MCD_OPC_Decode, 208, 4, 93, // Opcode: DPAU_H_QBR
+/* 12403 */   MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 12421
+/* 12407 */   MCD_OPC_CheckPredicate, 29, 176, 3, // Skip to: 13355
+/* 12411 */   MCD_OPC_CheckField, 13, 3, 0, 170, 3, // Skip to: 13355
+/* 12417 */   MCD_OPC_Decode, 209, 4, 93, // Opcode: DPAX_W_PH
+/* 12421 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 12439
+/* 12425 */   MCD_OPC_CheckPredicate, 29, 158, 3, // Skip to: 13355
+/* 12429 */   MCD_OPC_CheckField, 13, 3, 0, 152, 3, // Skip to: 13355
+/* 12435 */   MCD_OPC_Decode, 224, 4, 93, // Opcode: DPSX_W_PH
+/* 12439 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 12457
+/* 12443 */   MCD_OPC_CheckPredicate, 11, 140, 3, // Skip to: 13355
+/* 12447 */   MCD_OPC_CheckField, 13, 3, 0, 134, 3, // Skip to: 13355
+/* 12453 */   MCD_OPC_Decode, 222, 4, 93, // Opcode: DPSU_H_QBL
+/* 12457 */   MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 12475
+/* 12461 */   MCD_OPC_CheckPredicate, 11, 122, 3, // Skip to: 13355
+/* 12465 */   MCD_OPC_CheckField, 13, 3, 0, 116, 3, // Skip to: 13355
+/* 12471 */   MCD_OPC_Decode, 205, 4, 93, // Opcode: DPAQ_SA_L_W
+/* 12475 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 12493
+/* 12479 */   MCD_OPC_CheckPredicate, 11, 104, 3, // Skip to: 13355
+/* 12483 */   MCD_OPC_CheckField, 13, 3, 0, 98, 3, // Skip to: 13355
+/* 12489 */   MCD_OPC_Decode, 214, 4, 93, // Opcode: DPSQ_SA_L_W
+/* 12493 */   MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 12511
+/* 12497 */   MCD_OPC_CheckPredicate, 11, 86, 3, // Skip to: 13355
+/* 12501 */   MCD_OPC_CheckField, 13, 3, 0, 80, 3, // Skip to: 13355
+/* 12507 */   MCD_OPC_Decode, 223, 4, 93, // Opcode: DPSU_H_QBR
+/* 12511 */   MCD_OPC_FilterValue, 16, 14, 0, // Skip to: 12529
+/* 12515 */   MCD_OPC_CheckPredicate, 11, 68, 3, // Skip to: 13355
+/* 12519 */   MCD_OPC_CheckField, 13, 3, 0, 62, 3, // Skip to: 13355
+/* 12525 */   MCD_OPC_Decode, 241, 7, 93, // Opcode: MAQ_SA_W_PHL
+/* 12529 */   MCD_OPC_FilterValue, 18, 14, 0, // Skip to: 12547
+/* 12533 */   MCD_OPC_CheckPredicate, 11, 50, 3, // Skip to: 13355
+/* 12537 */   MCD_OPC_CheckField, 13, 3, 0, 44, 3, // Skip to: 13355
+/* 12543 */   MCD_OPC_Decode, 242, 7, 93, // Opcode: MAQ_SA_W_PHR
+/* 12547 */   MCD_OPC_FilterValue, 20, 14, 0, // Skip to: 12565
+/* 12551 */   MCD_OPC_CheckPredicate, 11, 32, 3, // Skip to: 13355
+/* 12555 */   MCD_OPC_CheckField, 13, 3, 0, 26, 3, // Skip to: 13355
+/* 12561 */   MCD_OPC_Decode, 243, 7, 93, // Opcode: MAQ_S_W_PHL
+/* 12565 */   MCD_OPC_FilterValue, 22, 14, 0, // Skip to: 12583
+/* 12569 */   MCD_OPC_CheckPredicate, 11, 14, 3, // Skip to: 13355
+/* 12573 */   MCD_OPC_CheckField, 13, 3, 0, 8, 3, // Skip to: 13355
+/* 12579 */   MCD_OPC_Decode, 244, 7, 93, // Opcode: MAQ_S_W_PHR
+/* 12583 */   MCD_OPC_FilterValue, 24, 14, 0, // Skip to: 12601
+/* 12587 */   MCD_OPC_CheckPredicate, 29, 252, 2, // Skip to: 13355
+/* 12591 */   MCD_OPC_CheckField, 13, 3, 0, 246, 2, // Skip to: 13355
+/* 12597 */   MCD_OPC_Decode, 204, 4, 93, // Opcode: DPAQX_S_W_PH
+/* 12601 */   MCD_OPC_FilterValue, 25, 14, 0, // Skip to: 12619
+/* 12605 */   MCD_OPC_CheckPredicate, 29, 234, 2, // Skip to: 13355
+/* 12609 */   MCD_OPC_CheckField, 13, 3, 0, 228, 2, // Skip to: 13355
+/* 12615 */   MCD_OPC_Decode, 213, 4, 93, // Opcode: DPSQX_S_W_PH
+/* 12619 */   MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 12637
+/* 12623 */   MCD_OPC_CheckPredicate, 29, 216, 2, // Skip to: 13355
+/* 12627 */   MCD_OPC_CheckField, 13, 3, 0, 210, 2, // Skip to: 13355
+/* 12633 */   MCD_OPC_Decode, 203, 4, 93, // Opcode: DPAQX_SA_W_PH
+/* 12637 */   MCD_OPC_FilterValue, 27, 202, 2, // Skip to: 13355
+/* 12641 */   MCD_OPC_CheckPredicate, 29, 198, 2, // Skip to: 13355
+/* 12645 */   MCD_OPC_CheckField, 13, 3, 0, 192, 2, // Skip to: 13355
+/* 12651 */   MCD_OPC_Decode, 212, 4, 93, // Opcode: DPSQX_SA_W_PH
+/* 12655 */   MCD_OPC_FilterValue, 49, 41, 0, // Skip to: 12700
+/* 12659 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 12662 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12674
+/* 12666 */   MCD_OPC_CheckPredicate, 29, 173, 2, // Skip to: 13355
+/* 12670 */   MCD_OPC_Decode, 86, 186, 1, // Opcode: APPEND
+/* 12674 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 12687
+/* 12678 */   MCD_OPC_CheckPredicate, 29, 161, 2, // Skip to: 13355
+/* 12682 */   MCD_OPC_Decode, 141, 10, 186, 1, // Opcode: PREPEND
+/* 12687 */   MCD_OPC_FilterValue, 16, 152, 2, // Skip to: 13355
+/* 12691 */   MCD_OPC_CheckPredicate, 29, 148, 2, // Skip to: 13355
+/* 12695 */   MCD_OPC_Decode, 158, 1, 186, 1, // Opcode: BALIGN
+/* 12700 */   MCD_OPC_FilterValue, 56, 58, 1, // Skip to: 13018
+/* 12704 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 12707 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 12726
+/* 12711 */   MCD_OPC_CheckPredicate, 11, 128, 2, // Skip to: 13355
+/* 12715 */   MCD_OPC_CheckField, 13, 3, 0, 122, 2, // Skip to: 13355
+/* 12721 */   MCD_OPC_Decode, 136, 5, 187, 1, // Opcode: EXTR_W
+/* 12726 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 12745
+/* 12730 */   MCD_OPC_CheckPredicate, 11, 109, 2, // Skip to: 13355
+/* 12734 */   MCD_OPC_CheckField, 13, 3, 0, 103, 2, // Skip to: 13355
+/* 12740 */   MCD_OPC_Decode, 132, 5, 188, 1, // Opcode: EXTRV_W
+/* 12745 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 12764
+/* 12749 */   MCD_OPC_CheckPredicate, 11, 90, 2, // Skip to: 13355
+/* 12753 */   MCD_OPC_CheckField, 13, 3, 0, 84, 2, // Skip to: 13355
+/* 12759 */   MCD_OPC_Decode, 253, 4, 187, 1, // Opcode: EXTP
+/* 12764 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 12783
+/* 12768 */   MCD_OPC_CheckPredicate, 11, 71, 2, // Skip to: 13355
+/* 12772 */   MCD_OPC_CheckField, 13, 3, 0, 65, 2, // Skip to: 13355
+/* 12778 */   MCD_OPC_Decode, 128, 5, 188, 1, // Opcode: EXTPV
+/* 12783 */   MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 12802
+/* 12787 */   MCD_OPC_CheckPredicate, 11, 52, 2, // Skip to: 13355
+/* 12791 */   MCD_OPC_CheckField, 13, 3, 0, 46, 2, // Skip to: 13355
+/* 12797 */   MCD_OPC_Decode, 134, 5, 187, 1, // Opcode: EXTR_R_W
+/* 12802 */   MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 12821
+/* 12806 */   MCD_OPC_CheckPredicate, 11, 33, 2, // Skip to: 13355
+/* 12810 */   MCD_OPC_CheckField, 13, 3, 0, 27, 2, // Skip to: 13355
+/* 12816 */   MCD_OPC_Decode, 130, 5, 188, 1, // Opcode: EXTRV_R_W
+/* 12821 */   MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 12840
+/* 12825 */   MCD_OPC_CheckPredicate, 11, 14, 2, // Skip to: 13355
+/* 12829 */   MCD_OPC_CheckField, 13, 3, 0, 8, 2, // Skip to: 13355
+/* 12835 */   MCD_OPC_Decode, 133, 5, 187, 1, // Opcode: EXTR_RS_W
+/* 12840 */   MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 12859
+/* 12844 */   MCD_OPC_CheckPredicate, 11, 251, 1, // Skip to: 13355
+/* 12848 */   MCD_OPC_CheckField, 13, 3, 0, 245, 1, // Skip to: 13355
+/* 12854 */   MCD_OPC_Decode, 129, 5, 188, 1, // Opcode: EXTRV_RS_W
+/* 12859 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 12878
+/* 12863 */   MCD_OPC_CheckPredicate, 11, 232, 1, // Skip to: 13355
+/* 12867 */   MCD_OPC_CheckField, 13, 3, 0, 226, 1, // Skip to: 13355
+/* 12873 */   MCD_OPC_Decode, 254, 4, 187, 1, // Opcode: EXTPDP
+/* 12878 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 12897
+/* 12882 */   MCD_OPC_CheckPredicate, 11, 213, 1, // Skip to: 13355
+/* 12886 */   MCD_OPC_CheckField, 13, 3, 0, 207, 1, // Skip to: 13355
+/* 12892 */   MCD_OPC_Decode, 255, 4, 188, 1, // Opcode: EXTPDPV
+/* 12897 */   MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 12916
+/* 12901 */   MCD_OPC_CheckPredicate, 11, 194, 1, // Skip to: 13355
+/* 12905 */   MCD_OPC_CheckField, 13, 3, 0, 188, 1, // Skip to: 13355
+/* 12911 */   MCD_OPC_Decode, 135, 5, 187, 1, // Opcode: EXTR_S_H
+/* 12916 */   MCD_OPC_FilterValue, 15, 15, 0, // Skip to: 12935
+/* 12920 */   MCD_OPC_CheckPredicate, 11, 175, 1, // Skip to: 13355
+/* 12924 */   MCD_OPC_CheckField, 13, 3, 0, 169, 1, // Skip to: 13355
+/* 12930 */   MCD_OPC_Decode, 131, 5, 188, 1, // Opcode: EXTRV_S_H
+/* 12935 */   MCD_OPC_FilterValue, 18, 9, 0, // Skip to: 12948
+/* 12939 */   MCD_OPC_CheckPredicate, 11, 156, 1, // Skip to: 13355
+/* 12943 */   MCD_OPC_Decode, 179, 10, 189, 1, // Opcode: RDDSP
+/* 12948 */   MCD_OPC_FilterValue, 19, 9, 0, // Skip to: 12961
+/* 12952 */   MCD_OPC_CheckPredicate, 11, 143, 1, // Skip to: 13355
+/* 12956 */   MCD_OPC_Decode, 159, 13, 190, 1, // Opcode: WRDSP
+/* 12961 */   MCD_OPC_FilterValue, 26, 15, 0, // Skip to: 12980
+/* 12965 */   MCD_OPC_CheckPredicate, 11, 130, 1, // Skip to: 13355
+/* 12969 */   MCD_OPC_CheckField, 13, 7, 0, 124, 1, // Skip to: 13355
+/* 12975 */   MCD_OPC_Decode, 129, 11, 191, 1, // Opcode: SHILO
+/* 12980 */   MCD_OPC_FilterValue, 27, 15, 0, // Skip to: 12999
+/* 12984 */   MCD_OPC_CheckPredicate, 11, 111, 1, // Skip to: 13355
+/* 12988 */   MCD_OPC_CheckField, 13, 8, 0, 105, 1, // Skip to: 13355
+/* 12994 */   MCD_OPC_Decode, 130, 11, 192, 1, // Opcode: SHILOV
+/* 12999 */   MCD_OPC_FilterValue, 31, 96, 1, // Skip to: 13355
+/* 13003 */   MCD_OPC_CheckPredicate, 11, 92, 1, // Skip to: 13355
+/* 13007 */   MCD_OPC_CheckField, 13, 8, 0, 86, 1, // Skip to: 13355
+/* 13013 */   MCD_OPC_Decode, 141, 9, 192, 1, // Opcode: MTHLIP
+/* 13018 */   MCD_OPC_FilterValue, 59, 77, 1, // Skip to: 13355
+/* 13022 */   MCD_OPC_CheckPredicate, 1, 73, 1, // Skip to: 13355
+/* 13026 */   MCD_OPC_CheckField, 21, 5, 0, 67, 1, // Skip to: 13355
+/* 13032 */   MCD_OPC_CheckField, 6, 5, 0, 61, 1, // Skip to: 13355
+/* 13038 */   MCD_OPC_Decode, 180, 10, 193, 1, // Opcode: RDHWR
+/* 13043 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 13056
+/* 13047 */   MCD_OPC_CheckPredicate, 1, 48, 1, // Skip to: 13355
+/* 13051 */   MCD_OPC_Decode, 254, 6, 194, 1, // Opcode: LB
+/* 13056 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 13069
+/* 13060 */   MCD_OPC_CheckPredicate, 1, 35, 1, // Skip to: 13355
+/* 13064 */   MCD_OPC_Decode, 156, 7, 194, 1, // Opcode: LH
+/* 13069 */   MCD_OPC_FilterValue, 34, 9, 0, // Skip to: 13082
+/* 13073 */   MCD_OPC_CheckPredicate, 10, 22, 1, // Skip to: 13355
+/* 13077 */   MCD_OPC_Decode, 190, 7, 194, 1, // Opcode: LWL
+/* 13082 */   MCD_OPC_FilterValue, 35, 9, 0, // Skip to: 13095
+/* 13086 */   MCD_OPC_CheckPredicate, 1, 9, 1, // Skip to: 13355
+/* 13090 */   MCD_OPC_Decode, 183, 7, 194, 1, // Opcode: LW
+/* 13095 */   MCD_OPC_FilterValue, 36, 9, 0, // Skip to: 13108
+/* 13099 */   MCD_OPC_CheckPredicate, 1, 252, 0, // Skip to: 13355
+/* 13103 */   MCD_OPC_Decode, 130, 7, 194, 1, // Opcode: LBu
+/* 13108 */   MCD_OPC_FilterValue, 37, 9, 0, // Skip to: 13121
+/* 13112 */   MCD_OPC_CheckPredicate, 1, 239, 0, // Skip to: 13355
+/* 13116 */   MCD_OPC_Decode, 160, 7, 194, 1, // Opcode: LHu
+/* 13121 */   MCD_OPC_FilterValue, 38, 9, 0, // Skip to: 13134
+/* 13125 */   MCD_OPC_CheckPredicate, 10, 226, 0, // Skip to: 13355
+/* 13129 */   MCD_OPC_Decode, 194, 7, 194, 1, // Opcode: LWR
+/* 13134 */   MCD_OPC_FilterValue, 40, 9, 0, // Skip to: 13147
+/* 13138 */   MCD_OPC_CheckPredicate, 1, 213, 0, // Skip to: 13355
+/* 13142 */   MCD_OPC_Decode, 211, 10, 194, 1, // Opcode: SB
+/* 13147 */   MCD_OPC_FilterValue, 41, 9, 0, // Skip to: 13160
+/* 13151 */   MCD_OPC_CheckPredicate, 1, 200, 0, // Skip to: 13355
+/* 13155 */   MCD_OPC_Decode, 252, 10, 194, 1, // Opcode: SH
+/* 13160 */   MCD_OPC_FilterValue, 42, 9, 0, // Skip to: 13173
+/* 13164 */   MCD_OPC_CheckPredicate, 10, 187, 0, // Skip to: 13355
+/* 13168 */   MCD_OPC_Decode, 175, 12, 194, 1, // Opcode: SWL
+/* 13173 */   MCD_OPC_FilterValue, 43, 9, 0, // Skip to: 13186
+/* 13177 */   MCD_OPC_CheckPredicate, 1, 174, 0, // Skip to: 13355
+/* 13181 */   MCD_OPC_Decode, 168, 12, 194, 1, // Opcode: SW
+/* 13186 */   MCD_OPC_FilterValue, 46, 9, 0, // Skip to: 13199
+/* 13190 */   MCD_OPC_CheckPredicate, 10, 161, 0, // Skip to: 13355
+/* 13194 */   MCD_OPC_Decode, 178, 12, 194, 1, // Opcode: SWR
+/* 13199 */   MCD_OPC_FilterValue, 47, 9, 0, // Skip to: 13212
+/* 13203 */   MCD_OPC_CheckPredicate, 30, 148, 0, // Skip to: 13355
+/* 13207 */   MCD_OPC_Decode, 201, 2, 195, 1, // Opcode: CACHE
+/* 13212 */   MCD_OPC_FilterValue, 48, 9, 0, // Skip to: 13225
+/* 13216 */   MCD_OPC_CheckPredicate, 31, 135, 0, // Skip to: 13355
+/* 13220 */   MCD_OPC_Decode, 163, 7, 194, 1, // Opcode: LL
+/* 13225 */   MCD_OPC_FilterValue, 49, 9, 0, // Skip to: 13238
+/* 13229 */   MCD_OPC_CheckPredicate, 1, 122, 0, // Skip to: 13355
+/* 13233 */   MCD_OPC_Decode, 185, 7, 196, 1, // Opcode: LWC1
+/* 13238 */   MCD_OPC_FilterValue, 50, 9, 0, // Skip to: 13251
+/* 13242 */   MCD_OPC_CheckPredicate, 12, 109, 0, // Skip to: 13355
+/* 13246 */   MCD_OPC_Decode, 187, 7, 197, 1, // Opcode: LWC2
+/* 13251 */   MCD_OPC_FilterValue, 51, 9, 0, // Skip to: 13264
+/* 13255 */   MCD_OPC_CheckPredicate, 30, 96, 0, // Skip to: 13355
+/* 13259 */   MCD_OPC_Decode, 139, 10, 195, 1, // Opcode: PREF
+/* 13264 */   MCD_OPC_FilterValue, 53, 9, 0, // Skip to: 13277
+/* 13268 */   MCD_OPC_CheckPredicate, 32, 83, 0, // Skip to: 13355
+/* 13272 */   MCD_OPC_Decode, 134, 7, 196, 1, // Opcode: LDC1
+/* 13277 */   MCD_OPC_FilterValue, 54, 9, 0, // Skip to: 13290
+/* 13281 */   MCD_OPC_CheckPredicate, 14, 70, 0, // Skip to: 13355
+/* 13285 */   MCD_OPC_Decode, 137, 7, 197, 1, // Opcode: LDC2
+/* 13290 */   MCD_OPC_FilterValue, 56, 9, 0, // Skip to: 13303
+/* 13294 */   MCD_OPC_CheckPredicate, 31, 57, 0, // Skip to: 13355
+/* 13298 */   MCD_OPC_Decode, 214, 10, 194, 1, // Opcode: SC
+/* 13303 */   MCD_OPC_FilterValue, 57, 9, 0, // Skip to: 13316
+/* 13307 */   MCD_OPC_CheckPredicate, 1, 44, 0, // Skip to: 13355
+/* 13311 */   MCD_OPC_Decode, 170, 12, 196, 1, // Opcode: SWC1
+/* 13316 */   MCD_OPC_FilterValue, 58, 9, 0, // Skip to: 13329
+/* 13320 */   MCD_OPC_CheckPredicate, 12, 31, 0, // Skip to: 13355
+/* 13324 */   MCD_OPC_Decode, 172, 12, 197, 1, // Opcode: SWC2
+/* 13329 */   MCD_OPC_FilterValue, 61, 9, 0, // Skip to: 13342
+/* 13333 */   MCD_OPC_CheckPredicate, 32, 18, 0, // Skip to: 13355
+/* 13337 */   MCD_OPC_Decode, 222, 10, 196, 1, // Opcode: SDC1
+/* 13342 */   MCD_OPC_FilterValue, 62, 9, 0, // Skip to: 13355
+/* 13346 */   MCD_OPC_CheckPredicate, 14, 5, 0, // Skip to: 13355
+/* 13350 */   MCD_OPC_Decode, 225, 10, 197, 1, // Opcode: SDC2
+/* 13355 */   MCD_OPC_Fail,
   0
 };
 
@@ -3545,348 +3639,348 @@
 /* 10 */      MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 29
 /* 14 */      MCD_OPC_CheckPredicate, 33, 38, 7, // Skip to: 1848
 /* 18 */      MCD_OPC_CheckField, 8, 3, 0, 32, 7, // Skip to: 1848
-/* 24 */      MCD_OPC_Decode, 147, 7, 194, 1, // Opcode: LSA_R6
+/* 24 */      MCD_OPC_Decode, 176, 7, 198, 1, // Opcode: LSA_R6
 /* 29 */      MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 47
 /* 33 */      MCD_OPC_CheckPredicate, 33, 19, 7, // Skip to: 1848
 /* 37 */      MCD_OPC_CheckField, 6, 15, 16, 13, 7, // Skip to: 1848
-/* 43 */      MCD_OPC_Decode, 216, 6, 38, // Opcode: JR_HB_R6
+/* 43 */      MCD_OPC_Decode, 245, 6, 39, // Opcode: JR_HB_R6
 /* 47 */      MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 59
 /* 51 */      MCD_OPC_CheckPredicate, 33, 1, 7, // Skip to: 1848
-/* 55 */      MCD_OPC_Decode, 192, 10, 41, // Opcode: SDBBP_R6
+/* 55 */      MCD_OPC_Decode, 221, 10, 42, // Opcode: SDBBP_R6
 /* 59 */      MCD_OPC_FilterValue, 16, 20, 0, // Skip to: 83
 /* 63 */      MCD_OPC_CheckPredicate, 33, 245, 6, // Skip to: 1848
 /* 67 */      MCD_OPC_CheckField, 16, 5, 0, 239, 6, // Skip to: 1848
 /* 73 */      MCD_OPC_CheckField, 6, 5, 1, 233, 6, // Skip to: 1848
-/* 79 */      MCD_OPC_Decode, 236, 2, 39, // Opcode: CLZ_R6
+/* 79 */      MCD_OPC_Decode, 134, 3, 40, // Opcode: CLZ_R6
 /* 83 */      MCD_OPC_FilterValue, 17, 20, 0, // Skip to: 107
 /* 87 */      MCD_OPC_CheckPredicate, 33, 221, 6, // Skip to: 1848
 /* 91 */      MCD_OPC_CheckField, 16, 5, 0, 215, 6, // Skip to: 1848
 /* 97 */      MCD_OPC_CheckField, 6, 5, 1, 209, 6, // Skip to: 1848
-/* 103 */     MCD_OPC_Decode, 217, 2, 39, // Opcode: CLO_R6
+/* 103 */     MCD_OPC_Decode, 243, 2, 40, // Opcode: CLO_R6
 /* 107 */     MCD_OPC_FilterValue, 18, 21, 0, // Skip to: 132
 /* 111 */     MCD_OPC_CheckPredicate, 34, 197, 6, // Skip to: 1848
 /* 115 */     MCD_OPC_CheckField, 16, 5, 0, 191, 6, // Skip to: 1848
 /* 121 */     MCD_OPC_CheckField, 6, 5, 1, 185, 6, // Skip to: 1848
-/* 127 */     MCD_OPC_Decode, 253, 3, 195, 1, // Opcode: DCLZ_R6
+/* 127 */     MCD_OPC_Decode, 151, 4, 199, 1, // Opcode: DCLZ_R6
 /* 132 */     MCD_OPC_FilterValue, 19, 21, 0, // Skip to: 157
 /* 136 */     MCD_OPC_CheckPredicate, 34, 172, 6, // Skip to: 1848
 /* 140 */     MCD_OPC_CheckField, 16, 5, 0, 166, 6, // Skip to: 1848
 /* 146 */     MCD_OPC_CheckField, 6, 5, 1, 160, 6, // Skip to: 1848
-/* 152 */     MCD_OPC_Decode, 251, 3, 195, 1, // Opcode: DCLO_R6
+/* 152 */     MCD_OPC_Decode, 149, 4, 199, 1, // Opcode: DCLO_R6
 /* 157 */     MCD_OPC_FilterValue, 21, 15, 0, // Skip to: 176
 /* 161 */     MCD_OPC_CheckPredicate, 34, 147, 6, // Skip to: 1848
 /* 165 */     MCD_OPC_CheckField, 8, 3, 0, 141, 6, // Skip to: 1848
-/* 171 */     MCD_OPC_Decode, 149, 4, 196, 1, // Opcode: DLSA_R6
+/* 171 */     MCD_OPC_Decode, 175, 4, 200, 1, // Opcode: DLSA_R6
 /* 176 */     MCD_OPC_FilterValue, 24, 27, 0, // Skip to: 207
 /* 180 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 183 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 195
 /* 187 */     MCD_OPC_CheckPredicate, 33, 121, 6, // Skip to: 1848
-/* 191 */     MCD_OPC_Decode, 153, 9, 16, // Opcode: MUL_R6
+/* 191 */     MCD_OPC_Decode, 182, 9, 17, // Opcode: MUL_R6
 /* 195 */     MCD_OPC_FilterValue, 3, 113, 6, // Skip to: 1848
 /* 199 */     MCD_OPC_CheckPredicate, 33, 109, 6, // Skip to: 1848
-/* 203 */     MCD_OPC_Decode, 251, 8, 16, // Opcode: MUH
+/* 203 */     MCD_OPC_Decode, 152, 9, 17, // Opcode: MUH
 /* 207 */     MCD_OPC_FilterValue, 25, 27, 0, // Skip to: 238
 /* 211 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 214 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 226
 /* 218 */     MCD_OPC_CheckPredicate, 33, 90, 6, // Skip to: 1848
-/* 222 */     MCD_OPC_Decode, 144, 9, 16, // Opcode: MULU
+/* 222 */     MCD_OPC_Decode, 173, 9, 17, // Opcode: MULU
 /* 226 */     MCD_OPC_FilterValue, 3, 82, 6, // Skip to: 1848
 /* 230 */     MCD_OPC_CheckPredicate, 33, 78, 6, // Skip to: 1848
-/* 234 */     MCD_OPC_Decode, 252, 8, 16, // Opcode: MUHU
+/* 234 */     MCD_OPC_Decode, 153, 9, 17, // Opcode: MUHU
 /* 238 */     MCD_OPC_FilterValue, 26, 27, 0, // Skip to: 269
 /* 242 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 245 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 257
 /* 249 */     MCD_OPC_CheckPredicate, 33, 59, 6, // Skip to: 1848
-/* 253 */     MCD_OPC_Decode, 137, 4, 16, // Opcode: DIV
+/* 253 */     MCD_OPC_Decode, 163, 4, 17, // Opcode: DIV
 /* 257 */     MCD_OPC_FilterValue, 3, 51, 6, // Skip to: 1848
 /* 261 */     MCD_OPC_CheckPredicate, 33, 47, 6, // Skip to: 1848
-/* 265 */     MCD_OPC_Decode, 155, 8, 16, // Opcode: MOD
+/* 265 */     MCD_OPC_Decode, 184, 8, 17, // Opcode: MOD
 /* 269 */     MCD_OPC_FilterValue, 27, 27, 0, // Skip to: 300
 /* 273 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 276 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 288
 /* 280 */     MCD_OPC_CheckPredicate, 33, 28, 6, // Skip to: 1848
-/* 284 */     MCD_OPC_Decode, 138, 4, 16, // Opcode: DIVU
+/* 284 */     MCD_OPC_Decode, 164, 4, 17, // Opcode: DIVU
 /* 288 */     MCD_OPC_FilterValue, 3, 20, 6, // Skip to: 1848
 /* 292 */     MCD_OPC_CheckPredicate, 33, 16, 6, // Skip to: 1848
-/* 296 */     MCD_OPC_Decode, 157, 8, 16, // Opcode: MODU
+/* 296 */     MCD_OPC_Decode, 186, 8, 17, // Opcode: MODU
 /* 300 */     MCD_OPC_FilterValue, 28, 29, 0, // Skip to: 333
 /* 304 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 307 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 320
 /* 311 */     MCD_OPC_CheckPredicate, 34, 253, 5, // Skip to: 1848
-/* 315 */     MCD_OPC_Decode, 164, 4, 197, 1, // Opcode: DMUL_R6
+/* 315 */     MCD_OPC_Decode, 190, 4, 201, 1, // Opcode: DMUL_R6
 /* 320 */     MCD_OPC_FilterValue, 3, 244, 5, // Skip to: 1848
 /* 324 */     MCD_OPC_CheckPredicate, 34, 240, 5, // Skip to: 1848
-/* 328 */     MCD_OPC_Decode, 158, 4, 197, 1, // Opcode: DMUH
+/* 328 */     MCD_OPC_Decode, 184, 4, 201, 1, // Opcode: DMUH
 /* 333 */     MCD_OPC_FilterValue, 29, 29, 0, // Skip to: 366
 /* 337 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 340 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 353
 /* 344 */     MCD_OPC_CheckPredicate, 34, 220, 5, // Skip to: 1848
-/* 348 */     MCD_OPC_Decode, 163, 4, 197, 1, // Opcode: DMULU
+/* 348 */     MCD_OPC_Decode, 189, 4, 201, 1, // Opcode: DMULU
 /* 353 */     MCD_OPC_FilterValue, 3, 211, 5, // Skip to: 1848
 /* 357 */     MCD_OPC_CheckPredicate, 34, 207, 5, // Skip to: 1848
-/* 361 */     MCD_OPC_Decode, 159, 4, 197, 1, // Opcode: DMUHU
+/* 361 */     MCD_OPC_Decode, 185, 4, 201, 1, // Opcode: DMUHU
 /* 366 */     MCD_OPC_FilterValue, 30, 29, 0, // Skip to: 399
 /* 370 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 373 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 386
 /* 377 */     MCD_OPC_CheckPredicate, 34, 187, 5, // Skip to: 1848
-/* 381 */     MCD_OPC_Decode, 254, 3, 197, 1, // Opcode: DDIV
+/* 381 */     MCD_OPC_Decode, 152, 4, 201, 1, // Opcode: DDIV
 /* 386 */     MCD_OPC_FilterValue, 3, 178, 5, // Skip to: 1848
 /* 390 */     MCD_OPC_CheckPredicate, 34, 174, 5, // Skip to: 1848
-/* 394 */     MCD_OPC_Decode, 153, 4, 197, 1, // Opcode: DMOD
+/* 394 */     MCD_OPC_Decode, 179, 4, 201, 1, // Opcode: DMOD
 /* 399 */     MCD_OPC_FilterValue, 31, 29, 0, // Skip to: 432
 /* 403 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 406 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 419
 /* 410 */     MCD_OPC_CheckPredicate, 34, 154, 5, // Skip to: 1848
-/* 414 */     MCD_OPC_Decode, 255, 3, 197, 1, // Opcode: DDIVU
+/* 414 */     MCD_OPC_Decode, 153, 4, 201, 1, // Opcode: DDIVU
 /* 419 */     MCD_OPC_FilterValue, 3, 145, 5, // Skip to: 1848
 /* 423 */     MCD_OPC_CheckPredicate, 34, 141, 5, // Skip to: 1848
-/* 427 */     MCD_OPC_Decode, 154, 4, 197, 1, // Opcode: DMODU
+/* 427 */     MCD_OPC_Decode, 180, 4, 201, 1, // Opcode: DMODU
 /* 432 */     MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 450
 /* 436 */     MCD_OPC_CheckPredicate, 35, 128, 5, // Skip to: 1848
 /* 440 */     MCD_OPC_CheckField, 6, 5, 0, 122, 5, // Skip to: 1848
-/* 446 */     MCD_OPC_Decode, 211, 10, 16, // Opcode: SELEQZ
+/* 446 */     MCD_OPC_Decode, 240, 10, 17, // Opcode: SELEQZ
 /* 450 */     MCD_OPC_FilterValue, 55, 114, 5, // Skip to: 1848
 /* 454 */     MCD_OPC_CheckPredicate, 35, 110, 5, // Skip to: 1848
 /* 458 */     MCD_OPC_CheckField, 6, 5, 0, 104, 5, // Skip to: 1848
-/* 464 */     MCD_OPC_Decode, 215, 10, 16, // Opcode: SELNEZ
+/* 464 */     MCD_OPC_Decode, 244, 10, 17, // Opcode: SELNEZ
 /* 468 */     MCD_OPC_FilterValue, 1, 47, 0, // Skip to: 519
 /* 472 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
 /* 475 */     MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 488
 /* 479 */     MCD_OPC_CheckPredicate, 34, 85, 5, // Skip to: 1848
-/* 483 */     MCD_OPC_Decode, 245, 3, 198, 1, // Opcode: DAHI
+/* 483 */     MCD_OPC_Decode, 143, 4, 202, 1, // Opcode: DAHI
 /* 488 */     MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 506
 /* 492 */     MCD_OPC_CheckPredicate, 33, 72, 5, // Skip to: 1848
 /* 496 */     MCD_OPC_CheckField, 21, 5, 0, 66, 5, // Skip to: 1848
-/* 502 */     MCD_OPC_Decode, 156, 1, 52, // Opcode: BAL
+/* 502 */     MCD_OPC_Decode, 156, 1, 53, // Opcode: BAL
 /* 506 */     MCD_OPC_FilterValue, 30, 58, 5, // Skip to: 1848
 /* 510 */     MCD_OPC_CheckPredicate, 34, 54, 5, // Skip to: 1848
-/* 514 */     MCD_OPC_Decode, 247, 3, 198, 1, // Opcode: DATI
+/* 514 */     MCD_OPC_Decode, 145, 4, 202, 1, // Opcode: DATI
 /* 519 */     MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 532
 /* 523 */     MCD_OPC_CheckPredicate, 33, 41, 5, // Skip to: 1848
-/* 527 */     MCD_OPC_Decode, 188, 1, 199, 1, // Opcode: BGEZALC
+/* 527 */     MCD_OPC_Decode, 204, 1, 203, 1, // Opcode: BGEZALC
 /* 532 */     MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 545
 /* 536 */     MCD_OPC_CheckPredicate, 33, 28, 5, // Skip to: 1848
-/* 540 */     MCD_OPC_Decode, 225, 1, 200, 1, // Opcode: BLTZALC
+/* 540 */     MCD_OPC_Decode, 246, 1, 204, 1, // Opcode: BLTZALC
 /* 545 */     MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 558
 /* 549 */     MCD_OPC_CheckPredicate, 33, 15, 5, // Skip to: 1848
-/* 553 */     MCD_OPC_Decode, 179, 1, 201, 1, // Opcode: BEQC
+/* 553 */     MCD_OPC_Decode, 193, 1, 205, 1, // Opcode: BEQC
 /* 558 */     MCD_OPC_FilterValue, 15, 7, 0, // Skip to: 569
 /* 562 */     MCD_OPC_CheckPredicate, 33, 2, 5, // Skip to: 1848
-/* 566 */     MCD_OPC_Decode, 127, 26, // Opcode: AUI
+/* 566 */     MCD_OPC_Decode, 127, 27, // Opcode: AUI
 /* 569 */     MCD_OPC_FilterValue, 17, 5, 3, // Skip to: 1346
 /* 573 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
 /* 576 */     MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 589
 /* 580 */     MCD_OPC_CheckPredicate, 33, 240, 4, // Skip to: 1848
-/* 584 */     MCD_OPC_Decode, 161, 1, 202, 1, // Opcode: BC1EQZ
+/* 584 */     MCD_OPC_Decode, 165, 1, 206, 1, // Opcode: BC1EQZ
 /* 589 */     MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 602
 /* 593 */     MCD_OPC_CheckPredicate, 33, 227, 4, // Skip to: 1848
-/* 597 */     MCD_OPC_Decode, 164, 1, 202, 1, // Opcode: BC1NEZ
+/* 597 */     MCD_OPC_Decode, 169, 1, 206, 1, // Opcode: BC1NEZ
 /* 602 */     MCD_OPC_FilterValue, 16, 150, 0, // Skip to: 756
 /* 606 */     MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 609 */     MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 622
 /* 613 */     MCD_OPC_CheckPredicate, 33, 207, 4, // Skip to: 1848
-/* 617 */     MCD_OPC_Decode, 220, 10, 203, 1, // Opcode: SEL_S
+/* 617 */     MCD_OPC_Decode, 249, 10, 207, 1, // Opcode: SEL_S
 /* 622 */     MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 634
 /* 626 */     MCD_OPC_CheckPredicate, 33, 194, 4, // Skip to: 1848
-/* 630 */     MCD_OPC_Decode, 214, 10, 68, // Opcode: SELEQZ_S
+/* 630 */     MCD_OPC_Decode, 243, 10, 70, // Opcode: SELEQZ_S
 /* 634 */     MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 646
 /* 638 */     MCD_OPC_CheckPredicate, 33, 182, 4, // Skip to: 1848
-/* 642 */     MCD_OPC_Decode, 218, 10, 68, // Opcode: SELNEZ_S
+/* 642 */     MCD_OPC_Decode, 247, 10, 70, // Opcode: SELNEZ_S
 /* 646 */     MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 659
 /* 650 */     MCD_OPC_CheckPredicate, 33, 170, 4, // Skip to: 1848
-/* 654 */     MCD_OPC_Decode, 193, 7, 204, 1, // Opcode: MADDF_S
+/* 654 */     MCD_OPC_Decode, 222, 7, 208, 1, // Opcode: MADDF_S
 /* 659 */     MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 672
 /* 663 */     MCD_OPC_CheckPredicate, 33, 157, 4, // Skip to: 1848
-/* 667 */     MCD_OPC_Decode, 210, 8, 204, 1, // Opcode: MSUBF_S
+/* 667 */     MCD_OPC_Decode, 239, 8, 208, 1, // Opcode: MSUBF_S
 /* 672 */     MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 690
 /* 676 */     MCD_OPC_CheckPredicate, 33, 144, 4, // Skip to: 1848
 /* 680 */     MCD_OPC_CheckField, 16, 5, 0, 138, 4, // Skip to: 1848
-/* 686 */     MCD_OPC_Decode, 158, 10, 69, // Opcode: RINT_S
+/* 686 */     MCD_OPC_Decode, 187, 10, 71, // Opcode: RINT_S
 /* 690 */     MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 708
 /* 694 */     MCD_OPC_CheckPredicate, 33, 126, 4, // Skip to: 1848
 /* 698 */     MCD_OPC_CheckField, 16, 5, 0, 120, 4, // Skip to: 1848
-/* 704 */     MCD_OPC_Decode, 198, 2, 69, // Opcode: CLASS_S
+/* 704 */     MCD_OPC_Decode, 224, 2, 71, // Opcode: CLASS_S
 /* 708 */     MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 720
 /* 712 */     MCD_OPC_CheckPredicate, 33, 108, 4, // Skip to: 1848
-/* 716 */     MCD_OPC_Decode, 144, 8, 68, // Opcode: MIN_S
+/* 716 */     MCD_OPC_Decode, 173, 8, 70, // Opcode: MIN_S
 /* 720 */     MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 732
 /* 724 */     MCD_OPC_CheckPredicate, 33, 96, 4, // Skip to: 1848
-/* 728 */     MCD_OPC_Decode, 231, 7, 68, // Opcode: MAX_S
+/* 728 */     MCD_OPC_Decode, 132, 8, 70, // Opcode: MAX_S
 /* 732 */     MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 744
 /* 736 */     MCD_OPC_CheckPredicate, 33, 84, 4, // Skip to: 1848
-/* 740 */     MCD_OPC_Decode, 130, 8, 68, // Opcode: MINA_S
+/* 740 */     MCD_OPC_Decode, 159, 8, 70, // Opcode: MINA_S
 /* 744 */     MCD_OPC_FilterValue, 31, 76, 4, // Skip to: 1848
 /* 748 */     MCD_OPC_CheckPredicate, 33, 72, 4, // Skip to: 1848
-/* 752 */     MCD_OPC_Decode, 217, 7, 68, // Opcode: MAXA_S
+/* 752 */     MCD_OPC_Decode, 246, 7, 70, // Opcode: MAXA_S
 /* 756 */     MCD_OPC_FilterValue, 17, 156, 0, // Skip to: 916
 /* 760 */     MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 763 */     MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 776
 /* 767 */     MCD_OPC_CheckPredicate, 33, 53, 4, // Skip to: 1848
-/* 771 */     MCD_OPC_Decode, 219, 10, 205, 1, // Opcode: SEL_D
+/* 771 */     MCD_OPC_Decode, 248, 10, 209, 1, // Opcode: SEL_D
 /* 776 */     MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 789
 /* 780 */     MCD_OPC_CheckPredicate, 33, 40, 4, // Skip to: 1848
-/* 784 */     MCD_OPC_Decode, 213, 10, 206, 1, // Opcode: SELEQZ_D
+/* 784 */     MCD_OPC_Decode, 242, 10, 210, 1, // Opcode: SELEQZ_D
 /* 789 */     MCD_OPC_FilterValue, 23, 9, 0, // Skip to: 802
 /* 793 */     MCD_OPC_CheckPredicate, 33, 27, 4, // Skip to: 1848
-/* 797 */     MCD_OPC_Decode, 217, 10, 206, 1, // Opcode: SELNEZ_D
+/* 797 */     MCD_OPC_Decode, 246, 10, 210, 1, // Opcode: SELNEZ_D
 /* 802 */     MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 815
 /* 806 */     MCD_OPC_CheckPredicate, 33, 14, 4, // Skip to: 1848
-/* 810 */     MCD_OPC_Decode, 192, 7, 207, 1, // Opcode: MADDF_D
+/* 810 */     MCD_OPC_Decode, 221, 7, 211, 1, // Opcode: MADDF_D
 /* 815 */     MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 828
 /* 819 */     MCD_OPC_CheckPredicate, 33, 1, 4, // Skip to: 1848
-/* 823 */     MCD_OPC_Decode, 209, 8, 207, 1, // Opcode: MSUBF_D
+/* 823 */     MCD_OPC_Decode, 238, 8, 211, 1, // Opcode: MSUBF_D
 /* 828 */     MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 846
 /* 832 */     MCD_OPC_CheckPredicate, 33, 244, 3, // Skip to: 1848
 /* 836 */     MCD_OPC_CheckField, 16, 5, 0, 238, 3, // Skip to: 1848
-/* 842 */     MCD_OPC_Decode, 157, 10, 80, // Opcode: RINT_D
+/* 842 */     MCD_OPC_Decode, 186, 10, 82, // Opcode: RINT_D
 /* 846 */     MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 864
 /* 850 */     MCD_OPC_CheckPredicate, 33, 226, 3, // Skip to: 1848
 /* 854 */     MCD_OPC_CheckField, 16, 5, 0, 220, 3, // Skip to: 1848
-/* 860 */     MCD_OPC_Decode, 197, 2, 80, // Opcode: CLASS_D
+/* 860 */     MCD_OPC_Decode, 223, 2, 82, // Opcode: CLASS_D
 /* 864 */     MCD_OPC_FilterValue, 28, 9, 0, // Skip to: 877
 /* 868 */     MCD_OPC_CheckPredicate, 33, 208, 3, // Skip to: 1848
-/* 872 */     MCD_OPC_Decode, 143, 8, 206, 1, // Opcode: MIN_D
+/* 872 */     MCD_OPC_Decode, 172, 8, 210, 1, // Opcode: MIN_D
 /* 877 */     MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 890
 /* 881 */     MCD_OPC_CheckPredicate, 33, 195, 3, // Skip to: 1848
-/* 885 */     MCD_OPC_Decode, 230, 7, 206, 1, // Opcode: MAX_D
+/* 885 */     MCD_OPC_Decode, 131, 8, 210, 1, // Opcode: MAX_D
 /* 890 */     MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 903
 /* 894 */     MCD_OPC_CheckPredicate, 33, 182, 3, // Skip to: 1848
-/* 898 */     MCD_OPC_Decode, 129, 8, 206, 1, // Opcode: MINA_D
+/* 898 */     MCD_OPC_Decode, 158, 8, 210, 1, // Opcode: MINA_D
 /* 903 */     MCD_OPC_FilterValue, 31, 173, 3, // Skip to: 1848
 /* 907 */     MCD_OPC_CheckPredicate, 33, 169, 3, // Skip to: 1848
-/* 911 */     MCD_OPC_Decode, 216, 7, 206, 1, // Opcode: MAXA_D
+/* 911 */     MCD_OPC_Decode, 245, 7, 210, 1, // Opcode: MAXA_D
 /* 916 */     MCD_OPC_FilterValue, 20, 211, 0, // Skip to: 1131
 /* 920 */     MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 923 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 936
 /* 927 */     MCD_OPC_CheckPredicate, 33, 149, 3, // Skip to: 1848
-/* 931 */     MCD_OPC_Decode, 250, 2, 208, 1, // Opcode: CMP_F_S
+/* 931 */     MCD_OPC_Decode, 148, 3, 212, 1, // Opcode: CMP_F_S
 /* 936 */     MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 949
 /* 940 */     MCD_OPC_CheckPredicate, 33, 136, 3, // Skip to: 1848
-/* 944 */     MCD_OPC_Decode, 152, 3, 208, 1, // Opcode: CMP_UN_S
+/* 944 */     MCD_OPC_Decode, 178, 3, 212, 1, // Opcode: CMP_UN_S
 /* 949 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 962
 /* 953 */     MCD_OPC_CheckPredicate, 33, 123, 3, // Skip to: 1848
-/* 957 */     MCD_OPC_Decode, 248, 2, 208, 1, // Opcode: CMP_EQ_S
+/* 957 */     MCD_OPC_Decode, 146, 3, 212, 1, // Opcode: CMP_EQ_S
 /* 962 */     MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 975
 /* 966 */     MCD_OPC_CheckPredicate, 33, 110, 3, // Skip to: 1848
-/* 970 */     MCD_OPC_Decode, 146, 3, 208, 1, // Opcode: CMP_UEQ_S
+/* 970 */     MCD_OPC_Decode, 172, 3, 212, 1, // Opcode: CMP_UEQ_S
 /* 975 */     MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 988
 /* 979 */     MCD_OPC_CheckPredicate, 33, 97, 3, // Skip to: 1848
-/* 983 */     MCD_OPC_Decode, 128, 3, 208, 1, // Opcode: CMP_LT_S
+/* 983 */     MCD_OPC_Decode, 154, 3, 212, 1, // Opcode: CMP_LT_S
 /* 988 */     MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 1001
 /* 992 */     MCD_OPC_CheckPredicate, 33, 84, 3, // Skip to: 1848
-/* 996 */     MCD_OPC_Decode, 150, 3, 208, 1, // Opcode: CMP_ULT_S
+/* 996 */     MCD_OPC_Decode, 176, 3, 212, 1, // Opcode: CMP_ULT_S
 /* 1001 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 1014
 /* 1005 */    MCD_OPC_CheckPredicate, 33, 71, 3, // Skip to: 1848
-/* 1009 */    MCD_OPC_Decode, 253, 2, 208, 1, // Opcode: CMP_LE_S
+/* 1009 */    MCD_OPC_Decode, 151, 3, 212, 1, // Opcode: CMP_LE_S
 /* 1014 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 1027
 /* 1018 */    MCD_OPC_CheckPredicate, 33, 58, 3, // Skip to: 1848
-/* 1022 */    MCD_OPC_Decode, 148, 3, 208, 1, // Opcode: CMP_ULE_S
+/* 1022 */    MCD_OPC_Decode, 174, 3, 212, 1, // Opcode: CMP_ULE_S
 /* 1027 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 1040
 /* 1031 */    MCD_OPC_CheckPredicate, 33, 45, 3, // Skip to: 1848
-/* 1035 */    MCD_OPC_Decode, 130, 3, 208, 1, // Opcode: CMP_SAF_S
+/* 1035 */    MCD_OPC_Decode, 156, 3, 212, 1, // Opcode: CMP_SAF_S
 /* 1040 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 1053
 /* 1044 */    MCD_OPC_CheckPredicate, 33, 32, 3, // Skip to: 1848
-/* 1048 */    MCD_OPC_Decode, 144, 3, 208, 1, // Opcode: CMP_SUN_S
+/* 1048 */    MCD_OPC_Decode, 170, 3, 212, 1, // Opcode: CMP_SUN_S
 /* 1053 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 1066
 /* 1057 */    MCD_OPC_CheckPredicate, 33, 19, 3, // Skip to: 1848
-/* 1061 */    MCD_OPC_Decode, 132, 3, 208, 1, // Opcode: CMP_SEQ_S
+/* 1061 */    MCD_OPC_Decode, 158, 3, 212, 1, // Opcode: CMP_SEQ_S
 /* 1066 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 1079
 /* 1070 */    MCD_OPC_CheckPredicate, 33, 6, 3, // Skip to: 1848
-/* 1074 */    MCD_OPC_Decode, 138, 3, 208, 1, // Opcode: CMP_SUEQ_S
+/* 1074 */    MCD_OPC_Decode, 164, 3, 212, 1, // Opcode: CMP_SUEQ_S
 /* 1079 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 1092
 /* 1083 */    MCD_OPC_CheckPredicate, 33, 249, 2, // Skip to: 1848
-/* 1087 */    MCD_OPC_Decode, 136, 3, 208, 1, // Opcode: CMP_SLT_S
+/* 1087 */    MCD_OPC_Decode, 162, 3, 212, 1, // Opcode: CMP_SLT_S
 /* 1092 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 1105
 /* 1096 */    MCD_OPC_CheckPredicate, 33, 236, 2, // Skip to: 1848
-/* 1100 */    MCD_OPC_Decode, 142, 3, 208, 1, // Opcode: CMP_SULT_S
+/* 1100 */    MCD_OPC_Decode, 168, 3, 212, 1, // Opcode: CMP_SULT_S
 /* 1105 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 1118
 /* 1109 */    MCD_OPC_CheckPredicate, 33, 223, 2, // Skip to: 1848
-/* 1113 */    MCD_OPC_Decode, 134, 3, 208, 1, // Opcode: CMP_SLE_S
+/* 1113 */    MCD_OPC_Decode, 160, 3, 212, 1, // Opcode: CMP_SLE_S
 /* 1118 */    MCD_OPC_FilterValue, 15, 214, 2, // Skip to: 1848
 /* 1122 */    MCD_OPC_CheckPredicate, 33, 210, 2, // Skip to: 1848
-/* 1126 */    MCD_OPC_Decode, 140, 3, 208, 1, // Opcode: CMP_SULE_S
+/* 1126 */    MCD_OPC_Decode, 166, 3, 212, 1, // Opcode: CMP_SULE_S
 /* 1131 */    MCD_OPC_FilterValue, 21, 201, 2, // Skip to: 1848
 /* 1135 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 1138 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1151
 /* 1142 */    MCD_OPC_CheckPredicate, 33, 190, 2, // Skip to: 1848
-/* 1146 */    MCD_OPC_Decode, 249, 2, 209, 1, // Opcode: CMP_F_D
+/* 1146 */    MCD_OPC_Decode, 147, 3, 213, 1, // Opcode: CMP_F_D
 /* 1151 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 1164
 /* 1155 */    MCD_OPC_CheckPredicate, 33, 177, 2, // Skip to: 1848
-/* 1159 */    MCD_OPC_Decode, 151, 3, 209, 1, // Opcode: CMP_UN_D
+/* 1159 */    MCD_OPC_Decode, 177, 3, 213, 1, // Opcode: CMP_UN_D
 /* 1164 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 1177
 /* 1168 */    MCD_OPC_CheckPredicate, 33, 164, 2, // Skip to: 1848
-/* 1172 */    MCD_OPC_Decode, 246, 2, 209, 1, // Opcode: CMP_EQ_D
+/* 1172 */    MCD_OPC_Decode, 144, 3, 213, 1, // Opcode: CMP_EQ_D
 /* 1177 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 1190
 /* 1181 */    MCD_OPC_CheckPredicate, 33, 151, 2, // Skip to: 1848
-/* 1185 */    MCD_OPC_Decode, 145, 3, 209, 1, // Opcode: CMP_UEQ_D
+/* 1185 */    MCD_OPC_Decode, 171, 3, 213, 1, // Opcode: CMP_UEQ_D
 /* 1190 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 1203
 /* 1194 */    MCD_OPC_CheckPredicate, 33, 138, 2, // Skip to: 1848
-/* 1198 */    MCD_OPC_Decode, 254, 2, 209, 1, // Opcode: CMP_LT_D
+/* 1198 */    MCD_OPC_Decode, 152, 3, 213, 1, // Opcode: CMP_LT_D
 /* 1203 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 1216
 /* 1207 */    MCD_OPC_CheckPredicate, 33, 125, 2, // Skip to: 1848
-/* 1211 */    MCD_OPC_Decode, 149, 3, 209, 1, // Opcode: CMP_ULT_D
+/* 1211 */    MCD_OPC_Decode, 175, 3, 213, 1, // Opcode: CMP_ULT_D
 /* 1216 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 1229
 /* 1220 */    MCD_OPC_CheckPredicate, 33, 112, 2, // Skip to: 1848
-/* 1224 */    MCD_OPC_Decode, 251, 2, 209, 1, // Opcode: CMP_LE_D
+/* 1224 */    MCD_OPC_Decode, 149, 3, 213, 1, // Opcode: CMP_LE_D
 /* 1229 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 1242
 /* 1233 */    MCD_OPC_CheckPredicate, 33, 99, 2, // Skip to: 1848
-/* 1237 */    MCD_OPC_Decode, 147, 3, 209, 1, // Opcode: CMP_ULE_D
+/* 1237 */    MCD_OPC_Decode, 173, 3, 213, 1, // Opcode: CMP_ULE_D
 /* 1242 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 1255
 /* 1246 */    MCD_OPC_CheckPredicate, 33, 86, 2, // Skip to: 1848
-/* 1250 */    MCD_OPC_Decode, 129, 3, 209, 1, // Opcode: CMP_SAF_D
+/* 1250 */    MCD_OPC_Decode, 155, 3, 213, 1, // Opcode: CMP_SAF_D
 /* 1255 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 1268
 /* 1259 */    MCD_OPC_CheckPredicate, 33, 73, 2, // Skip to: 1848
-/* 1263 */    MCD_OPC_Decode, 143, 3, 209, 1, // Opcode: CMP_SUN_D
+/* 1263 */    MCD_OPC_Decode, 169, 3, 213, 1, // Opcode: CMP_SUN_D
 /* 1268 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 1281
 /* 1272 */    MCD_OPC_CheckPredicate, 33, 60, 2, // Skip to: 1848
-/* 1276 */    MCD_OPC_Decode, 131, 3, 209, 1, // Opcode: CMP_SEQ_D
+/* 1276 */    MCD_OPC_Decode, 157, 3, 213, 1, // Opcode: CMP_SEQ_D
 /* 1281 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 1294
 /* 1285 */    MCD_OPC_CheckPredicate, 33, 47, 2, // Skip to: 1848
-/* 1289 */    MCD_OPC_Decode, 137, 3, 209, 1, // Opcode: CMP_SUEQ_D
+/* 1289 */    MCD_OPC_Decode, 163, 3, 213, 1, // Opcode: CMP_SUEQ_D
 /* 1294 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 1307
 /* 1298 */    MCD_OPC_CheckPredicate, 33, 34, 2, // Skip to: 1848
-/* 1302 */    MCD_OPC_Decode, 135, 3, 209, 1, // Opcode: CMP_SLT_D
+/* 1302 */    MCD_OPC_Decode, 161, 3, 213, 1, // Opcode: CMP_SLT_D
 /* 1307 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 1320
 /* 1311 */    MCD_OPC_CheckPredicate, 33, 21, 2, // Skip to: 1848
-/* 1315 */    MCD_OPC_Decode, 141, 3, 209, 1, // Opcode: CMP_SULT_D
+/* 1315 */    MCD_OPC_Decode, 167, 3, 213, 1, // Opcode: CMP_SULT_D
 /* 1320 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 1333
 /* 1324 */    MCD_OPC_CheckPredicate, 33, 8, 2, // Skip to: 1848
-/* 1328 */    MCD_OPC_Decode, 133, 3, 209, 1, // Opcode: CMP_SLE_D
+/* 1328 */    MCD_OPC_Decode, 159, 3, 213, 1, // Opcode: CMP_SLE_D
 /* 1333 */    MCD_OPC_FilterValue, 15, 255, 1, // Skip to: 1848
 /* 1337 */    MCD_OPC_CheckPredicate, 33, 251, 1, // Skip to: 1848
-/* 1341 */    MCD_OPC_Decode, 139, 3, 209, 1, // Opcode: CMP_SULE_D
+/* 1341 */    MCD_OPC_Decode, 165, 3, 213, 1, // Opcode: CMP_SULE_D
 /* 1346 */    MCD_OPC_FilterValue, 18, 81, 0, // Skip to: 1431
 /* 1350 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
 /* 1353 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 1366
 /* 1357 */    MCD_OPC_CheckPredicate, 33, 231, 1, // Skip to: 1848
-/* 1361 */    MCD_OPC_Decode, 167, 1, 210, 1, // Opcode: BC2EQZ
+/* 1361 */    MCD_OPC_Decode, 173, 1, 214, 1, // Opcode: BC2EQZ
 /* 1366 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 1379
 /* 1370 */    MCD_OPC_CheckPredicate, 33, 218, 1, // Skip to: 1848
-/* 1374 */    MCD_OPC_Decode, 159, 7, 211, 1, // Opcode: LWC2_R6
+/* 1374 */    MCD_OPC_Decode, 188, 7, 215, 1, // Opcode: LWC2_R6
 /* 1379 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 1392
 /* 1383 */    MCD_OPC_CheckPredicate, 33, 205, 1, // Skip to: 1848
-/* 1387 */    MCD_OPC_Decode, 144, 12, 211, 1, // Opcode: SWC2_R6
+/* 1387 */    MCD_OPC_Decode, 173, 12, 215, 1, // Opcode: SWC2_R6
 /* 1392 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 1405
 /* 1396 */    MCD_OPC_CheckPredicate, 33, 192, 1, // Skip to: 1848
-/* 1400 */    MCD_OPC_Decode, 168, 1, 210, 1, // Opcode: BC2NEZ
+/* 1400 */    MCD_OPC_Decode, 176, 1, 214, 1, // Opcode: BC2NEZ
 /* 1405 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 1418
 /* 1409 */    MCD_OPC_CheckPredicate, 33, 179, 1, // Skip to: 1848
-/* 1413 */    MCD_OPC_Decode, 237, 6, 211, 1, // Opcode: LDC2_R6
+/* 1413 */    MCD_OPC_Decode, 138, 7, 215, 1, // Opcode: LDC2_R6
 /* 1418 */    MCD_OPC_FilterValue, 15, 170, 1, // Skip to: 1848
 /* 1422 */    MCD_OPC_CheckPredicate, 33, 166, 1, // Skip to: 1848
-/* 1426 */    MCD_OPC_Decode, 197, 10, 211, 1, // Opcode: SDC2_R6
+/* 1426 */    MCD_OPC_Decode, 226, 10, 215, 1, // Opcode: SDC2_R6
 /* 1431 */    MCD_OPC_FilterValue, 22, 9, 0, // Skip to: 1444
 /* 1435 */    MCD_OPC_CheckPredicate, 33, 153, 1, // Skip to: 1848
-/* 1439 */    MCD_OPC_Decode, 190, 1, 212, 1, // Opcode: BGEZC
+/* 1439 */    MCD_OPC_Decode, 208, 1, 216, 1, // Opcode: BGEZC
 /* 1444 */    MCD_OPC_FilterValue, 23, 9, 0, // Skip to: 1457
 /* 1448 */    MCD_OPC_CheckPredicate, 33, 140, 1, // Skip to: 1848
-/* 1452 */    MCD_OPC_Decode, 227, 1, 213, 1, // Opcode: BLTZC
+/* 1452 */    MCD_OPC_Decode, 250, 1, 217, 1, // Opcode: BLTZC
 /* 1457 */    MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 1470
 /* 1461 */    MCD_OPC_CheckPredicate, 33, 127, 1, // Skip to: 1848
-/* 1465 */    MCD_OPC_Decode, 235, 1, 214, 1, // Opcode: BNEC
+/* 1465 */    MCD_OPC_Decode, 131, 2, 218, 1, // Opcode: BNEC
 /* 1470 */    MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 1483
 /* 1474 */    MCD_OPC_CheckPredicate, 34, 114, 1, // Skip to: 1848
-/* 1478 */    MCD_OPC_Decode, 248, 3, 215, 1, // Opcode: DAUI
+/* 1478 */    MCD_OPC_Decode, 146, 4, 219, 1, // Opcode: DAUI
 /* 1483 */    MCD_OPC_FilterValue, 31, 182, 0, // Skip to: 1669
 /* 1487 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 1490 */    MCD_OPC_FilterValue, 32, 40, 0, // Skip to: 1534
@@ -3895,82 +3989,82 @@
 /* 1501 */    MCD_OPC_CheckPredicate, 33, 87, 1, // Skip to: 1848
 /* 1505 */    MCD_OPC_CheckField, 21, 5, 0, 81, 1, // Skip to: 1848
 /* 1511 */    MCD_OPC_CheckField, 6, 2, 0, 75, 1, // Skip to: 1848
-/* 1517 */    MCD_OPC_Decode, 214, 1, 180, 1, // Opcode: BITSWAP
+/* 1517 */    MCD_OPC_Decode, 234, 1, 182, 1, // Opcode: BITSWAP
 /* 1522 */    MCD_OPC_FilterValue, 2, 66, 1, // Skip to: 1848
 /* 1526 */    MCD_OPC_CheckPredicate, 33, 62, 1, // Skip to: 1848
-/* 1530 */    MCD_OPC_Decode, 73, 194, 1, // Opcode: ALIGN
+/* 1530 */    MCD_OPC_Decode, 73, 198, 1, // Opcode: ALIGN
 /* 1534 */    MCD_OPC_FilterValue, 36, 41, 0, // Skip to: 1579
 /* 1538 */    MCD_OPC_ExtractField, 9, 2,  // Inst{10-9} ...
 /* 1541 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 1566
 /* 1545 */    MCD_OPC_CheckPredicate, 34, 43, 1, // Skip to: 1848
 /* 1549 */    MCD_OPC_CheckField, 21, 5, 0, 37, 1, // Skip to: 1848
 /* 1555 */    MCD_OPC_CheckField, 6, 3, 0, 31, 1, // Skip to: 1848
-/* 1561 */    MCD_OPC_Decode, 249, 3, 216, 1, // Opcode: DBITSWAP
+/* 1561 */    MCD_OPC_Decode, 147, 4, 220, 1, // Opcode: DBITSWAP
 /* 1566 */    MCD_OPC_FilterValue, 1, 22, 1, // Skip to: 1848
 /* 1570 */    MCD_OPC_CheckPredicate, 34, 18, 1, // Skip to: 1848
-/* 1574 */    MCD_OPC_Decode, 246, 3, 217, 1, // Opcode: DALIGN
+/* 1574 */    MCD_OPC_Decode, 144, 4, 221, 1, // Opcode: DALIGN
 /* 1579 */    MCD_OPC_FilterValue, 37, 15, 0, // Skip to: 1598
 /* 1583 */    MCD_OPC_CheckPredicate, 33, 5, 1, // Skip to: 1848
 /* 1587 */    MCD_OPC_CheckField, 6, 1, 0, 255, 0, // Skip to: 1848
-/* 1593 */    MCD_OPC_Decode, 176, 2, 218, 1, // Opcode: CACHE_R6
+/* 1593 */    MCD_OPC_Decode, 202, 2, 222, 1, // Opcode: CACHE_R6
 /* 1598 */    MCD_OPC_FilterValue, 38, 9, 0, // Skip to: 1611
 /* 1602 */    MCD_OPC_CheckPredicate, 33, 242, 0, // Skip to: 1848
-/* 1606 */    MCD_OPC_Decode, 189, 10, 219, 1, // Opcode: SC_R6
+/* 1606 */    MCD_OPC_Decode, 218, 10, 223, 1, // Opcode: SC_R6
 /* 1611 */    MCD_OPC_FilterValue, 39, 9, 0, // Skip to: 1624
 /* 1615 */    MCD_OPC_CheckPredicate, 33, 229, 0, // Skip to: 1848
-/* 1619 */    MCD_OPC_Decode, 187, 10, 219, 1, // Opcode: SCD_R6
+/* 1619 */    MCD_OPC_Decode, 216, 10, 223, 1, // Opcode: SCD_R6
 /* 1624 */    MCD_OPC_FilterValue, 53, 15, 0, // Skip to: 1643
 /* 1628 */    MCD_OPC_CheckPredicate, 33, 216, 0, // Skip to: 1848
 /* 1632 */    MCD_OPC_CheckField, 6, 1, 0, 210, 0, // Skip to: 1848
-/* 1638 */    MCD_OPC_Decode, 239, 9, 218, 1, // Opcode: PREF_R6
+/* 1638 */    MCD_OPC_Decode, 140, 10, 222, 1, // Opcode: PREF_R6
 /* 1643 */    MCD_OPC_FilterValue, 54, 9, 0, // Skip to: 1656
 /* 1647 */    MCD_OPC_CheckPredicate, 33, 197, 0, // Skip to: 1848
-/* 1651 */    MCD_OPC_Decode, 138, 7, 219, 1, // Opcode: LL_R6
+/* 1651 */    MCD_OPC_Decode, 167, 7, 223, 1, // Opcode: LL_R6
 /* 1656 */    MCD_OPC_FilterValue, 55, 188, 0, // Skip to: 1848
 /* 1660 */    MCD_OPC_CheckPredicate, 33, 184, 0, // Skip to: 1848
-/* 1664 */    MCD_OPC_Decode, 136, 7, 219, 1, // Opcode: LLD_R6
+/* 1664 */    MCD_OPC_Decode, 165, 7, 223, 1, // Opcode: LLD_R6
 /* 1669 */    MCD_OPC_FilterValue, 50, 9, 0, // Skip to: 1682
 /* 1673 */    MCD_OPC_CheckPredicate, 33, 171, 0, // Skip to: 1848
-/* 1677 */    MCD_OPC_Decode, 160, 1, 220, 1, // Opcode: BC
+/* 1677 */    MCD_OPC_Decode, 160, 1, 224, 1, // Opcode: BC
 /* 1682 */    MCD_OPC_FilterValue, 54, 24, 0, // Skip to: 1710
 /* 1686 */    MCD_OPC_CheckPredicate, 33, 11, 0, // Skip to: 1701
 /* 1690 */    MCD_OPC_CheckField, 21, 5, 0, 5, 0, // Skip to: 1701
-/* 1696 */    MCD_OPC_Decode, 212, 6, 221, 1, // Opcode: JIC
+/* 1696 */    MCD_OPC_Decode, 240, 6, 225, 1, // Opcode: JIC
 /* 1701 */    MCD_OPC_CheckPredicate, 33, 143, 0, // Skip to: 1848
-/* 1705 */    MCD_OPC_Decode, 181, 1, 222, 1, // Opcode: BEQZC
+/* 1705 */    MCD_OPC_Decode, 196, 1, 226, 1, // Opcode: BEQZC
 /* 1710 */    MCD_OPC_FilterValue, 58, 9, 0, // Skip to: 1723
 /* 1714 */    MCD_OPC_CheckPredicate, 33, 130, 0, // Skip to: 1848
-/* 1718 */    MCD_OPC_Decode, 157, 1, 220, 1, // Opcode: BALC
+/* 1718 */    MCD_OPC_Decode, 157, 1, 224, 1, // Opcode: BALC
 /* 1723 */    MCD_OPC_FilterValue, 59, 93, 0, // Skip to: 1820
 /* 1727 */    MCD_OPC_ExtractField, 19, 2,  // Inst{20-19} ...
 /* 1730 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1742
 /* 1734 */    MCD_OPC_CheckPredicate, 33, 110, 0, // Skip to: 1848
-/* 1738 */    MCD_OPC_Decode, 24, 223, 1, // Opcode: ADDIUPC
+/* 1738 */    MCD_OPC_Decode, 24, 227, 1, // Opcode: ADDIUPC
 /* 1742 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 1755
 /* 1746 */    MCD_OPC_CheckPredicate, 33, 98, 0, // Skip to: 1848
-/* 1750 */    MCD_OPC_Decode, 164, 7, 223, 1, // Opcode: LWPC
+/* 1750 */    MCD_OPC_Decode, 193, 7, 227, 1, // Opcode: LWPC
 /* 1755 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 1768
 /* 1759 */    MCD_OPC_CheckPredicate, 33, 85, 0, // Skip to: 1848
-/* 1763 */    MCD_OPC_Decode, 168, 7, 223, 1, // Opcode: LWUPC
+/* 1763 */    MCD_OPC_Decode, 197, 7, 227, 1, // Opcode: LWUPC
 /* 1768 */    MCD_OPC_FilterValue, 3, 76, 0, // Skip to: 1848
 /* 1772 */    MCD_OPC_ExtractField, 18, 1,  // Inst{18} ...
 /* 1775 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1788
 /* 1779 */    MCD_OPC_CheckPredicate, 34, 65, 0, // Skip to: 1848
-/* 1783 */    MCD_OPC_Decode, 244, 6, 224, 1, // Opcode: LDPC
+/* 1783 */    MCD_OPC_Decode, 145, 7, 228, 1, // Opcode: LDPC
 /* 1788 */    MCD_OPC_FilterValue, 1, 56, 0, // Skip to: 1848
 /* 1792 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
 /* 1795 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 1808
 /* 1799 */    MCD_OPC_CheckPredicate, 33, 45, 0, // Skip to: 1848
-/* 1803 */    MCD_OPC_Decode, 128, 1, 225, 1, // Opcode: AUIPC
+/* 1803 */    MCD_OPC_Decode, 128, 1, 229, 1, // Opcode: AUIPC
 /* 1808 */    MCD_OPC_FilterValue, 3, 36, 0, // Skip to: 1848
 /* 1812 */    MCD_OPC_CheckPredicate, 33, 32, 0, // Skip to: 1848
-/* 1816 */    MCD_OPC_Decode, 74, 225, 1, // Opcode: ALUIPC
+/* 1816 */    MCD_OPC_Decode, 74, 229, 1, // Opcode: ALUIPC
 /* 1820 */    MCD_OPC_FilterValue, 62, 24, 0, // Skip to: 1848
 /* 1824 */    MCD_OPC_CheckPredicate, 33, 11, 0, // Skip to: 1839
 /* 1828 */    MCD_OPC_CheckField, 21, 5, 0, 5, 0, // Skip to: 1839
-/* 1834 */    MCD_OPC_Decode, 211, 6, 221, 1, // Opcode: JIALC
+/* 1834 */    MCD_OPC_Decode, 239, 6, 225, 1, // Opcode: JIALC
 /* 1839 */    MCD_OPC_CheckPredicate, 33, 5, 0, // Skip to: 1848
-/* 1843 */    MCD_OPC_Decode, 245, 1, 222, 1, // Opcode: BNEZC
+/* 1843 */    MCD_OPC_Decode, 142, 2, 226, 1, // Opcode: BNEZC
 /* 1848 */    MCD_OPC_Fail,
   0
 };
@@ -3980,11 +4074,11 @@
 /* 3 */       MCD_OPC_FilterValue, 53, 15, 0, // Skip to: 22
 /* 7 */       MCD_OPC_CheckPredicate, 36, 30, 0, // Skip to: 41
 /* 11 */      MCD_OPC_CheckField, 26, 6, 0, 24, 0, // Skip to: 41
-/* 17 */      MCD_OPC_Decode, 212, 10, 197, 1, // Opcode: SELEQZ64
+/* 17 */      MCD_OPC_Decode, 241, 10, 201, 1, // Opcode: SELEQZ64
 /* 22 */      MCD_OPC_FilterValue, 55, 15, 0, // Skip to: 41
 /* 26 */      MCD_OPC_CheckPredicate, 36, 11, 0, // Skip to: 41
 /* 30 */      MCD_OPC_CheckField, 26, 6, 0, 5, 0, // Skip to: 41
-/* 36 */      MCD_OPC_Decode, 216, 10, 197, 1, // Opcode: SELNEZ64
+/* 36 */      MCD_OPC_Decode, 245, 10, 201, 1, // Opcode: SELNEZ64
 /* 41 */      MCD_OPC_Fail,
   0
 };
@@ -3994,495 +4088,495 @@
 /* 3 */       MCD_OPC_FilterValue, 0, 112, 1, // Skip to: 375
 /* 7 */       MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 10 */      MCD_OPC_FilterValue, 20, 15, 0, // Skip to: 29
-/* 14 */      MCD_OPC_CheckPredicate, 17, 192, 8, // Skip to: 2258
-/* 18 */      MCD_OPC_CheckField, 6, 5, 0, 186, 8, // Skip to: 2258
-/* 24 */      MCD_OPC_Decode, 209, 4, 226, 1, // Opcode: DSLLV
+/* 14 */      MCD_OPC_CheckPredicate, 17, 194, 8, // Skip to: 2260
+/* 18 */      MCD_OPC_CheckField, 6, 5, 0, 188, 8, // Skip to: 2260
+/* 24 */      MCD_OPC_Decode, 235, 4, 230, 1, // Opcode: DSLLV
 /* 29 */      MCD_OPC_FilterValue, 22, 29, 0, // Skip to: 62
 /* 33 */      MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 36 */      MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 49
-/* 40 */      MCD_OPC_CheckPredicate, 17, 166, 8, // Skip to: 2258
-/* 44 */      MCD_OPC_Decode, 215, 4, 226, 1, // Opcode: DSRLV
-/* 49 */      MCD_OPC_FilterValue, 1, 157, 8, // Skip to: 2258
-/* 53 */      MCD_OPC_CheckPredicate, 37, 153, 8, // Skip to: 2258
-/* 57 */      MCD_OPC_Decode, 202, 4, 226, 1, // Opcode: DROTRV
+/* 40 */      MCD_OPC_CheckPredicate, 17, 168, 8, // Skip to: 2260
+/* 44 */      MCD_OPC_Decode, 241, 4, 230, 1, // Opcode: DSRLV
+/* 49 */      MCD_OPC_FilterValue, 1, 159, 8, // Skip to: 2260
+/* 53 */      MCD_OPC_CheckPredicate, 37, 155, 8, // Skip to: 2260
+/* 57 */      MCD_OPC_Decode, 228, 4, 230, 1, // Opcode: DROTRV
 /* 62 */      MCD_OPC_FilterValue, 23, 15, 0, // Skip to: 81
-/* 66 */      MCD_OPC_CheckPredicate, 17, 140, 8, // Skip to: 2258
-/* 70 */      MCD_OPC_CheckField, 6, 5, 0, 134, 8, // Skip to: 2258
-/* 76 */      MCD_OPC_Decode, 212, 4, 226, 1, // Opcode: DSRAV
+/* 66 */      MCD_OPC_CheckPredicate, 17, 142, 8, // Skip to: 2260
+/* 70 */      MCD_OPC_CheckField, 6, 5, 0, 136, 8, // Skip to: 2260
+/* 76 */      MCD_OPC_Decode, 238, 4, 230, 1, // Opcode: DSRAV
 /* 81 */      MCD_OPC_FilterValue, 28, 15, 0, // Skip to: 100
-/* 85 */      MCD_OPC_CheckPredicate, 38, 121, 8, // Skip to: 2258
-/* 89 */      MCD_OPC_CheckField, 6, 10, 0, 115, 8, // Skip to: 2258
-/* 95 */      MCD_OPC_Decode, 161, 4, 227, 1, // Opcode: DMULT
+/* 85 */      MCD_OPC_CheckPredicate, 38, 123, 8, // Skip to: 2260
+/* 89 */      MCD_OPC_CheckField, 6, 10, 0, 117, 8, // Skip to: 2260
+/* 95 */      MCD_OPC_Decode, 187, 4, 231, 1, // Opcode: DMULT
 /* 100 */     MCD_OPC_FilterValue, 29, 15, 0, // Skip to: 119
-/* 104 */     MCD_OPC_CheckPredicate, 38, 102, 8, // Skip to: 2258
-/* 108 */     MCD_OPC_CheckField, 6, 10, 0, 96, 8, // Skip to: 2258
-/* 114 */     MCD_OPC_Decode, 162, 4, 227, 1, // Opcode: DMULTu
+/* 104 */     MCD_OPC_CheckPredicate, 38, 104, 8, // Skip to: 2260
+/* 108 */     MCD_OPC_CheckField, 6, 10, 0, 98, 8, // Skip to: 2260
+/* 114 */     MCD_OPC_Decode, 188, 4, 231, 1, // Opcode: DMULTu
 /* 119 */     MCD_OPC_FilterValue, 30, 15, 0, // Skip to: 138
-/* 123 */     MCD_OPC_CheckPredicate, 38, 83, 8, // Skip to: 2258
-/* 127 */     MCD_OPC_CheckField, 6, 10, 0, 77, 8, // Skip to: 2258
-/* 133 */     MCD_OPC_Decode, 204, 4, 227, 1, // Opcode: DSDIV
+/* 123 */     MCD_OPC_CheckPredicate, 38, 85, 8, // Skip to: 2260
+/* 127 */     MCD_OPC_CheckField, 6, 10, 0, 79, 8, // Skip to: 2260
+/* 133 */     MCD_OPC_Decode, 230, 4, 231, 1, // Opcode: DSDIV
 /* 138 */     MCD_OPC_FilterValue, 31, 15, 0, // Skip to: 157
-/* 142 */     MCD_OPC_CheckPredicate, 38, 64, 8, // Skip to: 2258
-/* 146 */     MCD_OPC_CheckField, 6, 10, 0, 58, 8, // Skip to: 2258
-/* 152 */     MCD_OPC_Decode, 218, 4, 227, 1, // Opcode: DUDIV
+/* 142 */     MCD_OPC_CheckPredicate, 38, 66, 8, // Skip to: 2260
+/* 146 */     MCD_OPC_CheckField, 6, 10, 0, 60, 8, // Skip to: 2260
+/* 152 */     MCD_OPC_Decode, 244, 4, 231, 1, // Opcode: DUDIV
 /* 157 */     MCD_OPC_FilterValue, 44, 15, 0, // Skip to: 176
-/* 161 */     MCD_OPC_CheckPredicate, 17, 45, 8, // Skip to: 2258
-/* 165 */     MCD_OPC_CheckField, 6, 5, 0, 39, 8, // Skip to: 2258
-/* 171 */     MCD_OPC_Decode, 241, 3, 197, 1, // Opcode: DADD
+/* 161 */     MCD_OPC_CheckPredicate, 17, 47, 8, // Skip to: 2260
+/* 165 */     MCD_OPC_CheckField, 6, 5, 0, 41, 8, // Skip to: 2260
+/* 171 */     MCD_OPC_Decode, 139, 4, 201, 1, // Opcode: DADD
 /* 176 */     MCD_OPC_FilterValue, 45, 15, 0, // Skip to: 195
-/* 180 */     MCD_OPC_CheckPredicate, 17, 26, 8, // Skip to: 2258
-/* 184 */     MCD_OPC_CheckField, 6, 5, 0, 20, 8, // Skip to: 2258
-/* 190 */     MCD_OPC_Decode, 244, 3, 197, 1, // Opcode: DADDu
+/* 180 */     MCD_OPC_CheckPredicate, 17, 28, 8, // Skip to: 2260
+/* 184 */     MCD_OPC_CheckField, 6, 5, 0, 22, 8, // Skip to: 2260
+/* 190 */     MCD_OPC_Decode, 142, 4, 201, 1, // Opcode: DADDu
 /* 195 */     MCD_OPC_FilterValue, 46, 15, 0, // Skip to: 214
-/* 199 */     MCD_OPC_CheckPredicate, 17, 7, 8, // Skip to: 2258
-/* 203 */     MCD_OPC_CheckField, 6, 5, 0, 1, 8, // Skip to: 2258
-/* 209 */     MCD_OPC_Decode, 216, 4, 197, 1, // Opcode: DSUB
+/* 199 */     MCD_OPC_CheckPredicate, 17, 9, 8, // Skip to: 2260
+/* 203 */     MCD_OPC_CheckField, 6, 5, 0, 3, 8, // Skip to: 2260
+/* 209 */     MCD_OPC_Decode, 242, 4, 201, 1, // Opcode: DSUB
 /* 214 */     MCD_OPC_FilterValue, 47, 15, 0, // Skip to: 233
-/* 218 */     MCD_OPC_CheckPredicate, 17, 244, 7, // Skip to: 2258
-/* 222 */     MCD_OPC_CheckField, 6, 5, 0, 238, 7, // Skip to: 2258
-/* 228 */     MCD_OPC_Decode, 217, 4, 197, 1, // Opcode: DSUBu
+/* 218 */     MCD_OPC_CheckPredicate, 17, 246, 7, // Skip to: 2260
+/* 222 */     MCD_OPC_CheckField, 6, 5, 0, 240, 7, // Skip to: 2260
+/* 228 */     MCD_OPC_Decode, 243, 4, 201, 1, // Opcode: DSUBu
 /* 233 */     MCD_OPC_FilterValue, 56, 15, 0, // Skip to: 252
-/* 237 */     MCD_OPC_CheckPredicate, 17, 225, 7, // Skip to: 2258
-/* 241 */     MCD_OPC_CheckField, 21, 5, 0, 219, 7, // Skip to: 2258
-/* 247 */     MCD_OPC_Decode, 206, 4, 228, 1, // Opcode: DSLL
+/* 237 */     MCD_OPC_CheckPredicate, 17, 227, 7, // Skip to: 2260
+/* 241 */     MCD_OPC_CheckField, 21, 5, 0, 221, 7, // Skip to: 2260
+/* 247 */     MCD_OPC_Decode, 232, 4, 232, 1, // Opcode: DSLL
 /* 252 */     MCD_OPC_FilterValue, 58, 29, 0, // Skip to: 285
 /* 256 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
 /* 259 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 272
-/* 263 */     MCD_OPC_CheckPredicate, 17, 199, 7, // Skip to: 2258
-/* 267 */     MCD_OPC_Decode, 213, 4, 228, 1, // Opcode: DSRL
-/* 272 */     MCD_OPC_FilterValue, 1, 190, 7, // Skip to: 2258
-/* 276 */     MCD_OPC_CheckPredicate, 37, 186, 7, // Skip to: 2258
-/* 280 */     MCD_OPC_Decode, 200, 4, 228, 1, // Opcode: DROTR
+/* 263 */     MCD_OPC_CheckPredicate, 17, 201, 7, // Skip to: 2260
+/* 267 */     MCD_OPC_Decode, 239, 4, 232, 1, // Opcode: DSRL
+/* 272 */     MCD_OPC_FilterValue, 1, 192, 7, // Skip to: 2260
+/* 276 */     MCD_OPC_CheckPredicate, 37, 188, 7, // Skip to: 2260
+/* 280 */     MCD_OPC_Decode, 226, 4, 232, 1, // Opcode: DROTR
 /* 285 */     MCD_OPC_FilterValue, 59, 15, 0, // Skip to: 304
-/* 289 */     MCD_OPC_CheckPredicate, 17, 173, 7, // Skip to: 2258
-/* 293 */     MCD_OPC_CheckField, 21, 5, 0, 167, 7, // Skip to: 2258
-/* 299 */     MCD_OPC_Decode, 210, 4, 228, 1, // Opcode: DSRA
+/* 289 */     MCD_OPC_CheckPredicate, 17, 175, 7, // Skip to: 2260
+/* 293 */     MCD_OPC_CheckField, 21, 5, 0, 169, 7, // Skip to: 2260
+/* 299 */     MCD_OPC_Decode, 236, 4, 232, 1, // Opcode: DSRA
 /* 304 */     MCD_OPC_FilterValue, 60, 15, 0, // Skip to: 323
-/* 308 */     MCD_OPC_CheckPredicate, 17, 154, 7, // Skip to: 2258
-/* 312 */     MCD_OPC_CheckField, 21, 5, 0, 148, 7, // Skip to: 2258
-/* 318 */     MCD_OPC_Decode, 207, 4, 228, 1, // Opcode: DSLL32
+/* 308 */     MCD_OPC_CheckPredicate, 17, 156, 7, // Skip to: 2260
+/* 312 */     MCD_OPC_CheckField, 21, 5, 0, 150, 7, // Skip to: 2260
+/* 318 */     MCD_OPC_Decode, 233, 4, 232, 1, // Opcode: DSLL32
 /* 323 */     MCD_OPC_FilterValue, 62, 29, 0, // Skip to: 356
 /* 327 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
 /* 330 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 343
-/* 334 */     MCD_OPC_CheckPredicate, 17, 128, 7, // Skip to: 2258
-/* 338 */     MCD_OPC_Decode, 214, 4, 228, 1, // Opcode: DSRL32
-/* 343 */     MCD_OPC_FilterValue, 1, 119, 7, // Skip to: 2258
-/* 347 */     MCD_OPC_CheckPredicate, 37, 115, 7, // Skip to: 2258
-/* 351 */     MCD_OPC_Decode, 201, 4, 228, 1, // Opcode: DROTR32
-/* 356 */     MCD_OPC_FilterValue, 63, 106, 7, // Skip to: 2258
-/* 360 */     MCD_OPC_CheckPredicate, 17, 102, 7, // Skip to: 2258
-/* 364 */     MCD_OPC_CheckField, 21, 5, 0, 96, 7, // Skip to: 2258
-/* 370 */     MCD_OPC_Decode, 211, 4, 228, 1, // Opcode: DSRA32
+/* 334 */     MCD_OPC_CheckPredicate, 17, 130, 7, // Skip to: 2260
+/* 338 */     MCD_OPC_Decode, 240, 4, 232, 1, // Opcode: DSRL32
+/* 343 */     MCD_OPC_FilterValue, 1, 121, 7, // Skip to: 2260
+/* 347 */     MCD_OPC_CheckPredicate, 37, 117, 7, // Skip to: 2260
+/* 351 */     MCD_OPC_Decode, 227, 4, 232, 1, // Opcode: DROTR32
+/* 356 */     MCD_OPC_FilterValue, 63, 108, 7, // Skip to: 2260
+/* 360 */     MCD_OPC_CheckPredicate, 17, 104, 7, // Skip to: 2260
+/* 364 */     MCD_OPC_CheckField, 21, 5, 0, 98, 7, // Skip to: 2260
+/* 370 */     MCD_OPC_Decode, 237, 4, 232, 1, // Opcode: DSRA32
 /* 375 */     MCD_OPC_FilterValue, 16, 41, 0, // Skip to: 420
 /* 379 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
 /* 382 */     MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 401
-/* 386 */     MCD_OPC_CheckPredicate, 39, 76, 7, // Skip to: 2258
-/* 390 */     MCD_OPC_CheckField, 3, 8, 0, 70, 7, // Skip to: 2258
-/* 396 */     MCD_OPC_Decode, 150, 4, 229, 1, // Opcode: DMFC0
-/* 401 */     MCD_OPC_FilterValue, 5, 61, 7, // Skip to: 2258
-/* 405 */     MCD_OPC_CheckPredicate, 39, 57, 7, // Skip to: 2258
-/* 409 */     MCD_OPC_CheckField, 3, 8, 0, 51, 7, // Skip to: 2258
-/* 415 */     MCD_OPC_Decode, 155, 4, 229, 1, // Opcode: DMTC0
+/* 386 */     MCD_OPC_CheckPredicate, 39, 78, 7, // Skip to: 2260
+/* 390 */     MCD_OPC_CheckField, 3, 8, 0, 72, 7, // Skip to: 2260
+/* 396 */     MCD_OPC_Decode, 176, 4, 233, 1, // Opcode: DMFC0
+/* 401 */     MCD_OPC_FilterValue, 5, 63, 7, // Skip to: 2260
+/* 405 */     MCD_OPC_CheckPredicate, 39, 59, 7, // Skip to: 2260
+/* 409 */     MCD_OPC_CheckField, 3, 8, 0, 53, 7, // Skip to: 2260
+/* 415 */     MCD_OPC_Decode, 181, 4, 233, 1, // Opcode: DMTC0
 /* 420 */     MCD_OPC_FilterValue, 17, 222, 3, // Skip to: 1414
 /* 424 */     MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 427 */     MCD_OPC_FilterValue, 0, 54, 0, // Skip to: 485
 /* 431 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
 /* 434 */     MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 453
-/* 438 */     MCD_OPC_CheckPredicate, 40, 24, 7, // Skip to: 2258
-/* 442 */     MCD_OPC_CheckField, 6, 5, 0, 18, 7, // Skip to: 2258
-/* 448 */     MCD_OPC_Decode, 245, 7, 230, 1, // Opcode: MFHC1_D64
+/* 438 */     MCD_OPC_CheckPredicate, 40, 26, 7, // Skip to: 2260
+/* 442 */     MCD_OPC_CheckField, 6, 5, 0, 20, 7, // Skip to: 2260
+/* 448 */     MCD_OPC_Decode, 146, 8, 234, 1, // Opcode: MFHC1_D64
 /* 453 */     MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 472
-/* 457 */     MCD_OPC_CheckPredicate, 40, 5, 7, // Skip to: 2258
-/* 461 */     MCD_OPC_CheckField, 6, 5, 0, 255, 6, // Skip to: 2258
-/* 467 */     MCD_OPC_Decode, 234, 8, 231, 1, // Opcode: MTHC1_D64
-/* 472 */     MCD_OPC_FilterValue, 17, 246, 6, // Skip to: 2258
-/* 476 */     MCD_OPC_CheckPredicate, 41, 242, 6, // Skip to: 2258
-/* 480 */     MCD_OPC_Decode, 253, 4, 206, 1, // Opcode: FADD_D64
+/* 457 */     MCD_OPC_CheckPredicate, 40, 7, 7, // Skip to: 2260
+/* 461 */     MCD_OPC_CheckField, 6, 5, 0, 1, 7, // Skip to: 2260
+/* 467 */     MCD_OPC_Decode, 135, 9, 235, 1, // Opcode: MTHC1_D64
+/* 472 */     MCD_OPC_FilterValue, 17, 248, 6, // Skip to: 2260
+/* 476 */     MCD_OPC_CheckPredicate, 41, 244, 6, // Skip to: 2260
+/* 480 */     MCD_OPC_Decode, 151, 5, 210, 1, // Opcode: FADD_D64
 /* 485 */     MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 504
-/* 489 */     MCD_OPC_CheckPredicate, 41, 229, 6, // Skip to: 2258
-/* 493 */     MCD_OPC_CheckField, 21, 5, 17, 223, 6, // Skip to: 2258
-/* 499 */     MCD_OPC_Decode, 255, 5, 206, 1, // Opcode: FSUB_D64
+/* 489 */     MCD_OPC_CheckPredicate, 41, 231, 6, // Skip to: 2260
+/* 493 */     MCD_OPC_CheckField, 21, 5, 17, 225, 6, // Skip to: 2260
+/* 499 */     MCD_OPC_Decode, 153, 6, 210, 1, // Opcode: FSUB_D64
 /* 504 */     MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 523
-/* 508 */     MCD_OPC_CheckPredicate, 41, 210, 6, // Skip to: 2258
-/* 512 */     MCD_OPC_CheckField, 21, 5, 17, 204, 6, // Skip to: 2258
-/* 518 */     MCD_OPC_Decode, 218, 5, 206, 1, // Opcode: FMUL_D64
+/* 508 */     MCD_OPC_CheckPredicate, 41, 212, 6, // Skip to: 2260
+/* 512 */     MCD_OPC_CheckField, 21, 5, 17, 206, 6, // Skip to: 2260
+/* 518 */     MCD_OPC_Decode, 244, 5, 210, 1, // Opcode: FMUL_D64
 /* 523 */     MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 542
-/* 527 */     MCD_OPC_CheckPredicate, 41, 191, 6, // Skip to: 2258
-/* 531 */     MCD_OPC_CheckField, 21, 5, 17, 185, 6, // Skip to: 2258
-/* 537 */     MCD_OPC_Decode, 161, 5, 206, 1, // Opcode: FDIV_D64
+/* 527 */     MCD_OPC_CheckPredicate, 41, 193, 6, // Skip to: 2260
+/* 531 */     MCD_OPC_CheckField, 21, 5, 17, 187, 6, // Skip to: 2260
+/* 537 */     MCD_OPC_Decode, 187, 5, 210, 1, // Opcode: FDIV_D64
 /* 542 */     MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 561
-/* 546 */     MCD_OPC_CheckPredicate, 42, 172, 6, // Skip to: 2258
-/* 550 */     MCD_OPC_CheckField, 16, 10, 160, 4, 165, 6, // Skip to: 2258
-/* 557 */     MCD_OPC_Decode, 248, 5, 80, // Opcode: FSQRT_D64
+/* 546 */     MCD_OPC_CheckPredicate, 42, 174, 6, // Skip to: 2260
+/* 550 */     MCD_OPC_CheckField, 16, 10, 160, 4, 167, 6, // Skip to: 2260
+/* 557 */     MCD_OPC_Decode, 146, 6, 82, // Opcode: FSQRT_D64
 /* 561 */     MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 580
-/* 565 */     MCD_OPC_CheckPredicate, 41, 153, 6, // Skip to: 2258
-/* 569 */     MCD_OPC_CheckField, 16, 10, 160, 4, 146, 6, // Skip to: 2258
-/* 576 */     MCD_OPC_Decode, 246, 4, 80, // Opcode: FABS_D64
+/* 565 */     MCD_OPC_CheckPredicate, 41, 155, 6, // Skip to: 2260
+/* 569 */     MCD_OPC_CheckField, 16, 10, 160, 4, 148, 6, // Skip to: 2260
+/* 576 */     MCD_OPC_Decode, 144, 5, 82, // Opcode: FABS_D64
 /* 580 */     MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 599
-/* 584 */     MCD_OPC_CheckPredicate, 41, 134, 6, // Skip to: 2258
-/* 588 */     MCD_OPC_CheckField, 16, 10, 160, 4, 127, 6, // Skip to: 2258
-/* 595 */     MCD_OPC_Decode, 211, 5, 80, // Opcode: FMOV_D64
+/* 584 */     MCD_OPC_CheckPredicate, 41, 136, 6, // Skip to: 2260
+/* 588 */     MCD_OPC_CheckField, 16, 10, 160, 4, 129, 6, // Skip to: 2260
+/* 595 */     MCD_OPC_Decode, 237, 5, 82, // Opcode: FMOV_D64
 /* 599 */     MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 618
-/* 603 */     MCD_OPC_CheckPredicate, 41, 115, 6, // Skip to: 2258
-/* 607 */     MCD_OPC_CheckField, 16, 10, 160, 4, 108, 6, // Skip to: 2258
-/* 614 */     MCD_OPC_Decode, 224, 5, 80, // Opcode: FNEG_D64
+/* 603 */     MCD_OPC_CheckPredicate, 41, 117, 6, // Skip to: 2260
+/* 607 */     MCD_OPC_CheckField, 16, 10, 160, 4, 110, 6, // Skip to: 2260
+/* 614 */     MCD_OPC_Decode, 250, 5, 82, // Opcode: FNEG_D64
 /* 618 */     MCD_OPC_FilterValue, 8, 29, 0, // Skip to: 651
 /* 622 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
 /* 625 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 638
-/* 630 */     MCD_OPC_CheckPredicate, 41, 88, 6, // Skip to: 2258
-/* 634 */     MCD_OPC_Decode, 164, 10, 73, // Opcode: ROUND_L_S
-/* 638 */     MCD_OPC_FilterValue, 160, 4, 79, 6, // Skip to: 2258
-/* 643 */     MCD_OPC_CheckPredicate, 41, 75, 6, // Skip to: 2258
-/* 647 */     MCD_OPC_Decode, 163, 10, 80, // Opcode: ROUND_L_D64
+/* 630 */     MCD_OPC_CheckPredicate, 41, 90, 6, // Skip to: 2260
+/* 634 */     MCD_OPC_Decode, 193, 10, 75, // Opcode: ROUND_L_S
+/* 638 */     MCD_OPC_FilterValue, 160, 4, 81, 6, // Skip to: 2260
+/* 643 */     MCD_OPC_CheckPredicate, 41, 77, 6, // Skip to: 2260
+/* 647 */     MCD_OPC_Decode, 192, 10, 82, // Opcode: ROUND_L_D64
 /* 651 */     MCD_OPC_FilterValue, 9, 29, 0, // Skip to: 684
 /* 655 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
 /* 658 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 671
-/* 663 */     MCD_OPC_CheckPredicate, 41, 55, 6, // Skip to: 2258
-/* 667 */     MCD_OPC_Decode, 236, 12, 73, // Opcode: TRUNC_L_S
-/* 671 */     MCD_OPC_FilterValue, 160, 4, 46, 6, // Skip to: 2258
-/* 676 */     MCD_OPC_CheckPredicate, 41, 42, 6, // Skip to: 2258
-/* 680 */     MCD_OPC_Decode, 235, 12, 80, // Opcode: TRUNC_L_D64
+/* 663 */     MCD_OPC_CheckPredicate, 41, 57, 6, // Skip to: 2260
+/* 667 */     MCD_OPC_Decode, 141, 13, 75, // Opcode: TRUNC_L_S
+/* 671 */     MCD_OPC_FilterValue, 160, 4, 48, 6, // Skip to: 2260
+/* 676 */     MCD_OPC_CheckPredicate, 41, 44, 6, // Skip to: 2260
+/* 680 */     MCD_OPC_Decode, 140, 13, 82, // Opcode: TRUNC_L_D64
 /* 684 */     MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 717
 /* 688 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
 /* 691 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 704
-/* 696 */     MCD_OPC_CheckPredicate, 41, 22, 6, // Skip to: 2258
-/* 700 */     MCD_OPC_Decode, 178, 2, 73, // Opcode: CEIL_L_S
-/* 704 */     MCD_OPC_FilterValue, 160, 4, 13, 6, // Skip to: 2258
-/* 709 */     MCD_OPC_CheckPredicate, 41, 9, 6, // Skip to: 2258
-/* 713 */     MCD_OPC_Decode, 177, 2, 80, // Opcode: CEIL_L_D64
+/* 696 */     MCD_OPC_CheckPredicate, 41, 24, 6, // Skip to: 2260
+/* 700 */     MCD_OPC_Decode, 204, 2, 75, // Opcode: CEIL_L_S
+/* 704 */     MCD_OPC_FilterValue, 160, 4, 15, 6, // Skip to: 2260
+/* 709 */     MCD_OPC_CheckPredicate, 41, 11, 6, // Skip to: 2260
+/* 713 */     MCD_OPC_Decode, 203, 2, 82, // Opcode: CEIL_L_D64
 /* 717 */     MCD_OPC_FilterValue, 11, 29, 0, // Skip to: 750
 /* 721 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
 /* 724 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 737
-/* 729 */     MCD_OPC_CheckPredicate, 41, 245, 5, // Skip to: 2258
-/* 733 */     MCD_OPC_Decode, 193, 5, 73, // Opcode: FLOOR_L_S
-/* 737 */     MCD_OPC_FilterValue, 160, 4, 236, 5, // Skip to: 2258
-/* 742 */     MCD_OPC_CheckPredicate, 41, 232, 5, // Skip to: 2258
-/* 746 */     MCD_OPC_Decode, 192, 5, 80, // Opcode: FLOOR_L_D64
+/* 729 */     MCD_OPC_CheckPredicate, 41, 247, 5, // Skip to: 2260
+/* 733 */     MCD_OPC_Decode, 219, 5, 75, // Opcode: FLOOR_L_S
+/* 737 */     MCD_OPC_FilterValue, 160, 4, 238, 5, // Skip to: 2260
+/* 742 */     MCD_OPC_CheckPredicate, 41, 234, 5, // Skip to: 2260
+/* 746 */     MCD_OPC_Decode, 218, 5, 82, // Opcode: FLOOR_L_D64
 /* 750 */     MCD_OPC_FilterValue, 12, 16, 0, // Skip to: 770
-/* 754 */     MCD_OPC_CheckPredicate, 42, 220, 5, // Skip to: 2258
-/* 758 */     MCD_OPC_CheckField, 16, 10, 160, 4, 213, 5, // Skip to: 2258
-/* 765 */     MCD_OPC_Decode, 166, 10, 232, 1, // Opcode: ROUND_W_D64
+/* 754 */     MCD_OPC_CheckPredicate, 42, 222, 5, // Skip to: 2260
+/* 758 */     MCD_OPC_CheckField, 16, 10, 160, 4, 215, 5, // Skip to: 2260
+/* 765 */     MCD_OPC_Decode, 195, 10, 236, 1, // Opcode: ROUND_W_D64
 /* 770 */     MCD_OPC_FilterValue, 13, 16, 0, // Skip to: 790
-/* 774 */     MCD_OPC_CheckPredicate, 42, 200, 5, // Skip to: 2258
-/* 778 */     MCD_OPC_CheckField, 16, 10, 160, 4, 193, 5, // Skip to: 2258
-/* 785 */     MCD_OPC_Decode, 238, 12, 232, 1, // Opcode: TRUNC_W_D64
+/* 774 */     MCD_OPC_CheckPredicate, 42, 202, 5, // Skip to: 2260
+/* 778 */     MCD_OPC_CheckField, 16, 10, 160, 4, 195, 5, // Skip to: 2260
+/* 785 */     MCD_OPC_Decode, 143, 13, 236, 1, // Opcode: TRUNC_W_D64
 /* 790 */     MCD_OPC_FilterValue, 14, 16, 0, // Skip to: 810
-/* 794 */     MCD_OPC_CheckPredicate, 42, 180, 5, // Skip to: 2258
-/* 798 */     MCD_OPC_CheckField, 16, 10, 160, 4, 173, 5, // Skip to: 2258
-/* 805 */     MCD_OPC_Decode, 180, 2, 232, 1, // Opcode: CEIL_W_D64
+/* 794 */     MCD_OPC_CheckPredicate, 42, 182, 5, // Skip to: 2260
+/* 798 */     MCD_OPC_CheckField, 16, 10, 160, 4, 175, 5, // Skip to: 2260
+/* 805 */     MCD_OPC_Decode, 206, 2, 236, 1, // Opcode: CEIL_W_D64
 /* 810 */     MCD_OPC_FilterValue, 15, 16, 0, // Skip to: 830
-/* 814 */     MCD_OPC_CheckPredicate, 42, 160, 5, // Skip to: 2258
-/* 818 */     MCD_OPC_CheckField, 16, 10, 160, 4, 153, 5, // Skip to: 2258
-/* 825 */     MCD_OPC_Decode, 195, 5, 232, 1, // Opcode: FLOOR_W_D64
+/* 814 */     MCD_OPC_CheckPredicate, 42, 162, 5, // Skip to: 2260
+/* 818 */     MCD_OPC_CheckField, 16, 10, 160, 4, 155, 5, // Skip to: 2260
+/* 825 */     MCD_OPC_Decode, 221, 5, 236, 1, // Opcode: FLOOR_W_D64
 /* 830 */     MCD_OPC_FilterValue, 17, 41, 0, // Skip to: 875
 /* 834 */     MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
 /* 837 */     MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 856
-/* 841 */     MCD_OPC_CheckPredicate, 43, 133, 5, // Skip to: 2258
-/* 845 */     MCD_OPC_CheckField, 21, 5, 17, 127, 5, // Skip to: 2258
-/* 851 */     MCD_OPC_Decode, 170, 8, 233, 1, // Opcode: MOVF_D64
-/* 856 */     MCD_OPC_FilterValue, 1, 118, 5, // Skip to: 2258
-/* 860 */     MCD_OPC_CheckPredicate, 43, 114, 5, // Skip to: 2258
-/* 864 */     MCD_OPC_CheckField, 21, 5, 17, 108, 5, // Skip to: 2258
-/* 870 */     MCD_OPC_Decode, 190, 8, 233, 1, // Opcode: MOVT_D64
+/* 841 */     MCD_OPC_CheckPredicate, 43, 135, 5, // Skip to: 2260
+/* 845 */     MCD_OPC_CheckField, 21, 5, 17, 129, 5, // Skip to: 2260
+/* 851 */     MCD_OPC_Decode, 199, 8, 237, 1, // Opcode: MOVF_D64
+/* 856 */     MCD_OPC_FilterValue, 1, 120, 5, // Skip to: 2260
+/* 860 */     MCD_OPC_CheckPredicate, 43, 116, 5, // Skip to: 2260
+/* 864 */     MCD_OPC_CheckField, 21, 5, 17, 110, 5, // Skip to: 2260
+/* 870 */     MCD_OPC_Decode, 219, 8, 237, 1, // Opcode: MOVT_D64
 /* 875 */     MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 894
-/* 879 */     MCD_OPC_CheckPredicate, 43, 95, 5, // Skip to: 2258
-/* 883 */     MCD_OPC_CheckField, 21, 5, 17, 89, 5, // Skip to: 2258
-/* 889 */     MCD_OPC_Decode, 202, 8, 234, 1, // Opcode: MOVZ_I_D64
+/* 879 */     MCD_OPC_CheckPredicate, 43, 97, 5, // Skip to: 2260
+/* 883 */     MCD_OPC_CheckField, 21, 5, 17, 91, 5, // Skip to: 2260
+/* 889 */     MCD_OPC_Decode, 231, 8, 238, 1, // Opcode: MOVZ_I_D64
 /* 894 */     MCD_OPC_FilterValue, 19, 15, 0, // Skip to: 913
-/* 898 */     MCD_OPC_CheckPredicate, 43, 76, 5, // Skip to: 2258
-/* 902 */     MCD_OPC_CheckField, 21, 5, 17, 70, 5, // Skip to: 2258
-/* 908 */     MCD_OPC_Decode, 182, 8, 234, 1, // Opcode: MOVN_I_D64
+/* 898 */     MCD_OPC_CheckPredicate, 43, 78, 5, // Skip to: 2260
+/* 902 */     MCD_OPC_CheckField, 21, 5, 17, 72, 5, // Skip to: 2260
+/* 908 */     MCD_OPC_Decode, 211, 8, 238, 1, // Opcode: MOVN_I_D64
 /* 913 */     MCD_OPC_FilterValue, 32, 31, 0, // Skip to: 948
 /* 917 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
 /* 920 */     MCD_OPC_FilterValue, 160, 4, 9, 0, // Skip to: 934
-/* 925 */     MCD_OPC_CheckPredicate, 41, 49, 5, // Skip to: 2258
-/* 929 */     MCD_OPC_Decode, 180, 3, 232, 1, // Opcode: CVT_S_D64
-/* 934 */     MCD_OPC_FilterValue, 160, 5, 39, 5, // Skip to: 2258
-/* 939 */     MCD_OPC_CheckPredicate, 41, 35, 5, // Skip to: 2258
-/* 943 */     MCD_OPC_Decode, 181, 3, 232, 1, // Opcode: CVT_S_L
+/* 925 */     MCD_OPC_CheckPredicate, 41, 51, 5, // Skip to: 2260
+/* 929 */     MCD_OPC_Decode, 206, 3, 236, 1, // Opcode: CVT_S_D64
+/* 934 */     MCD_OPC_FilterValue, 160, 5, 41, 5, // Skip to: 2260
+/* 939 */     MCD_OPC_CheckPredicate, 41, 37, 5, // Skip to: 2260
+/* 943 */     MCD_OPC_Decode, 207, 3, 236, 1, // Opcode: CVT_S_L
 /* 948 */     MCD_OPC_FilterValue, 33, 42, 0, // Skip to: 994
 /* 952 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
 /* 955 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 968
-/* 960 */     MCD_OPC_CheckPredicate, 41, 14, 5, // Skip to: 2258
-/* 964 */     MCD_OPC_Decode, 171, 3, 73, // Opcode: CVT_D64_S
+/* 960 */     MCD_OPC_CheckPredicate, 41, 16, 5, // Skip to: 2260
+/* 964 */     MCD_OPC_Decode, 197, 3, 75, // Opcode: CVT_D64_S
 /* 968 */     MCD_OPC_FilterValue, 128, 5, 8, 0, // Skip to: 981
-/* 973 */     MCD_OPC_CheckPredicate, 41, 1, 5, // Skip to: 2258
-/* 977 */     MCD_OPC_Decode, 172, 3, 73, // Opcode: CVT_D64_W
-/* 981 */     MCD_OPC_FilterValue, 160, 5, 248, 4, // Skip to: 2258
-/* 986 */     MCD_OPC_CheckPredicate, 41, 244, 4, // Skip to: 2258
-/* 990 */     MCD_OPC_Decode, 170, 3, 80, // Opcode: CVT_D64_L
+/* 973 */     MCD_OPC_CheckPredicate, 41, 3, 5, // Skip to: 2260
+/* 977 */     MCD_OPC_Decode, 198, 3, 75, // Opcode: CVT_D64_W
+/* 981 */     MCD_OPC_FilterValue, 160, 5, 250, 4, // Skip to: 2260
+/* 986 */     MCD_OPC_CheckPredicate, 41, 246, 4, // Skip to: 2260
+/* 990 */     MCD_OPC_Decode, 196, 3, 82, // Opcode: CVT_D64_L
 /* 994 */     MCD_OPC_FilterValue, 36, 16, 0, // Skip to: 1014
-/* 998 */     MCD_OPC_CheckPredicate, 41, 232, 4, // Skip to: 2258
-/* 1002 */    MCD_OPC_CheckField, 16, 10, 160, 4, 225, 4, // Skip to: 2258
-/* 1009 */    MCD_OPC_Decode, 185, 3, 232, 1, // Opcode: CVT_W_D64
+/* 998 */     MCD_OPC_CheckPredicate, 41, 234, 4, // Skip to: 2260
+/* 1002 */    MCD_OPC_CheckField, 16, 10, 160, 4, 227, 4, // Skip to: 2260
+/* 1009 */    MCD_OPC_Decode, 211, 3, 236, 1, // Opcode: CVT_W_D64
 /* 1014 */    MCD_OPC_FilterValue, 48, 21, 0, // Skip to: 1039
-/* 1018 */    MCD_OPC_CheckPredicate, 44, 212, 4, // Skip to: 2258
-/* 1022 */    MCD_OPC_CheckField, 21, 5, 17, 206, 4, // Skip to: 2258
-/* 1028 */    MCD_OPC_CheckField, 6, 5, 0, 200, 4, // Skip to: 2258
-/* 1034 */    MCD_OPC_Decode, 193, 3, 235, 1, // Opcode: C_F_D64
+/* 1018 */    MCD_OPC_CheckPredicate, 44, 214, 4, // Skip to: 2260
+/* 1022 */    MCD_OPC_CheckField, 21, 5, 17, 208, 4, // Skip to: 2260
+/* 1028 */    MCD_OPC_CheckField, 6, 5, 0, 202, 4, // Skip to: 2260
+/* 1034 */    MCD_OPC_Decode, 219, 3, 239, 1, // Opcode: C_F_D64
 /* 1039 */    MCD_OPC_FilterValue, 49, 21, 0, // Skip to: 1064
-/* 1043 */    MCD_OPC_CheckPredicate, 44, 187, 4, // Skip to: 2258
-/* 1047 */    MCD_OPC_CheckField, 21, 5, 17, 181, 4, // Skip to: 2258
-/* 1053 */    MCD_OPC_CheckField, 6, 5, 0, 175, 4, // Skip to: 2258
-/* 1059 */    MCD_OPC_Decode, 235, 3, 235, 1, // Opcode: C_UN_D64
+/* 1043 */    MCD_OPC_CheckPredicate, 44, 189, 4, // Skip to: 2260
+/* 1047 */    MCD_OPC_CheckField, 21, 5, 17, 183, 4, // Skip to: 2260
+/* 1053 */    MCD_OPC_CheckField, 6, 5, 0, 177, 4, // Skip to: 2260
+/* 1059 */    MCD_OPC_Decode, 133, 4, 239, 1, // Opcode: C_UN_D64
 /* 1064 */    MCD_OPC_FilterValue, 50, 21, 0, // Skip to: 1089
-/* 1068 */    MCD_OPC_CheckPredicate, 44, 162, 4, // Skip to: 2258
-/* 1072 */    MCD_OPC_CheckField, 21, 5, 17, 156, 4, // Skip to: 2258
-/* 1078 */    MCD_OPC_CheckField, 6, 5, 0, 150, 4, // Skip to: 2258
-/* 1084 */    MCD_OPC_Decode, 190, 3, 235, 1, // Opcode: C_EQ_D64
+/* 1068 */    MCD_OPC_CheckPredicate, 44, 164, 4, // Skip to: 2260
+/* 1072 */    MCD_OPC_CheckField, 21, 5, 17, 158, 4, // Skip to: 2260
+/* 1078 */    MCD_OPC_CheckField, 6, 5, 0, 152, 4, // Skip to: 2260
+/* 1084 */    MCD_OPC_Decode, 216, 3, 239, 1, // Opcode: C_EQ_D64
 /* 1089 */    MCD_OPC_FilterValue, 51, 21, 0, // Skip to: 1114
-/* 1093 */    MCD_OPC_CheckPredicate, 44, 137, 4, // Skip to: 2258
-/* 1097 */    MCD_OPC_CheckField, 21, 5, 17, 131, 4, // Skip to: 2258
-/* 1103 */    MCD_OPC_CheckField, 6, 5, 0, 125, 4, // Skip to: 2258
-/* 1109 */    MCD_OPC_Decode, 226, 3, 235, 1, // Opcode: C_UEQ_D64
+/* 1093 */    MCD_OPC_CheckPredicate, 44, 139, 4, // Skip to: 2260
+/* 1097 */    MCD_OPC_CheckField, 21, 5, 17, 133, 4, // Skip to: 2260
+/* 1103 */    MCD_OPC_CheckField, 6, 5, 0, 127, 4, // Skip to: 2260
+/* 1109 */    MCD_OPC_Decode, 252, 3, 239, 1, // Opcode: C_UEQ_D64
 /* 1114 */    MCD_OPC_FilterValue, 52, 21, 0, // Skip to: 1139
-/* 1118 */    MCD_OPC_CheckPredicate, 44, 112, 4, // Skip to: 2258
-/* 1122 */    MCD_OPC_CheckField, 21, 5, 17, 106, 4, // Skip to: 2258
-/* 1128 */    MCD_OPC_CheckField, 6, 5, 0, 100, 4, // Skip to: 2258
-/* 1134 */    MCD_OPC_Decode, 217, 3, 235, 1, // Opcode: C_OLT_D64
+/* 1118 */    MCD_OPC_CheckPredicate, 44, 114, 4, // Skip to: 2260
+/* 1122 */    MCD_OPC_CheckField, 21, 5, 17, 108, 4, // Skip to: 2260
+/* 1128 */    MCD_OPC_CheckField, 6, 5, 0, 102, 4, // Skip to: 2260
+/* 1134 */    MCD_OPC_Decode, 243, 3, 239, 1, // Opcode: C_OLT_D64
 /* 1139 */    MCD_OPC_FilterValue, 53, 21, 0, // Skip to: 1164
-/* 1143 */    MCD_OPC_CheckPredicate, 44, 87, 4, // Skip to: 2258
-/* 1147 */    MCD_OPC_CheckField, 21, 5, 17, 81, 4, // Skip to: 2258
-/* 1153 */    MCD_OPC_CheckField, 6, 5, 0, 75, 4, // Skip to: 2258
-/* 1159 */    MCD_OPC_Decode, 232, 3, 235, 1, // Opcode: C_ULT_D64
+/* 1143 */    MCD_OPC_CheckPredicate, 44, 89, 4, // Skip to: 2260
+/* 1147 */    MCD_OPC_CheckField, 21, 5, 17, 83, 4, // Skip to: 2260
+/* 1153 */    MCD_OPC_CheckField, 6, 5, 0, 77, 4, // Skip to: 2260
+/* 1159 */    MCD_OPC_Decode, 130, 4, 239, 1, // Opcode: C_ULT_D64
 /* 1164 */    MCD_OPC_FilterValue, 54, 21, 0, // Skip to: 1189
-/* 1168 */    MCD_OPC_CheckPredicate, 44, 62, 4, // Skip to: 2258
-/* 1172 */    MCD_OPC_CheckField, 21, 5, 17, 56, 4, // Skip to: 2258
-/* 1178 */    MCD_OPC_CheckField, 6, 5, 0, 50, 4, // Skip to: 2258
-/* 1184 */    MCD_OPC_Decode, 214, 3, 235, 1, // Opcode: C_OLE_D64
+/* 1168 */    MCD_OPC_CheckPredicate, 44, 64, 4, // Skip to: 2260
+/* 1172 */    MCD_OPC_CheckField, 21, 5, 17, 58, 4, // Skip to: 2260
+/* 1178 */    MCD_OPC_CheckField, 6, 5, 0, 52, 4, // Skip to: 2260
+/* 1184 */    MCD_OPC_Decode, 240, 3, 239, 1, // Opcode: C_OLE_D64
 /* 1189 */    MCD_OPC_FilterValue, 55, 21, 0, // Skip to: 1214
-/* 1193 */    MCD_OPC_CheckPredicate, 44, 37, 4, // Skip to: 2258
-/* 1197 */    MCD_OPC_CheckField, 21, 5, 17, 31, 4, // Skip to: 2258
-/* 1203 */    MCD_OPC_CheckField, 6, 5, 0, 25, 4, // Skip to: 2258
-/* 1209 */    MCD_OPC_Decode, 229, 3, 235, 1, // Opcode: C_ULE_D64
+/* 1193 */    MCD_OPC_CheckPredicate, 44, 39, 4, // Skip to: 2260
+/* 1197 */    MCD_OPC_CheckField, 21, 5, 17, 33, 4, // Skip to: 2260
+/* 1203 */    MCD_OPC_CheckField, 6, 5, 0, 27, 4, // Skip to: 2260
+/* 1209 */    MCD_OPC_Decode, 255, 3, 239, 1, // Opcode: C_ULE_D64
 /* 1214 */    MCD_OPC_FilterValue, 56, 21, 0, // Skip to: 1239
-/* 1218 */    MCD_OPC_CheckPredicate, 44, 12, 4, // Skip to: 2258
-/* 1222 */    MCD_OPC_CheckField, 21, 5, 17, 6, 4, // Skip to: 2258
-/* 1228 */    MCD_OPC_CheckField, 6, 5, 0, 0, 4, // Skip to: 2258
-/* 1234 */    MCD_OPC_Decode, 223, 3, 235, 1, // Opcode: C_SF_D64
+/* 1218 */    MCD_OPC_CheckPredicate, 44, 14, 4, // Skip to: 2260
+/* 1222 */    MCD_OPC_CheckField, 21, 5, 17, 8, 4, // Skip to: 2260
+/* 1228 */    MCD_OPC_CheckField, 6, 5, 0, 2, 4, // Skip to: 2260
+/* 1234 */    MCD_OPC_Decode, 249, 3, 239, 1, // Opcode: C_SF_D64
 /* 1239 */    MCD_OPC_FilterValue, 57, 21, 0, // Skip to: 1264
-/* 1243 */    MCD_OPC_CheckPredicate, 44, 243, 3, // Skip to: 2258
-/* 1247 */    MCD_OPC_CheckField, 21, 5, 17, 237, 3, // Skip to: 2258
-/* 1253 */    MCD_OPC_CheckField, 6, 5, 0, 231, 3, // Skip to: 2258
-/* 1259 */    MCD_OPC_Decode, 205, 3, 235, 1, // Opcode: C_NGLE_D64
+/* 1243 */    MCD_OPC_CheckPredicate, 44, 245, 3, // Skip to: 2260
+/* 1247 */    MCD_OPC_CheckField, 21, 5, 17, 239, 3, // Skip to: 2260
+/* 1253 */    MCD_OPC_CheckField, 6, 5, 0, 233, 3, // Skip to: 2260
+/* 1259 */    MCD_OPC_Decode, 231, 3, 239, 1, // Opcode: C_NGLE_D64
 /* 1264 */    MCD_OPC_FilterValue, 58, 21, 0, // Skip to: 1289
-/* 1268 */    MCD_OPC_CheckPredicate, 44, 218, 3, // Skip to: 2258
-/* 1272 */    MCD_OPC_CheckField, 21, 5, 17, 212, 3, // Skip to: 2258
-/* 1278 */    MCD_OPC_CheckField, 6, 5, 0, 206, 3, // Skip to: 2258
-/* 1284 */    MCD_OPC_Decode, 220, 3, 235, 1, // Opcode: C_SEQ_D64
+/* 1268 */    MCD_OPC_CheckPredicate, 44, 220, 3, // Skip to: 2260
+/* 1272 */    MCD_OPC_CheckField, 21, 5, 17, 214, 3, // Skip to: 2260
+/* 1278 */    MCD_OPC_CheckField, 6, 5, 0, 208, 3, // Skip to: 2260
+/* 1284 */    MCD_OPC_Decode, 246, 3, 239, 1, // Opcode: C_SEQ_D64
 /* 1289 */    MCD_OPC_FilterValue, 59, 21, 0, // Skip to: 1314
-/* 1293 */    MCD_OPC_CheckPredicate, 44, 193, 3, // Skip to: 2258
-/* 1297 */    MCD_OPC_CheckField, 21, 5, 17, 187, 3, // Skip to: 2258
-/* 1303 */    MCD_OPC_CheckField, 6, 5, 0, 181, 3, // Skip to: 2258
-/* 1309 */    MCD_OPC_Decode, 208, 3, 235, 1, // Opcode: C_NGL_D64
+/* 1293 */    MCD_OPC_CheckPredicate, 44, 195, 3, // Skip to: 2260
+/* 1297 */    MCD_OPC_CheckField, 21, 5, 17, 189, 3, // Skip to: 2260
+/* 1303 */    MCD_OPC_CheckField, 6, 5, 0, 183, 3, // Skip to: 2260
+/* 1309 */    MCD_OPC_Decode, 234, 3, 239, 1, // Opcode: C_NGL_D64
 /* 1314 */    MCD_OPC_FilterValue, 60, 21, 0, // Skip to: 1339
-/* 1318 */    MCD_OPC_CheckPredicate, 44, 168, 3, // Skip to: 2258
-/* 1322 */    MCD_OPC_CheckField, 21, 5, 17, 162, 3, // Skip to: 2258
-/* 1328 */    MCD_OPC_CheckField, 6, 5, 0, 156, 3, // Skip to: 2258
-/* 1334 */    MCD_OPC_Decode, 199, 3, 235, 1, // Opcode: C_LT_D64
+/* 1318 */    MCD_OPC_CheckPredicate, 44, 170, 3, // Skip to: 2260
+/* 1322 */    MCD_OPC_CheckField, 21, 5, 17, 164, 3, // Skip to: 2260
+/* 1328 */    MCD_OPC_CheckField, 6, 5, 0, 158, 3, // Skip to: 2260
+/* 1334 */    MCD_OPC_Decode, 225, 3, 239, 1, // Opcode: C_LT_D64
 /* 1339 */    MCD_OPC_FilterValue, 61, 21, 0, // Skip to: 1364
-/* 1343 */    MCD_OPC_CheckPredicate, 44, 143, 3, // Skip to: 2258
-/* 1347 */    MCD_OPC_CheckField, 21, 5, 17, 137, 3, // Skip to: 2258
-/* 1353 */    MCD_OPC_CheckField, 6, 5, 0, 131, 3, // Skip to: 2258
-/* 1359 */    MCD_OPC_Decode, 202, 3, 235, 1, // Opcode: C_NGE_D64
+/* 1343 */    MCD_OPC_CheckPredicate, 44, 145, 3, // Skip to: 2260
+/* 1347 */    MCD_OPC_CheckField, 21, 5, 17, 139, 3, // Skip to: 2260
+/* 1353 */    MCD_OPC_CheckField, 6, 5, 0, 133, 3, // Skip to: 2260
+/* 1359 */    MCD_OPC_Decode, 228, 3, 239, 1, // Opcode: C_NGE_D64
 /* 1364 */    MCD_OPC_FilterValue, 62, 21, 0, // Skip to: 1389
-/* 1368 */    MCD_OPC_CheckPredicate, 44, 118, 3, // Skip to: 2258
-/* 1372 */    MCD_OPC_CheckField, 21, 5, 17, 112, 3, // Skip to: 2258
-/* 1378 */    MCD_OPC_CheckField, 6, 5, 0, 106, 3, // Skip to: 2258
-/* 1384 */    MCD_OPC_Decode, 196, 3, 235, 1, // Opcode: C_LE_D64
-/* 1389 */    MCD_OPC_FilterValue, 63, 97, 3, // Skip to: 2258
-/* 1393 */    MCD_OPC_CheckPredicate, 44, 93, 3, // Skip to: 2258
-/* 1397 */    MCD_OPC_CheckField, 21, 5, 17, 87, 3, // Skip to: 2258
-/* 1403 */    MCD_OPC_CheckField, 6, 5, 0, 81, 3, // Skip to: 2258
-/* 1409 */    MCD_OPC_Decode, 211, 3, 235, 1, // Opcode: C_NGT_D64
+/* 1368 */    MCD_OPC_CheckPredicate, 44, 120, 3, // Skip to: 2260
+/* 1372 */    MCD_OPC_CheckField, 21, 5, 17, 114, 3, // Skip to: 2260
+/* 1378 */    MCD_OPC_CheckField, 6, 5, 0, 108, 3, // Skip to: 2260
+/* 1384 */    MCD_OPC_Decode, 222, 3, 239, 1, // Opcode: C_LE_D64
+/* 1389 */    MCD_OPC_FilterValue, 63, 99, 3, // Skip to: 2260
+/* 1393 */    MCD_OPC_CheckPredicate, 44, 95, 3, // Skip to: 2260
+/* 1397 */    MCD_OPC_CheckField, 21, 5, 17, 89, 3, // Skip to: 2260
+/* 1403 */    MCD_OPC_CheckField, 6, 5, 0, 83, 3, // Skip to: 2260
+/* 1409 */    MCD_OPC_Decode, 237, 3, 239, 1, // Opcode: C_NGT_D64
 /* 1414 */    MCD_OPC_FilterValue, 18, 41, 0, // Skip to: 1459
 /* 1418 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
 /* 1421 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 1440
-/* 1425 */    MCD_OPC_CheckPredicate, 39, 61, 3, // Skip to: 2258
-/* 1429 */    MCD_OPC_CheckField, 3, 8, 0, 55, 3, // Skip to: 2258
-/* 1435 */    MCD_OPC_Decode, 152, 4, 229, 1, // Opcode: DMFC2
-/* 1440 */    MCD_OPC_FilterValue, 5, 46, 3, // Skip to: 2258
-/* 1444 */    MCD_OPC_CheckPredicate, 39, 42, 3, // Skip to: 2258
-/* 1448 */    MCD_OPC_CheckField, 3, 8, 0, 36, 3, // Skip to: 2258
-/* 1454 */    MCD_OPC_Decode, 157, 4, 229, 1, // Opcode: DMTC2
+/* 1425 */    MCD_OPC_CheckPredicate, 39, 63, 3, // Skip to: 2260
+/* 1429 */    MCD_OPC_CheckField, 3, 8, 0, 57, 3, // Skip to: 2260
+/* 1435 */    MCD_OPC_Decode, 178, 4, 233, 1, // Opcode: DMFC2
+/* 1440 */    MCD_OPC_FilterValue, 5, 48, 3, // Skip to: 2260
+/* 1444 */    MCD_OPC_CheckPredicate, 39, 44, 3, // Skip to: 2260
+/* 1448 */    MCD_OPC_CheckField, 3, 8, 0, 38, 3, // Skip to: 2260
+/* 1454 */    MCD_OPC_Decode, 183, 4, 233, 1, // Opcode: DMTC2
 /* 1459 */    MCD_OPC_FilterValue, 19, 79, 0, // Skip to: 1542
 /* 1463 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 1466 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 1485
-/* 1470 */    MCD_OPC_CheckPredicate, 45, 16, 3, // Skip to: 2258
-/* 1474 */    MCD_OPC_CheckField, 11, 5, 0, 10, 3, // Skip to: 2258
-/* 1480 */    MCD_OPC_Decode, 247, 6, 236, 1, // Opcode: LDXC164
+/* 1470 */    MCD_OPC_CheckPredicate, 45, 18, 3, // Skip to: 2260
+/* 1474 */    MCD_OPC_CheckField, 11, 5, 0, 12, 3, // Skip to: 2260
+/* 1480 */    MCD_OPC_Decode, 148, 7, 240, 1, // Opcode: LDXC164
 /* 1485 */    MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 1504
-/* 1489 */    MCD_OPC_CheckPredicate, 46, 253, 2, // Skip to: 2258
-/* 1493 */    MCD_OPC_CheckField, 11, 5, 0, 247, 2, // Skip to: 2258
-/* 1499 */    MCD_OPC_Decode, 149, 7, 236, 1, // Opcode: LUXC164
+/* 1489 */    MCD_OPC_CheckPredicate, 46, 255, 2, // Skip to: 2260
+/* 1493 */    MCD_OPC_CheckField, 11, 5, 0, 249, 2, // Skip to: 2260
+/* 1499 */    MCD_OPC_Decode, 178, 7, 240, 1, // Opcode: LUXC164
 /* 1504 */    MCD_OPC_FilterValue, 9, 15, 0, // Skip to: 1523
-/* 1508 */    MCD_OPC_CheckPredicate, 45, 234, 2, // Skip to: 2258
-/* 1512 */    MCD_OPC_CheckField, 6, 5, 0, 228, 2, // Skip to: 2258
-/* 1518 */    MCD_OPC_Decode, 204, 10, 237, 1, // Opcode: SDXC164
-/* 1523 */    MCD_OPC_FilterValue, 13, 219, 2, // Skip to: 2258
-/* 1527 */    MCD_OPC_CheckPredicate, 46, 215, 2, // Skip to: 2258
-/* 1531 */    MCD_OPC_CheckField, 6, 5, 0, 209, 2, // Skip to: 2258
-/* 1537 */    MCD_OPC_Decode, 137, 12, 237, 1, // Opcode: SUXC164
+/* 1508 */    MCD_OPC_CheckPredicate, 45, 236, 2, // Skip to: 2260
+/* 1512 */    MCD_OPC_CheckField, 6, 5, 0, 230, 2, // Skip to: 2260
+/* 1518 */    MCD_OPC_Decode, 233, 10, 241, 1, // Opcode: SDXC164
+/* 1523 */    MCD_OPC_FilterValue, 13, 221, 2, // Skip to: 2260
+/* 1527 */    MCD_OPC_CheckPredicate, 46, 217, 2, // Skip to: 2260
+/* 1531 */    MCD_OPC_CheckField, 6, 5, 0, 211, 2, // Skip to: 2260
+/* 1537 */    MCD_OPC_Decode, 166, 12, 241, 1, // Opcode: SUXC164
 /* 1542 */    MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 1555
-/* 1546 */    MCD_OPC_CheckPredicate, 38, 196, 2, // Skip to: 2258
-/* 1550 */    MCD_OPC_Decode, 242, 3, 238, 1, // Opcode: DADDi
+/* 1546 */    MCD_OPC_CheckPredicate, 38, 198, 2, // Skip to: 2260
+/* 1550 */    MCD_OPC_Decode, 140, 4, 242, 1, // Opcode: DADDi
 /* 1555 */    MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 1568
-/* 1559 */    MCD_OPC_CheckPredicate, 17, 183, 2, // Skip to: 2258
-/* 1563 */    MCD_OPC_Decode, 243, 3, 238, 1, // Opcode: DADDiu
+/* 1559 */    MCD_OPC_CheckPredicate, 17, 185, 2, // Skip to: 2260
+/* 1563 */    MCD_OPC_Decode, 141, 4, 242, 1, // Opcode: DADDiu
 /* 1568 */    MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 1581
-/* 1572 */    MCD_OPC_CheckPredicate, 38, 170, 2, // Skip to: 2258
-/* 1576 */    MCD_OPC_Decode, 243, 6, 192, 1, // Opcode: LDL
+/* 1572 */    MCD_OPC_CheckPredicate, 38, 172, 2, // Skip to: 2260
+/* 1576 */    MCD_OPC_Decode, 144, 7, 194, 1, // Opcode: LDL
 /* 1581 */    MCD_OPC_FilterValue, 27, 9, 0, // Skip to: 1594
-/* 1585 */    MCD_OPC_CheckPredicate, 38, 157, 2, // Skip to: 2258
-/* 1589 */    MCD_OPC_Decode, 245, 6, 192, 1, // Opcode: LDR
+/* 1585 */    MCD_OPC_CheckPredicate, 38, 159, 2, // Skip to: 2260
+/* 1589 */    MCD_OPC_Decode, 146, 7, 194, 1, // Opcode: LDR
 /* 1594 */    MCD_OPC_FilterValue, 28, 159, 1, // Skip to: 2013
 /* 1598 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 1601 */    MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 1620
-/* 1605 */    MCD_OPC_CheckPredicate, 47, 137, 2, // Skip to: 2258
-/* 1609 */    MCD_OPC_CheckField, 6, 5, 0, 131, 2, // Skip to: 2258
-/* 1615 */    MCD_OPC_Decode, 160, 4, 197, 1, // Opcode: DMUL
+/* 1605 */    MCD_OPC_CheckPredicate, 47, 139, 2, // Skip to: 2260
+/* 1609 */    MCD_OPC_CheckField, 6, 5, 0, 133, 2, // Skip to: 2260
+/* 1615 */    MCD_OPC_Decode, 186, 4, 201, 1, // Opcode: DMUL
 /* 1620 */    MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 1639
-/* 1624 */    MCD_OPC_CheckPredicate, 47, 118, 2, // Skip to: 2258
-/* 1628 */    MCD_OPC_CheckField, 6, 15, 0, 112, 2, // Skip to: 2258
-/* 1634 */    MCD_OPC_Decode, 245, 8, 239, 1, // Opcode: MTM0
+/* 1624 */    MCD_OPC_CheckPredicate, 47, 120, 2, // Skip to: 2260
+/* 1628 */    MCD_OPC_CheckField, 6, 15, 0, 114, 2, // Skip to: 2260
+/* 1634 */    MCD_OPC_Decode, 146, 9, 243, 1, // Opcode: MTM0
 /* 1639 */    MCD_OPC_FilterValue, 9, 15, 0, // Skip to: 1658
-/* 1643 */    MCD_OPC_CheckPredicate, 47, 99, 2, // Skip to: 2258
-/* 1647 */    MCD_OPC_CheckField, 6, 15, 0, 93, 2, // Skip to: 2258
-/* 1653 */    MCD_OPC_Decode, 248, 8, 239, 1, // Opcode: MTP0
+/* 1643 */    MCD_OPC_CheckPredicate, 47, 101, 2, // Skip to: 2260
+/* 1647 */    MCD_OPC_CheckField, 6, 15, 0, 95, 2, // Skip to: 2260
+/* 1653 */    MCD_OPC_Decode, 149, 9, 243, 1, // Opcode: MTP0
 /* 1658 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 1677
-/* 1662 */    MCD_OPC_CheckPredicate, 47, 80, 2, // Skip to: 2258
-/* 1666 */    MCD_OPC_CheckField, 6, 15, 0, 74, 2, // Skip to: 2258
-/* 1672 */    MCD_OPC_Decode, 249, 8, 239, 1, // Opcode: MTP1
+/* 1662 */    MCD_OPC_CheckPredicate, 47, 82, 2, // Skip to: 2260
+/* 1666 */    MCD_OPC_CheckField, 6, 15, 0, 76, 2, // Skip to: 2260
+/* 1672 */    MCD_OPC_Decode, 150, 9, 243, 1, // Opcode: MTP1
 /* 1677 */    MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 1696
-/* 1681 */    MCD_OPC_CheckPredicate, 47, 61, 2, // Skip to: 2258
-/* 1685 */    MCD_OPC_CheckField, 6, 15, 0, 55, 2, // Skip to: 2258
-/* 1691 */    MCD_OPC_Decode, 250, 8, 239, 1, // Opcode: MTP2
+/* 1681 */    MCD_OPC_CheckPredicate, 47, 63, 2, // Skip to: 2260
+/* 1685 */    MCD_OPC_CheckField, 6, 15, 0, 57, 2, // Skip to: 2260
+/* 1691 */    MCD_OPC_Decode, 151, 9, 243, 1, // Opcode: MTP2
 /* 1696 */    MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 1715
-/* 1700 */    MCD_OPC_CheckPredicate, 47, 42, 2, // Skip to: 2258
-/* 1704 */    MCD_OPC_CheckField, 6, 15, 0, 36, 2, // Skip to: 2258
-/* 1710 */    MCD_OPC_Decode, 246, 8, 239, 1, // Opcode: MTM1
+/* 1700 */    MCD_OPC_CheckPredicate, 47, 44, 2, // Skip to: 2260
+/* 1704 */    MCD_OPC_CheckField, 6, 15, 0, 38, 2, // Skip to: 2260
+/* 1710 */    MCD_OPC_Decode, 147, 9, 243, 1, // Opcode: MTM1
 /* 1715 */    MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 1734
-/* 1719 */    MCD_OPC_CheckPredicate, 47, 23, 2, // Skip to: 2258
-/* 1723 */    MCD_OPC_CheckField, 6, 15, 0, 17, 2, // Skip to: 2258
-/* 1729 */    MCD_OPC_Decode, 247, 8, 239, 1, // Opcode: MTM2
+/* 1719 */    MCD_OPC_CheckPredicate, 47, 25, 2, // Skip to: 2260
+/* 1723 */    MCD_OPC_CheckField, 6, 15, 0, 19, 2, // Skip to: 2260
+/* 1729 */    MCD_OPC_Decode, 148, 9, 243, 1, // Opcode: MTM2
 /* 1734 */    MCD_OPC_FilterValue, 15, 15, 0, // Skip to: 1753
-/* 1738 */    MCD_OPC_CheckPredicate, 47, 4, 2, // Skip to: 2258
-/* 1742 */    MCD_OPC_CheckField, 6, 5, 0, 254, 1, // Skip to: 2258
-/* 1748 */    MCD_OPC_Decode, 247, 12, 197, 1, // Opcode: VMULU
+/* 1738 */    MCD_OPC_CheckPredicate, 47, 6, 2, // Skip to: 2260
+/* 1742 */    MCD_OPC_CheckField, 6, 5, 0, 0, 2, // Skip to: 2260
+/* 1748 */    MCD_OPC_Decode, 152, 13, 201, 1, // Opcode: VMULU
 /* 1753 */    MCD_OPC_FilterValue, 16, 15, 0, // Skip to: 1772
-/* 1757 */    MCD_OPC_CheckPredicate, 47, 241, 1, // Skip to: 2258
-/* 1761 */    MCD_OPC_CheckField, 6, 5, 0, 235, 1, // Skip to: 2258
-/* 1767 */    MCD_OPC_Decode, 246, 12, 197, 1, // Opcode: VMM0
+/* 1757 */    MCD_OPC_CheckPredicate, 47, 243, 1, // Skip to: 2260
+/* 1761 */    MCD_OPC_CheckField, 6, 5, 0, 237, 1, // Skip to: 2260
+/* 1767 */    MCD_OPC_Decode, 151, 13, 201, 1, // Opcode: VMM0
 /* 1772 */    MCD_OPC_FilterValue, 17, 15, 0, // Skip to: 1791
-/* 1776 */    MCD_OPC_CheckPredicate, 47, 222, 1, // Skip to: 2258
-/* 1780 */    MCD_OPC_CheckField, 6, 5, 0, 216, 1, // Skip to: 2258
-/* 1786 */    MCD_OPC_Decode, 245, 12, 197, 1, // Opcode: V3MULU
+/* 1776 */    MCD_OPC_CheckPredicate, 47, 224, 1, // Skip to: 2260
+/* 1780 */    MCD_OPC_CheckField, 6, 5, 0, 218, 1, // Skip to: 2260
+/* 1786 */    MCD_OPC_Decode, 150, 13, 201, 1, // Opcode: V3MULU
 /* 1791 */    MCD_OPC_FilterValue, 36, 15, 0, // Skip to: 1810
-/* 1795 */    MCD_OPC_CheckPredicate, 48, 203, 1, // Skip to: 2258
-/* 1799 */    MCD_OPC_CheckField, 6, 5, 0, 197, 1, // Skip to: 2258
-/* 1805 */    MCD_OPC_Decode, 252, 3, 240, 1, // Opcode: DCLZ
+/* 1795 */    MCD_OPC_CheckPredicate, 48, 205, 1, // Skip to: 2260
+/* 1799 */    MCD_OPC_CheckField, 6, 5, 0, 199, 1, // Skip to: 2260
+/* 1805 */    MCD_OPC_Decode, 150, 4, 244, 1, // Opcode: DCLZ
 /* 1810 */    MCD_OPC_FilterValue, 37, 15, 0, // Skip to: 1829
-/* 1814 */    MCD_OPC_CheckPredicate, 48, 184, 1, // Skip to: 2258
-/* 1818 */    MCD_OPC_CheckField, 6, 5, 0, 178, 1, // Skip to: 2258
-/* 1824 */    MCD_OPC_Decode, 250, 3, 240, 1, // Opcode: DCLO
+/* 1814 */    MCD_OPC_CheckPredicate, 48, 186, 1, // Skip to: 2260
+/* 1818 */    MCD_OPC_CheckField, 6, 5, 0, 180, 1, // Skip to: 2260
+/* 1824 */    MCD_OPC_Decode, 148, 4, 244, 1, // Opcode: DCLO
 /* 1829 */    MCD_OPC_FilterValue, 40, 15, 0, // Skip to: 1848
-/* 1833 */    MCD_OPC_CheckPredicate, 47, 165, 1, // Skip to: 2258
-/* 1837 */    MCD_OPC_CheckField, 6, 5, 0, 159, 1, // Skip to: 2258
-/* 1843 */    MCD_OPC_Decode, 155, 1, 197, 1, // Opcode: BADDu
+/* 1833 */    MCD_OPC_CheckPredicate, 47, 167, 1, // Skip to: 2260
+/* 1837 */    MCD_OPC_CheckField, 6, 5, 0, 161, 1, // Skip to: 2260
+/* 1843 */    MCD_OPC_Decode, 155, 1, 201, 1, // Opcode: BADDu
 /* 1848 */    MCD_OPC_FilterValue, 42, 15, 0, // Skip to: 1867
-/* 1852 */    MCD_OPC_CheckPredicate, 47, 146, 1, // Skip to: 2258
-/* 1856 */    MCD_OPC_CheckField, 6, 5, 0, 140, 1, // Skip to: 2258
-/* 1862 */    MCD_OPC_Decode, 221, 10, 197, 1, // Opcode: SEQ
+/* 1852 */    MCD_OPC_CheckPredicate, 47, 148, 1, // Skip to: 2260
+/* 1856 */    MCD_OPC_CheckField, 6, 5, 0, 142, 1, // Skip to: 2260
+/* 1862 */    MCD_OPC_Decode, 250, 10, 201, 1, // Opcode: SEQ
 /* 1867 */    MCD_OPC_FilterValue, 43, 15, 0, // Skip to: 1886
-/* 1871 */    MCD_OPC_CheckPredicate, 47, 127, 1, // Skip to: 2258
-/* 1875 */    MCD_OPC_CheckField, 6, 5, 0, 121, 1, // Skip to: 2258
-/* 1881 */    MCD_OPC_Decode, 159, 11, 197, 1, // Opcode: SNE
+/* 1871 */    MCD_OPC_CheckPredicate, 47, 129, 1, // Skip to: 2260
+/* 1875 */    MCD_OPC_CheckField, 6, 5, 0, 123, 1, // Skip to: 2260
+/* 1881 */    MCD_OPC_Decode, 188, 11, 201, 1, // Opcode: SNE
 /* 1886 */    MCD_OPC_FilterValue, 44, 20, 0, // Skip to: 1910
-/* 1890 */    MCD_OPC_CheckPredicate, 47, 108, 1, // Skip to: 2258
-/* 1894 */    MCD_OPC_CheckField, 16, 5, 0, 102, 1, // Skip to: 2258
-/* 1900 */    MCD_OPC_CheckField, 6, 5, 0, 96, 1, // Skip to: 2258
-/* 1906 */    MCD_OPC_Decode, 220, 9, 39, // Opcode: POP
+/* 1890 */    MCD_OPC_CheckPredicate, 47, 110, 1, // Skip to: 2260
+/* 1894 */    MCD_OPC_CheckField, 16, 5, 0, 104, 1, // Skip to: 2260
+/* 1900 */    MCD_OPC_CheckField, 6, 5, 0, 98, 1, // Skip to: 2260
+/* 1906 */    MCD_OPC_Decode, 249, 9, 40, // Opcode: POP
 /* 1910 */    MCD_OPC_FilterValue, 45, 21, 0, // Skip to: 1935
-/* 1914 */    MCD_OPC_CheckPredicate, 47, 84, 1, // Skip to: 2258
-/* 1918 */    MCD_OPC_CheckField, 16, 5, 0, 78, 1, // Skip to: 2258
-/* 1924 */    MCD_OPC_CheckField, 6, 5, 0, 72, 1, // Skip to: 2258
-/* 1930 */    MCD_OPC_Decode, 185, 4, 195, 1, // Opcode: DPOP
+/* 1914 */    MCD_OPC_CheckPredicate, 47, 86, 1, // Skip to: 2260
+/* 1918 */    MCD_OPC_CheckField, 16, 5, 0, 80, 1, // Skip to: 2260
+/* 1924 */    MCD_OPC_CheckField, 6, 5, 0, 74, 1, // Skip to: 2260
+/* 1930 */    MCD_OPC_Decode, 211, 4, 199, 1, // Opcode: DPOP
 /* 1935 */    MCD_OPC_FilterValue, 46, 9, 0, // Skip to: 1948
-/* 1939 */    MCD_OPC_CheckPredicate, 47, 59, 1, // Skip to: 2258
-/* 1943 */    MCD_OPC_Decode, 222, 10, 241, 1, // Opcode: SEQi
+/* 1939 */    MCD_OPC_CheckPredicate, 47, 61, 1, // Skip to: 2260
+/* 1943 */    MCD_OPC_Decode, 251, 10, 245, 1, // Opcode: SEQi
 /* 1948 */    MCD_OPC_FilterValue, 47, 9, 0, // Skip to: 1961
-/* 1952 */    MCD_OPC_CheckPredicate, 47, 46, 1, // Skip to: 2258
-/* 1956 */    MCD_OPC_Decode, 160, 11, 241, 1, // Opcode: SNEi
+/* 1952 */    MCD_OPC_CheckPredicate, 47, 48, 1, // Skip to: 2260
+/* 1956 */    MCD_OPC_Decode, 189, 11, 245, 1, // Opcode: SNEi
 /* 1961 */    MCD_OPC_FilterValue, 50, 9, 0, // Skip to: 1974
-/* 1965 */    MCD_OPC_CheckPredicate, 47, 33, 1, // Skip to: 2258
-/* 1969 */    MCD_OPC_Decode, 195, 2, 242, 1, // Opcode: CINS
+/* 1965 */    MCD_OPC_CheckPredicate, 47, 35, 1, // Skip to: 2260
+/* 1969 */    MCD_OPC_Decode, 221, 2, 246, 1, // Opcode: CINS
 /* 1974 */    MCD_OPC_FilterValue, 51, 9, 0, // Skip to: 1987
-/* 1978 */    MCD_OPC_CheckPredicate, 47, 20, 1, // Skip to: 2258
-/* 1982 */    MCD_OPC_Decode, 196, 2, 242, 1, // Opcode: CINS32
+/* 1978 */    MCD_OPC_CheckPredicate, 47, 22, 1, // Skip to: 2260
+/* 1982 */    MCD_OPC_Decode, 222, 2, 246, 1, // Opcode: CINS32
 /* 1987 */    MCD_OPC_FilterValue, 58, 9, 0, // Skip to: 2000
-/* 1991 */    MCD_OPC_CheckPredicate, 47, 7, 1, // Skip to: 2258
-/* 1995 */    MCD_OPC_Decode, 239, 4, 242, 1, // Opcode: EXTS
-/* 2000 */    MCD_OPC_FilterValue, 59, 254, 0, // Skip to: 2258
-/* 2004 */    MCD_OPC_CheckPredicate, 47, 250, 0, // Skip to: 2258
-/* 2008 */    MCD_OPC_Decode, 240, 4, 242, 1, // Opcode: EXTS32
+/* 1991 */    MCD_OPC_CheckPredicate, 47, 9, 1, // Skip to: 2260
+/* 1995 */    MCD_OPC_Decode, 137, 5, 246, 1, // Opcode: EXTS
+/* 2000 */    MCD_OPC_FilterValue, 59, 0, 1, // Skip to: 2260
+/* 2004 */    MCD_OPC_CheckPredicate, 47, 252, 0, // Skip to: 2260
+/* 2008 */    MCD_OPC_Decode, 138, 5, 246, 1, // Opcode: EXTS32
 /* 2013 */    MCD_OPC_FilterValue, 31, 126, 0, // Skip to: 2143
 /* 2017 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 2020 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 2033
-/* 2024 */    MCD_OPC_CheckPredicate, 4, 230, 0, // Skip to: 2258
-/* 2028 */    MCD_OPC_Decode, 131, 4, 243, 1, // Opcode: DEXTM
+/* 2024 */    MCD_OPC_CheckPredicate, 4, 232, 0, // Skip to: 2260
+/* 2028 */    MCD_OPC_Decode, 157, 4, 247, 1, // Opcode: DEXTM
 /* 2033 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 2046
-/* 2037 */    MCD_OPC_CheckPredicate, 4, 217, 0, // Skip to: 2258
-/* 2041 */    MCD_OPC_Decode, 132, 4, 243, 1, // Opcode: DEXTU
+/* 2037 */    MCD_OPC_CheckPredicate, 4, 219, 0, // Skip to: 2260
+/* 2041 */    MCD_OPC_Decode, 158, 4, 247, 1, // Opcode: DEXTU
 /* 2046 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 2059
-/* 2050 */    MCD_OPC_CheckPredicate, 4, 204, 0, // Skip to: 2258
-/* 2054 */    MCD_OPC_Decode, 130, 4, 243, 1, // Opcode: DEXT
+/* 2050 */    MCD_OPC_CheckPredicate, 4, 206, 0, // Skip to: 2260
+/* 2054 */    MCD_OPC_Decode, 156, 4, 247, 1, // Opcode: DEXT
 /* 2059 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 2072
-/* 2063 */    MCD_OPC_CheckPredicate, 4, 191, 0, // Skip to: 2258
-/* 2067 */    MCD_OPC_Decode, 135, 4, 244, 1, // Opcode: DINSM
+/* 2063 */    MCD_OPC_CheckPredicate, 4, 193, 0, // Skip to: 2260
+/* 2067 */    MCD_OPC_Decode, 161, 4, 248, 1, // Opcode: DINSM
 /* 2072 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 2085
-/* 2076 */    MCD_OPC_CheckPredicate, 4, 178, 0, // Skip to: 2258
-/* 2080 */    MCD_OPC_Decode, 136, 4, 244, 1, // Opcode: DINSU
+/* 2076 */    MCD_OPC_CheckPredicate, 4, 180, 0, // Skip to: 2260
+/* 2080 */    MCD_OPC_Decode, 162, 4, 248, 1, // Opcode: DINSU
 /* 2085 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 2098
-/* 2089 */    MCD_OPC_CheckPredicate, 4, 165, 0, // Skip to: 2258
-/* 2093 */    MCD_OPC_Decode, 134, 4, 244, 1, // Opcode: DINS
-/* 2098 */    MCD_OPC_FilterValue, 36, 156, 0, // Skip to: 2258
+/* 2089 */    MCD_OPC_CheckPredicate, 4, 167, 0, // Skip to: 2260
+/* 2093 */    MCD_OPC_Decode, 160, 4, 248, 1, // Opcode: DINS
+/* 2098 */    MCD_OPC_FilterValue, 36, 158, 0, // Skip to: 2260
 /* 2102 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 2105 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 2124
-/* 2109 */    MCD_OPC_CheckPredicate, 37, 145, 0, // Skip to: 2258
-/* 2113 */    MCD_OPC_CheckField, 21, 5, 0, 139, 0, // Skip to: 2258
-/* 2119 */    MCD_OPC_Decode, 203, 4, 216, 1, // Opcode: DSBH
-/* 2124 */    MCD_OPC_FilterValue, 5, 130, 0, // Skip to: 2258
-/* 2128 */    MCD_OPC_CheckPredicate, 37, 126, 0, // Skip to: 2258
-/* 2132 */    MCD_OPC_CheckField, 21, 5, 0, 120, 0, // Skip to: 2258
-/* 2138 */    MCD_OPC_Decode, 205, 4, 216, 1, // Opcode: DSHD
+/* 2109 */    MCD_OPC_CheckPredicate, 37, 147, 0, // Skip to: 2260
+/* 2113 */    MCD_OPC_CheckField, 21, 5, 0, 141, 0, // Skip to: 2260
+/* 2119 */    MCD_OPC_Decode, 229, 4, 220, 1, // Opcode: DSBH
+/* 2124 */    MCD_OPC_FilterValue, 5, 132, 0, // Skip to: 2260
+/* 2128 */    MCD_OPC_CheckPredicate, 37, 128, 0, // Skip to: 2260
+/* 2132 */    MCD_OPC_CheckField, 21, 5, 0, 122, 0, // Skip to: 2260
+/* 2138 */    MCD_OPC_Decode, 231, 4, 220, 1, // Opcode: DSHD
 /* 2143 */    MCD_OPC_FilterValue, 39, 9, 0, // Skip to: 2156
-/* 2147 */    MCD_OPC_CheckPredicate, 17, 107, 0, // Skip to: 2258
-/* 2151 */    MCD_OPC_Decode, 174, 7, 192, 1, // Opcode: LWu
+/* 2147 */    MCD_OPC_CheckPredicate, 17, 109, 0, // Skip to: 2260
+/* 2151 */    MCD_OPC_Decode, 203, 7, 194, 1, // Opcode: LWu
 /* 2156 */    MCD_OPC_FilterValue, 44, 9, 0, // Skip to: 2169
-/* 2160 */    MCD_OPC_CheckPredicate, 38, 94, 0, // Skip to: 2258
-/* 2164 */    MCD_OPC_Decode, 201, 10, 192, 1, // Opcode: SDL
+/* 2160 */    MCD_OPC_CheckPredicate, 38, 96, 0, // Skip to: 2260
+/* 2164 */    MCD_OPC_Decode, 230, 10, 194, 1, // Opcode: SDL
 /* 2169 */    MCD_OPC_FilterValue, 45, 9, 0, // Skip to: 2182
-/* 2173 */    MCD_OPC_CheckPredicate, 38, 81, 0, // Skip to: 2258
-/* 2177 */    MCD_OPC_Decode, 202, 10, 192, 1, // Opcode: SDR
+/* 2173 */    MCD_OPC_CheckPredicate, 38, 83, 0, // Skip to: 2260
+/* 2177 */    MCD_OPC_Decode, 231, 10, 194, 1, // Opcode: SDR
 /* 2182 */    MCD_OPC_FilterValue, 52, 9, 0, // Skip to: 2195
-/* 2186 */    MCD_OPC_CheckPredicate, 38, 68, 0, // Skip to: 2258
-/* 2190 */    MCD_OPC_Decode, 135, 7, 192, 1, // Opcode: LLD
-/* 2195 */    MCD_OPC_FilterValue, 53, 8, 0, // Skip to: 2207
-/* 2199 */    MCD_OPC_CheckPredicate, 49, 55, 0, // Skip to: 2258
-/* 2203 */    MCD_OPC_Decode, 234, 6, 10, // Opcode: LDC164
-/* 2207 */    MCD_OPC_FilterValue, 55, 9, 0, // Skip to: 2220
-/* 2211 */    MCD_OPC_CheckPredicate, 17, 43, 0, // Skip to: 2258
-/* 2215 */    MCD_OPC_Decode, 232, 6, 192, 1, // Opcode: LD
-/* 2220 */    MCD_OPC_FilterValue, 60, 9, 0, // Skip to: 2233
-/* 2224 */    MCD_OPC_CheckPredicate, 38, 30, 0, // Skip to: 2258
-/* 2228 */    MCD_OPC_Decode, 186, 10, 192, 1, // Opcode: SCD
-/* 2233 */    MCD_OPC_FilterValue, 61, 8, 0, // Skip to: 2245
-/* 2237 */    MCD_OPC_CheckPredicate, 49, 17, 0, // Skip to: 2258
-/* 2241 */    MCD_OPC_Decode, 194, 10, 10, // Opcode: SDC164
-/* 2245 */    MCD_OPC_FilterValue, 63, 9, 0, // Skip to: 2258
-/* 2249 */    MCD_OPC_CheckPredicate, 17, 5, 0, // Skip to: 2258
-/* 2253 */    MCD_OPC_Decode, 190, 10, 192, 1, // Opcode: SD
-/* 2258 */    MCD_OPC_Fail,
+/* 2186 */    MCD_OPC_CheckPredicate, 38, 70, 0, // Skip to: 2260
+/* 2190 */    MCD_OPC_Decode, 164, 7, 194, 1, // Opcode: LLD
+/* 2195 */    MCD_OPC_FilterValue, 53, 9, 0, // Skip to: 2208
+/* 2199 */    MCD_OPC_CheckPredicate, 49, 57, 0, // Skip to: 2260
+/* 2203 */    MCD_OPC_Decode, 135, 7, 196, 1, // Opcode: LDC164
+/* 2208 */    MCD_OPC_FilterValue, 55, 9, 0, // Skip to: 2221
+/* 2212 */    MCD_OPC_CheckPredicate, 17, 44, 0, // Skip to: 2260
+/* 2216 */    MCD_OPC_Decode, 133, 7, 194, 1, // Opcode: LD
+/* 2221 */    MCD_OPC_FilterValue, 60, 9, 0, // Skip to: 2234
+/* 2225 */    MCD_OPC_CheckPredicate, 38, 31, 0, // Skip to: 2260
+/* 2229 */    MCD_OPC_Decode, 215, 10, 194, 1, // Opcode: SCD
+/* 2234 */    MCD_OPC_FilterValue, 61, 9, 0, // Skip to: 2247
+/* 2238 */    MCD_OPC_CheckPredicate, 49, 18, 0, // Skip to: 2260
+/* 2242 */    MCD_OPC_Decode, 223, 10, 196, 1, // Opcode: SDC164
+/* 2247 */    MCD_OPC_FilterValue, 63, 9, 0, // Skip to: 2260
+/* 2251 */    MCD_OPC_CheckPredicate, 17, 5, 0, // Skip to: 2260
+/* 2255 */    MCD_OPC_Decode, 219, 10, 194, 1, // Opcode: SD
+/* 2260 */    MCD_OPC_Fail,
   0
 };
 
@@ -4663,7 +4757,7 @@
     if (DecodeCPU16RegsRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 10: \
-    if (DecodeFMem(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeCOP3Mem(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 11: \
     tmp = fieldname(insn, 5, 5); \
@@ -4676,6 +4770,10 @@
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 13: \
+    tmp = fieldname(insn, 0, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 14: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4683,13 +4781,13 @@
     tmp = fieldname(insn, 11, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 14: \
+  case 15: \
     tmp = fieldname(insn, 16, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 6, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 15: \
+  case 16: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4701,21 +4799,13 @@
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 16: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 17: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 18: \
     tmp = fieldname(insn, 11, 5); \
@@ -4724,10 +4814,18 @@
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 19: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 19: \
+  case 20: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4737,7 +4835,7 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeExtSize(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 20: \
+  case 21: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4745,31 +4843,31 @@
     tmp = fieldname(insn, 12, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 21: \
+  case 22: \
     tmp = fieldname(insn, 16, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 22: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 23: \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 24: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 25: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 25: \
+  case 26: \
     tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 26: \
+  case 27: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4777,24 +4875,16 @@
     tmp = fieldname(insn, 0, 16); \
     if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 27: \
-    if (DecodeMemMMImm16(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 28: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 16); \
-    if (DecodeBranchTargetMM(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMemMMImm16(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 29: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (DecodeBranchTargetMM(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 30: \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
@@ -4805,15 +4895,26 @@
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 16); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 32: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 13, 3); \
     if (DecodeFCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 32: \
+  case 33: \
     if (DecodeMemMMImm12(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 33: \
+  case 34: \
+    if (DecodeJumpTargetMM(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 35: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4821,10 +4922,7 @@
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTargetMM(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 34: \
-    if (DecodeJumpTargetMM(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 35: \
+  case 36: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4832,7 +4930,7 @@
     tmp = fieldname(insn, 6, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 36: \
+  case 37: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4842,7 +4940,7 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 37: \
+  case 38: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4852,13 +4950,7 @@
     tmp = fieldname(insn, 6, 2); \
     if (DecodeLSAImm(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 38: \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 39: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
@@ -4867,42 +4959,48 @@
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 41: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 41: \
+  case 42: \
     tmp = fieldname(insn, 6, 20); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 42: \
+  case 43: \
     tmp = fieldname(insn, 6, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 43: \
+  case 44: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 44: \
+  case 45: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 45: \
+  case 46: \
     tmp = fieldname(insn, 11, 2); \
     if (DecodeHI32DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 46: \
+  case 47: \
     tmp = fieldname(insn, 11, 2); \
     if (DecodeLO32DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 47: \
+  case 48: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4912,7 +5010,7 @@
     tmp = fieldname(insn, 6, 2); \
     if (DecodeLSAImm(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 48: \
+  case 49: \
     tmp = fieldname(insn, 11, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4920,7 +5018,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 49: \
+  case 50: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4928,26 +5026,26 @@
     tmp = fieldname(insn, 6, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 50: \
+  case 51: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 51: \
+  case 52: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 52: \
+  case 53: \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 53: \
-    if (DecodeJumpTarget(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 54: \
+    if (DecodeJumpTarget(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 55: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4955,114 +5053,104 @@
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 55: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 16); \
-    if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 56: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 57: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 16); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 58: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 58: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 59: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 18, 3); \
+    if (DecodeCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 16); \
+    if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 60: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeCCRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 61: \
     tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 62: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeCCRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 63: \
+    tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 62: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 63: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 64: \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeCCRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 65: \
     tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 66: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeCCRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 67: \
+    tmp = fieldname(insn, 11, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 66: \
+  case 68: \
     tmp = fieldname(insn, 18, 3); \
     if (DecodeFCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 67: \
+  case 69: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 68: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 69: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 70: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 18, 3); \
-    if (DecodeFCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
+    tmp = fieldname(insn, 16, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 71: \
@@ -5070,50 +5158,66 @@
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 72: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 18, 3); \
+    if (DecodeFCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 73: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 72: \
+  case 74: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 73: \
+  case 75: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 74: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 75: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 76: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 77: \
     tmp = fieldname(insn, 6, 5); \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 78: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 79: \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 78: \
+  case 80: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -5123,7 +5227,7 @@
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 79: \
+  case 81: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -5133,54 +5237,38 @@
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 80: \
+  case 82: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 81: \
+  case 83: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 82: \
+  case 84: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 83: \
+  case 85: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 84: \
+  case 86: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 85: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 86: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 87: \
-    tmp = fieldname(insn, 11, 5); \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
@@ -5188,7 +5276,7 @@
     if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 88: \
-    tmp = fieldname(insn, 11, 5); \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
@@ -5196,16 +5284,32 @@
     if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 89: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 90: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 91: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 92: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -5215,7 +5319,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 91: \
+  case 93: \
     tmp = fieldname(insn, 11, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -5225,7 +5329,7 @@
     tmp = fieldname(insn, 11, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 92: \
+  case 94: \
     tmp = 0; \
     tmp |= (fieldname(insn, 11, 5) << 0); \
     tmp |= (fieldname(insn, 16, 5) << 0); \
@@ -5233,113 +5337,113 @@
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 93: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 8); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 94: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 8); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
   case 95: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 8); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 96: \
     tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 8); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 97: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 8); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 98: \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 8); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 97: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 98: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
   case 99: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 100: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 101: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 10); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 102: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 10); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 103: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 104: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 105: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 6); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 106: \
     tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 10); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 107: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 6); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 108: \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 107: \
+  case 109: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -5347,7 +5451,7 @@
     tmp = fieldname(insn, 16, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 108: \
+  case 110: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5357,7 +5461,7 @@
     tmp = fieldname(insn, 16, 6); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 109: \
+  case 111: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5367,7 +5471,7 @@
     tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 110: \
+  case 112: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5377,7 +5481,7 @@
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 111: \
+  case 113: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5387,205 +5491,205 @@
     tmp = fieldname(insn, 16, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 112: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 113: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 114: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 115: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 116: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 117: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 118: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 119: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 120: \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 120: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 121: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 122: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 123: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 124: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 125: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 126: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 127: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 128: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 129: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 130: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 131: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 132: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 133: \
     tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 134: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 135: \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 134: \
+  case 136: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5595,51 +5699,9 @@
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 135: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 136: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
   case 137: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 138: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSACtrlRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 139: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 140: \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -5647,7 +5709,9 @@
     tmp = fieldname(insn, 16, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 141: \
+  case 138: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -5655,7 +5719,9 @@
     tmp = fieldname(insn, 16, 2); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 142: \
+  case 139: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -5663,29 +5729,67 @@
     tmp = fieldname(insn, 16, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 143: \
+  case 140: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
     if (DecodeMSACtrlRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 144: \
-    tmp = fieldname(insn, 6, 5); \
+    tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 141: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
+  case 142: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 143: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 144: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
   case 145: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSACtrlRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 146: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 147: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 146: \
+  case 148: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -5693,7 +5797,7 @@
     tmp = fieldname(insn, 16, 2); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 147: \
+  case 149: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -5701,13 +5805,13 @@
     tmp = fieldname(insn, 16, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 148: \
+  case 150: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 149: \
+  case 151: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5717,7 +5821,7 @@
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 150: \
+  case 152: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5727,7 +5831,7 @@
     tmp = fieldname(insn, 16, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 151: \
+  case 153: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5737,7 +5841,7 @@
     tmp = fieldname(insn, 16, 2); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 152: \
+  case 154: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5747,10 +5851,10 @@
     tmp = fieldname(insn, 16, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 153: \
+  case 155: \
     if (DecodeINSVE_DF_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 154: \
+  case 156: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -5758,7 +5862,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 155: \
+  case 157: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -5766,64 +5870,64 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 156: \
+  case 158: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 157: \
+  case 159: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 158: \
+  case 160: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 159: \
+  case 161: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 160: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 161: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 162: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 163: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 164: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 165: \
-    if (DecodeMSA128Mem(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 166: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 167: \
+    if (DecodeMSA128Mem(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 168: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -5833,7 +5937,7 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeExtSize(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 167: \
+  case 169: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -5845,161 +5949,161 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 168: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 169: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 170: \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 171: \
-    tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
-    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 171: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 172: \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 173: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 174: \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 175: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 176: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 177: \
-    tmp = fieldname(insn, 11, 5); \
+    tmp = fieldname(insn, 16, 5); \
     if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 10); \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 178: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 179: \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
     if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 10); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 180: \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 181: \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 182: \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 183: \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 184: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
+    if (DecodeDSPRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 185: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 2); \
-    if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 186: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 187: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 2); \
+    if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 188: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 187: \
+  case 189: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 188: \
+  case 190: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 189: \
+  case 191: \
     tmp = fieldname(insn, 11, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 20, 6); \
@@ -6007,7 +6111,7 @@
     tmp = fieldname(insn, 11, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 190: \
+  case 192: \
     tmp = fieldname(insn, 11, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -6015,40 +6119,41 @@
     tmp = fieldname(insn, 11, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 191: \
+  case 193: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeHWRegsRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 192: \
+  case 194: \
     if (DecodeMem(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 193: \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 16) << 0); \
-    tmp |= (fieldname(insn, 21, 5) << 16); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 16, 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 194: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
   case 195: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeCachePref(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 196: \
+    if (DecodeFMem(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 197: \
+    if (DecodeCOP2Mem(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 198: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 199: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 200: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -6058,7 +6163,7 @@
     tmp = fieldname(insn, 6, 2); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 197: \
+  case 201: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -6066,7 +6171,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 198: \
+  case 202: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -6074,72 +6179,36 @@
     tmp = fieldname(insn, 0, 16); \
     if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 199: \
+  case 203: \
     if (DecodeBlezGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 200: \
+  case 204: \
     if (DecodeBgtzGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 201: \
+  case 205: \
     if (DecodeAddiGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 202: \
+  case 206: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 203: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGRCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 204: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 205: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGRCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 206: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 207: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFGRCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 208: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGRCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -6147,6 +6216,8 @@
     return S; \
   case 209: \
     tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeFGRCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
@@ -6154,12 +6225,46 @@
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 210: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 211: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 212: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGRCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 213: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGRCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 214: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeCOP2RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 211: \
+  case 215: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeCOP2RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = 0; \
@@ -6167,16 +6272,16 @@
     tmp |= (fieldname(insn, 11, 5) << 16); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 212: \
+  case 216: \
     if (DecodeBlezlGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 213: \
+  case 217: \
     if (DecodeBgtzlGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 214: \
+  case 218: \
     if (DecodeDaddiGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 215: \
+  case 219: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -6184,13 +6289,13 @@
     tmp = fieldname(insn, 0, 16); \
     if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 216: \
+  case 220: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 217: \
+  case 221: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -6200,7 +6305,7 @@
     tmp = fieldname(insn, 6, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 218: \
+  case 222: \
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 9) << 0); \
     tmp |= (fieldname(insn, 21, 5) << 16); \
@@ -6208,41 +6313,41 @@
     tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 219: \
+  case 223: \
     if (DecodeSpecial3LlSc(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 220: \
+  case 224: \
     tmp = fieldname(insn, 0, 26); \
     if (DecodeBranchTarget26(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 221: \
+  case 225: \
     if (DecodeSimm16(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 222: \
+  case 226: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 21); \
     if (DecodeBranchTarget21(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 223: \
+  case 227: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 19); \
     if (DecodeSimm19Lsl2(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 224: \
+  case 228: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 18); \
     if (DecodeSimm18Lsl3(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 225: \
+  case 229: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 226: \
+  case 230: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -6250,13 +6355,13 @@
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 227: \
+  case 231: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 228: \
+  case 232: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -6264,7 +6369,7 @@
     tmp = fieldname(insn, 6, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 229: \
+  case 233: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -6272,13 +6377,13 @@
     tmp = fieldname(insn, 0, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 230: \
+  case 234: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 231: \
+  case 235: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -6286,13 +6391,13 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 232: \
+  case 236: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 233: \
+  case 237: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -6302,7 +6407,7 @@
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 234: \
+  case 238: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -6312,13 +6417,13 @@
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 235: \
+  case 239: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 236: \
+  case 240: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -6326,7 +6431,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 237: \
+  case 241: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -6334,7 +6439,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 238: \
+  case 242: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -6342,11 +6447,11 @@
     tmp = fieldname(insn, 0, 16); \
     if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 239: \
+  case 243: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 240: \
+  case 244: \
     tmp = 0; \
     tmp |= (fieldname(insn, 11, 5) << 0); \
     tmp |= (fieldname(insn, 16, 5) << 0); \
@@ -6354,7 +6459,7 @@
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 241: \
+  case 245: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -6362,7 +6467,7 @@
     tmp = fieldname(insn, 6, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 242: \
+  case 246: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -6372,7 +6477,7 @@
     tmp = fieldname(insn, 11, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 243: \
+  case 247: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -6382,7 +6487,7 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeExtSize(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 244: \
+  case 248: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
diff --git a/arch/Mips/MipsGenInstrInfo.inc b/arch/Mips/MipsGenInstrInfo.inc
index 8fe4137..947f360 100644
--- a/arch/Mips/MipsGenInstrInfo.inc
+++ b/arch/Mips/MipsGenInstrInfo.inc
@@ -175,1524 +175,1556 @@
     Mips_BALIGN	= 158,
     Mips_BAL_BR	= 159,
     Mips_BC	= 160,
-    Mips_BC1EQZ	= 161,
-    Mips_BC1F	= 162,
-    Mips_BC1F_MM	= 163,
-    Mips_BC1NEZ	= 164,
-    Mips_BC1T	= 165,
-    Mips_BC1T_MM	= 166,
-    Mips_BC2EQZ	= 167,
-    Mips_BC2NEZ	= 168,
-    Mips_BCLRI_B	= 169,
-    Mips_BCLRI_D	= 170,
-    Mips_BCLRI_H	= 171,
-    Mips_BCLRI_W	= 172,
-    Mips_BCLR_B	= 173,
-    Mips_BCLR_D	= 174,
-    Mips_BCLR_H	= 175,
-    Mips_BCLR_W	= 176,
-    Mips_BEQ	= 177,
-    Mips_BEQ64	= 178,
-    Mips_BEQC	= 179,
-    Mips_BEQZALC	= 180,
-    Mips_BEQZC	= 181,
-    Mips_BEQ_MM	= 182,
-    Mips_BGEC	= 183,
-    Mips_BGEUC	= 184,
-    Mips_BGEZ	= 185,
-    Mips_BGEZ64	= 186,
-    Mips_BGEZAL	= 187,
-    Mips_BGEZALC	= 188,
-    Mips_BGEZAL_MM	= 189,
-    Mips_BGEZC	= 190,
-    Mips_BGEZ_MM	= 191,
-    Mips_BGTZ	= 192,
-    Mips_BGTZ64	= 193,
-    Mips_BGTZALC	= 194,
-    Mips_BGTZC	= 195,
-    Mips_BGTZ_MM	= 196,
-    Mips_BINSLI_B	= 197,
-    Mips_BINSLI_D	= 198,
-    Mips_BINSLI_H	= 199,
-    Mips_BINSLI_W	= 200,
-    Mips_BINSL_B	= 201,
-    Mips_BINSL_D	= 202,
-    Mips_BINSL_H	= 203,
-    Mips_BINSL_W	= 204,
-    Mips_BINSRI_B	= 205,
-    Mips_BINSRI_D	= 206,
-    Mips_BINSRI_H	= 207,
-    Mips_BINSRI_W	= 208,
-    Mips_BINSR_B	= 209,
-    Mips_BINSR_D	= 210,
-    Mips_BINSR_H	= 211,
-    Mips_BINSR_W	= 212,
-    Mips_BITREV	= 213,
-    Mips_BITSWAP	= 214,
-    Mips_BLEZ	= 215,
-    Mips_BLEZ64	= 216,
-    Mips_BLEZALC	= 217,
-    Mips_BLEZC	= 218,
-    Mips_BLEZ_MM	= 219,
-    Mips_BLTC	= 220,
-    Mips_BLTUC	= 221,
-    Mips_BLTZ	= 222,
-    Mips_BLTZ64	= 223,
-    Mips_BLTZAL	= 224,
-    Mips_BLTZALC	= 225,
-    Mips_BLTZAL_MM	= 226,
-    Mips_BLTZC	= 227,
-    Mips_BLTZ_MM	= 228,
-    Mips_BMNZI_B	= 229,
-    Mips_BMNZ_V	= 230,
-    Mips_BMZI_B	= 231,
-    Mips_BMZ_V	= 232,
-    Mips_BNE	= 233,
-    Mips_BNE64	= 234,
-    Mips_BNEC	= 235,
-    Mips_BNEGI_B	= 236,
-    Mips_BNEGI_D	= 237,
-    Mips_BNEGI_H	= 238,
-    Mips_BNEGI_W	= 239,
-    Mips_BNEG_B	= 240,
-    Mips_BNEG_D	= 241,
-    Mips_BNEG_H	= 242,
-    Mips_BNEG_W	= 243,
-    Mips_BNEZALC	= 244,
-    Mips_BNEZC	= 245,
-    Mips_BNE_MM	= 246,
-    Mips_BNVC	= 247,
-    Mips_BNZ_B	= 248,
-    Mips_BNZ_D	= 249,
-    Mips_BNZ_H	= 250,
-    Mips_BNZ_V	= 251,
-    Mips_BNZ_W	= 252,
-    Mips_BOVC	= 253,
-    Mips_BPOSGE32	= 254,
-    Mips_BPOSGE32_PSEUDO	= 255,
-    Mips_BREAK	= 256,
-    Mips_BREAK_MM	= 257,
-    Mips_BSELI_B	= 258,
-    Mips_BSEL_D_PSEUDO	= 259,
-    Mips_BSEL_FD_PSEUDO	= 260,
-    Mips_BSEL_FW_PSEUDO	= 261,
-    Mips_BSEL_H_PSEUDO	= 262,
-    Mips_BSEL_V	= 263,
-    Mips_BSEL_W_PSEUDO	= 264,
-    Mips_BSETI_B	= 265,
-    Mips_BSETI_D	= 266,
-    Mips_BSETI_H	= 267,
-    Mips_BSETI_W	= 268,
-    Mips_BSET_B	= 269,
-    Mips_BSET_D	= 270,
-    Mips_BSET_H	= 271,
-    Mips_BSET_W	= 272,
-    Mips_BZ_B	= 273,
-    Mips_BZ_D	= 274,
-    Mips_BZ_H	= 275,
-    Mips_BZ_V	= 276,
-    Mips_BZ_W	= 277,
-    Mips_BeqzRxImm16	= 278,
-    Mips_BeqzRxImmX16	= 279,
-    Mips_Bimm16	= 280,
-    Mips_BimmX16	= 281,
-    Mips_BnezRxImm16	= 282,
-    Mips_BnezRxImmX16	= 283,
-    Mips_Break16	= 284,
-    Mips_Bteqz16	= 285,
-    Mips_BteqzT8CmpX16	= 286,
-    Mips_BteqzT8CmpiX16	= 287,
-    Mips_BteqzT8SltX16	= 288,
-    Mips_BteqzT8SltiX16	= 289,
-    Mips_BteqzT8SltiuX16	= 290,
-    Mips_BteqzT8SltuX16	= 291,
-    Mips_BteqzX16	= 292,
-    Mips_Btnez16	= 293,
-    Mips_BtnezT8CmpX16	= 294,
-    Mips_BtnezT8CmpiX16	= 295,
-    Mips_BtnezT8SltX16	= 296,
-    Mips_BtnezT8SltiX16	= 297,
-    Mips_BtnezT8SltiuX16	= 298,
-    Mips_BtnezT8SltuX16	= 299,
-    Mips_BtnezX16	= 300,
-    Mips_BuildPairF64	= 301,
-    Mips_BuildPairF64_64	= 302,
-    Mips_CACHE	= 303,
-    Mips_CACHE_R6	= 304,
-    Mips_CEIL_L_D64	= 305,
-    Mips_CEIL_L_S	= 306,
-    Mips_CEIL_W_D32	= 307,
-    Mips_CEIL_W_D64	= 308,
-    Mips_CEIL_W_MM	= 309,
-    Mips_CEIL_W_S	= 310,
-    Mips_CEIL_W_S_MM	= 311,
-    Mips_CEQI_B	= 312,
-    Mips_CEQI_D	= 313,
-    Mips_CEQI_H	= 314,
-    Mips_CEQI_W	= 315,
-    Mips_CEQ_B	= 316,
-    Mips_CEQ_D	= 317,
-    Mips_CEQ_H	= 318,
-    Mips_CEQ_W	= 319,
-    Mips_CFC1	= 320,
-    Mips_CFC1_MM	= 321,
-    Mips_CFCMSA	= 322,
-    Mips_CINS	= 323,
-    Mips_CINS32	= 324,
-    Mips_CLASS_D	= 325,
-    Mips_CLASS_S	= 326,
-    Mips_CLEI_S_B	= 327,
-    Mips_CLEI_S_D	= 328,
-    Mips_CLEI_S_H	= 329,
-    Mips_CLEI_S_W	= 330,
-    Mips_CLEI_U_B	= 331,
-    Mips_CLEI_U_D	= 332,
-    Mips_CLEI_U_H	= 333,
-    Mips_CLEI_U_W	= 334,
-    Mips_CLE_S_B	= 335,
-    Mips_CLE_S_D	= 336,
-    Mips_CLE_S_H	= 337,
-    Mips_CLE_S_W	= 338,
-    Mips_CLE_U_B	= 339,
-    Mips_CLE_U_D	= 340,
-    Mips_CLE_U_H	= 341,
-    Mips_CLE_U_W	= 342,
-    Mips_CLO	= 343,
-    Mips_CLO_MM	= 344,
-    Mips_CLO_R6	= 345,
-    Mips_CLTI_S_B	= 346,
-    Mips_CLTI_S_D	= 347,
-    Mips_CLTI_S_H	= 348,
-    Mips_CLTI_S_W	= 349,
-    Mips_CLTI_U_B	= 350,
-    Mips_CLTI_U_D	= 351,
-    Mips_CLTI_U_H	= 352,
-    Mips_CLTI_U_W	= 353,
-    Mips_CLT_S_B	= 354,
-    Mips_CLT_S_D	= 355,
-    Mips_CLT_S_H	= 356,
-    Mips_CLT_S_W	= 357,
-    Mips_CLT_U_B	= 358,
-    Mips_CLT_U_D	= 359,
-    Mips_CLT_U_H	= 360,
-    Mips_CLT_U_W	= 361,
-    Mips_CLZ	= 362,
-    Mips_CLZ_MM	= 363,
-    Mips_CLZ_R6	= 364,
-    Mips_CMPGDU_EQ_QB	= 365,
-    Mips_CMPGDU_LE_QB	= 366,
-    Mips_CMPGDU_LT_QB	= 367,
-    Mips_CMPGU_EQ_QB	= 368,
-    Mips_CMPGU_LE_QB	= 369,
-    Mips_CMPGU_LT_QB	= 370,
-    Mips_CMPU_EQ_QB	= 371,
-    Mips_CMPU_LE_QB	= 372,
-    Mips_CMPU_LT_QB	= 373,
-    Mips_CMP_EQ_D	= 374,
-    Mips_CMP_EQ_PH	= 375,
-    Mips_CMP_EQ_S	= 376,
-    Mips_CMP_F_D	= 377,
-    Mips_CMP_F_S	= 378,
-    Mips_CMP_LE_D	= 379,
-    Mips_CMP_LE_PH	= 380,
-    Mips_CMP_LE_S	= 381,
-    Mips_CMP_LT_D	= 382,
-    Mips_CMP_LT_PH	= 383,
-    Mips_CMP_LT_S	= 384,
-    Mips_CMP_SAF_D	= 385,
-    Mips_CMP_SAF_S	= 386,
-    Mips_CMP_SEQ_D	= 387,
-    Mips_CMP_SEQ_S	= 388,
-    Mips_CMP_SLE_D	= 389,
-    Mips_CMP_SLE_S	= 390,
-    Mips_CMP_SLT_D	= 391,
-    Mips_CMP_SLT_S	= 392,
-    Mips_CMP_SUEQ_D	= 393,
-    Mips_CMP_SUEQ_S	= 394,
-    Mips_CMP_SULE_D	= 395,
-    Mips_CMP_SULE_S	= 396,
-    Mips_CMP_SULT_D	= 397,
-    Mips_CMP_SULT_S	= 398,
-    Mips_CMP_SUN_D	= 399,
-    Mips_CMP_SUN_S	= 400,
-    Mips_CMP_UEQ_D	= 401,
-    Mips_CMP_UEQ_S	= 402,
-    Mips_CMP_ULE_D	= 403,
-    Mips_CMP_ULE_S	= 404,
-    Mips_CMP_ULT_D	= 405,
-    Mips_CMP_ULT_S	= 406,
-    Mips_CMP_UN_D	= 407,
-    Mips_CMP_UN_S	= 408,
-    Mips_CONSTPOOL_ENTRY	= 409,
-    Mips_COPY_FD_PSEUDO	= 410,
-    Mips_COPY_FW_PSEUDO	= 411,
-    Mips_COPY_S_B	= 412,
-    Mips_COPY_S_D	= 413,
-    Mips_COPY_S_H	= 414,
-    Mips_COPY_S_W	= 415,
-    Mips_COPY_U_B	= 416,
-    Mips_COPY_U_D	= 417,
-    Mips_COPY_U_H	= 418,
-    Mips_COPY_U_W	= 419,
-    Mips_CTC1	= 420,
-    Mips_CTC1_MM	= 421,
-    Mips_CTCMSA	= 422,
-    Mips_CVT_D32_S	= 423,
-    Mips_CVT_D32_W	= 424,
-    Mips_CVT_D32_W_MM	= 425,
-    Mips_CVT_D64_L	= 426,
-    Mips_CVT_D64_S	= 427,
-    Mips_CVT_D64_W	= 428,
-    Mips_CVT_D_S_MM	= 429,
-    Mips_CVT_L_D64	= 430,
-    Mips_CVT_L_D64_MM	= 431,
-    Mips_CVT_L_S	= 432,
-    Mips_CVT_L_S_MM	= 433,
-    Mips_CVT_S_D32	= 434,
-    Mips_CVT_S_D32_MM	= 435,
-    Mips_CVT_S_D64	= 436,
-    Mips_CVT_S_L	= 437,
-    Mips_CVT_S_W	= 438,
-    Mips_CVT_S_W_MM	= 439,
-    Mips_CVT_W_D32	= 440,
-    Mips_CVT_W_D64	= 441,
-    Mips_CVT_W_MM	= 442,
-    Mips_CVT_W_S	= 443,
-    Mips_CVT_W_S_MM	= 444,
-    Mips_C_EQ_D32	= 445,
-    Mips_C_EQ_D64	= 446,
-    Mips_C_EQ_S	= 447,
-    Mips_C_F_D32	= 448,
-    Mips_C_F_D64	= 449,
-    Mips_C_F_S	= 450,
-    Mips_C_LE_D32	= 451,
-    Mips_C_LE_D64	= 452,
-    Mips_C_LE_S	= 453,
-    Mips_C_LT_D32	= 454,
-    Mips_C_LT_D64	= 455,
-    Mips_C_LT_S	= 456,
-    Mips_C_NGE_D32	= 457,
-    Mips_C_NGE_D64	= 458,
-    Mips_C_NGE_S	= 459,
-    Mips_C_NGLE_D32	= 460,
-    Mips_C_NGLE_D64	= 461,
-    Mips_C_NGLE_S	= 462,
-    Mips_C_NGL_D32	= 463,
-    Mips_C_NGL_D64	= 464,
-    Mips_C_NGL_S	= 465,
-    Mips_C_NGT_D32	= 466,
-    Mips_C_NGT_D64	= 467,
-    Mips_C_NGT_S	= 468,
-    Mips_C_OLE_D32	= 469,
-    Mips_C_OLE_D64	= 470,
-    Mips_C_OLE_S	= 471,
-    Mips_C_OLT_D32	= 472,
-    Mips_C_OLT_D64	= 473,
-    Mips_C_OLT_S	= 474,
-    Mips_C_SEQ_D32	= 475,
-    Mips_C_SEQ_D64	= 476,
-    Mips_C_SEQ_S	= 477,
-    Mips_C_SF_D32	= 478,
-    Mips_C_SF_D64	= 479,
-    Mips_C_SF_S	= 480,
-    Mips_C_UEQ_D32	= 481,
-    Mips_C_UEQ_D64	= 482,
-    Mips_C_UEQ_S	= 483,
-    Mips_C_ULE_D32	= 484,
-    Mips_C_ULE_D64	= 485,
-    Mips_C_ULE_S	= 486,
-    Mips_C_ULT_D32	= 487,
-    Mips_C_ULT_D64	= 488,
-    Mips_C_ULT_S	= 489,
-    Mips_C_UN_D32	= 490,
-    Mips_C_UN_D64	= 491,
-    Mips_C_UN_S	= 492,
-    Mips_CmpRxRy16	= 493,
-    Mips_CmpiRxImm16	= 494,
-    Mips_CmpiRxImmX16	= 495,
-    Mips_Constant32	= 496,
-    Mips_DADD	= 497,
-    Mips_DADDi	= 498,
-    Mips_DADDiu	= 499,
-    Mips_DADDu	= 500,
-    Mips_DAHI	= 501,
-    Mips_DALIGN	= 502,
-    Mips_DATI	= 503,
-    Mips_DAUI	= 504,
-    Mips_DBITSWAP	= 505,
-    Mips_DCLO	= 506,
-    Mips_DCLO_R6	= 507,
-    Mips_DCLZ	= 508,
-    Mips_DCLZ_R6	= 509,
-    Mips_DDIV	= 510,
-    Mips_DDIVU	= 511,
-    Mips_DERET	= 512,
-    Mips_DERET_MM	= 513,
-    Mips_DEXT	= 514,
-    Mips_DEXTM	= 515,
-    Mips_DEXTU	= 516,
-    Mips_DI	= 517,
-    Mips_DINS	= 518,
-    Mips_DINSM	= 519,
-    Mips_DINSU	= 520,
-    Mips_DIV	= 521,
-    Mips_DIVU	= 522,
-    Mips_DIV_S_B	= 523,
-    Mips_DIV_S_D	= 524,
-    Mips_DIV_S_H	= 525,
-    Mips_DIV_S_W	= 526,
-    Mips_DIV_U_B	= 527,
-    Mips_DIV_U_D	= 528,
-    Mips_DIV_U_H	= 529,
-    Mips_DIV_U_W	= 530,
-    Mips_DI_MM	= 531,
-    Mips_DLSA	= 532,
-    Mips_DLSA_R6	= 533,
-    Mips_DMFC0	= 534,
-    Mips_DMFC1	= 535,
-    Mips_DMFC2	= 536,
-    Mips_DMOD	= 537,
-    Mips_DMODU	= 538,
-    Mips_DMTC0	= 539,
-    Mips_DMTC1	= 540,
-    Mips_DMTC2	= 541,
-    Mips_DMUH	= 542,
-    Mips_DMUHU	= 543,
-    Mips_DMUL	= 544,
-    Mips_DMULT	= 545,
-    Mips_DMULTu	= 546,
-    Mips_DMULU	= 547,
-    Mips_DMUL_R6	= 548,
-    Mips_DOTP_S_D	= 549,
-    Mips_DOTP_S_H	= 550,
-    Mips_DOTP_S_W	= 551,
-    Mips_DOTP_U_D	= 552,
-    Mips_DOTP_U_H	= 553,
-    Mips_DOTP_U_W	= 554,
-    Mips_DPADD_S_D	= 555,
-    Mips_DPADD_S_H	= 556,
-    Mips_DPADD_S_W	= 557,
-    Mips_DPADD_U_D	= 558,
-    Mips_DPADD_U_H	= 559,
-    Mips_DPADD_U_W	= 560,
-    Mips_DPAQX_SA_W_PH	= 561,
-    Mips_DPAQX_S_W_PH	= 562,
-    Mips_DPAQ_SA_L_W	= 563,
-    Mips_DPAQ_S_W_PH	= 564,
-    Mips_DPAU_H_QBL	= 565,
-    Mips_DPAU_H_QBR	= 566,
-    Mips_DPAX_W_PH	= 567,
-    Mips_DPA_W_PH	= 568,
-    Mips_DPOP	= 569,
-    Mips_DPSQX_SA_W_PH	= 570,
-    Mips_DPSQX_S_W_PH	= 571,
-    Mips_DPSQ_SA_L_W	= 572,
-    Mips_DPSQ_S_W_PH	= 573,
-    Mips_DPSUB_S_D	= 574,
-    Mips_DPSUB_S_H	= 575,
-    Mips_DPSUB_S_W	= 576,
-    Mips_DPSUB_U_D	= 577,
-    Mips_DPSUB_U_H	= 578,
-    Mips_DPSUB_U_W	= 579,
-    Mips_DPSU_H_QBL	= 580,
-    Mips_DPSU_H_QBR	= 581,
-    Mips_DPSX_W_PH	= 582,
-    Mips_DPS_W_PH	= 583,
-    Mips_DROTR	= 584,
-    Mips_DROTR32	= 585,
-    Mips_DROTRV	= 586,
-    Mips_DSBH	= 587,
-    Mips_DSDIV	= 588,
-    Mips_DSHD	= 589,
-    Mips_DSLL	= 590,
-    Mips_DSLL32	= 591,
-    Mips_DSLL64_32	= 592,
-    Mips_DSLLV	= 593,
-    Mips_DSRA	= 594,
-    Mips_DSRA32	= 595,
-    Mips_DSRAV	= 596,
-    Mips_DSRL	= 597,
-    Mips_DSRL32	= 598,
-    Mips_DSRLV	= 599,
-    Mips_DSUB	= 600,
-    Mips_DSUBu	= 601,
-    Mips_DUDIV	= 602,
-    Mips_DivRxRy16	= 603,
-    Mips_DivuRxRy16	= 604,
-    Mips_EHB	= 605,
-    Mips_EI	= 606,
-    Mips_EI_MM	= 607,
-    Mips_ERET	= 608,
-    Mips_ERET_MM	= 609,
-    Mips_EXT	= 610,
-    Mips_EXTP	= 611,
-    Mips_EXTPDP	= 612,
-    Mips_EXTPDPV	= 613,
-    Mips_EXTPV	= 614,
-    Mips_EXTRV_RS_W	= 615,
-    Mips_EXTRV_R_W	= 616,
-    Mips_EXTRV_S_H	= 617,
-    Mips_EXTRV_W	= 618,
-    Mips_EXTR_RS_W	= 619,
-    Mips_EXTR_R_W	= 620,
-    Mips_EXTR_S_H	= 621,
-    Mips_EXTR_W	= 622,
-    Mips_EXTS	= 623,
-    Mips_EXTS32	= 624,
-    Mips_EXT_MM	= 625,
-    Mips_ExtractElementF64	= 626,
-    Mips_ExtractElementF64_64	= 627,
-    Mips_FABS_D	= 628,
-    Mips_FABS_D32	= 629,
-    Mips_FABS_D64	= 630,
-    Mips_FABS_MM	= 631,
-    Mips_FABS_S	= 632,
-    Mips_FABS_S_MM	= 633,
-    Mips_FABS_W	= 634,
-    Mips_FADD_D	= 635,
-    Mips_FADD_D32	= 636,
-    Mips_FADD_D64	= 637,
-    Mips_FADD_MM	= 638,
-    Mips_FADD_S	= 639,
-    Mips_FADD_S_MM	= 640,
-    Mips_FADD_W	= 641,
-    Mips_FCAF_D	= 642,
-    Mips_FCAF_W	= 643,
-    Mips_FCEQ_D	= 644,
-    Mips_FCEQ_W	= 645,
-    Mips_FCLASS_D	= 646,
-    Mips_FCLASS_W	= 647,
-    Mips_FCLE_D	= 648,
-    Mips_FCLE_W	= 649,
-    Mips_FCLT_D	= 650,
-    Mips_FCLT_W	= 651,
-    Mips_FCMP_D32	= 652,
-    Mips_FCMP_D32_MM	= 653,
-    Mips_FCMP_D64	= 654,
-    Mips_FCMP_S32	= 655,
-    Mips_FCMP_S32_MM	= 656,
-    Mips_FCNE_D	= 657,
-    Mips_FCNE_W	= 658,
-    Mips_FCOR_D	= 659,
-    Mips_FCOR_W	= 660,
-    Mips_FCUEQ_D	= 661,
-    Mips_FCUEQ_W	= 662,
-    Mips_FCULE_D	= 663,
-    Mips_FCULE_W	= 664,
-    Mips_FCULT_D	= 665,
-    Mips_FCULT_W	= 666,
-    Mips_FCUNE_D	= 667,
-    Mips_FCUNE_W	= 668,
-    Mips_FCUN_D	= 669,
-    Mips_FCUN_W	= 670,
-    Mips_FDIV_D	= 671,
-    Mips_FDIV_D32	= 672,
-    Mips_FDIV_D64	= 673,
-    Mips_FDIV_MM	= 674,
-    Mips_FDIV_S	= 675,
-    Mips_FDIV_S_MM	= 676,
-    Mips_FDIV_W	= 677,
-    Mips_FEXDO_H	= 678,
-    Mips_FEXDO_W	= 679,
-    Mips_FEXP2_D	= 680,
-    Mips_FEXP2_D_1_PSEUDO	= 681,
-    Mips_FEXP2_W	= 682,
-    Mips_FEXP2_W_1_PSEUDO	= 683,
-    Mips_FEXUPL_D	= 684,
-    Mips_FEXUPL_W	= 685,
-    Mips_FEXUPR_D	= 686,
-    Mips_FEXUPR_W	= 687,
-    Mips_FFINT_S_D	= 688,
-    Mips_FFINT_S_W	= 689,
-    Mips_FFINT_U_D	= 690,
-    Mips_FFINT_U_W	= 691,
-    Mips_FFQL_D	= 692,
-    Mips_FFQL_W	= 693,
-    Mips_FFQR_D	= 694,
-    Mips_FFQR_W	= 695,
-    Mips_FILL_B	= 696,
-    Mips_FILL_D	= 697,
-    Mips_FILL_FD_PSEUDO	= 698,
-    Mips_FILL_FW_PSEUDO	= 699,
-    Mips_FILL_H	= 700,
-    Mips_FILL_W	= 701,
-    Mips_FLOG2_D	= 702,
-    Mips_FLOG2_W	= 703,
-    Mips_FLOOR_L_D64	= 704,
-    Mips_FLOOR_L_S	= 705,
-    Mips_FLOOR_W_D32	= 706,
-    Mips_FLOOR_W_D64	= 707,
-    Mips_FLOOR_W_MM	= 708,
-    Mips_FLOOR_W_S	= 709,
-    Mips_FLOOR_W_S_MM	= 710,
-    Mips_FMADD_D	= 711,
-    Mips_FMADD_W	= 712,
-    Mips_FMAX_A_D	= 713,
-    Mips_FMAX_A_W	= 714,
-    Mips_FMAX_D	= 715,
-    Mips_FMAX_W	= 716,
-    Mips_FMIN_A_D	= 717,
-    Mips_FMIN_A_W	= 718,
-    Mips_FMIN_D	= 719,
-    Mips_FMIN_W	= 720,
-    Mips_FMOV_D32	= 721,
-    Mips_FMOV_D32_MM	= 722,
-    Mips_FMOV_D64	= 723,
-    Mips_FMOV_S	= 724,
-    Mips_FMOV_S_MM	= 725,
-    Mips_FMSUB_D	= 726,
-    Mips_FMSUB_W	= 727,
-    Mips_FMUL_D	= 728,
-    Mips_FMUL_D32	= 729,
-    Mips_FMUL_D64	= 730,
-    Mips_FMUL_MM	= 731,
-    Mips_FMUL_S	= 732,
-    Mips_FMUL_S_MM	= 733,
-    Mips_FMUL_W	= 734,
-    Mips_FNEG_D32	= 735,
-    Mips_FNEG_D64	= 736,
-    Mips_FNEG_MM	= 737,
-    Mips_FNEG_S	= 738,
-    Mips_FNEG_S_MM	= 739,
-    Mips_FRCP_D	= 740,
-    Mips_FRCP_W	= 741,
-    Mips_FRINT_D	= 742,
-    Mips_FRINT_W	= 743,
-    Mips_FRSQRT_D	= 744,
-    Mips_FRSQRT_W	= 745,
-    Mips_FSAF_D	= 746,
-    Mips_FSAF_W	= 747,
-    Mips_FSEQ_D	= 748,
-    Mips_FSEQ_W	= 749,
-    Mips_FSLE_D	= 750,
-    Mips_FSLE_W	= 751,
-    Mips_FSLT_D	= 752,
-    Mips_FSLT_W	= 753,
-    Mips_FSNE_D	= 754,
-    Mips_FSNE_W	= 755,
-    Mips_FSOR_D	= 756,
-    Mips_FSOR_W	= 757,
-    Mips_FSQRT_D	= 758,
-    Mips_FSQRT_D32	= 759,
-    Mips_FSQRT_D64	= 760,
-    Mips_FSQRT_MM	= 761,
-    Mips_FSQRT_S	= 762,
-    Mips_FSQRT_S_MM	= 763,
-    Mips_FSQRT_W	= 764,
-    Mips_FSUB_D	= 765,
-    Mips_FSUB_D32	= 766,
-    Mips_FSUB_D64	= 767,
-    Mips_FSUB_MM	= 768,
-    Mips_FSUB_S	= 769,
-    Mips_FSUB_S_MM	= 770,
-    Mips_FSUB_W	= 771,
-    Mips_FSUEQ_D	= 772,
-    Mips_FSUEQ_W	= 773,
-    Mips_FSULE_D	= 774,
-    Mips_FSULE_W	= 775,
-    Mips_FSULT_D	= 776,
-    Mips_FSULT_W	= 777,
-    Mips_FSUNE_D	= 778,
-    Mips_FSUNE_W	= 779,
-    Mips_FSUN_D	= 780,
-    Mips_FSUN_W	= 781,
-    Mips_FTINT_S_D	= 782,
-    Mips_FTINT_S_W	= 783,
-    Mips_FTINT_U_D	= 784,
-    Mips_FTINT_U_W	= 785,
-    Mips_FTQ_H	= 786,
-    Mips_FTQ_W	= 787,
-    Mips_FTRUNC_S_D	= 788,
-    Mips_FTRUNC_S_W	= 789,
-    Mips_FTRUNC_U_D	= 790,
-    Mips_FTRUNC_U_W	= 791,
-    Mips_GotPrologue16	= 792,
-    Mips_HADD_S_D	= 793,
-    Mips_HADD_S_H	= 794,
-    Mips_HADD_S_W	= 795,
-    Mips_HADD_U_D	= 796,
-    Mips_HADD_U_H	= 797,
-    Mips_HADD_U_W	= 798,
-    Mips_HSUB_S_D	= 799,
-    Mips_HSUB_S_H	= 800,
-    Mips_HSUB_S_W	= 801,
-    Mips_HSUB_U_D	= 802,
-    Mips_HSUB_U_H	= 803,
-    Mips_HSUB_U_W	= 804,
-    Mips_ILVEV_B	= 805,
-    Mips_ILVEV_D	= 806,
-    Mips_ILVEV_H	= 807,
-    Mips_ILVEV_W	= 808,
-    Mips_ILVL_B	= 809,
-    Mips_ILVL_D	= 810,
-    Mips_ILVL_H	= 811,
-    Mips_ILVL_W	= 812,
-    Mips_ILVOD_B	= 813,
-    Mips_ILVOD_D	= 814,
-    Mips_ILVOD_H	= 815,
-    Mips_ILVOD_W	= 816,
-    Mips_ILVR_B	= 817,
-    Mips_ILVR_D	= 818,
-    Mips_ILVR_H	= 819,
-    Mips_ILVR_W	= 820,
-    Mips_INS	= 821,
-    Mips_INSERT_B	= 822,
-    Mips_INSERT_B_VIDX_PSEUDO	= 823,
-    Mips_INSERT_D	= 824,
-    Mips_INSERT_D_VIDX_PSEUDO	= 825,
-    Mips_INSERT_FD_PSEUDO	= 826,
-    Mips_INSERT_FD_VIDX_PSEUDO	= 827,
-    Mips_INSERT_FW_PSEUDO	= 828,
-    Mips_INSERT_FW_VIDX_PSEUDO	= 829,
-    Mips_INSERT_H	= 830,
-    Mips_INSERT_H_VIDX_PSEUDO	= 831,
-    Mips_INSERT_W	= 832,
-    Mips_INSERT_W_VIDX_PSEUDO	= 833,
-    Mips_INSV	= 834,
-    Mips_INSVE_B	= 835,
-    Mips_INSVE_D	= 836,
-    Mips_INSVE_H	= 837,
-    Mips_INSVE_W	= 838,
-    Mips_INS_MM	= 839,
-    Mips_J	= 840,
-    Mips_JAL	= 841,
-    Mips_JALR	= 842,
-    Mips_JALR16_MM	= 843,
-    Mips_JALR64	= 844,
-    Mips_JALR64Pseudo	= 845,
-    Mips_JALRPseudo	= 846,
-    Mips_JALR_HB	= 847,
-    Mips_JALR_MM	= 848,
-    Mips_JALX	= 849,
-    Mips_JAL_MM	= 850,
-    Mips_JIALC	= 851,
-    Mips_JIC	= 852,
-    Mips_JR	= 853,
-    Mips_JR64	= 854,
-    Mips_JR_HB	= 855,
-    Mips_JR_HB_R6	= 856,
-    Mips_JR_MM	= 857,
-    Mips_J_MM	= 858,
-    Mips_Jal16	= 859,
-    Mips_JalB16	= 860,
-    Mips_JrRa16	= 861,
-    Mips_JrcRa16	= 862,
-    Mips_JrcRx16	= 863,
-    Mips_JumpLinkReg16	= 864,
-    Mips_LB	= 865,
-    Mips_LB64	= 866,
-    Mips_LBUX	= 867,
-    Mips_LB_MM	= 868,
-    Mips_LBu	= 869,
-    Mips_LBu64	= 870,
-    Mips_LBu_MM	= 871,
-    Mips_LD	= 872,
-    Mips_LDC1	= 873,
-    Mips_LDC164	= 874,
-    Mips_LDC1_MM	= 875,
-    Mips_LDC2	= 876,
-    Mips_LDC2_R6	= 877,
-    Mips_LDC3	= 878,
-    Mips_LDI_B	= 879,
-    Mips_LDI_D	= 880,
-    Mips_LDI_H	= 881,
-    Mips_LDI_W	= 882,
-    Mips_LDL	= 883,
-    Mips_LDPC	= 884,
-    Mips_LDR	= 885,
-    Mips_LDXC1	= 886,
-    Mips_LDXC164	= 887,
-    Mips_LD_B	= 888,
-    Mips_LD_D	= 889,
-    Mips_LD_H	= 890,
-    Mips_LD_W	= 891,
-    Mips_LEA_ADDiu	= 892,
-    Mips_LEA_ADDiu64	= 893,
-    Mips_LEA_ADDiu_MM	= 894,
-    Mips_LH	= 895,
-    Mips_LH64	= 896,
-    Mips_LHX	= 897,
-    Mips_LH_MM	= 898,
-    Mips_LHu	= 899,
-    Mips_LHu64	= 900,
-    Mips_LHu_MM	= 901,
-    Mips_LL	= 902,
-    Mips_LLD	= 903,
-    Mips_LLD_R6	= 904,
-    Mips_LL_MM	= 905,
-    Mips_LL_R6	= 906,
-    Mips_LOAD_ACC128	= 907,
-    Mips_LOAD_ACC64	= 908,
-    Mips_LOAD_ACC64DSP	= 909,
-    Mips_LOAD_CCOND_DSP	= 910,
-    Mips_LONG_BRANCH_ADDiu	= 911,
-    Mips_LONG_BRANCH_DADDiu	= 912,
-    Mips_LONG_BRANCH_LUi	= 913,
-    Mips_LSA	= 914,
-    Mips_LSA_R6	= 915,
-    Mips_LUXC1	= 916,
-    Mips_LUXC164	= 917,
-    Mips_LUXC1_MM	= 918,
-    Mips_LUi	= 919,
-    Mips_LUi64	= 920,
-    Mips_LUi_MM	= 921,
-    Mips_LW	= 922,
-    Mips_LW64	= 923,
-    Mips_LWC1	= 924,
-    Mips_LWC1_MM	= 925,
-    Mips_LWC2	= 926,
-    Mips_LWC2_R6	= 927,
-    Mips_LWC3	= 928,
-    Mips_LWL	= 929,
-    Mips_LWL64	= 930,
-    Mips_LWL_MM	= 931,
-    Mips_LWPC	= 932,
-    Mips_LWR	= 933,
-    Mips_LWR64	= 934,
-    Mips_LWR_MM	= 935,
-    Mips_LWUPC	= 936,
-    Mips_LWU_MM	= 937,
-    Mips_LWX	= 938,
-    Mips_LWXC1	= 939,
-    Mips_LWXC1_MM	= 940,
-    Mips_LW_MM	= 941,
-    Mips_LWu	= 942,
-    Mips_LbRxRyOffMemX16	= 943,
-    Mips_LbuRxRyOffMemX16	= 944,
-    Mips_LhRxRyOffMemX16	= 945,
-    Mips_LhuRxRyOffMemX16	= 946,
-    Mips_LiRxImm16	= 947,
-    Mips_LiRxImmAlignX16	= 948,
-    Mips_LiRxImmX16	= 949,
-    Mips_LoadAddr32Imm	= 950,
-    Mips_LoadAddr32Reg	= 951,
-    Mips_LoadImm32Reg	= 952,
-    Mips_LoadImm64Reg	= 953,
-    Mips_LwConstant32	= 954,
-    Mips_LwRxPcTcp16	= 955,
-    Mips_LwRxPcTcpX16	= 956,
-    Mips_LwRxRyOffMemX16	= 957,
-    Mips_LwRxSpImmX16	= 958,
-    Mips_MADD	= 959,
-    Mips_MADDF_D	= 960,
-    Mips_MADDF_S	= 961,
-    Mips_MADDR_Q_H	= 962,
-    Mips_MADDR_Q_W	= 963,
-    Mips_MADDU	= 964,
-    Mips_MADDU_DSP	= 965,
-    Mips_MADDU_MM	= 966,
-    Mips_MADDV_B	= 967,
-    Mips_MADDV_D	= 968,
-    Mips_MADDV_H	= 969,
-    Mips_MADDV_W	= 970,
-    Mips_MADD_D32	= 971,
-    Mips_MADD_D32_MM	= 972,
-    Mips_MADD_D64	= 973,
-    Mips_MADD_DSP	= 974,
-    Mips_MADD_MM	= 975,
-    Mips_MADD_Q_H	= 976,
-    Mips_MADD_Q_W	= 977,
-    Mips_MADD_S	= 978,
-    Mips_MADD_S_MM	= 979,
-    Mips_MAQ_SA_W_PHL	= 980,
-    Mips_MAQ_SA_W_PHR	= 981,
-    Mips_MAQ_S_W_PHL	= 982,
-    Mips_MAQ_S_W_PHR	= 983,
-    Mips_MAXA_D	= 984,
-    Mips_MAXA_S	= 985,
-    Mips_MAXI_S_B	= 986,
-    Mips_MAXI_S_D	= 987,
-    Mips_MAXI_S_H	= 988,
-    Mips_MAXI_S_W	= 989,
-    Mips_MAXI_U_B	= 990,
-    Mips_MAXI_U_D	= 991,
-    Mips_MAXI_U_H	= 992,
-    Mips_MAXI_U_W	= 993,
-    Mips_MAX_A_B	= 994,
-    Mips_MAX_A_D	= 995,
-    Mips_MAX_A_H	= 996,
-    Mips_MAX_A_W	= 997,
-    Mips_MAX_D	= 998,
-    Mips_MAX_S	= 999,
-    Mips_MAX_S_B	= 1000,
-    Mips_MAX_S_D	= 1001,
-    Mips_MAX_S_H	= 1002,
-    Mips_MAX_S_W	= 1003,
-    Mips_MAX_U_B	= 1004,
-    Mips_MAX_U_D	= 1005,
-    Mips_MAX_U_H	= 1006,
-    Mips_MAX_U_W	= 1007,
-    Mips_MFC0	= 1008,
-    Mips_MFC1	= 1009,
-    Mips_MFC1_MM	= 1010,
-    Mips_MFC2	= 1011,
-    Mips_MFHC1_D32	= 1012,
-    Mips_MFHC1_D64	= 1013,
-    Mips_MFHC1_MM	= 1014,
-    Mips_MFHI	= 1015,
-    Mips_MFHI16_MM	= 1016,
-    Mips_MFHI64	= 1017,
-    Mips_MFHI_DSP	= 1018,
-    Mips_MFHI_MM	= 1019,
-    Mips_MFLO	= 1020,
-    Mips_MFLO16_MM	= 1021,
-    Mips_MFLO64	= 1022,
-    Mips_MFLO_DSP	= 1023,
-    Mips_MFLO_MM	= 1024,
-    Mips_MINA_D	= 1025,
-    Mips_MINA_S	= 1026,
-    Mips_MINI_S_B	= 1027,
-    Mips_MINI_S_D	= 1028,
-    Mips_MINI_S_H	= 1029,
-    Mips_MINI_S_W	= 1030,
-    Mips_MINI_U_B	= 1031,
-    Mips_MINI_U_D	= 1032,
-    Mips_MINI_U_H	= 1033,
-    Mips_MINI_U_W	= 1034,
-    Mips_MIN_A_B	= 1035,
-    Mips_MIN_A_D	= 1036,
-    Mips_MIN_A_H	= 1037,
-    Mips_MIN_A_W	= 1038,
-    Mips_MIN_D	= 1039,
-    Mips_MIN_S	= 1040,
-    Mips_MIN_S_B	= 1041,
-    Mips_MIN_S_D	= 1042,
-    Mips_MIN_S_H	= 1043,
-    Mips_MIN_S_W	= 1044,
-    Mips_MIN_U_B	= 1045,
-    Mips_MIN_U_D	= 1046,
-    Mips_MIN_U_H	= 1047,
-    Mips_MIN_U_W	= 1048,
-    Mips_MIPSeh_return32	= 1049,
-    Mips_MIPSeh_return64	= 1050,
-    Mips_MOD	= 1051,
-    Mips_MODSUB	= 1052,
-    Mips_MODU	= 1053,
-    Mips_MOD_S_B	= 1054,
-    Mips_MOD_S_D	= 1055,
-    Mips_MOD_S_H	= 1056,
-    Mips_MOD_S_W	= 1057,
-    Mips_MOD_U_B	= 1058,
-    Mips_MOD_U_D	= 1059,
-    Mips_MOD_U_H	= 1060,
-    Mips_MOD_U_W	= 1061,
-    Mips_MOVE16_MM	= 1062,
-    Mips_MOVE_V	= 1063,
-    Mips_MOVF_D32	= 1064,
-    Mips_MOVF_D32_MM	= 1065,
-    Mips_MOVF_D64	= 1066,
-    Mips_MOVF_I	= 1067,
-    Mips_MOVF_I64	= 1068,
-    Mips_MOVF_I_MM	= 1069,
-    Mips_MOVF_S	= 1070,
-    Mips_MOVF_S_MM	= 1071,
-    Mips_MOVN_I64_D64	= 1072,
-    Mips_MOVN_I64_I	= 1073,
-    Mips_MOVN_I64_I64	= 1074,
-    Mips_MOVN_I64_S	= 1075,
-    Mips_MOVN_I_D32	= 1076,
-    Mips_MOVN_I_D32_MM	= 1077,
-    Mips_MOVN_I_D64	= 1078,
-    Mips_MOVN_I_I	= 1079,
-    Mips_MOVN_I_I64	= 1080,
-    Mips_MOVN_I_MM	= 1081,
-    Mips_MOVN_I_S	= 1082,
-    Mips_MOVN_I_S_MM	= 1083,
-    Mips_MOVT_D32	= 1084,
-    Mips_MOVT_D32_MM	= 1085,
-    Mips_MOVT_D64	= 1086,
-    Mips_MOVT_I	= 1087,
-    Mips_MOVT_I64	= 1088,
-    Mips_MOVT_I_MM	= 1089,
-    Mips_MOVT_S	= 1090,
-    Mips_MOVT_S_MM	= 1091,
-    Mips_MOVZ_I64_D64	= 1092,
-    Mips_MOVZ_I64_I	= 1093,
-    Mips_MOVZ_I64_I64	= 1094,
-    Mips_MOVZ_I64_S	= 1095,
-    Mips_MOVZ_I_D32	= 1096,
-    Mips_MOVZ_I_D32_MM	= 1097,
-    Mips_MOVZ_I_D64	= 1098,
-    Mips_MOVZ_I_I	= 1099,
-    Mips_MOVZ_I_I64	= 1100,
-    Mips_MOVZ_I_MM	= 1101,
-    Mips_MOVZ_I_S	= 1102,
-    Mips_MOVZ_I_S_MM	= 1103,
-    Mips_MSUB	= 1104,
-    Mips_MSUBF_D	= 1105,
-    Mips_MSUBF_S	= 1106,
-    Mips_MSUBR_Q_H	= 1107,
-    Mips_MSUBR_Q_W	= 1108,
-    Mips_MSUBU	= 1109,
-    Mips_MSUBU_DSP	= 1110,
-    Mips_MSUBU_MM	= 1111,
-    Mips_MSUBV_B	= 1112,
-    Mips_MSUBV_D	= 1113,
-    Mips_MSUBV_H	= 1114,
-    Mips_MSUBV_W	= 1115,
-    Mips_MSUB_D32	= 1116,
-    Mips_MSUB_D32_MM	= 1117,
-    Mips_MSUB_D64	= 1118,
-    Mips_MSUB_DSP	= 1119,
-    Mips_MSUB_MM	= 1120,
-    Mips_MSUB_Q_H	= 1121,
-    Mips_MSUB_Q_W	= 1122,
-    Mips_MSUB_S	= 1123,
-    Mips_MSUB_S_MM	= 1124,
-    Mips_MTC0	= 1125,
-    Mips_MTC1	= 1126,
-    Mips_MTC1_MM	= 1127,
-    Mips_MTC2	= 1128,
-    Mips_MTHC1_D32	= 1129,
-    Mips_MTHC1_D64	= 1130,
-    Mips_MTHC1_MM	= 1131,
-    Mips_MTHI	= 1132,
-    Mips_MTHI64	= 1133,
-    Mips_MTHI_DSP	= 1134,
-    Mips_MTHI_MM	= 1135,
-    Mips_MTHLIP	= 1136,
-    Mips_MTLO	= 1137,
-    Mips_MTLO64	= 1138,
-    Mips_MTLO_DSP	= 1139,
-    Mips_MTLO_MM	= 1140,
-    Mips_MTM0	= 1141,
-    Mips_MTM1	= 1142,
-    Mips_MTM2	= 1143,
-    Mips_MTP0	= 1144,
-    Mips_MTP1	= 1145,
-    Mips_MTP2	= 1146,
-    Mips_MUH	= 1147,
-    Mips_MUHU	= 1148,
-    Mips_MUL	= 1149,
-    Mips_MULEQ_S_W_PHL	= 1150,
-    Mips_MULEQ_S_W_PHR	= 1151,
-    Mips_MULEU_S_PH_QBL	= 1152,
-    Mips_MULEU_S_PH_QBR	= 1153,
-    Mips_MULQ_RS_PH	= 1154,
-    Mips_MULQ_RS_W	= 1155,
-    Mips_MULQ_S_PH	= 1156,
-    Mips_MULQ_S_W	= 1157,
-    Mips_MULR_Q_H	= 1158,
-    Mips_MULR_Q_W	= 1159,
-    Mips_MULSAQ_S_W_PH	= 1160,
-    Mips_MULSA_W_PH	= 1161,
-    Mips_MULT	= 1162,
-    Mips_MULTU_DSP	= 1163,
-    Mips_MULT_DSP	= 1164,
-    Mips_MULT_MM	= 1165,
-    Mips_MULTu	= 1166,
-    Mips_MULTu_MM	= 1167,
-    Mips_MULU	= 1168,
-    Mips_MULV_B	= 1169,
-    Mips_MULV_D	= 1170,
-    Mips_MULV_H	= 1171,
-    Mips_MULV_W	= 1172,
-    Mips_MUL_MM	= 1173,
-    Mips_MUL_PH	= 1174,
-    Mips_MUL_Q_H	= 1175,
-    Mips_MUL_Q_W	= 1176,
-    Mips_MUL_R6	= 1177,
-    Mips_MUL_S_PH	= 1178,
-    Mips_Mfhi16	= 1179,
-    Mips_Mflo16	= 1180,
-    Mips_Move32R16	= 1181,
-    Mips_MoveR3216	= 1182,
-    Mips_MultRxRy16	= 1183,
-    Mips_MultRxRyRz16	= 1184,
-    Mips_MultuRxRy16	= 1185,
-    Mips_MultuRxRyRz16	= 1186,
-    Mips_NLOC_B	= 1187,
-    Mips_NLOC_D	= 1188,
-    Mips_NLOC_H	= 1189,
-    Mips_NLOC_W	= 1190,
-    Mips_NLZC_B	= 1191,
-    Mips_NLZC_D	= 1192,
-    Mips_NLZC_H	= 1193,
-    Mips_NLZC_W	= 1194,
-    Mips_NMADD_D32	= 1195,
-    Mips_NMADD_D32_MM	= 1196,
-    Mips_NMADD_D64	= 1197,
-    Mips_NMADD_S	= 1198,
-    Mips_NMADD_S_MM	= 1199,
-    Mips_NMSUB_D32	= 1200,
-    Mips_NMSUB_D32_MM	= 1201,
-    Mips_NMSUB_D64	= 1202,
-    Mips_NMSUB_S	= 1203,
-    Mips_NMSUB_S_MM	= 1204,
-    Mips_NOP	= 1205,
-    Mips_NOR	= 1206,
-    Mips_NOR64	= 1207,
-    Mips_NORI_B	= 1208,
-    Mips_NOR_MM	= 1209,
-    Mips_NOR_V	= 1210,
-    Mips_NOR_V_D_PSEUDO	= 1211,
-    Mips_NOR_V_H_PSEUDO	= 1212,
-    Mips_NOR_V_W_PSEUDO	= 1213,
-    Mips_NegRxRy16	= 1214,
-    Mips_NotRxRy16	= 1215,
-    Mips_OR	= 1216,
-    Mips_OR64	= 1217,
-    Mips_ORI_B	= 1218,
-    Mips_OR_MM	= 1219,
-    Mips_OR_V	= 1220,
-    Mips_OR_V_D_PSEUDO	= 1221,
-    Mips_OR_V_H_PSEUDO	= 1222,
-    Mips_OR_V_W_PSEUDO	= 1223,
-    Mips_ORi	= 1224,
-    Mips_ORi64	= 1225,
-    Mips_ORi_MM	= 1226,
-    Mips_OrRxRxRy16	= 1227,
-    Mips_PACKRL_PH	= 1228,
-    Mips_PAUSE	= 1229,
-    Mips_PCKEV_B	= 1230,
-    Mips_PCKEV_D	= 1231,
-    Mips_PCKEV_H	= 1232,
-    Mips_PCKEV_W	= 1233,
-    Mips_PCKOD_B	= 1234,
-    Mips_PCKOD_D	= 1235,
-    Mips_PCKOD_H	= 1236,
-    Mips_PCKOD_W	= 1237,
-    Mips_PCNT_B	= 1238,
-    Mips_PCNT_D	= 1239,
-    Mips_PCNT_H	= 1240,
-    Mips_PCNT_W	= 1241,
-    Mips_PICK_PH	= 1242,
-    Mips_PICK_QB	= 1243,
-    Mips_POP	= 1244,
-    Mips_PRECEQU_PH_QBL	= 1245,
-    Mips_PRECEQU_PH_QBLA	= 1246,
-    Mips_PRECEQU_PH_QBR	= 1247,
-    Mips_PRECEQU_PH_QBRA	= 1248,
-    Mips_PRECEQ_W_PHL	= 1249,
-    Mips_PRECEQ_W_PHR	= 1250,
-    Mips_PRECEU_PH_QBL	= 1251,
-    Mips_PRECEU_PH_QBLA	= 1252,
-    Mips_PRECEU_PH_QBR	= 1253,
-    Mips_PRECEU_PH_QBRA	= 1254,
-    Mips_PRECRQU_S_QB_PH	= 1255,
-    Mips_PRECRQ_PH_W	= 1256,
-    Mips_PRECRQ_QB_PH	= 1257,
-    Mips_PRECRQ_RS_PH_W	= 1258,
-    Mips_PRECR_QB_PH	= 1259,
-    Mips_PRECR_SRA_PH_W	= 1260,
-    Mips_PRECR_SRA_R_PH_W	= 1261,
-    Mips_PREF	= 1262,
-    Mips_PREF_R6	= 1263,
-    Mips_PREPEND	= 1264,
-    Mips_PseudoCMPU_EQ_QB	= 1265,
-    Mips_PseudoCMPU_LE_QB	= 1266,
-    Mips_PseudoCMPU_LT_QB	= 1267,
-    Mips_PseudoCMP_EQ_PH	= 1268,
-    Mips_PseudoCMP_LE_PH	= 1269,
-    Mips_PseudoCMP_LT_PH	= 1270,
-    Mips_PseudoCVT_D32_W	= 1271,
-    Mips_PseudoCVT_D64_L	= 1272,
-    Mips_PseudoCVT_D64_W	= 1273,
-    Mips_PseudoCVT_S_L	= 1274,
-    Mips_PseudoCVT_S_W	= 1275,
-    Mips_PseudoDMULT	= 1276,
-    Mips_PseudoDMULTu	= 1277,
-    Mips_PseudoDSDIV	= 1278,
-    Mips_PseudoDUDIV	= 1279,
-    Mips_PseudoIndirectBranch	= 1280,
-    Mips_PseudoIndirectBranch64	= 1281,
-    Mips_PseudoMADD	= 1282,
-    Mips_PseudoMADDU	= 1283,
-    Mips_PseudoMFHI	= 1284,
-    Mips_PseudoMFHI64	= 1285,
-    Mips_PseudoMFLO	= 1286,
-    Mips_PseudoMFLO64	= 1287,
-    Mips_PseudoMSUB	= 1288,
-    Mips_PseudoMSUBU	= 1289,
-    Mips_PseudoMTLOHI	= 1290,
-    Mips_PseudoMTLOHI64	= 1291,
-    Mips_PseudoMTLOHI_DSP	= 1292,
-    Mips_PseudoMULT	= 1293,
-    Mips_PseudoMULTu	= 1294,
-    Mips_PseudoPICK_PH	= 1295,
-    Mips_PseudoPICK_QB	= 1296,
-    Mips_PseudoReturn	= 1297,
-    Mips_PseudoReturn64	= 1298,
-    Mips_PseudoSDIV	= 1299,
-    Mips_PseudoUDIV	= 1300,
-    Mips_RADDU_W_QB	= 1301,
-    Mips_RDDSP	= 1302,
-    Mips_RDHWR	= 1303,
-    Mips_RDHWR64	= 1304,
-    Mips_REPLV_PH	= 1305,
-    Mips_REPLV_QB	= 1306,
-    Mips_REPL_PH	= 1307,
-    Mips_REPL_QB	= 1308,
-    Mips_RINT_D	= 1309,
-    Mips_RINT_S	= 1310,
-    Mips_ROTR	= 1311,
-    Mips_ROTRV	= 1312,
-    Mips_ROTRV_MM	= 1313,
-    Mips_ROTR_MM	= 1314,
-    Mips_ROUND_L_D64	= 1315,
-    Mips_ROUND_L_S	= 1316,
-    Mips_ROUND_W_D32	= 1317,
-    Mips_ROUND_W_D64	= 1318,
-    Mips_ROUND_W_MM	= 1319,
-    Mips_ROUND_W_S	= 1320,
-    Mips_ROUND_W_S_MM	= 1321,
-    Mips_Restore16	= 1322,
-    Mips_RestoreX16	= 1323,
-    Mips_RetRA	= 1324,
-    Mips_RetRA16	= 1325,
-    Mips_SAT_S_B	= 1326,
-    Mips_SAT_S_D	= 1327,
-    Mips_SAT_S_H	= 1328,
-    Mips_SAT_S_W	= 1329,
-    Mips_SAT_U_B	= 1330,
-    Mips_SAT_U_D	= 1331,
-    Mips_SAT_U_H	= 1332,
-    Mips_SAT_U_W	= 1333,
-    Mips_SB	= 1334,
-    Mips_SB64	= 1335,
-    Mips_SB_MM	= 1336,
-    Mips_SC	= 1337,
-    Mips_SCD	= 1338,
-    Mips_SCD_R6	= 1339,
-    Mips_SC_MM	= 1340,
-    Mips_SC_R6	= 1341,
-    Mips_SD	= 1342,
-    Mips_SDBBP	= 1343,
-    Mips_SDBBP_R6	= 1344,
-    Mips_SDC1	= 1345,
-    Mips_SDC164	= 1346,
-    Mips_SDC1_MM	= 1347,
-    Mips_SDC2	= 1348,
-    Mips_SDC2_R6	= 1349,
-    Mips_SDC3	= 1350,
-    Mips_SDIV	= 1351,
-    Mips_SDIV_MM	= 1352,
-    Mips_SDL	= 1353,
-    Mips_SDR	= 1354,
-    Mips_SDXC1	= 1355,
-    Mips_SDXC164	= 1356,
-    Mips_SEB	= 1357,
-    Mips_SEB64	= 1358,
-    Mips_SEB_MM	= 1359,
-    Mips_SEH	= 1360,
-    Mips_SEH64	= 1361,
-    Mips_SEH_MM	= 1362,
-    Mips_SELEQZ	= 1363,
-    Mips_SELEQZ64	= 1364,
-    Mips_SELEQZ_D	= 1365,
-    Mips_SELEQZ_S	= 1366,
-    Mips_SELNEZ	= 1367,
-    Mips_SELNEZ64	= 1368,
-    Mips_SELNEZ_D	= 1369,
-    Mips_SELNEZ_S	= 1370,
-    Mips_SEL_D	= 1371,
-    Mips_SEL_S	= 1372,
-    Mips_SEQ	= 1373,
-    Mips_SEQi	= 1374,
-    Mips_SH	= 1375,
-    Mips_SH64	= 1376,
-    Mips_SHF_B	= 1377,
-    Mips_SHF_H	= 1378,
-    Mips_SHF_W	= 1379,
-    Mips_SHILO	= 1380,
-    Mips_SHILOV	= 1381,
-    Mips_SHLLV_PH	= 1382,
-    Mips_SHLLV_QB	= 1383,
-    Mips_SHLLV_S_PH	= 1384,
-    Mips_SHLLV_S_W	= 1385,
-    Mips_SHLL_PH	= 1386,
-    Mips_SHLL_QB	= 1387,
-    Mips_SHLL_S_PH	= 1388,
-    Mips_SHLL_S_W	= 1389,
-    Mips_SHRAV_PH	= 1390,
-    Mips_SHRAV_QB	= 1391,
-    Mips_SHRAV_R_PH	= 1392,
-    Mips_SHRAV_R_QB	= 1393,
-    Mips_SHRAV_R_W	= 1394,
-    Mips_SHRA_PH	= 1395,
-    Mips_SHRA_QB	= 1396,
-    Mips_SHRA_R_PH	= 1397,
-    Mips_SHRA_R_QB	= 1398,
-    Mips_SHRA_R_W	= 1399,
-    Mips_SHRLV_PH	= 1400,
-    Mips_SHRLV_QB	= 1401,
-    Mips_SHRL_PH	= 1402,
-    Mips_SHRL_QB	= 1403,
-    Mips_SH_MM	= 1404,
-    Mips_SLDI_B	= 1405,
-    Mips_SLDI_D	= 1406,
-    Mips_SLDI_H	= 1407,
-    Mips_SLDI_W	= 1408,
-    Mips_SLD_B	= 1409,
-    Mips_SLD_D	= 1410,
-    Mips_SLD_H	= 1411,
-    Mips_SLD_W	= 1412,
-    Mips_SLL	= 1413,
-    Mips_SLL64_32	= 1414,
-    Mips_SLL64_64	= 1415,
-    Mips_SLLI_B	= 1416,
-    Mips_SLLI_D	= 1417,
-    Mips_SLLI_H	= 1418,
-    Mips_SLLI_W	= 1419,
-    Mips_SLLV	= 1420,
-    Mips_SLLV_MM	= 1421,
-    Mips_SLL_B	= 1422,
-    Mips_SLL_D	= 1423,
-    Mips_SLL_H	= 1424,
-    Mips_SLL_MM	= 1425,
-    Mips_SLL_W	= 1426,
-    Mips_SLT	= 1427,
-    Mips_SLT64	= 1428,
-    Mips_SLT_MM	= 1429,
-    Mips_SLTi	= 1430,
-    Mips_SLTi64	= 1431,
-    Mips_SLTi_MM	= 1432,
-    Mips_SLTiu	= 1433,
-    Mips_SLTiu64	= 1434,
-    Mips_SLTiu_MM	= 1435,
-    Mips_SLTu	= 1436,
-    Mips_SLTu64	= 1437,
-    Mips_SLTu_MM	= 1438,
-    Mips_SNE	= 1439,
-    Mips_SNEi	= 1440,
-    Mips_SNZ_B_PSEUDO	= 1441,
-    Mips_SNZ_D_PSEUDO	= 1442,
-    Mips_SNZ_H_PSEUDO	= 1443,
-    Mips_SNZ_V_PSEUDO	= 1444,
-    Mips_SNZ_W_PSEUDO	= 1445,
-    Mips_SPLATI_B	= 1446,
-    Mips_SPLATI_D	= 1447,
-    Mips_SPLATI_H	= 1448,
-    Mips_SPLATI_W	= 1449,
-    Mips_SPLAT_B	= 1450,
-    Mips_SPLAT_D	= 1451,
-    Mips_SPLAT_H	= 1452,
-    Mips_SPLAT_W	= 1453,
-    Mips_SRA	= 1454,
-    Mips_SRAI_B	= 1455,
-    Mips_SRAI_D	= 1456,
-    Mips_SRAI_H	= 1457,
-    Mips_SRAI_W	= 1458,
-    Mips_SRARI_B	= 1459,
-    Mips_SRARI_D	= 1460,
-    Mips_SRARI_H	= 1461,
-    Mips_SRARI_W	= 1462,
-    Mips_SRAR_B	= 1463,
-    Mips_SRAR_D	= 1464,
-    Mips_SRAR_H	= 1465,
-    Mips_SRAR_W	= 1466,
-    Mips_SRAV	= 1467,
-    Mips_SRAV_MM	= 1468,
-    Mips_SRA_B	= 1469,
-    Mips_SRA_D	= 1470,
-    Mips_SRA_H	= 1471,
-    Mips_SRA_MM	= 1472,
-    Mips_SRA_W	= 1473,
-    Mips_SRL	= 1474,
-    Mips_SRLI_B	= 1475,
-    Mips_SRLI_D	= 1476,
-    Mips_SRLI_H	= 1477,
-    Mips_SRLI_W	= 1478,
-    Mips_SRLRI_B	= 1479,
-    Mips_SRLRI_D	= 1480,
-    Mips_SRLRI_H	= 1481,
-    Mips_SRLRI_W	= 1482,
-    Mips_SRLR_B	= 1483,
-    Mips_SRLR_D	= 1484,
-    Mips_SRLR_H	= 1485,
-    Mips_SRLR_W	= 1486,
-    Mips_SRLV	= 1487,
-    Mips_SRLV_MM	= 1488,
-    Mips_SRL_B	= 1489,
-    Mips_SRL_D	= 1490,
-    Mips_SRL_H	= 1491,
-    Mips_SRL_MM	= 1492,
-    Mips_SRL_W	= 1493,
-    Mips_SSNOP	= 1494,
-    Mips_STORE_ACC128	= 1495,
-    Mips_STORE_ACC64	= 1496,
-    Mips_STORE_ACC64DSP	= 1497,
-    Mips_STORE_CCOND_DSP	= 1498,
-    Mips_ST_B	= 1499,
-    Mips_ST_D	= 1500,
-    Mips_ST_H	= 1501,
-    Mips_ST_W	= 1502,
-    Mips_SUB	= 1503,
-    Mips_SUBQH_PH	= 1504,
-    Mips_SUBQH_R_PH	= 1505,
-    Mips_SUBQH_R_W	= 1506,
-    Mips_SUBQH_W	= 1507,
-    Mips_SUBQ_PH	= 1508,
-    Mips_SUBQ_S_PH	= 1509,
-    Mips_SUBQ_S_W	= 1510,
-    Mips_SUBSUS_U_B	= 1511,
-    Mips_SUBSUS_U_D	= 1512,
-    Mips_SUBSUS_U_H	= 1513,
-    Mips_SUBSUS_U_W	= 1514,
-    Mips_SUBSUU_S_B	= 1515,
-    Mips_SUBSUU_S_D	= 1516,
-    Mips_SUBSUU_S_H	= 1517,
-    Mips_SUBSUU_S_W	= 1518,
-    Mips_SUBS_S_B	= 1519,
-    Mips_SUBS_S_D	= 1520,
-    Mips_SUBS_S_H	= 1521,
-    Mips_SUBS_S_W	= 1522,
-    Mips_SUBS_U_B	= 1523,
-    Mips_SUBS_U_D	= 1524,
-    Mips_SUBS_U_H	= 1525,
-    Mips_SUBS_U_W	= 1526,
-    Mips_SUBUH_QB	= 1527,
-    Mips_SUBUH_R_QB	= 1528,
-    Mips_SUBU_PH	= 1529,
-    Mips_SUBU_QB	= 1530,
-    Mips_SUBU_S_PH	= 1531,
-    Mips_SUBU_S_QB	= 1532,
-    Mips_SUBVI_B	= 1533,
-    Mips_SUBVI_D	= 1534,
-    Mips_SUBVI_H	= 1535,
-    Mips_SUBVI_W	= 1536,
-    Mips_SUBV_B	= 1537,
-    Mips_SUBV_D	= 1538,
-    Mips_SUBV_H	= 1539,
-    Mips_SUBV_W	= 1540,
-    Mips_SUB_MM	= 1541,
-    Mips_SUBu	= 1542,
-    Mips_SUBu_MM	= 1543,
-    Mips_SUXC1	= 1544,
-    Mips_SUXC164	= 1545,
-    Mips_SUXC1_MM	= 1546,
-    Mips_SW	= 1547,
-    Mips_SW64	= 1548,
-    Mips_SWC1	= 1549,
-    Mips_SWC1_MM	= 1550,
-    Mips_SWC2	= 1551,
-    Mips_SWC2_R6	= 1552,
-    Mips_SWC3	= 1553,
-    Mips_SWL	= 1554,
-    Mips_SWL64	= 1555,
-    Mips_SWL_MM	= 1556,
-    Mips_SWR	= 1557,
-    Mips_SWR64	= 1558,
-    Mips_SWR_MM	= 1559,
-    Mips_SWXC1	= 1560,
-    Mips_SWXC1_MM	= 1561,
-    Mips_SW_MM	= 1562,
-    Mips_SYNC	= 1563,
-    Mips_SYNC_MM	= 1564,
-    Mips_SYSCALL	= 1565,
-    Mips_SYSCALL_MM	= 1566,
-    Mips_SZ_B_PSEUDO	= 1567,
-    Mips_SZ_D_PSEUDO	= 1568,
-    Mips_SZ_H_PSEUDO	= 1569,
-    Mips_SZ_V_PSEUDO	= 1570,
-    Mips_SZ_W_PSEUDO	= 1571,
-    Mips_Save16	= 1572,
-    Mips_SaveX16	= 1573,
-    Mips_SbRxRyOffMemX16	= 1574,
-    Mips_SebRx16	= 1575,
-    Mips_SehRx16	= 1576,
-    Mips_SelBeqZ	= 1577,
-    Mips_SelBneZ	= 1578,
-    Mips_SelTBteqZCmp	= 1579,
-    Mips_SelTBteqZCmpi	= 1580,
-    Mips_SelTBteqZSlt	= 1581,
-    Mips_SelTBteqZSlti	= 1582,
-    Mips_SelTBteqZSltiu	= 1583,
-    Mips_SelTBteqZSltu	= 1584,
-    Mips_SelTBtneZCmp	= 1585,
-    Mips_SelTBtneZCmpi	= 1586,
-    Mips_SelTBtneZSlt	= 1587,
-    Mips_SelTBtneZSlti	= 1588,
-    Mips_SelTBtneZSltiu	= 1589,
-    Mips_SelTBtneZSltu	= 1590,
-    Mips_ShRxRyOffMemX16	= 1591,
-    Mips_SllX16	= 1592,
-    Mips_SllvRxRy16	= 1593,
-    Mips_SltCCRxRy16	= 1594,
-    Mips_SltRxRy16	= 1595,
-    Mips_SltiCCRxImmX16	= 1596,
-    Mips_SltiRxImm16	= 1597,
-    Mips_SltiRxImmX16	= 1598,
-    Mips_SltiuCCRxImmX16	= 1599,
-    Mips_SltiuRxImm16	= 1600,
-    Mips_SltiuRxImmX16	= 1601,
-    Mips_SltuCCRxRy16	= 1602,
-    Mips_SltuRxRy16	= 1603,
-    Mips_SltuRxRyRz16	= 1604,
-    Mips_SraX16	= 1605,
-    Mips_SravRxRy16	= 1606,
-    Mips_SrlX16	= 1607,
-    Mips_SrlvRxRy16	= 1608,
-    Mips_SubuRxRyRz16	= 1609,
-    Mips_SwRxRyOffMemX16	= 1610,
-    Mips_SwRxSpImmX16	= 1611,
-    Mips_TAILCALL	= 1612,
-    Mips_TAILCALL64_R	= 1613,
-    Mips_TAILCALL_R	= 1614,
-    Mips_TEQ	= 1615,
-    Mips_TEQI	= 1616,
-    Mips_TEQI_MM	= 1617,
-    Mips_TEQ_MM	= 1618,
-    Mips_TGE	= 1619,
-    Mips_TGEI	= 1620,
-    Mips_TGEIU	= 1621,
-    Mips_TGEIU_MM	= 1622,
-    Mips_TGEI_MM	= 1623,
-    Mips_TGEU	= 1624,
-    Mips_TGEU_MM	= 1625,
-    Mips_TGE_MM	= 1626,
-    Mips_TLBP	= 1627,
-    Mips_TLBR	= 1628,
-    Mips_TLBWI	= 1629,
-    Mips_TLBWR	= 1630,
-    Mips_TLT	= 1631,
-    Mips_TLTI	= 1632,
-    Mips_TLTIU_MM	= 1633,
-    Mips_TLTI_MM	= 1634,
-    Mips_TLTU	= 1635,
-    Mips_TLTU_MM	= 1636,
-    Mips_TLT_MM	= 1637,
-    Mips_TNE	= 1638,
-    Mips_TNEI	= 1639,
-    Mips_TNEI_MM	= 1640,
-    Mips_TNE_MM	= 1641,
-    Mips_TRAP	= 1642,
-    Mips_TRUNC_L_D64	= 1643,
-    Mips_TRUNC_L_S	= 1644,
-    Mips_TRUNC_W_D32	= 1645,
-    Mips_TRUNC_W_D64	= 1646,
-    Mips_TRUNC_W_MM	= 1647,
-    Mips_TRUNC_W_S	= 1648,
-    Mips_TRUNC_W_S_MM	= 1649,
-    Mips_TTLTIU	= 1650,
-    Mips_UDIV	= 1651,
-    Mips_UDIV_MM	= 1652,
-    Mips_V3MULU	= 1653,
-    Mips_VMM0	= 1654,
-    Mips_VMULU	= 1655,
-    Mips_VSHF_B	= 1656,
-    Mips_VSHF_D	= 1657,
-    Mips_VSHF_H	= 1658,
-    Mips_VSHF_W	= 1659,
-    Mips_WAIT	= 1660,
-    Mips_WAIT_MM	= 1661,
-    Mips_WRDSP	= 1662,
-    Mips_WSBH	= 1663,
-    Mips_WSBH_MM	= 1664,
-    Mips_XOR	= 1665,
-    Mips_XOR64	= 1666,
-    Mips_XORI_B	= 1667,
-    Mips_XOR_MM	= 1668,
-    Mips_XOR_V	= 1669,
-    Mips_XOR_V_D_PSEUDO	= 1670,
-    Mips_XOR_V_H_PSEUDO	= 1671,
-    Mips_XOR_V_W_PSEUDO	= 1672,
-    Mips_XORi	= 1673,
-    Mips_XORi64	= 1674,
-    Mips_XORi_MM	= 1675,
-    Mips_XorRxRxRy16	= 1676,
-    Mips_INSTRUCTION_LIST_END = 1677
+    Mips_BC0F	= 161,
+    Mips_BC0FL	= 162,
+    Mips_BC0T	= 163,
+    Mips_BC0TL	= 164,
+    Mips_BC1EQZ	= 165,
+    Mips_BC1F	= 166,
+    Mips_BC1FL	= 167,
+    Mips_BC1F_MM	= 168,
+    Mips_BC1NEZ	= 169,
+    Mips_BC1T	= 170,
+    Mips_BC1TL	= 171,
+    Mips_BC1T_MM	= 172,
+    Mips_BC2EQZ	= 173,
+    Mips_BC2F	= 174,
+    Mips_BC2FL	= 175,
+    Mips_BC2NEZ	= 176,
+    Mips_BC2T	= 177,
+    Mips_BC2TL	= 178,
+    Mips_BC3F	= 179,
+    Mips_BC3FL	= 180,
+    Mips_BC3T	= 181,
+    Mips_BC3TL	= 182,
+    Mips_BCLRI_B	= 183,
+    Mips_BCLRI_D	= 184,
+    Mips_BCLRI_H	= 185,
+    Mips_BCLRI_W	= 186,
+    Mips_BCLR_B	= 187,
+    Mips_BCLR_D	= 188,
+    Mips_BCLR_H	= 189,
+    Mips_BCLR_W	= 190,
+    Mips_BEQ	= 191,
+    Mips_BEQ64	= 192,
+    Mips_BEQC	= 193,
+    Mips_BEQL	= 194,
+    Mips_BEQZALC	= 195,
+    Mips_BEQZC	= 196,
+    Mips_BEQZC_MM	= 197,
+    Mips_BEQ_MM	= 198,
+    Mips_BGEC	= 199,
+    Mips_BGEUC	= 200,
+    Mips_BGEZ	= 201,
+    Mips_BGEZ64	= 202,
+    Mips_BGEZAL	= 203,
+    Mips_BGEZALC	= 204,
+    Mips_BGEZALL	= 205,
+    Mips_BGEZALS_MM	= 206,
+    Mips_BGEZAL_MM	= 207,
+    Mips_BGEZC	= 208,
+    Mips_BGEZL	= 209,
+    Mips_BGEZ_MM	= 210,
+    Mips_BGTZ	= 211,
+    Mips_BGTZ64	= 212,
+    Mips_BGTZALC	= 213,
+    Mips_BGTZC	= 214,
+    Mips_BGTZL	= 215,
+    Mips_BGTZ_MM	= 216,
+    Mips_BINSLI_B	= 217,
+    Mips_BINSLI_D	= 218,
+    Mips_BINSLI_H	= 219,
+    Mips_BINSLI_W	= 220,
+    Mips_BINSL_B	= 221,
+    Mips_BINSL_D	= 222,
+    Mips_BINSL_H	= 223,
+    Mips_BINSL_W	= 224,
+    Mips_BINSRI_B	= 225,
+    Mips_BINSRI_D	= 226,
+    Mips_BINSRI_H	= 227,
+    Mips_BINSRI_W	= 228,
+    Mips_BINSR_B	= 229,
+    Mips_BINSR_D	= 230,
+    Mips_BINSR_H	= 231,
+    Mips_BINSR_W	= 232,
+    Mips_BITREV	= 233,
+    Mips_BITSWAP	= 234,
+    Mips_BLEZ	= 235,
+    Mips_BLEZ64	= 236,
+    Mips_BLEZALC	= 237,
+    Mips_BLEZC	= 238,
+    Mips_BLEZL	= 239,
+    Mips_BLEZ_MM	= 240,
+    Mips_BLTC	= 241,
+    Mips_BLTUC	= 242,
+    Mips_BLTZ	= 243,
+    Mips_BLTZ64	= 244,
+    Mips_BLTZAL	= 245,
+    Mips_BLTZALC	= 246,
+    Mips_BLTZALL	= 247,
+    Mips_BLTZALS_MM	= 248,
+    Mips_BLTZAL_MM	= 249,
+    Mips_BLTZC	= 250,
+    Mips_BLTZL	= 251,
+    Mips_BLTZ_MM	= 252,
+    Mips_BMNZI_B	= 253,
+    Mips_BMNZ_V	= 254,
+    Mips_BMZI_B	= 255,
+    Mips_BMZ_V	= 256,
+    Mips_BNE	= 257,
+    Mips_BNE64	= 258,
+    Mips_BNEC	= 259,
+    Mips_BNEGI_B	= 260,
+    Mips_BNEGI_D	= 261,
+    Mips_BNEGI_H	= 262,
+    Mips_BNEGI_W	= 263,
+    Mips_BNEG_B	= 264,
+    Mips_BNEG_D	= 265,
+    Mips_BNEG_H	= 266,
+    Mips_BNEG_W	= 267,
+    Mips_BNEL	= 268,
+    Mips_BNEZALC	= 269,
+    Mips_BNEZC	= 270,
+    Mips_BNEZC_MM	= 271,
+    Mips_BNE_MM	= 272,
+    Mips_BNVC	= 273,
+    Mips_BNZ_B	= 274,
+    Mips_BNZ_D	= 275,
+    Mips_BNZ_H	= 276,
+    Mips_BNZ_V	= 277,
+    Mips_BNZ_W	= 278,
+    Mips_BOVC	= 279,
+    Mips_BPOSGE32	= 280,
+    Mips_BPOSGE32_PSEUDO	= 281,
+    Mips_BREAK	= 282,
+    Mips_BREAK_MM	= 283,
+    Mips_BSELI_B	= 284,
+    Mips_BSEL_D_PSEUDO	= 285,
+    Mips_BSEL_FD_PSEUDO	= 286,
+    Mips_BSEL_FW_PSEUDO	= 287,
+    Mips_BSEL_H_PSEUDO	= 288,
+    Mips_BSEL_V	= 289,
+    Mips_BSEL_W_PSEUDO	= 290,
+    Mips_BSETI_B	= 291,
+    Mips_BSETI_D	= 292,
+    Mips_BSETI_H	= 293,
+    Mips_BSETI_W	= 294,
+    Mips_BSET_B	= 295,
+    Mips_BSET_D	= 296,
+    Mips_BSET_H	= 297,
+    Mips_BSET_W	= 298,
+    Mips_BZ_B	= 299,
+    Mips_BZ_D	= 300,
+    Mips_BZ_H	= 301,
+    Mips_BZ_V	= 302,
+    Mips_BZ_W	= 303,
+    Mips_BeqzRxImm16	= 304,
+    Mips_BeqzRxImmX16	= 305,
+    Mips_Bimm16	= 306,
+    Mips_BimmX16	= 307,
+    Mips_BnezRxImm16	= 308,
+    Mips_BnezRxImmX16	= 309,
+    Mips_Break16	= 310,
+    Mips_Bteqz16	= 311,
+    Mips_BteqzT8CmpX16	= 312,
+    Mips_BteqzT8CmpiX16	= 313,
+    Mips_BteqzT8SltX16	= 314,
+    Mips_BteqzT8SltiX16	= 315,
+    Mips_BteqzT8SltiuX16	= 316,
+    Mips_BteqzT8SltuX16	= 317,
+    Mips_BteqzX16	= 318,
+    Mips_Btnez16	= 319,
+    Mips_BtnezT8CmpX16	= 320,
+    Mips_BtnezT8CmpiX16	= 321,
+    Mips_BtnezT8SltX16	= 322,
+    Mips_BtnezT8SltiX16	= 323,
+    Mips_BtnezT8SltiuX16	= 324,
+    Mips_BtnezT8SltuX16	= 325,
+    Mips_BtnezX16	= 326,
+    Mips_BuildPairF64	= 327,
+    Mips_BuildPairF64_64	= 328,
+    Mips_CACHE	= 329,
+    Mips_CACHE_R6	= 330,
+    Mips_CEIL_L_D64	= 331,
+    Mips_CEIL_L_S	= 332,
+    Mips_CEIL_W_D32	= 333,
+    Mips_CEIL_W_D64	= 334,
+    Mips_CEIL_W_MM	= 335,
+    Mips_CEIL_W_S	= 336,
+    Mips_CEIL_W_S_MM	= 337,
+    Mips_CEQI_B	= 338,
+    Mips_CEQI_D	= 339,
+    Mips_CEQI_H	= 340,
+    Mips_CEQI_W	= 341,
+    Mips_CEQ_B	= 342,
+    Mips_CEQ_D	= 343,
+    Mips_CEQ_H	= 344,
+    Mips_CEQ_W	= 345,
+    Mips_CFC1	= 346,
+    Mips_CFC1_MM	= 347,
+    Mips_CFCMSA	= 348,
+    Mips_CINS	= 349,
+    Mips_CINS32	= 350,
+    Mips_CLASS_D	= 351,
+    Mips_CLASS_S	= 352,
+    Mips_CLEI_S_B	= 353,
+    Mips_CLEI_S_D	= 354,
+    Mips_CLEI_S_H	= 355,
+    Mips_CLEI_S_W	= 356,
+    Mips_CLEI_U_B	= 357,
+    Mips_CLEI_U_D	= 358,
+    Mips_CLEI_U_H	= 359,
+    Mips_CLEI_U_W	= 360,
+    Mips_CLE_S_B	= 361,
+    Mips_CLE_S_D	= 362,
+    Mips_CLE_S_H	= 363,
+    Mips_CLE_S_W	= 364,
+    Mips_CLE_U_B	= 365,
+    Mips_CLE_U_D	= 366,
+    Mips_CLE_U_H	= 367,
+    Mips_CLE_U_W	= 368,
+    Mips_CLO	= 369,
+    Mips_CLO_MM	= 370,
+    Mips_CLO_R6	= 371,
+    Mips_CLTI_S_B	= 372,
+    Mips_CLTI_S_D	= 373,
+    Mips_CLTI_S_H	= 374,
+    Mips_CLTI_S_W	= 375,
+    Mips_CLTI_U_B	= 376,
+    Mips_CLTI_U_D	= 377,
+    Mips_CLTI_U_H	= 378,
+    Mips_CLTI_U_W	= 379,
+    Mips_CLT_S_B	= 380,
+    Mips_CLT_S_D	= 381,
+    Mips_CLT_S_H	= 382,
+    Mips_CLT_S_W	= 383,
+    Mips_CLT_U_B	= 384,
+    Mips_CLT_U_D	= 385,
+    Mips_CLT_U_H	= 386,
+    Mips_CLT_U_W	= 387,
+    Mips_CLZ	= 388,
+    Mips_CLZ_MM	= 389,
+    Mips_CLZ_R6	= 390,
+    Mips_CMPGDU_EQ_QB	= 391,
+    Mips_CMPGDU_LE_QB	= 392,
+    Mips_CMPGDU_LT_QB	= 393,
+    Mips_CMPGU_EQ_QB	= 394,
+    Mips_CMPGU_LE_QB	= 395,
+    Mips_CMPGU_LT_QB	= 396,
+    Mips_CMPU_EQ_QB	= 397,
+    Mips_CMPU_LE_QB	= 398,
+    Mips_CMPU_LT_QB	= 399,
+    Mips_CMP_EQ_D	= 400,
+    Mips_CMP_EQ_PH	= 401,
+    Mips_CMP_EQ_S	= 402,
+    Mips_CMP_F_D	= 403,
+    Mips_CMP_F_S	= 404,
+    Mips_CMP_LE_D	= 405,
+    Mips_CMP_LE_PH	= 406,
+    Mips_CMP_LE_S	= 407,
+    Mips_CMP_LT_D	= 408,
+    Mips_CMP_LT_PH	= 409,
+    Mips_CMP_LT_S	= 410,
+    Mips_CMP_SAF_D	= 411,
+    Mips_CMP_SAF_S	= 412,
+    Mips_CMP_SEQ_D	= 413,
+    Mips_CMP_SEQ_S	= 414,
+    Mips_CMP_SLE_D	= 415,
+    Mips_CMP_SLE_S	= 416,
+    Mips_CMP_SLT_D	= 417,
+    Mips_CMP_SLT_S	= 418,
+    Mips_CMP_SUEQ_D	= 419,
+    Mips_CMP_SUEQ_S	= 420,
+    Mips_CMP_SULE_D	= 421,
+    Mips_CMP_SULE_S	= 422,
+    Mips_CMP_SULT_D	= 423,
+    Mips_CMP_SULT_S	= 424,
+    Mips_CMP_SUN_D	= 425,
+    Mips_CMP_SUN_S	= 426,
+    Mips_CMP_UEQ_D	= 427,
+    Mips_CMP_UEQ_S	= 428,
+    Mips_CMP_ULE_D	= 429,
+    Mips_CMP_ULE_S	= 430,
+    Mips_CMP_ULT_D	= 431,
+    Mips_CMP_ULT_S	= 432,
+    Mips_CMP_UN_D	= 433,
+    Mips_CMP_UN_S	= 434,
+    Mips_CONSTPOOL_ENTRY	= 435,
+    Mips_COPY_FD_PSEUDO	= 436,
+    Mips_COPY_FW_PSEUDO	= 437,
+    Mips_COPY_S_B	= 438,
+    Mips_COPY_S_D	= 439,
+    Mips_COPY_S_H	= 440,
+    Mips_COPY_S_W	= 441,
+    Mips_COPY_U_B	= 442,
+    Mips_COPY_U_D	= 443,
+    Mips_COPY_U_H	= 444,
+    Mips_COPY_U_W	= 445,
+    Mips_CTC1	= 446,
+    Mips_CTC1_MM	= 447,
+    Mips_CTCMSA	= 448,
+    Mips_CVT_D32_S	= 449,
+    Mips_CVT_D32_W	= 450,
+    Mips_CVT_D32_W_MM	= 451,
+    Mips_CVT_D64_L	= 452,
+    Mips_CVT_D64_S	= 453,
+    Mips_CVT_D64_W	= 454,
+    Mips_CVT_D_S_MM	= 455,
+    Mips_CVT_L_D64	= 456,
+    Mips_CVT_L_D64_MM	= 457,
+    Mips_CVT_L_S	= 458,
+    Mips_CVT_L_S_MM	= 459,
+    Mips_CVT_S_D32	= 460,
+    Mips_CVT_S_D32_MM	= 461,
+    Mips_CVT_S_D64	= 462,
+    Mips_CVT_S_L	= 463,
+    Mips_CVT_S_W	= 464,
+    Mips_CVT_S_W_MM	= 465,
+    Mips_CVT_W_D32	= 466,
+    Mips_CVT_W_D64	= 467,
+    Mips_CVT_W_MM	= 468,
+    Mips_CVT_W_S	= 469,
+    Mips_CVT_W_S_MM	= 470,
+    Mips_C_EQ_D32	= 471,
+    Mips_C_EQ_D64	= 472,
+    Mips_C_EQ_S	= 473,
+    Mips_C_F_D32	= 474,
+    Mips_C_F_D64	= 475,
+    Mips_C_F_S	= 476,
+    Mips_C_LE_D32	= 477,
+    Mips_C_LE_D64	= 478,
+    Mips_C_LE_S	= 479,
+    Mips_C_LT_D32	= 480,
+    Mips_C_LT_D64	= 481,
+    Mips_C_LT_S	= 482,
+    Mips_C_NGE_D32	= 483,
+    Mips_C_NGE_D64	= 484,
+    Mips_C_NGE_S	= 485,
+    Mips_C_NGLE_D32	= 486,
+    Mips_C_NGLE_D64	= 487,
+    Mips_C_NGLE_S	= 488,
+    Mips_C_NGL_D32	= 489,
+    Mips_C_NGL_D64	= 490,
+    Mips_C_NGL_S	= 491,
+    Mips_C_NGT_D32	= 492,
+    Mips_C_NGT_D64	= 493,
+    Mips_C_NGT_S	= 494,
+    Mips_C_OLE_D32	= 495,
+    Mips_C_OLE_D64	= 496,
+    Mips_C_OLE_S	= 497,
+    Mips_C_OLT_D32	= 498,
+    Mips_C_OLT_D64	= 499,
+    Mips_C_OLT_S	= 500,
+    Mips_C_SEQ_D32	= 501,
+    Mips_C_SEQ_D64	= 502,
+    Mips_C_SEQ_S	= 503,
+    Mips_C_SF_D32	= 504,
+    Mips_C_SF_D64	= 505,
+    Mips_C_SF_S	= 506,
+    Mips_C_UEQ_D32	= 507,
+    Mips_C_UEQ_D64	= 508,
+    Mips_C_UEQ_S	= 509,
+    Mips_C_ULE_D32	= 510,
+    Mips_C_ULE_D64	= 511,
+    Mips_C_ULE_S	= 512,
+    Mips_C_ULT_D32	= 513,
+    Mips_C_ULT_D64	= 514,
+    Mips_C_ULT_S	= 515,
+    Mips_C_UN_D32	= 516,
+    Mips_C_UN_D64	= 517,
+    Mips_C_UN_S	= 518,
+    Mips_CmpRxRy16	= 519,
+    Mips_CmpiRxImm16	= 520,
+    Mips_CmpiRxImmX16	= 521,
+    Mips_Constant32	= 522,
+    Mips_DADD	= 523,
+    Mips_DADDi	= 524,
+    Mips_DADDiu	= 525,
+    Mips_DADDu	= 526,
+    Mips_DAHI	= 527,
+    Mips_DALIGN	= 528,
+    Mips_DATI	= 529,
+    Mips_DAUI	= 530,
+    Mips_DBITSWAP	= 531,
+    Mips_DCLO	= 532,
+    Mips_DCLO_R6	= 533,
+    Mips_DCLZ	= 534,
+    Mips_DCLZ_R6	= 535,
+    Mips_DDIV	= 536,
+    Mips_DDIVU	= 537,
+    Mips_DERET	= 538,
+    Mips_DERET_MM	= 539,
+    Mips_DEXT	= 540,
+    Mips_DEXTM	= 541,
+    Mips_DEXTU	= 542,
+    Mips_DI	= 543,
+    Mips_DINS	= 544,
+    Mips_DINSM	= 545,
+    Mips_DINSU	= 546,
+    Mips_DIV	= 547,
+    Mips_DIVU	= 548,
+    Mips_DIV_S_B	= 549,
+    Mips_DIV_S_D	= 550,
+    Mips_DIV_S_H	= 551,
+    Mips_DIV_S_W	= 552,
+    Mips_DIV_U_B	= 553,
+    Mips_DIV_U_D	= 554,
+    Mips_DIV_U_H	= 555,
+    Mips_DIV_U_W	= 556,
+    Mips_DI_MM	= 557,
+    Mips_DLSA	= 558,
+    Mips_DLSA_R6	= 559,
+    Mips_DMFC0	= 560,
+    Mips_DMFC1	= 561,
+    Mips_DMFC2	= 562,
+    Mips_DMOD	= 563,
+    Mips_DMODU	= 564,
+    Mips_DMTC0	= 565,
+    Mips_DMTC1	= 566,
+    Mips_DMTC2	= 567,
+    Mips_DMUH	= 568,
+    Mips_DMUHU	= 569,
+    Mips_DMUL	= 570,
+    Mips_DMULT	= 571,
+    Mips_DMULTu	= 572,
+    Mips_DMULU	= 573,
+    Mips_DMUL_R6	= 574,
+    Mips_DOTP_S_D	= 575,
+    Mips_DOTP_S_H	= 576,
+    Mips_DOTP_S_W	= 577,
+    Mips_DOTP_U_D	= 578,
+    Mips_DOTP_U_H	= 579,
+    Mips_DOTP_U_W	= 580,
+    Mips_DPADD_S_D	= 581,
+    Mips_DPADD_S_H	= 582,
+    Mips_DPADD_S_W	= 583,
+    Mips_DPADD_U_D	= 584,
+    Mips_DPADD_U_H	= 585,
+    Mips_DPADD_U_W	= 586,
+    Mips_DPAQX_SA_W_PH	= 587,
+    Mips_DPAQX_S_W_PH	= 588,
+    Mips_DPAQ_SA_L_W	= 589,
+    Mips_DPAQ_S_W_PH	= 590,
+    Mips_DPAU_H_QBL	= 591,
+    Mips_DPAU_H_QBR	= 592,
+    Mips_DPAX_W_PH	= 593,
+    Mips_DPA_W_PH	= 594,
+    Mips_DPOP	= 595,
+    Mips_DPSQX_SA_W_PH	= 596,
+    Mips_DPSQX_S_W_PH	= 597,
+    Mips_DPSQ_SA_L_W	= 598,
+    Mips_DPSQ_S_W_PH	= 599,
+    Mips_DPSUB_S_D	= 600,
+    Mips_DPSUB_S_H	= 601,
+    Mips_DPSUB_S_W	= 602,
+    Mips_DPSUB_U_D	= 603,
+    Mips_DPSUB_U_H	= 604,
+    Mips_DPSUB_U_W	= 605,
+    Mips_DPSU_H_QBL	= 606,
+    Mips_DPSU_H_QBR	= 607,
+    Mips_DPSX_W_PH	= 608,
+    Mips_DPS_W_PH	= 609,
+    Mips_DROTR	= 610,
+    Mips_DROTR32	= 611,
+    Mips_DROTRV	= 612,
+    Mips_DSBH	= 613,
+    Mips_DSDIV	= 614,
+    Mips_DSHD	= 615,
+    Mips_DSLL	= 616,
+    Mips_DSLL32	= 617,
+    Mips_DSLL64_32	= 618,
+    Mips_DSLLV	= 619,
+    Mips_DSRA	= 620,
+    Mips_DSRA32	= 621,
+    Mips_DSRAV	= 622,
+    Mips_DSRL	= 623,
+    Mips_DSRL32	= 624,
+    Mips_DSRLV	= 625,
+    Mips_DSUB	= 626,
+    Mips_DSUBu	= 627,
+    Mips_DUDIV	= 628,
+    Mips_DivRxRy16	= 629,
+    Mips_DivuRxRy16	= 630,
+    Mips_EHB	= 631,
+    Mips_EI	= 632,
+    Mips_EI_MM	= 633,
+    Mips_ERET	= 634,
+    Mips_ERET_MM	= 635,
+    Mips_EXT	= 636,
+    Mips_EXTP	= 637,
+    Mips_EXTPDP	= 638,
+    Mips_EXTPDPV	= 639,
+    Mips_EXTPV	= 640,
+    Mips_EXTRV_RS_W	= 641,
+    Mips_EXTRV_R_W	= 642,
+    Mips_EXTRV_S_H	= 643,
+    Mips_EXTRV_W	= 644,
+    Mips_EXTR_RS_W	= 645,
+    Mips_EXTR_R_W	= 646,
+    Mips_EXTR_S_H	= 647,
+    Mips_EXTR_W	= 648,
+    Mips_EXTS	= 649,
+    Mips_EXTS32	= 650,
+    Mips_EXT_MM	= 651,
+    Mips_ExtractElementF64	= 652,
+    Mips_ExtractElementF64_64	= 653,
+    Mips_FABS_D	= 654,
+    Mips_FABS_D32	= 655,
+    Mips_FABS_D64	= 656,
+    Mips_FABS_MM	= 657,
+    Mips_FABS_S	= 658,
+    Mips_FABS_S_MM	= 659,
+    Mips_FABS_W	= 660,
+    Mips_FADD_D	= 661,
+    Mips_FADD_D32	= 662,
+    Mips_FADD_D64	= 663,
+    Mips_FADD_MM	= 664,
+    Mips_FADD_S	= 665,
+    Mips_FADD_S_MM	= 666,
+    Mips_FADD_W	= 667,
+    Mips_FCAF_D	= 668,
+    Mips_FCAF_W	= 669,
+    Mips_FCEQ_D	= 670,
+    Mips_FCEQ_W	= 671,
+    Mips_FCLASS_D	= 672,
+    Mips_FCLASS_W	= 673,
+    Mips_FCLE_D	= 674,
+    Mips_FCLE_W	= 675,
+    Mips_FCLT_D	= 676,
+    Mips_FCLT_W	= 677,
+    Mips_FCMP_D32	= 678,
+    Mips_FCMP_D32_MM	= 679,
+    Mips_FCMP_D64	= 680,
+    Mips_FCMP_S32	= 681,
+    Mips_FCMP_S32_MM	= 682,
+    Mips_FCNE_D	= 683,
+    Mips_FCNE_W	= 684,
+    Mips_FCOR_D	= 685,
+    Mips_FCOR_W	= 686,
+    Mips_FCUEQ_D	= 687,
+    Mips_FCUEQ_W	= 688,
+    Mips_FCULE_D	= 689,
+    Mips_FCULE_W	= 690,
+    Mips_FCULT_D	= 691,
+    Mips_FCULT_W	= 692,
+    Mips_FCUNE_D	= 693,
+    Mips_FCUNE_W	= 694,
+    Mips_FCUN_D	= 695,
+    Mips_FCUN_W	= 696,
+    Mips_FDIV_D	= 697,
+    Mips_FDIV_D32	= 698,
+    Mips_FDIV_D64	= 699,
+    Mips_FDIV_MM	= 700,
+    Mips_FDIV_S	= 701,
+    Mips_FDIV_S_MM	= 702,
+    Mips_FDIV_W	= 703,
+    Mips_FEXDO_H	= 704,
+    Mips_FEXDO_W	= 705,
+    Mips_FEXP2_D	= 706,
+    Mips_FEXP2_D_1_PSEUDO	= 707,
+    Mips_FEXP2_W	= 708,
+    Mips_FEXP2_W_1_PSEUDO	= 709,
+    Mips_FEXUPL_D	= 710,
+    Mips_FEXUPL_W	= 711,
+    Mips_FEXUPR_D	= 712,
+    Mips_FEXUPR_W	= 713,
+    Mips_FFINT_S_D	= 714,
+    Mips_FFINT_S_W	= 715,
+    Mips_FFINT_U_D	= 716,
+    Mips_FFINT_U_W	= 717,
+    Mips_FFQL_D	= 718,
+    Mips_FFQL_W	= 719,
+    Mips_FFQR_D	= 720,
+    Mips_FFQR_W	= 721,
+    Mips_FILL_B	= 722,
+    Mips_FILL_D	= 723,
+    Mips_FILL_FD_PSEUDO	= 724,
+    Mips_FILL_FW_PSEUDO	= 725,
+    Mips_FILL_H	= 726,
+    Mips_FILL_W	= 727,
+    Mips_FLOG2_D	= 728,
+    Mips_FLOG2_W	= 729,
+    Mips_FLOOR_L_D64	= 730,
+    Mips_FLOOR_L_S	= 731,
+    Mips_FLOOR_W_D32	= 732,
+    Mips_FLOOR_W_D64	= 733,
+    Mips_FLOOR_W_MM	= 734,
+    Mips_FLOOR_W_S	= 735,
+    Mips_FLOOR_W_S_MM	= 736,
+    Mips_FMADD_D	= 737,
+    Mips_FMADD_W	= 738,
+    Mips_FMAX_A_D	= 739,
+    Mips_FMAX_A_W	= 740,
+    Mips_FMAX_D	= 741,
+    Mips_FMAX_W	= 742,
+    Mips_FMIN_A_D	= 743,
+    Mips_FMIN_A_W	= 744,
+    Mips_FMIN_D	= 745,
+    Mips_FMIN_W	= 746,
+    Mips_FMOV_D32	= 747,
+    Mips_FMOV_D32_MM	= 748,
+    Mips_FMOV_D64	= 749,
+    Mips_FMOV_S	= 750,
+    Mips_FMOV_S_MM	= 751,
+    Mips_FMSUB_D	= 752,
+    Mips_FMSUB_W	= 753,
+    Mips_FMUL_D	= 754,
+    Mips_FMUL_D32	= 755,
+    Mips_FMUL_D64	= 756,
+    Mips_FMUL_MM	= 757,
+    Mips_FMUL_S	= 758,
+    Mips_FMUL_S_MM	= 759,
+    Mips_FMUL_W	= 760,
+    Mips_FNEG_D32	= 761,
+    Mips_FNEG_D64	= 762,
+    Mips_FNEG_MM	= 763,
+    Mips_FNEG_S	= 764,
+    Mips_FNEG_S_MM	= 765,
+    Mips_FRCP_D	= 766,
+    Mips_FRCP_W	= 767,
+    Mips_FRINT_D	= 768,
+    Mips_FRINT_W	= 769,
+    Mips_FRSQRT_D	= 770,
+    Mips_FRSQRT_W	= 771,
+    Mips_FSAF_D	= 772,
+    Mips_FSAF_W	= 773,
+    Mips_FSEQ_D	= 774,
+    Mips_FSEQ_W	= 775,
+    Mips_FSLE_D	= 776,
+    Mips_FSLE_W	= 777,
+    Mips_FSLT_D	= 778,
+    Mips_FSLT_W	= 779,
+    Mips_FSNE_D	= 780,
+    Mips_FSNE_W	= 781,
+    Mips_FSOR_D	= 782,
+    Mips_FSOR_W	= 783,
+    Mips_FSQRT_D	= 784,
+    Mips_FSQRT_D32	= 785,
+    Mips_FSQRT_D64	= 786,
+    Mips_FSQRT_MM	= 787,
+    Mips_FSQRT_S	= 788,
+    Mips_FSQRT_S_MM	= 789,
+    Mips_FSQRT_W	= 790,
+    Mips_FSUB_D	= 791,
+    Mips_FSUB_D32	= 792,
+    Mips_FSUB_D64	= 793,
+    Mips_FSUB_MM	= 794,
+    Mips_FSUB_S	= 795,
+    Mips_FSUB_S_MM	= 796,
+    Mips_FSUB_W	= 797,
+    Mips_FSUEQ_D	= 798,
+    Mips_FSUEQ_W	= 799,
+    Mips_FSULE_D	= 800,
+    Mips_FSULE_W	= 801,
+    Mips_FSULT_D	= 802,
+    Mips_FSULT_W	= 803,
+    Mips_FSUNE_D	= 804,
+    Mips_FSUNE_W	= 805,
+    Mips_FSUN_D	= 806,
+    Mips_FSUN_W	= 807,
+    Mips_FTINT_S_D	= 808,
+    Mips_FTINT_S_W	= 809,
+    Mips_FTINT_U_D	= 810,
+    Mips_FTINT_U_W	= 811,
+    Mips_FTQ_H	= 812,
+    Mips_FTQ_W	= 813,
+    Mips_FTRUNC_S_D	= 814,
+    Mips_FTRUNC_S_W	= 815,
+    Mips_FTRUNC_U_D	= 816,
+    Mips_FTRUNC_U_W	= 817,
+    Mips_GotPrologue16	= 818,
+    Mips_HADD_S_D	= 819,
+    Mips_HADD_S_H	= 820,
+    Mips_HADD_S_W	= 821,
+    Mips_HADD_U_D	= 822,
+    Mips_HADD_U_H	= 823,
+    Mips_HADD_U_W	= 824,
+    Mips_HSUB_S_D	= 825,
+    Mips_HSUB_S_H	= 826,
+    Mips_HSUB_S_W	= 827,
+    Mips_HSUB_U_D	= 828,
+    Mips_HSUB_U_H	= 829,
+    Mips_HSUB_U_W	= 830,
+    Mips_ILVEV_B	= 831,
+    Mips_ILVEV_D	= 832,
+    Mips_ILVEV_H	= 833,
+    Mips_ILVEV_W	= 834,
+    Mips_ILVL_B	= 835,
+    Mips_ILVL_D	= 836,
+    Mips_ILVL_H	= 837,
+    Mips_ILVL_W	= 838,
+    Mips_ILVOD_B	= 839,
+    Mips_ILVOD_D	= 840,
+    Mips_ILVOD_H	= 841,
+    Mips_ILVOD_W	= 842,
+    Mips_ILVR_B	= 843,
+    Mips_ILVR_D	= 844,
+    Mips_ILVR_H	= 845,
+    Mips_ILVR_W	= 846,
+    Mips_INS	= 847,
+    Mips_INSERT_B	= 848,
+    Mips_INSERT_B_VIDX_PSEUDO	= 849,
+    Mips_INSERT_D	= 850,
+    Mips_INSERT_D_VIDX_PSEUDO	= 851,
+    Mips_INSERT_FD_PSEUDO	= 852,
+    Mips_INSERT_FD_VIDX_PSEUDO	= 853,
+    Mips_INSERT_FW_PSEUDO	= 854,
+    Mips_INSERT_FW_VIDX_PSEUDO	= 855,
+    Mips_INSERT_H	= 856,
+    Mips_INSERT_H_VIDX_PSEUDO	= 857,
+    Mips_INSERT_W	= 858,
+    Mips_INSERT_W_VIDX_PSEUDO	= 859,
+    Mips_INSV	= 860,
+    Mips_INSVE_B	= 861,
+    Mips_INSVE_D	= 862,
+    Mips_INSVE_H	= 863,
+    Mips_INSVE_W	= 864,
+    Mips_INS_MM	= 865,
+    Mips_J	= 866,
+    Mips_JAL	= 867,
+    Mips_JALR	= 868,
+    Mips_JALR16_MM	= 869,
+    Mips_JALR64	= 870,
+    Mips_JALR64Pseudo	= 871,
+    Mips_JALRPseudo	= 872,
+    Mips_JALRS_MM	= 873,
+    Mips_JALR_HB	= 874,
+    Mips_JALR_MM	= 875,
+    Mips_JALS_MM	= 876,
+    Mips_JALX	= 877,
+    Mips_JAL_MM	= 878,
+    Mips_JIALC	= 879,
+    Mips_JIC	= 880,
+    Mips_JR	= 881,
+    Mips_JR64	= 882,
+    Mips_JRADDIUSP	= 883,
+    Mips_JR_HB	= 884,
+    Mips_JR_HB_R6	= 885,
+    Mips_JR_MM	= 886,
+    Mips_J_MM	= 887,
+    Mips_Jal16	= 888,
+    Mips_JalB16	= 889,
+    Mips_JrRa16	= 890,
+    Mips_JrcRa16	= 891,
+    Mips_JrcRx16	= 892,
+    Mips_JumpLinkReg16	= 893,
+    Mips_LB	= 894,
+    Mips_LB64	= 895,
+    Mips_LBUX	= 896,
+    Mips_LB_MM	= 897,
+    Mips_LBu	= 898,
+    Mips_LBu64	= 899,
+    Mips_LBu_MM	= 900,
+    Mips_LD	= 901,
+    Mips_LDC1	= 902,
+    Mips_LDC164	= 903,
+    Mips_LDC1_MM	= 904,
+    Mips_LDC2	= 905,
+    Mips_LDC2_R6	= 906,
+    Mips_LDC3	= 907,
+    Mips_LDI_B	= 908,
+    Mips_LDI_D	= 909,
+    Mips_LDI_H	= 910,
+    Mips_LDI_W	= 911,
+    Mips_LDL	= 912,
+    Mips_LDPC	= 913,
+    Mips_LDR	= 914,
+    Mips_LDXC1	= 915,
+    Mips_LDXC164	= 916,
+    Mips_LD_B	= 917,
+    Mips_LD_D	= 918,
+    Mips_LD_H	= 919,
+    Mips_LD_W	= 920,
+    Mips_LEA_ADDiu	= 921,
+    Mips_LEA_ADDiu64	= 922,
+    Mips_LEA_ADDiu_MM	= 923,
+    Mips_LH	= 924,
+    Mips_LH64	= 925,
+    Mips_LHX	= 926,
+    Mips_LH_MM	= 927,
+    Mips_LHu	= 928,
+    Mips_LHu64	= 929,
+    Mips_LHu_MM	= 930,
+    Mips_LL	= 931,
+    Mips_LLD	= 932,
+    Mips_LLD_R6	= 933,
+    Mips_LL_MM	= 934,
+    Mips_LL_R6	= 935,
+    Mips_LOAD_ACC128	= 936,
+    Mips_LOAD_ACC64	= 937,
+    Mips_LOAD_ACC64DSP	= 938,
+    Mips_LOAD_CCOND_DSP	= 939,
+    Mips_LONG_BRANCH_ADDiu	= 940,
+    Mips_LONG_BRANCH_DADDiu	= 941,
+    Mips_LONG_BRANCH_LUi	= 942,
+    Mips_LSA	= 943,
+    Mips_LSA_R6	= 944,
+    Mips_LUXC1	= 945,
+    Mips_LUXC164	= 946,
+    Mips_LUXC1_MM	= 947,
+    Mips_LUi	= 948,
+    Mips_LUi64	= 949,
+    Mips_LUi_MM	= 950,
+    Mips_LW	= 951,
+    Mips_LW64	= 952,
+    Mips_LWC1	= 953,
+    Mips_LWC1_MM	= 954,
+    Mips_LWC2	= 955,
+    Mips_LWC2_R6	= 956,
+    Mips_LWC3	= 957,
+    Mips_LWL	= 958,
+    Mips_LWL64	= 959,
+    Mips_LWL_MM	= 960,
+    Mips_LWPC	= 961,
+    Mips_LWR	= 962,
+    Mips_LWR64	= 963,
+    Mips_LWR_MM	= 964,
+    Mips_LWUPC	= 965,
+    Mips_LWU_MM	= 966,
+    Mips_LWX	= 967,
+    Mips_LWXC1	= 968,
+    Mips_LWXC1_MM	= 969,
+    Mips_LW_MM	= 970,
+    Mips_LWu	= 971,
+    Mips_LbRxRyOffMemX16	= 972,
+    Mips_LbuRxRyOffMemX16	= 973,
+    Mips_LhRxRyOffMemX16	= 974,
+    Mips_LhuRxRyOffMemX16	= 975,
+    Mips_LiRxImm16	= 976,
+    Mips_LiRxImmAlignX16	= 977,
+    Mips_LiRxImmX16	= 978,
+    Mips_LoadAddr32Imm	= 979,
+    Mips_LoadAddr32Reg	= 980,
+    Mips_LoadImm32Reg	= 981,
+    Mips_LoadImm64Reg	= 982,
+    Mips_LwConstant32	= 983,
+    Mips_LwRxPcTcp16	= 984,
+    Mips_LwRxPcTcpX16	= 985,
+    Mips_LwRxRyOffMemX16	= 986,
+    Mips_LwRxSpImmX16	= 987,
+    Mips_MADD	= 988,
+    Mips_MADDF_D	= 989,
+    Mips_MADDF_S	= 990,
+    Mips_MADDR_Q_H	= 991,
+    Mips_MADDR_Q_W	= 992,
+    Mips_MADDU	= 993,
+    Mips_MADDU_DSP	= 994,
+    Mips_MADDU_MM	= 995,
+    Mips_MADDV_B	= 996,
+    Mips_MADDV_D	= 997,
+    Mips_MADDV_H	= 998,
+    Mips_MADDV_W	= 999,
+    Mips_MADD_D32	= 1000,
+    Mips_MADD_D32_MM	= 1001,
+    Mips_MADD_D64	= 1002,
+    Mips_MADD_DSP	= 1003,
+    Mips_MADD_MM	= 1004,
+    Mips_MADD_Q_H	= 1005,
+    Mips_MADD_Q_W	= 1006,
+    Mips_MADD_S	= 1007,
+    Mips_MADD_S_MM	= 1008,
+    Mips_MAQ_SA_W_PHL	= 1009,
+    Mips_MAQ_SA_W_PHR	= 1010,
+    Mips_MAQ_S_W_PHL	= 1011,
+    Mips_MAQ_S_W_PHR	= 1012,
+    Mips_MAXA_D	= 1013,
+    Mips_MAXA_S	= 1014,
+    Mips_MAXI_S_B	= 1015,
+    Mips_MAXI_S_D	= 1016,
+    Mips_MAXI_S_H	= 1017,
+    Mips_MAXI_S_W	= 1018,
+    Mips_MAXI_U_B	= 1019,
+    Mips_MAXI_U_D	= 1020,
+    Mips_MAXI_U_H	= 1021,
+    Mips_MAXI_U_W	= 1022,
+    Mips_MAX_A_B	= 1023,
+    Mips_MAX_A_D	= 1024,
+    Mips_MAX_A_H	= 1025,
+    Mips_MAX_A_W	= 1026,
+    Mips_MAX_D	= 1027,
+    Mips_MAX_S	= 1028,
+    Mips_MAX_S_B	= 1029,
+    Mips_MAX_S_D	= 1030,
+    Mips_MAX_S_H	= 1031,
+    Mips_MAX_S_W	= 1032,
+    Mips_MAX_U_B	= 1033,
+    Mips_MAX_U_D	= 1034,
+    Mips_MAX_U_H	= 1035,
+    Mips_MAX_U_W	= 1036,
+    Mips_MFC0	= 1037,
+    Mips_MFC1	= 1038,
+    Mips_MFC1_MM	= 1039,
+    Mips_MFC2	= 1040,
+    Mips_MFHC1_D32	= 1041,
+    Mips_MFHC1_D64	= 1042,
+    Mips_MFHC1_MM	= 1043,
+    Mips_MFHI	= 1044,
+    Mips_MFHI16_MM	= 1045,
+    Mips_MFHI64	= 1046,
+    Mips_MFHI_DSP	= 1047,
+    Mips_MFHI_MM	= 1048,
+    Mips_MFLO	= 1049,
+    Mips_MFLO16_MM	= 1050,
+    Mips_MFLO64	= 1051,
+    Mips_MFLO_DSP	= 1052,
+    Mips_MFLO_MM	= 1053,
+    Mips_MINA_D	= 1054,
+    Mips_MINA_S	= 1055,
+    Mips_MINI_S_B	= 1056,
+    Mips_MINI_S_D	= 1057,
+    Mips_MINI_S_H	= 1058,
+    Mips_MINI_S_W	= 1059,
+    Mips_MINI_U_B	= 1060,
+    Mips_MINI_U_D	= 1061,
+    Mips_MINI_U_H	= 1062,
+    Mips_MINI_U_W	= 1063,
+    Mips_MIN_A_B	= 1064,
+    Mips_MIN_A_D	= 1065,
+    Mips_MIN_A_H	= 1066,
+    Mips_MIN_A_W	= 1067,
+    Mips_MIN_D	= 1068,
+    Mips_MIN_S	= 1069,
+    Mips_MIN_S_B	= 1070,
+    Mips_MIN_S_D	= 1071,
+    Mips_MIN_S_H	= 1072,
+    Mips_MIN_S_W	= 1073,
+    Mips_MIN_U_B	= 1074,
+    Mips_MIN_U_D	= 1075,
+    Mips_MIN_U_H	= 1076,
+    Mips_MIN_U_W	= 1077,
+    Mips_MIPSeh_return32	= 1078,
+    Mips_MIPSeh_return64	= 1079,
+    Mips_MOD	= 1080,
+    Mips_MODSUB	= 1081,
+    Mips_MODU	= 1082,
+    Mips_MOD_S_B	= 1083,
+    Mips_MOD_S_D	= 1084,
+    Mips_MOD_S_H	= 1085,
+    Mips_MOD_S_W	= 1086,
+    Mips_MOD_U_B	= 1087,
+    Mips_MOD_U_D	= 1088,
+    Mips_MOD_U_H	= 1089,
+    Mips_MOD_U_W	= 1090,
+    Mips_MOVE16_MM	= 1091,
+    Mips_MOVE_V	= 1092,
+    Mips_MOVF_D32	= 1093,
+    Mips_MOVF_D32_MM	= 1094,
+    Mips_MOVF_D64	= 1095,
+    Mips_MOVF_I	= 1096,
+    Mips_MOVF_I64	= 1097,
+    Mips_MOVF_I_MM	= 1098,
+    Mips_MOVF_S	= 1099,
+    Mips_MOVF_S_MM	= 1100,
+    Mips_MOVN_I64_D64	= 1101,
+    Mips_MOVN_I64_I	= 1102,
+    Mips_MOVN_I64_I64	= 1103,
+    Mips_MOVN_I64_S	= 1104,
+    Mips_MOVN_I_D32	= 1105,
+    Mips_MOVN_I_D32_MM	= 1106,
+    Mips_MOVN_I_D64	= 1107,
+    Mips_MOVN_I_I	= 1108,
+    Mips_MOVN_I_I64	= 1109,
+    Mips_MOVN_I_MM	= 1110,
+    Mips_MOVN_I_S	= 1111,
+    Mips_MOVN_I_S_MM	= 1112,
+    Mips_MOVT_D32	= 1113,
+    Mips_MOVT_D32_MM	= 1114,
+    Mips_MOVT_D64	= 1115,
+    Mips_MOVT_I	= 1116,
+    Mips_MOVT_I64	= 1117,
+    Mips_MOVT_I_MM	= 1118,
+    Mips_MOVT_S	= 1119,
+    Mips_MOVT_S_MM	= 1120,
+    Mips_MOVZ_I64_D64	= 1121,
+    Mips_MOVZ_I64_I	= 1122,
+    Mips_MOVZ_I64_I64	= 1123,
+    Mips_MOVZ_I64_S	= 1124,
+    Mips_MOVZ_I_D32	= 1125,
+    Mips_MOVZ_I_D32_MM	= 1126,
+    Mips_MOVZ_I_D64	= 1127,
+    Mips_MOVZ_I_I	= 1128,
+    Mips_MOVZ_I_I64	= 1129,
+    Mips_MOVZ_I_MM	= 1130,
+    Mips_MOVZ_I_S	= 1131,
+    Mips_MOVZ_I_S_MM	= 1132,
+    Mips_MSUB	= 1133,
+    Mips_MSUBF_D	= 1134,
+    Mips_MSUBF_S	= 1135,
+    Mips_MSUBR_Q_H	= 1136,
+    Mips_MSUBR_Q_W	= 1137,
+    Mips_MSUBU	= 1138,
+    Mips_MSUBU_DSP	= 1139,
+    Mips_MSUBU_MM	= 1140,
+    Mips_MSUBV_B	= 1141,
+    Mips_MSUBV_D	= 1142,
+    Mips_MSUBV_H	= 1143,
+    Mips_MSUBV_W	= 1144,
+    Mips_MSUB_D32	= 1145,
+    Mips_MSUB_D32_MM	= 1146,
+    Mips_MSUB_D64	= 1147,
+    Mips_MSUB_DSP	= 1148,
+    Mips_MSUB_MM	= 1149,
+    Mips_MSUB_Q_H	= 1150,
+    Mips_MSUB_Q_W	= 1151,
+    Mips_MSUB_S	= 1152,
+    Mips_MSUB_S_MM	= 1153,
+    Mips_MTC0	= 1154,
+    Mips_MTC1	= 1155,
+    Mips_MTC1_MM	= 1156,
+    Mips_MTC2	= 1157,
+    Mips_MTHC1_D32	= 1158,
+    Mips_MTHC1_D64	= 1159,
+    Mips_MTHC1_MM	= 1160,
+    Mips_MTHI	= 1161,
+    Mips_MTHI64	= 1162,
+    Mips_MTHI_DSP	= 1163,
+    Mips_MTHI_MM	= 1164,
+    Mips_MTHLIP	= 1165,
+    Mips_MTLO	= 1166,
+    Mips_MTLO64	= 1167,
+    Mips_MTLO_DSP	= 1168,
+    Mips_MTLO_MM	= 1169,
+    Mips_MTM0	= 1170,
+    Mips_MTM1	= 1171,
+    Mips_MTM2	= 1172,
+    Mips_MTP0	= 1173,
+    Mips_MTP1	= 1174,
+    Mips_MTP2	= 1175,
+    Mips_MUH	= 1176,
+    Mips_MUHU	= 1177,
+    Mips_MUL	= 1178,
+    Mips_MULEQ_S_W_PHL	= 1179,
+    Mips_MULEQ_S_W_PHR	= 1180,
+    Mips_MULEU_S_PH_QBL	= 1181,
+    Mips_MULEU_S_PH_QBR	= 1182,
+    Mips_MULQ_RS_PH	= 1183,
+    Mips_MULQ_RS_W	= 1184,
+    Mips_MULQ_S_PH	= 1185,
+    Mips_MULQ_S_W	= 1186,
+    Mips_MULR_Q_H	= 1187,
+    Mips_MULR_Q_W	= 1188,
+    Mips_MULSAQ_S_W_PH	= 1189,
+    Mips_MULSA_W_PH	= 1190,
+    Mips_MULT	= 1191,
+    Mips_MULTU_DSP	= 1192,
+    Mips_MULT_DSP	= 1193,
+    Mips_MULT_MM	= 1194,
+    Mips_MULTu	= 1195,
+    Mips_MULTu_MM	= 1196,
+    Mips_MULU	= 1197,
+    Mips_MULV_B	= 1198,
+    Mips_MULV_D	= 1199,
+    Mips_MULV_H	= 1200,
+    Mips_MULV_W	= 1201,
+    Mips_MUL_MM	= 1202,
+    Mips_MUL_PH	= 1203,
+    Mips_MUL_Q_H	= 1204,
+    Mips_MUL_Q_W	= 1205,
+    Mips_MUL_R6	= 1206,
+    Mips_MUL_S_PH	= 1207,
+    Mips_Mfhi16	= 1208,
+    Mips_Mflo16	= 1209,
+    Mips_Move32R16	= 1210,
+    Mips_MoveR3216	= 1211,
+    Mips_MultRxRy16	= 1212,
+    Mips_MultRxRyRz16	= 1213,
+    Mips_MultuRxRy16	= 1214,
+    Mips_MultuRxRyRz16	= 1215,
+    Mips_NLOC_B	= 1216,
+    Mips_NLOC_D	= 1217,
+    Mips_NLOC_H	= 1218,
+    Mips_NLOC_W	= 1219,
+    Mips_NLZC_B	= 1220,
+    Mips_NLZC_D	= 1221,
+    Mips_NLZC_H	= 1222,
+    Mips_NLZC_W	= 1223,
+    Mips_NMADD_D32	= 1224,
+    Mips_NMADD_D32_MM	= 1225,
+    Mips_NMADD_D64	= 1226,
+    Mips_NMADD_S	= 1227,
+    Mips_NMADD_S_MM	= 1228,
+    Mips_NMSUB_D32	= 1229,
+    Mips_NMSUB_D32_MM	= 1230,
+    Mips_NMSUB_D64	= 1231,
+    Mips_NMSUB_S	= 1232,
+    Mips_NMSUB_S_MM	= 1233,
+    Mips_NOP	= 1234,
+    Mips_NOR	= 1235,
+    Mips_NOR64	= 1236,
+    Mips_NORI_B	= 1237,
+    Mips_NOR_MM	= 1238,
+    Mips_NOR_V	= 1239,
+    Mips_NOR_V_D_PSEUDO	= 1240,
+    Mips_NOR_V_H_PSEUDO	= 1241,
+    Mips_NOR_V_W_PSEUDO	= 1242,
+    Mips_NegRxRy16	= 1243,
+    Mips_NotRxRy16	= 1244,
+    Mips_OR	= 1245,
+    Mips_OR64	= 1246,
+    Mips_ORI_B	= 1247,
+    Mips_OR_MM	= 1248,
+    Mips_OR_V	= 1249,
+    Mips_OR_V_D_PSEUDO	= 1250,
+    Mips_OR_V_H_PSEUDO	= 1251,
+    Mips_OR_V_W_PSEUDO	= 1252,
+    Mips_ORi	= 1253,
+    Mips_ORi64	= 1254,
+    Mips_ORi_MM	= 1255,
+    Mips_OrRxRxRy16	= 1256,
+    Mips_PACKRL_PH	= 1257,
+    Mips_PAUSE	= 1258,
+    Mips_PCKEV_B	= 1259,
+    Mips_PCKEV_D	= 1260,
+    Mips_PCKEV_H	= 1261,
+    Mips_PCKEV_W	= 1262,
+    Mips_PCKOD_B	= 1263,
+    Mips_PCKOD_D	= 1264,
+    Mips_PCKOD_H	= 1265,
+    Mips_PCKOD_W	= 1266,
+    Mips_PCNT_B	= 1267,
+    Mips_PCNT_D	= 1268,
+    Mips_PCNT_H	= 1269,
+    Mips_PCNT_W	= 1270,
+    Mips_PICK_PH	= 1271,
+    Mips_PICK_QB	= 1272,
+    Mips_POP	= 1273,
+    Mips_PRECEQU_PH_QBL	= 1274,
+    Mips_PRECEQU_PH_QBLA	= 1275,
+    Mips_PRECEQU_PH_QBR	= 1276,
+    Mips_PRECEQU_PH_QBRA	= 1277,
+    Mips_PRECEQ_W_PHL	= 1278,
+    Mips_PRECEQ_W_PHR	= 1279,
+    Mips_PRECEU_PH_QBL	= 1280,
+    Mips_PRECEU_PH_QBLA	= 1281,
+    Mips_PRECEU_PH_QBR	= 1282,
+    Mips_PRECEU_PH_QBRA	= 1283,
+    Mips_PRECRQU_S_QB_PH	= 1284,
+    Mips_PRECRQ_PH_W	= 1285,
+    Mips_PRECRQ_QB_PH	= 1286,
+    Mips_PRECRQ_RS_PH_W	= 1287,
+    Mips_PRECR_QB_PH	= 1288,
+    Mips_PRECR_SRA_PH_W	= 1289,
+    Mips_PRECR_SRA_R_PH_W	= 1290,
+    Mips_PREF	= 1291,
+    Mips_PREF_R6	= 1292,
+    Mips_PREPEND	= 1293,
+    Mips_PseudoCMPU_EQ_QB	= 1294,
+    Mips_PseudoCMPU_LE_QB	= 1295,
+    Mips_PseudoCMPU_LT_QB	= 1296,
+    Mips_PseudoCMP_EQ_PH	= 1297,
+    Mips_PseudoCMP_LE_PH	= 1298,
+    Mips_PseudoCMP_LT_PH	= 1299,
+    Mips_PseudoCVT_D32_W	= 1300,
+    Mips_PseudoCVT_D64_L	= 1301,
+    Mips_PseudoCVT_D64_W	= 1302,
+    Mips_PseudoCVT_S_L	= 1303,
+    Mips_PseudoCVT_S_W	= 1304,
+    Mips_PseudoDMULT	= 1305,
+    Mips_PseudoDMULTu	= 1306,
+    Mips_PseudoDSDIV	= 1307,
+    Mips_PseudoDUDIV	= 1308,
+    Mips_PseudoIndirectBranch	= 1309,
+    Mips_PseudoIndirectBranch64	= 1310,
+    Mips_PseudoMADD	= 1311,
+    Mips_PseudoMADDU	= 1312,
+    Mips_PseudoMFHI	= 1313,
+    Mips_PseudoMFHI64	= 1314,
+    Mips_PseudoMFLO	= 1315,
+    Mips_PseudoMFLO64	= 1316,
+    Mips_PseudoMSUB	= 1317,
+    Mips_PseudoMSUBU	= 1318,
+    Mips_PseudoMTLOHI	= 1319,
+    Mips_PseudoMTLOHI64	= 1320,
+    Mips_PseudoMTLOHI_DSP	= 1321,
+    Mips_PseudoMULT	= 1322,
+    Mips_PseudoMULTu	= 1323,
+    Mips_PseudoPICK_PH	= 1324,
+    Mips_PseudoPICK_QB	= 1325,
+    Mips_PseudoReturn	= 1326,
+    Mips_PseudoReturn64	= 1327,
+    Mips_PseudoSDIV	= 1328,
+    Mips_PseudoUDIV	= 1329,
+    Mips_RADDU_W_QB	= 1330,
+    Mips_RDDSP	= 1331,
+    Mips_RDHWR	= 1332,
+    Mips_RDHWR64	= 1333,
+    Mips_REPLV_PH	= 1334,
+    Mips_REPLV_QB	= 1335,
+    Mips_REPL_PH	= 1336,
+    Mips_REPL_QB	= 1337,
+    Mips_RINT_D	= 1338,
+    Mips_RINT_S	= 1339,
+    Mips_ROTR	= 1340,
+    Mips_ROTRV	= 1341,
+    Mips_ROTRV_MM	= 1342,
+    Mips_ROTR_MM	= 1343,
+    Mips_ROUND_L_D64	= 1344,
+    Mips_ROUND_L_S	= 1345,
+    Mips_ROUND_W_D32	= 1346,
+    Mips_ROUND_W_D64	= 1347,
+    Mips_ROUND_W_MM	= 1348,
+    Mips_ROUND_W_S	= 1349,
+    Mips_ROUND_W_S_MM	= 1350,
+    Mips_Restore16	= 1351,
+    Mips_RestoreX16	= 1352,
+    Mips_RetRA	= 1353,
+    Mips_RetRA16	= 1354,
+    Mips_SAT_S_B	= 1355,
+    Mips_SAT_S_D	= 1356,
+    Mips_SAT_S_H	= 1357,
+    Mips_SAT_S_W	= 1358,
+    Mips_SAT_U_B	= 1359,
+    Mips_SAT_U_D	= 1360,
+    Mips_SAT_U_H	= 1361,
+    Mips_SAT_U_W	= 1362,
+    Mips_SB	= 1363,
+    Mips_SB64	= 1364,
+    Mips_SB_MM	= 1365,
+    Mips_SC	= 1366,
+    Mips_SCD	= 1367,
+    Mips_SCD_R6	= 1368,
+    Mips_SC_MM	= 1369,
+    Mips_SC_R6	= 1370,
+    Mips_SD	= 1371,
+    Mips_SDBBP	= 1372,
+    Mips_SDBBP_R6	= 1373,
+    Mips_SDC1	= 1374,
+    Mips_SDC164	= 1375,
+    Mips_SDC1_MM	= 1376,
+    Mips_SDC2	= 1377,
+    Mips_SDC2_R6	= 1378,
+    Mips_SDC3	= 1379,
+    Mips_SDIV	= 1380,
+    Mips_SDIV_MM	= 1381,
+    Mips_SDL	= 1382,
+    Mips_SDR	= 1383,
+    Mips_SDXC1	= 1384,
+    Mips_SDXC164	= 1385,
+    Mips_SEB	= 1386,
+    Mips_SEB64	= 1387,
+    Mips_SEB_MM	= 1388,
+    Mips_SEH	= 1389,
+    Mips_SEH64	= 1390,
+    Mips_SEH_MM	= 1391,
+    Mips_SELEQZ	= 1392,
+    Mips_SELEQZ64	= 1393,
+    Mips_SELEQZ_D	= 1394,
+    Mips_SELEQZ_S	= 1395,
+    Mips_SELNEZ	= 1396,
+    Mips_SELNEZ64	= 1397,
+    Mips_SELNEZ_D	= 1398,
+    Mips_SELNEZ_S	= 1399,
+    Mips_SEL_D	= 1400,
+    Mips_SEL_S	= 1401,
+    Mips_SEQ	= 1402,
+    Mips_SEQi	= 1403,
+    Mips_SH	= 1404,
+    Mips_SH64	= 1405,
+    Mips_SHF_B	= 1406,
+    Mips_SHF_H	= 1407,
+    Mips_SHF_W	= 1408,
+    Mips_SHILO	= 1409,
+    Mips_SHILOV	= 1410,
+    Mips_SHLLV_PH	= 1411,
+    Mips_SHLLV_QB	= 1412,
+    Mips_SHLLV_S_PH	= 1413,
+    Mips_SHLLV_S_W	= 1414,
+    Mips_SHLL_PH	= 1415,
+    Mips_SHLL_QB	= 1416,
+    Mips_SHLL_S_PH	= 1417,
+    Mips_SHLL_S_W	= 1418,
+    Mips_SHRAV_PH	= 1419,
+    Mips_SHRAV_QB	= 1420,
+    Mips_SHRAV_R_PH	= 1421,
+    Mips_SHRAV_R_QB	= 1422,
+    Mips_SHRAV_R_W	= 1423,
+    Mips_SHRA_PH	= 1424,
+    Mips_SHRA_QB	= 1425,
+    Mips_SHRA_R_PH	= 1426,
+    Mips_SHRA_R_QB	= 1427,
+    Mips_SHRA_R_W	= 1428,
+    Mips_SHRLV_PH	= 1429,
+    Mips_SHRLV_QB	= 1430,
+    Mips_SHRL_PH	= 1431,
+    Mips_SHRL_QB	= 1432,
+    Mips_SH_MM	= 1433,
+    Mips_SLDI_B	= 1434,
+    Mips_SLDI_D	= 1435,
+    Mips_SLDI_H	= 1436,
+    Mips_SLDI_W	= 1437,
+    Mips_SLD_B	= 1438,
+    Mips_SLD_D	= 1439,
+    Mips_SLD_H	= 1440,
+    Mips_SLD_W	= 1441,
+    Mips_SLL	= 1442,
+    Mips_SLL64_32	= 1443,
+    Mips_SLL64_64	= 1444,
+    Mips_SLLI_B	= 1445,
+    Mips_SLLI_D	= 1446,
+    Mips_SLLI_H	= 1447,
+    Mips_SLLI_W	= 1448,
+    Mips_SLLV	= 1449,
+    Mips_SLLV_MM	= 1450,
+    Mips_SLL_B	= 1451,
+    Mips_SLL_D	= 1452,
+    Mips_SLL_H	= 1453,
+    Mips_SLL_MM	= 1454,
+    Mips_SLL_W	= 1455,
+    Mips_SLT	= 1456,
+    Mips_SLT64	= 1457,
+    Mips_SLT_MM	= 1458,
+    Mips_SLTi	= 1459,
+    Mips_SLTi64	= 1460,
+    Mips_SLTi_MM	= 1461,
+    Mips_SLTiu	= 1462,
+    Mips_SLTiu64	= 1463,
+    Mips_SLTiu_MM	= 1464,
+    Mips_SLTu	= 1465,
+    Mips_SLTu64	= 1466,
+    Mips_SLTu_MM	= 1467,
+    Mips_SNE	= 1468,
+    Mips_SNEi	= 1469,
+    Mips_SNZ_B_PSEUDO	= 1470,
+    Mips_SNZ_D_PSEUDO	= 1471,
+    Mips_SNZ_H_PSEUDO	= 1472,
+    Mips_SNZ_V_PSEUDO	= 1473,
+    Mips_SNZ_W_PSEUDO	= 1474,
+    Mips_SPLATI_B	= 1475,
+    Mips_SPLATI_D	= 1476,
+    Mips_SPLATI_H	= 1477,
+    Mips_SPLATI_W	= 1478,
+    Mips_SPLAT_B	= 1479,
+    Mips_SPLAT_D	= 1480,
+    Mips_SPLAT_H	= 1481,
+    Mips_SPLAT_W	= 1482,
+    Mips_SRA	= 1483,
+    Mips_SRAI_B	= 1484,
+    Mips_SRAI_D	= 1485,
+    Mips_SRAI_H	= 1486,
+    Mips_SRAI_W	= 1487,
+    Mips_SRARI_B	= 1488,
+    Mips_SRARI_D	= 1489,
+    Mips_SRARI_H	= 1490,
+    Mips_SRARI_W	= 1491,
+    Mips_SRAR_B	= 1492,
+    Mips_SRAR_D	= 1493,
+    Mips_SRAR_H	= 1494,
+    Mips_SRAR_W	= 1495,
+    Mips_SRAV	= 1496,
+    Mips_SRAV_MM	= 1497,
+    Mips_SRA_B	= 1498,
+    Mips_SRA_D	= 1499,
+    Mips_SRA_H	= 1500,
+    Mips_SRA_MM	= 1501,
+    Mips_SRA_W	= 1502,
+    Mips_SRL	= 1503,
+    Mips_SRLI_B	= 1504,
+    Mips_SRLI_D	= 1505,
+    Mips_SRLI_H	= 1506,
+    Mips_SRLI_W	= 1507,
+    Mips_SRLRI_B	= 1508,
+    Mips_SRLRI_D	= 1509,
+    Mips_SRLRI_H	= 1510,
+    Mips_SRLRI_W	= 1511,
+    Mips_SRLR_B	= 1512,
+    Mips_SRLR_D	= 1513,
+    Mips_SRLR_H	= 1514,
+    Mips_SRLR_W	= 1515,
+    Mips_SRLV	= 1516,
+    Mips_SRLV_MM	= 1517,
+    Mips_SRL_B	= 1518,
+    Mips_SRL_D	= 1519,
+    Mips_SRL_H	= 1520,
+    Mips_SRL_MM	= 1521,
+    Mips_SRL_W	= 1522,
+    Mips_SSNOP	= 1523,
+    Mips_STORE_ACC128	= 1524,
+    Mips_STORE_ACC64	= 1525,
+    Mips_STORE_ACC64DSP	= 1526,
+    Mips_STORE_CCOND_DSP	= 1527,
+    Mips_ST_B	= 1528,
+    Mips_ST_D	= 1529,
+    Mips_ST_H	= 1530,
+    Mips_ST_W	= 1531,
+    Mips_SUB	= 1532,
+    Mips_SUBQH_PH	= 1533,
+    Mips_SUBQH_R_PH	= 1534,
+    Mips_SUBQH_R_W	= 1535,
+    Mips_SUBQH_W	= 1536,
+    Mips_SUBQ_PH	= 1537,
+    Mips_SUBQ_S_PH	= 1538,
+    Mips_SUBQ_S_W	= 1539,
+    Mips_SUBSUS_U_B	= 1540,
+    Mips_SUBSUS_U_D	= 1541,
+    Mips_SUBSUS_U_H	= 1542,
+    Mips_SUBSUS_U_W	= 1543,
+    Mips_SUBSUU_S_B	= 1544,
+    Mips_SUBSUU_S_D	= 1545,
+    Mips_SUBSUU_S_H	= 1546,
+    Mips_SUBSUU_S_W	= 1547,
+    Mips_SUBS_S_B	= 1548,
+    Mips_SUBS_S_D	= 1549,
+    Mips_SUBS_S_H	= 1550,
+    Mips_SUBS_S_W	= 1551,
+    Mips_SUBS_U_B	= 1552,
+    Mips_SUBS_U_D	= 1553,
+    Mips_SUBS_U_H	= 1554,
+    Mips_SUBS_U_W	= 1555,
+    Mips_SUBUH_QB	= 1556,
+    Mips_SUBUH_R_QB	= 1557,
+    Mips_SUBU_PH	= 1558,
+    Mips_SUBU_QB	= 1559,
+    Mips_SUBU_S_PH	= 1560,
+    Mips_SUBU_S_QB	= 1561,
+    Mips_SUBVI_B	= 1562,
+    Mips_SUBVI_D	= 1563,
+    Mips_SUBVI_H	= 1564,
+    Mips_SUBVI_W	= 1565,
+    Mips_SUBV_B	= 1566,
+    Mips_SUBV_D	= 1567,
+    Mips_SUBV_H	= 1568,
+    Mips_SUBV_W	= 1569,
+    Mips_SUB_MM	= 1570,
+    Mips_SUBu	= 1571,
+    Mips_SUBu_MM	= 1572,
+    Mips_SUXC1	= 1573,
+    Mips_SUXC164	= 1574,
+    Mips_SUXC1_MM	= 1575,
+    Mips_SW	= 1576,
+    Mips_SW64	= 1577,
+    Mips_SWC1	= 1578,
+    Mips_SWC1_MM	= 1579,
+    Mips_SWC2	= 1580,
+    Mips_SWC2_R6	= 1581,
+    Mips_SWC3	= 1582,
+    Mips_SWL	= 1583,
+    Mips_SWL64	= 1584,
+    Mips_SWL_MM	= 1585,
+    Mips_SWR	= 1586,
+    Mips_SWR64	= 1587,
+    Mips_SWR_MM	= 1588,
+    Mips_SWXC1	= 1589,
+    Mips_SWXC1_MM	= 1590,
+    Mips_SW_MM	= 1591,
+    Mips_SYNC	= 1592,
+    Mips_SYNC_MM	= 1593,
+    Mips_SYSCALL	= 1594,
+    Mips_SYSCALL_MM	= 1595,
+    Mips_SZ_B_PSEUDO	= 1596,
+    Mips_SZ_D_PSEUDO	= 1597,
+    Mips_SZ_H_PSEUDO	= 1598,
+    Mips_SZ_V_PSEUDO	= 1599,
+    Mips_SZ_W_PSEUDO	= 1600,
+    Mips_Save16	= 1601,
+    Mips_SaveX16	= 1602,
+    Mips_SbRxRyOffMemX16	= 1603,
+    Mips_SebRx16	= 1604,
+    Mips_SehRx16	= 1605,
+    Mips_SelBeqZ	= 1606,
+    Mips_SelBneZ	= 1607,
+    Mips_SelTBteqZCmp	= 1608,
+    Mips_SelTBteqZCmpi	= 1609,
+    Mips_SelTBteqZSlt	= 1610,
+    Mips_SelTBteqZSlti	= 1611,
+    Mips_SelTBteqZSltiu	= 1612,
+    Mips_SelTBteqZSltu	= 1613,
+    Mips_SelTBtneZCmp	= 1614,
+    Mips_SelTBtneZCmpi	= 1615,
+    Mips_SelTBtneZSlt	= 1616,
+    Mips_SelTBtneZSlti	= 1617,
+    Mips_SelTBtneZSltiu	= 1618,
+    Mips_SelTBtneZSltu	= 1619,
+    Mips_ShRxRyOffMemX16	= 1620,
+    Mips_SllX16	= 1621,
+    Mips_SllvRxRy16	= 1622,
+    Mips_SltCCRxRy16	= 1623,
+    Mips_SltRxRy16	= 1624,
+    Mips_SltiCCRxImmX16	= 1625,
+    Mips_SltiRxImm16	= 1626,
+    Mips_SltiRxImmX16	= 1627,
+    Mips_SltiuCCRxImmX16	= 1628,
+    Mips_SltiuRxImm16	= 1629,
+    Mips_SltiuRxImmX16	= 1630,
+    Mips_SltuCCRxRy16	= 1631,
+    Mips_SltuRxRy16	= 1632,
+    Mips_SltuRxRyRz16	= 1633,
+    Mips_SraX16	= 1634,
+    Mips_SravRxRy16	= 1635,
+    Mips_SrlX16	= 1636,
+    Mips_SrlvRxRy16	= 1637,
+    Mips_SubuRxRyRz16	= 1638,
+    Mips_SwRxRyOffMemX16	= 1639,
+    Mips_SwRxSpImmX16	= 1640,
+    Mips_TAILCALL	= 1641,
+    Mips_TAILCALL64_R	= 1642,
+    Mips_TAILCALL_R	= 1643,
+    Mips_TEQ	= 1644,
+    Mips_TEQI	= 1645,
+    Mips_TEQI_MM	= 1646,
+    Mips_TEQ_MM	= 1647,
+    Mips_TGE	= 1648,
+    Mips_TGEI	= 1649,
+    Mips_TGEIU	= 1650,
+    Mips_TGEIU_MM	= 1651,
+    Mips_TGEI_MM	= 1652,
+    Mips_TGEU	= 1653,
+    Mips_TGEU_MM	= 1654,
+    Mips_TGE_MM	= 1655,
+    Mips_TLBP	= 1656,
+    Mips_TLBP_MM	= 1657,
+    Mips_TLBR	= 1658,
+    Mips_TLBR_MM	= 1659,
+    Mips_TLBWI	= 1660,
+    Mips_TLBWI_MM	= 1661,
+    Mips_TLBWR	= 1662,
+    Mips_TLBWR_MM	= 1663,
+    Mips_TLT	= 1664,
+    Mips_TLTI	= 1665,
+    Mips_TLTIU_MM	= 1666,
+    Mips_TLTI_MM	= 1667,
+    Mips_TLTU	= 1668,
+    Mips_TLTU_MM	= 1669,
+    Mips_TLT_MM	= 1670,
+    Mips_TNE	= 1671,
+    Mips_TNEI	= 1672,
+    Mips_TNEI_MM	= 1673,
+    Mips_TNE_MM	= 1674,
+    Mips_TRAP	= 1675,
+    Mips_TRUNC_L_D64	= 1676,
+    Mips_TRUNC_L_S	= 1677,
+    Mips_TRUNC_W_D32	= 1678,
+    Mips_TRUNC_W_D64	= 1679,
+    Mips_TRUNC_W_MM	= 1680,
+    Mips_TRUNC_W_S	= 1681,
+    Mips_TRUNC_W_S_MM	= 1682,
+    Mips_TTLTIU	= 1683,
+    Mips_UDIV	= 1684,
+    Mips_UDIV_MM	= 1685,
+    Mips_V3MULU	= 1686,
+    Mips_VMM0	= 1687,
+    Mips_VMULU	= 1688,
+    Mips_VSHF_B	= 1689,
+    Mips_VSHF_D	= 1690,
+    Mips_VSHF_H	= 1691,
+    Mips_VSHF_W	= 1692,
+    Mips_WAIT	= 1693,
+    Mips_WAIT_MM	= 1694,
+    Mips_WRDSP	= 1695,
+    Mips_WSBH	= 1696,
+    Mips_WSBH_MM	= 1697,
+    Mips_XOR	= 1698,
+    Mips_XOR64	= 1699,
+    Mips_XORI_B	= 1700,
+    Mips_XOR_MM	= 1701,
+    Mips_XOR_V	= 1702,
+    Mips_XOR_V_D_PSEUDO	= 1703,
+    Mips_XOR_V_H_PSEUDO	= 1704,
+    Mips_XOR_V_W_PSEUDO	= 1705,
+    Mips_XORi	= 1706,
+    Mips_XORi64	= 1707,
+    Mips_XORi_MM	= 1708,
+    Mips_XorRxRxRy16	= 1709,
+    Mips_INSTRUCTION_LIST_END = 1710
 };
 
 #endif // GET_INSTRINFO_ENUM
-
diff --git a/arch/Mips/MipsGenRegisterInfo.inc b/arch/Mips/MipsGenRegisterInfo.inc
index 2cf8220..f64eb10 100644
--- a/arch/Mips/MipsGenRegisterInfo.inc
+++ b/arch/Mips/MipsGenRegisterInfo.inc
@@ -45,362 +45,370 @@
   Mips_AC2 = 28,
   Mips_AC3 = 29,
   Mips_AT_64 = 30,
-  Mips_COP20 = 31,
-  Mips_COP21 = 32,
-  Mips_COP22 = 33,
-  Mips_COP23 = 34,
-  Mips_COP24 = 35,
-  Mips_COP25 = 36,
-  Mips_COP26 = 37,
-  Mips_COP27 = 38,
-  Mips_COP28 = 39,
-  Mips_COP29 = 40,
-  Mips_COP30 = 41,
-  Mips_COP31 = 42,
-  Mips_COP32 = 43,
-  Mips_COP33 = 44,
-  Mips_COP34 = 45,
-  Mips_COP35 = 46,
-  Mips_COP36 = 47,
-  Mips_COP37 = 48,
-  Mips_COP38 = 49,
-  Mips_COP39 = 50,
-  Mips_COP210 = 51,
-  Mips_COP211 = 52,
-  Mips_COP212 = 53,
-  Mips_COP213 = 54,
-  Mips_COP214 = 55,
-  Mips_COP215 = 56,
-  Mips_COP216 = 57,
-  Mips_COP217 = 58,
-  Mips_COP218 = 59,
-  Mips_COP219 = 60,
-  Mips_COP220 = 61,
-  Mips_COP221 = 62,
-  Mips_COP222 = 63,
-  Mips_COP223 = 64,
-  Mips_COP224 = 65,
-  Mips_COP225 = 66,
-  Mips_COP226 = 67,
-  Mips_COP227 = 68,
-  Mips_COP228 = 69,
-  Mips_COP229 = 70,
-  Mips_COP230 = 71,
-  Mips_COP231 = 72,
-  Mips_COP310 = 73,
-  Mips_COP311 = 74,
-  Mips_COP312 = 75,
-  Mips_COP313 = 76,
-  Mips_COP314 = 77,
-  Mips_COP315 = 78,
-  Mips_COP316 = 79,
-  Mips_COP317 = 80,
-  Mips_COP318 = 81,
-  Mips_COP319 = 82,
-  Mips_COP320 = 83,
-  Mips_COP321 = 84,
-  Mips_COP322 = 85,
-  Mips_COP323 = 86,
-  Mips_COP324 = 87,
-  Mips_COP325 = 88,
-  Mips_COP326 = 89,
-  Mips_COP327 = 90,
-  Mips_COP328 = 91,
-  Mips_COP329 = 92,
-  Mips_COP330 = 93,
-  Mips_COP331 = 94,
-  Mips_D0 = 95,
-  Mips_D1 = 96,
-  Mips_D2 = 97,
-  Mips_D3 = 98,
-  Mips_D4 = 99,
-  Mips_D5 = 100,
-  Mips_D6 = 101,
-  Mips_D7 = 102,
-  Mips_D8 = 103,
-  Mips_D9 = 104,
-  Mips_D10 = 105,
-  Mips_D11 = 106,
-  Mips_D12 = 107,
-  Mips_D13 = 108,
-  Mips_D14 = 109,
-  Mips_D15 = 110,
-  Mips_DSPOutFlag20 = 111,
-  Mips_DSPOutFlag21 = 112,
-  Mips_DSPOutFlag22 = 113,
-  Mips_DSPOutFlag23 = 114,
-  Mips_F0 = 115,
-  Mips_F1 = 116,
-  Mips_F2 = 117,
-  Mips_F3 = 118,
-  Mips_F4 = 119,
-  Mips_F5 = 120,
-  Mips_F6 = 121,
-  Mips_F7 = 122,
-  Mips_F8 = 123,
-  Mips_F9 = 124,
-  Mips_F10 = 125,
-  Mips_F11 = 126,
-  Mips_F12 = 127,
-  Mips_F13 = 128,
-  Mips_F14 = 129,
-  Mips_F15 = 130,
-  Mips_F16 = 131,
-  Mips_F17 = 132,
-  Mips_F18 = 133,
-  Mips_F19 = 134,
-  Mips_F20 = 135,
-  Mips_F21 = 136,
-  Mips_F22 = 137,
-  Mips_F23 = 138,
-  Mips_F24 = 139,
-  Mips_F25 = 140,
-  Mips_F26 = 141,
-  Mips_F27 = 142,
-  Mips_F28 = 143,
-  Mips_F29 = 144,
-  Mips_F30 = 145,
-  Mips_F31 = 146,
-  Mips_FCC0 = 147,
-  Mips_FCC1 = 148,
-  Mips_FCC2 = 149,
-  Mips_FCC3 = 150,
-  Mips_FCC4 = 151,
-  Mips_FCC5 = 152,
-  Mips_FCC6 = 153,
-  Mips_FCC7 = 154,
-  Mips_FCR0 = 155,
-  Mips_FCR1 = 156,
-  Mips_FCR2 = 157,
-  Mips_FCR3 = 158,
-  Mips_FCR4 = 159,
-  Mips_FCR5 = 160,
-  Mips_FCR6 = 161,
-  Mips_FCR7 = 162,
-  Mips_FCR8 = 163,
-  Mips_FCR9 = 164,
-  Mips_FCR10 = 165,
-  Mips_FCR11 = 166,
-  Mips_FCR12 = 167,
-  Mips_FCR13 = 168,
-  Mips_FCR14 = 169,
-  Mips_FCR15 = 170,
-  Mips_FCR16 = 171,
-  Mips_FCR17 = 172,
-  Mips_FCR18 = 173,
-  Mips_FCR19 = 174,
-  Mips_FCR20 = 175,
-  Mips_FCR21 = 176,
-  Mips_FCR22 = 177,
-  Mips_FCR23 = 178,
-  Mips_FCR24 = 179,
-  Mips_FCR25 = 180,
-  Mips_FCR26 = 181,
-  Mips_FCR27 = 182,
-  Mips_FCR28 = 183,
-  Mips_FCR29 = 184,
-  Mips_FCR30 = 185,
-  Mips_FCR31 = 186,
-  Mips_FP_64 = 187,
-  Mips_F_HI0 = 188,
-  Mips_F_HI1 = 189,
-  Mips_F_HI2 = 190,
-  Mips_F_HI3 = 191,
-  Mips_F_HI4 = 192,
-  Mips_F_HI5 = 193,
-  Mips_F_HI6 = 194,
-  Mips_F_HI7 = 195,
-  Mips_F_HI8 = 196,
-  Mips_F_HI9 = 197,
-  Mips_F_HI10 = 198,
-  Mips_F_HI11 = 199,
-  Mips_F_HI12 = 200,
-  Mips_F_HI13 = 201,
-  Mips_F_HI14 = 202,
-  Mips_F_HI15 = 203,
-  Mips_F_HI16 = 204,
-  Mips_F_HI17 = 205,
-  Mips_F_HI18 = 206,
-  Mips_F_HI19 = 207,
-  Mips_F_HI20 = 208,
-  Mips_F_HI21 = 209,
-  Mips_F_HI22 = 210,
-  Mips_F_HI23 = 211,
-  Mips_F_HI24 = 212,
-  Mips_F_HI25 = 213,
-  Mips_F_HI26 = 214,
-  Mips_F_HI27 = 215,
-  Mips_F_HI28 = 216,
-  Mips_F_HI29 = 217,
-  Mips_F_HI30 = 218,
-  Mips_F_HI31 = 219,
-  Mips_GP_64 = 220,
-  Mips_HI0 = 221,
-  Mips_HI1 = 222,
-  Mips_HI2 = 223,
-  Mips_HI3 = 224,
-  Mips_HWR0 = 225,
-  Mips_HWR1 = 226,
-  Mips_HWR2 = 227,
-  Mips_HWR3 = 228,
-  Mips_HWR4 = 229,
-  Mips_HWR5 = 230,
-  Mips_HWR6 = 231,
-  Mips_HWR7 = 232,
-  Mips_HWR8 = 233,
-  Mips_HWR9 = 234,
-  Mips_HWR10 = 235,
-  Mips_HWR11 = 236,
-  Mips_HWR12 = 237,
-  Mips_HWR13 = 238,
-  Mips_HWR14 = 239,
-  Mips_HWR15 = 240,
-  Mips_HWR16 = 241,
-  Mips_HWR17 = 242,
-  Mips_HWR18 = 243,
-  Mips_HWR19 = 244,
-  Mips_HWR20 = 245,
-  Mips_HWR21 = 246,
-  Mips_HWR22 = 247,
-  Mips_HWR23 = 248,
-  Mips_HWR24 = 249,
-  Mips_HWR25 = 250,
-  Mips_HWR26 = 251,
-  Mips_HWR27 = 252,
-  Mips_HWR28 = 253,
-  Mips_HWR29 = 254,
-  Mips_HWR30 = 255,
-  Mips_HWR31 = 256,
-  Mips_K0 = 257,
-  Mips_K1 = 258,
-  Mips_LO0 = 259,
-  Mips_LO1 = 260,
-  Mips_LO2 = 261,
-  Mips_LO3 = 262,
-  Mips_MPL0 = 263,
-  Mips_MPL1 = 264,
-  Mips_MPL2 = 265,
-  Mips_P0 = 266,
-  Mips_P1 = 267,
-  Mips_P2 = 268,
-  Mips_RA_64 = 269,
-  Mips_S0 = 270,
-  Mips_S1 = 271,
-  Mips_S2 = 272,
-  Mips_S3 = 273,
-  Mips_S4 = 274,
-  Mips_S5 = 275,
-  Mips_S6 = 276,
-  Mips_S7 = 277,
-  Mips_SP_64 = 278,
-  Mips_T0 = 279,
-  Mips_T1 = 280,
-  Mips_T2 = 281,
-  Mips_T3 = 282,
-  Mips_T4 = 283,
-  Mips_T5 = 284,
-  Mips_T6 = 285,
-  Mips_T7 = 286,
-  Mips_T8 = 287,
-  Mips_T9 = 288,
-  Mips_V0 = 289,
-  Mips_V1 = 290,
-  Mips_W0 = 291,
-  Mips_W1 = 292,
-  Mips_W2 = 293,
-  Mips_W3 = 294,
-  Mips_W4 = 295,
-  Mips_W5 = 296,
-  Mips_W6 = 297,
-  Mips_W7 = 298,
-  Mips_W8 = 299,
-  Mips_W9 = 300,
-  Mips_W10 = 301,
-  Mips_W11 = 302,
-  Mips_W12 = 303,
-  Mips_W13 = 304,
-  Mips_W14 = 305,
-  Mips_W15 = 306,
-  Mips_W16 = 307,
-  Mips_W17 = 308,
-  Mips_W18 = 309,
-  Mips_W19 = 310,
-  Mips_W20 = 311,
-  Mips_W21 = 312,
-  Mips_W22 = 313,
-  Mips_W23 = 314,
-  Mips_W24 = 315,
-  Mips_W25 = 316,
-  Mips_W26 = 317,
-  Mips_W27 = 318,
-  Mips_W28 = 319,
-  Mips_W29 = 320,
-  Mips_W30 = 321,
-  Mips_W31 = 322,
-  Mips_ZERO_64 = 323,
-  Mips_A0_64 = 324,
-  Mips_A1_64 = 325,
-  Mips_A2_64 = 326,
-  Mips_A3_64 = 327,
-  Mips_AC0_64 = 328,
-  Mips_D0_64 = 329,
-  Mips_D1_64 = 330,
-  Mips_D2_64 = 331,
-  Mips_D3_64 = 332,
-  Mips_D4_64 = 333,
-  Mips_D5_64 = 334,
-  Mips_D6_64 = 335,
-  Mips_D7_64 = 336,
-  Mips_D8_64 = 337,
-  Mips_D9_64 = 338,
-  Mips_D10_64 = 339,
-  Mips_D11_64 = 340,
-  Mips_D12_64 = 341,
-  Mips_D13_64 = 342,
-  Mips_D14_64 = 343,
-  Mips_D15_64 = 344,
-  Mips_D16_64 = 345,
-  Mips_D17_64 = 346,
-  Mips_D18_64 = 347,
-  Mips_D19_64 = 348,
-  Mips_D20_64 = 349,
-  Mips_D21_64 = 350,
-  Mips_D22_64 = 351,
-  Mips_D23_64 = 352,
-  Mips_D24_64 = 353,
-  Mips_D25_64 = 354,
-  Mips_D26_64 = 355,
-  Mips_D27_64 = 356,
-  Mips_D28_64 = 357,
-  Mips_D29_64 = 358,
-  Mips_D30_64 = 359,
-  Mips_D31_64 = 360,
-  Mips_DSPOutFlag16_19 = 361,
-  Mips_HI0_64 = 362,
-  Mips_K0_64 = 363,
-  Mips_K1_64 = 364,
-  Mips_LO0_64 = 365,
-  Mips_S0_64 = 366,
-  Mips_S1_64 = 367,
-  Mips_S2_64 = 368,
-  Mips_S3_64 = 369,
-  Mips_S4_64 = 370,
-  Mips_S5_64 = 371,
-  Mips_S6_64 = 372,
-  Mips_S7_64 = 373,
-  Mips_T0_64 = 374,
-  Mips_T1_64 = 375,
-  Mips_T2_64 = 376,
-  Mips_T3_64 = 377,
-  Mips_T4_64 = 378,
-  Mips_T5_64 = 379,
-  Mips_T6_64 = 380,
-  Mips_T7_64 = 381,
-  Mips_T8_64 = 382,
-  Mips_T9_64 = 383,
-  Mips_V0_64 = 384,
-  Mips_V1_64 = 385,
-  Mips_NUM_TARGET_REGS 	// 386
+  Mips_CC0 = 31,
+  Mips_CC1 = 32,
+  Mips_CC2 = 33,
+  Mips_CC3 = 34,
+  Mips_CC4 = 35,
+  Mips_CC5 = 36,
+  Mips_CC6 = 37,
+  Mips_CC7 = 38,
+  Mips_COP20 = 39,
+  Mips_COP21 = 40,
+  Mips_COP22 = 41,
+  Mips_COP23 = 42,
+  Mips_COP24 = 43,
+  Mips_COP25 = 44,
+  Mips_COP26 = 45,
+  Mips_COP27 = 46,
+  Mips_COP28 = 47,
+  Mips_COP29 = 48,
+  Mips_COP30 = 49,
+  Mips_COP31 = 50,
+  Mips_COP32 = 51,
+  Mips_COP33 = 52,
+  Mips_COP34 = 53,
+  Mips_COP35 = 54,
+  Mips_COP36 = 55,
+  Mips_COP37 = 56,
+  Mips_COP38 = 57,
+  Mips_COP39 = 58,
+  Mips_COP210 = 59,
+  Mips_COP211 = 60,
+  Mips_COP212 = 61,
+  Mips_COP213 = 62,
+  Mips_COP214 = 63,
+  Mips_COP215 = 64,
+  Mips_COP216 = 65,
+  Mips_COP217 = 66,
+  Mips_COP218 = 67,
+  Mips_COP219 = 68,
+  Mips_COP220 = 69,
+  Mips_COP221 = 70,
+  Mips_COP222 = 71,
+  Mips_COP223 = 72,
+  Mips_COP224 = 73,
+  Mips_COP225 = 74,
+  Mips_COP226 = 75,
+  Mips_COP227 = 76,
+  Mips_COP228 = 77,
+  Mips_COP229 = 78,
+  Mips_COP230 = 79,
+  Mips_COP231 = 80,
+  Mips_COP310 = 81,
+  Mips_COP311 = 82,
+  Mips_COP312 = 83,
+  Mips_COP313 = 84,
+  Mips_COP314 = 85,
+  Mips_COP315 = 86,
+  Mips_COP316 = 87,
+  Mips_COP317 = 88,
+  Mips_COP318 = 89,
+  Mips_COP319 = 90,
+  Mips_COP320 = 91,
+  Mips_COP321 = 92,
+  Mips_COP322 = 93,
+  Mips_COP323 = 94,
+  Mips_COP324 = 95,
+  Mips_COP325 = 96,
+  Mips_COP326 = 97,
+  Mips_COP327 = 98,
+  Mips_COP328 = 99,
+  Mips_COP329 = 100,
+  Mips_COP330 = 101,
+  Mips_COP331 = 102,
+  Mips_D0 = 103,
+  Mips_D1 = 104,
+  Mips_D2 = 105,
+  Mips_D3 = 106,
+  Mips_D4 = 107,
+  Mips_D5 = 108,
+  Mips_D6 = 109,
+  Mips_D7 = 110,
+  Mips_D8 = 111,
+  Mips_D9 = 112,
+  Mips_D10 = 113,
+  Mips_D11 = 114,
+  Mips_D12 = 115,
+  Mips_D13 = 116,
+  Mips_D14 = 117,
+  Mips_D15 = 118,
+  Mips_DSPOutFlag20 = 119,
+  Mips_DSPOutFlag21 = 120,
+  Mips_DSPOutFlag22 = 121,
+  Mips_DSPOutFlag23 = 122,
+  Mips_F0 = 123,
+  Mips_F1 = 124,
+  Mips_F2 = 125,
+  Mips_F3 = 126,
+  Mips_F4 = 127,
+  Mips_F5 = 128,
+  Mips_F6 = 129,
+  Mips_F7 = 130,
+  Mips_F8 = 131,
+  Mips_F9 = 132,
+  Mips_F10 = 133,
+  Mips_F11 = 134,
+  Mips_F12 = 135,
+  Mips_F13 = 136,
+  Mips_F14 = 137,
+  Mips_F15 = 138,
+  Mips_F16 = 139,
+  Mips_F17 = 140,
+  Mips_F18 = 141,
+  Mips_F19 = 142,
+  Mips_F20 = 143,
+  Mips_F21 = 144,
+  Mips_F22 = 145,
+  Mips_F23 = 146,
+  Mips_F24 = 147,
+  Mips_F25 = 148,
+  Mips_F26 = 149,
+  Mips_F27 = 150,
+  Mips_F28 = 151,
+  Mips_F29 = 152,
+  Mips_F30 = 153,
+  Mips_F31 = 154,
+  Mips_FCC0 = 155,
+  Mips_FCC1 = 156,
+  Mips_FCC2 = 157,
+  Mips_FCC3 = 158,
+  Mips_FCC4 = 159,
+  Mips_FCC5 = 160,
+  Mips_FCC6 = 161,
+  Mips_FCC7 = 162,
+  Mips_FCR0 = 163,
+  Mips_FCR1 = 164,
+  Mips_FCR2 = 165,
+  Mips_FCR3 = 166,
+  Mips_FCR4 = 167,
+  Mips_FCR5 = 168,
+  Mips_FCR6 = 169,
+  Mips_FCR7 = 170,
+  Mips_FCR8 = 171,
+  Mips_FCR9 = 172,
+  Mips_FCR10 = 173,
+  Mips_FCR11 = 174,
+  Mips_FCR12 = 175,
+  Mips_FCR13 = 176,
+  Mips_FCR14 = 177,
+  Mips_FCR15 = 178,
+  Mips_FCR16 = 179,
+  Mips_FCR17 = 180,
+  Mips_FCR18 = 181,
+  Mips_FCR19 = 182,
+  Mips_FCR20 = 183,
+  Mips_FCR21 = 184,
+  Mips_FCR22 = 185,
+  Mips_FCR23 = 186,
+  Mips_FCR24 = 187,
+  Mips_FCR25 = 188,
+  Mips_FCR26 = 189,
+  Mips_FCR27 = 190,
+  Mips_FCR28 = 191,
+  Mips_FCR29 = 192,
+  Mips_FCR30 = 193,
+  Mips_FCR31 = 194,
+  Mips_FP_64 = 195,
+  Mips_F_HI0 = 196,
+  Mips_F_HI1 = 197,
+  Mips_F_HI2 = 198,
+  Mips_F_HI3 = 199,
+  Mips_F_HI4 = 200,
+  Mips_F_HI5 = 201,
+  Mips_F_HI6 = 202,
+  Mips_F_HI7 = 203,
+  Mips_F_HI8 = 204,
+  Mips_F_HI9 = 205,
+  Mips_F_HI10 = 206,
+  Mips_F_HI11 = 207,
+  Mips_F_HI12 = 208,
+  Mips_F_HI13 = 209,
+  Mips_F_HI14 = 210,
+  Mips_F_HI15 = 211,
+  Mips_F_HI16 = 212,
+  Mips_F_HI17 = 213,
+  Mips_F_HI18 = 214,
+  Mips_F_HI19 = 215,
+  Mips_F_HI20 = 216,
+  Mips_F_HI21 = 217,
+  Mips_F_HI22 = 218,
+  Mips_F_HI23 = 219,
+  Mips_F_HI24 = 220,
+  Mips_F_HI25 = 221,
+  Mips_F_HI26 = 222,
+  Mips_F_HI27 = 223,
+  Mips_F_HI28 = 224,
+  Mips_F_HI29 = 225,
+  Mips_F_HI30 = 226,
+  Mips_F_HI31 = 227,
+  Mips_GP_64 = 228,
+  Mips_HI0 = 229,
+  Mips_HI1 = 230,
+  Mips_HI2 = 231,
+  Mips_HI3 = 232,
+  Mips_HWR0 = 233,
+  Mips_HWR1 = 234,
+  Mips_HWR2 = 235,
+  Mips_HWR3 = 236,
+  Mips_HWR4 = 237,
+  Mips_HWR5 = 238,
+  Mips_HWR6 = 239,
+  Mips_HWR7 = 240,
+  Mips_HWR8 = 241,
+  Mips_HWR9 = 242,
+  Mips_HWR10 = 243,
+  Mips_HWR11 = 244,
+  Mips_HWR12 = 245,
+  Mips_HWR13 = 246,
+  Mips_HWR14 = 247,
+  Mips_HWR15 = 248,
+  Mips_HWR16 = 249,
+  Mips_HWR17 = 250,
+  Mips_HWR18 = 251,
+  Mips_HWR19 = 252,
+  Mips_HWR20 = 253,
+  Mips_HWR21 = 254,
+  Mips_HWR22 = 255,
+  Mips_HWR23 = 256,
+  Mips_HWR24 = 257,
+  Mips_HWR25 = 258,
+  Mips_HWR26 = 259,
+  Mips_HWR27 = 260,
+  Mips_HWR28 = 261,
+  Mips_HWR29 = 262,
+  Mips_HWR30 = 263,
+  Mips_HWR31 = 264,
+  Mips_K0 = 265,
+  Mips_K1 = 266,
+  Mips_LO0 = 267,
+  Mips_LO1 = 268,
+  Mips_LO2 = 269,
+  Mips_LO3 = 270,
+  Mips_MPL0 = 271,
+  Mips_MPL1 = 272,
+  Mips_MPL2 = 273,
+  Mips_P0 = 274,
+  Mips_P1 = 275,
+  Mips_P2 = 276,
+  Mips_RA_64 = 277,
+  Mips_S0 = 278,
+  Mips_S1 = 279,
+  Mips_S2 = 280,
+  Mips_S3 = 281,
+  Mips_S4 = 282,
+  Mips_S5 = 283,
+  Mips_S6 = 284,
+  Mips_S7 = 285,
+  Mips_SP_64 = 286,
+  Mips_T0 = 287,
+  Mips_T1 = 288,
+  Mips_T2 = 289,
+  Mips_T3 = 290,
+  Mips_T4 = 291,
+  Mips_T5 = 292,
+  Mips_T6 = 293,
+  Mips_T7 = 294,
+  Mips_T8 = 295,
+  Mips_T9 = 296,
+  Mips_V0 = 297,
+  Mips_V1 = 298,
+  Mips_W0 = 299,
+  Mips_W1 = 300,
+  Mips_W2 = 301,
+  Mips_W3 = 302,
+  Mips_W4 = 303,
+  Mips_W5 = 304,
+  Mips_W6 = 305,
+  Mips_W7 = 306,
+  Mips_W8 = 307,
+  Mips_W9 = 308,
+  Mips_W10 = 309,
+  Mips_W11 = 310,
+  Mips_W12 = 311,
+  Mips_W13 = 312,
+  Mips_W14 = 313,
+  Mips_W15 = 314,
+  Mips_W16 = 315,
+  Mips_W17 = 316,
+  Mips_W18 = 317,
+  Mips_W19 = 318,
+  Mips_W20 = 319,
+  Mips_W21 = 320,
+  Mips_W22 = 321,
+  Mips_W23 = 322,
+  Mips_W24 = 323,
+  Mips_W25 = 324,
+  Mips_W26 = 325,
+  Mips_W27 = 326,
+  Mips_W28 = 327,
+  Mips_W29 = 328,
+  Mips_W30 = 329,
+  Mips_W31 = 330,
+  Mips_ZERO_64 = 331,
+  Mips_A0_64 = 332,
+  Mips_A1_64 = 333,
+  Mips_A2_64 = 334,
+  Mips_A3_64 = 335,
+  Mips_AC0_64 = 336,
+  Mips_D0_64 = 337,
+  Mips_D1_64 = 338,
+  Mips_D2_64 = 339,
+  Mips_D3_64 = 340,
+  Mips_D4_64 = 341,
+  Mips_D5_64 = 342,
+  Mips_D6_64 = 343,
+  Mips_D7_64 = 344,
+  Mips_D8_64 = 345,
+  Mips_D9_64 = 346,
+  Mips_D10_64 = 347,
+  Mips_D11_64 = 348,
+  Mips_D12_64 = 349,
+  Mips_D13_64 = 350,
+  Mips_D14_64 = 351,
+  Mips_D15_64 = 352,
+  Mips_D16_64 = 353,
+  Mips_D17_64 = 354,
+  Mips_D18_64 = 355,
+  Mips_D19_64 = 356,
+  Mips_D20_64 = 357,
+  Mips_D21_64 = 358,
+  Mips_D22_64 = 359,
+  Mips_D23_64 = 360,
+  Mips_D24_64 = 361,
+  Mips_D25_64 = 362,
+  Mips_D26_64 = 363,
+  Mips_D27_64 = 364,
+  Mips_D28_64 = 365,
+  Mips_D29_64 = 366,
+  Mips_D30_64 = 367,
+  Mips_D31_64 = 368,
+  Mips_DSPOutFlag16_19 = 369,
+  Mips_HI0_64 = 370,
+  Mips_K0_64 = 371,
+  Mips_K1_64 = 372,
+  Mips_LO0_64 = 373,
+  Mips_S0_64 = 374,
+  Mips_S1_64 = 375,
+  Mips_S2_64 = 376,
+  Mips_S3_64 = 377,
+  Mips_S4_64 = 378,
+  Mips_S5_64 = 379,
+  Mips_S6_64 = 380,
+  Mips_S7_64 = 381,
+  Mips_T0_64 = 382,
+  Mips_T1_64 = 383,
+  Mips_T2_64 = 384,
+  Mips_T3_64 = 385,
+  Mips_T4_64 = 386,
+  Mips_T5_64 = 387,
+  Mips_T6_64 = 388,
+  Mips_T7_64 = 389,
+  Mips_T8_64 = 390,
+  Mips_T9_64 = 391,
+  Mips_V0_64 = 392,
+  Mips_V1_64 = 393,
+  Mips_NUM_TARGET_REGS 	// 394
 };
 
 // Register classes
@@ -420,38 +428,39 @@
   Mips_FGRH32_and_OddSPRegClassID = 12,
   Mips_OddSP_with_sub_hi_with_sub_hi_in_FGRH32RegClassID = 13,
   Mips_CPU16RegsPlusSPRegClassID = 14,
-  Mips_CPU16RegsRegClassID = 15,
-  Mips_FCCRegClassID = 16,
-  Mips_MSACtrlRegClassID = 17,
-  Mips_OddSP_with_sub_hi_with_sub_hi_in_FGR32RegClassID = 18,
-  Mips_HI32DSPRegClassID = 19,
-  Mips_LO32DSPRegClassID = 20,
-  Mips_CPURARegRegClassID = 21,
-  Mips_CPUSPRegRegClassID = 22,
-  Mips_DSPCCRegClassID = 23,
-  Mips_HI32RegClassID = 24,
-  Mips_LO32RegClassID = 25,
-  Mips_FGR64RegClassID = 26,
-  Mips_GPR64RegClassID = 27,
-  Mips_AFGR64RegClassID = 28,
-  Mips_FGR64_and_OddSPRegClassID = 29,
-  Mips_GPR64_with_sub_32_in_CPU16RegsPlusSPRegClassID = 30,
-  Mips_AFGR64_and_OddSPRegClassID = 31,
-  Mips_GPR64_with_sub_32_in_CPU16RegsRegClassID = 32,
-  Mips_ACC64DSPRegClassID = 33,
-  Mips_OCTEON_MPLRegClassID = 34,
-  Mips_OCTEON_PRegClassID = 35,
-  Mips_ACC64RegClassID = 36,
-  Mips_GPR64_with_sub_32_in_CPURARegRegClassID = 37,
-  Mips_GPR64_with_sub_32_in_CPUSPRegRegClassID = 38,
-  Mips_HI64RegClassID = 39,
-  Mips_LO64RegClassID = 40,
-  Mips_MSA128BRegClassID = 41,
-  Mips_MSA128DRegClassID = 42,
-  Mips_MSA128HRegClassID = 43,
-  Mips_MSA128WRegClassID = 44,
-  Mips_MSA128B_with_sub_64_in_OddSPRegClassID = 45,
-  Mips_ACC128RegClassID = 46
+  Mips_CCRegClassID = 15,
+  Mips_CPU16RegsRegClassID = 16,
+  Mips_FCCRegClassID = 17,
+  Mips_MSACtrlRegClassID = 18,
+  Mips_OddSP_with_sub_hi_with_sub_hi_in_FGR32RegClassID = 19,
+  Mips_HI32DSPRegClassID = 20,
+  Mips_LO32DSPRegClassID = 21,
+  Mips_CPURARegRegClassID = 22,
+  Mips_CPUSPRegRegClassID = 23,
+  Mips_DSPCCRegClassID = 24,
+  Mips_HI32RegClassID = 25,
+  Mips_LO32RegClassID = 26,
+  Mips_FGR64RegClassID = 27,
+  Mips_GPR64RegClassID = 28,
+  Mips_AFGR64RegClassID = 29,
+  Mips_FGR64_and_OddSPRegClassID = 30,
+  Mips_GPR64_with_sub_32_in_CPU16RegsPlusSPRegClassID = 31,
+  Mips_AFGR64_and_OddSPRegClassID = 32,
+  Mips_GPR64_with_sub_32_in_CPU16RegsRegClassID = 33,
+  Mips_ACC64DSPRegClassID = 34,
+  Mips_OCTEON_MPLRegClassID = 35,
+  Mips_OCTEON_PRegClassID = 36,
+  Mips_ACC64RegClassID = 37,
+  Mips_GPR64_with_sub_32_in_CPURARegRegClassID = 38,
+  Mips_GPR64_with_sub_32_in_CPUSPRegRegClassID = 39,
+  Mips_HI64RegClassID = 40,
+  Mips_LO64RegClassID = 41,
+  Mips_MSA128BRegClassID = 42,
+  Mips_MSA128DRegClassID = 43,
+  Mips_MSA128HRegClassID = 44,
+  Mips_MSA128WRegClassID = 45,
+  Mips_MSA128B_with_sub_64_in_OddSPRegClassID = 46,
+  Mips_ACC128RegClassID = 47
 };
 
 // Subregister indices
@@ -491,7 +500,7 @@
 static MCPhysReg MipsRegDiffLists[] = {
   /* 0 */ 0, 0,
   /* 2 */ 4, 1, 1, 1, 1, 0,
-  /* 8 */ 356, 65286, 1, 1, 1, 0,
+  /* 8 */ 364, 65286, 1, 1, 1, 0,
   /* 14 */ 20, 1, 0,
   /* 17 */ 21, 1, 0,
   /* 20 */ 22, 1, 0,
@@ -508,7 +517,7 @@
   /* 53 */ 33, 1, 0,
   /* 56 */ 34, 1, 0,
   /* 59 */ 35, 1, 0,
-  /* 62 */ 65447, 1, 0,
+  /* 62 */ 65439, 1, 0,
   /* 65 */ 65513, 1, 0,
   /* 68 */ 3, 0,
   /* 70 */ 4, 0,
@@ -525,60 +534,60 @@
   /* 96 */ 95, 0,
   /* 98 */ 96, 0,
   /* 100 */ 106, 0,
-  /* 102 */ 179, 0,
-  /* 104 */ 211, 0,
-  /* 106 */ 250, 0,
-  /* 108 */ 258, 0,
-  /* 110 */ 302, 0,
-  /* 112 */ 65047, 0,
-  /* 114 */ 65124, 0,
-  /* 116 */ 65180, 0,
-  /* 118 */ 65234, 0,
-  /* 120 */ 65237, 0,
-  /* 122 */ 65278, 0,
-  /* 124 */ 65286, 0,
-  /* 126 */ 65303, 0,
-  /* 128 */ 65325, 0,
-  /* 130 */ 37, 65430, 103, 65395, 65341, 0,
-  /* 136 */ 65357, 0,
+  /* 102 */ 187, 0,
+  /* 104 */ 219, 0,
+  /* 106 */ 258, 0,
+  /* 108 */ 266, 0,
+  /* 110 */ 310, 0,
+  /* 112 */ 65031, 0,
+  /* 114 */ 65108, 0,
+  /* 116 */ 65172, 0,
+  /* 118 */ 65226, 0,
+  /* 120 */ 65229, 0,
+  /* 122 */ 65270, 0,
+  /* 124 */ 65278, 0,
+  /* 126 */ 65295, 0,
+  /* 128 */ 65317, 0,
+  /* 130 */ 37, 65430, 103, 65395, 65333, 0,
+  /* 136 */ 65349, 0,
   /* 138 */ 65395, 0,
   /* 140 */ 65410, 0,
   /* 142 */ 65415, 0,
-  /* 144 */ 65427, 0,
-  /* 146 */ 65428, 0,
-  /* 148 */ 65429, 0,
-  /* 150 */ 65430, 0,
-  /* 152 */ 65440, 0,
-  /* 154 */ 65441, 0,
-  /* 156 */ 141, 65498, 0,
-  /* 159 */ 233, 65498, 0,
-  /* 162 */ 65516, 234, 65498, 0,
-  /* 166 */ 65515, 235, 65498, 0,
-  /* 170 */ 65514, 236, 65498, 0,
-  /* 174 */ 65513, 237, 65498, 0,
-  /* 178 */ 65512, 238, 65498, 0,
-  /* 182 */ 65511, 239, 65498, 0,
-  /* 186 */ 65510, 240, 65498, 0,
-  /* 190 */ 65509, 241, 65498, 0,
-  /* 194 */ 65508, 242, 65498, 0,
-  /* 198 */ 65507, 243, 65498, 0,
-  /* 202 */ 65506, 244, 65498, 0,
-  /* 206 */ 65505, 245, 65498, 0,
-  /* 210 */ 65504, 246, 65498, 0,
-  /* 214 */ 65503, 247, 65498, 0,
-  /* 218 */ 65502, 248, 65498, 0,
-  /* 222 */ 65501, 249, 65498, 0,
-  /* 226 */ 65500, 250, 65498, 0,
-  /* 230 */ 65303, 339, 65499, 0,
-  /* 234 */ 65341, 336, 65502, 0,
-  /* 238 */ 65507, 0,
-  /* 240 */ 65510, 0,
-  /* 242 */ 65511, 0,
-  /* 244 */ 65512, 0,
-  /* 246 */ 65516, 0,
-  /* 248 */ 65521, 0,
-  /* 250 */ 65522, 0,
-  /* 252 */ 65535, 0,
+  /* 144 */ 65419, 0,
+  /* 146 */ 65420, 0,
+  /* 148 */ 65421, 0,
+  /* 150 */ 65422, 0,
+  /* 152 */ 65430, 0,
+  /* 154 */ 65440, 0,
+  /* 156 */ 65441, 0,
+  /* 158 */ 141, 65498, 0,
+  /* 161 */ 65516, 234, 65498, 0,
+  /* 165 */ 65515, 235, 65498, 0,
+  /* 169 */ 65514, 236, 65498, 0,
+  /* 173 */ 65513, 237, 65498, 0,
+  /* 177 */ 65512, 238, 65498, 0,
+  /* 181 */ 65511, 239, 65498, 0,
+  /* 185 */ 65510, 240, 65498, 0,
+  /* 189 */ 65509, 241, 65498, 0,
+  /* 193 */ 65508, 242, 65498, 0,
+  /* 197 */ 65507, 243, 65498, 0,
+  /* 201 */ 65506, 244, 65498, 0,
+  /* 205 */ 65505, 245, 65498, 0,
+  /* 209 */ 65504, 246, 65498, 0,
+  /* 213 */ 65503, 247, 65498, 0,
+  /* 217 */ 65502, 248, 65498, 0,
+  /* 221 */ 65501, 249, 65498, 0,
+  /* 225 */ 65500, 250, 65498, 0,
+  /* 229 */ 65295, 347, 65499, 0,
+  /* 233 */ 65333, 344, 65502, 0,
+  /* 237 */ 65507, 0,
+  /* 239 */ 65510, 0,
+  /* 241 */ 65511, 0,
+  /* 243 */ 65512, 0,
+  /* 245 */ 65516, 0,
+  /* 247 */ 65521, 0,
+  /* 249 */ 65522, 0,
+  /* 251 */ 65535, 0,
 };
 
 static uint16_t MipsSubRegIdxLists[] = {
@@ -590,10 +599,10 @@
 
 static MCRegisterDesc MipsRegDesc[] = { // Descriptors
   { 6, 0, 0, 0, 0 },
-  { 2007, 1, 82, 1, 4033 },
-  { 2010, 1, 1, 1, 4033 },
-  { 2102, 1, 1, 1, 4033 },
-  { 1973, 1, 1, 1, 4033 },
+  { 2007, 1, 82, 1, 4017 },
+  { 2010, 1, 1, 1, 4017 },
+  { 2102, 1, 1, 1, 4017 },
+  { 1973, 1, 1, 1, 4017 },
   { 2027, 8, 1, 2, 32 },
   { 2054, 1, 1, 1, 1089 },
   { 2071, 1, 1, 1, 1089 },
@@ -615,11 +624,19 @@
   { 365, 1, 110, 1, 1089 },
   { 537, 1, 110, 1, 1089 },
   { 703, 1, 110, 1, 1089 },
-  { 155, 159, 110, 9, 1042 },
-  { 368, 159, 1, 9, 1042 },
-  { 540, 159, 1, 9, 1042 },
-  { 706, 159, 1, 9, 1042 },
-  { 1271, 238, 1, 0, 0 },
+  { 155, 190, 110, 9, 1042 },
+  { 368, 190, 1, 9, 1042 },
+  { 540, 190, 1, 9, 1042 },
+  { 706, 190, 1, 9, 1042 },
+  { 1271, 237, 1, 0, 0 },
+  { 160, 1, 1, 1, 1153 },
+  { 373, 1, 1, 1, 1153 },
+  { 545, 1, 1, 1, 1153 },
+  { 711, 1, 1, 1, 1153 },
+  { 1278, 1, 1, 1, 1153 },
+  { 1412, 1, 1, 1, 1153 },
+  { 1542, 1, 1, 1, 1153 },
+  { 1672, 1, 1, 1, 1153 },
   { 70, 1, 1, 1, 1153 },
   { 283, 1, 1, 1, 1153 },
   { 496, 1, 1, 1, 1153 },
@@ -704,182 +721,182 @@
   { 305, 1, 148, 1, 2401 },
   { 518, 1, 146, 1, 2401 },
   { 684, 1, 144, 1, 2401 },
-  { 167, 1, 162, 1, 4001 },
-  { 380, 1, 166, 1, 4001 },
-  { 552, 1, 166, 1, 4001 },
-  { 718, 1, 170, 1, 4001 },
-  { 1285, 1, 170, 1, 4001 },
-  { 1419, 1, 174, 1, 4001 },
-  { 1549, 1, 174, 1, 4001 },
-  { 1679, 1, 178, 1, 4001 },
-  { 1804, 1, 178, 1, 4001 },
-  { 1942, 1, 182, 1, 4001 },
-  { 18, 1, 182, 1, 4001 },
-  { 231, 1, 186, 1, 4001 },
-  { 444, 1, 186, 1, 4001 },
-  { 610, 1, 190, 1, 4001 },
-  { 768, 1, 190, 1, 4001 },
-  { 1331, 1, 194, 1, 4001 },
-  { 1461, 1, 194, 1, 4001 },
-  { 1591, 1, 198, 1, 4001 },
-  { 1721, 1, 198, 1, 4001 },
-  { 1843, 1, 202, 1, 4001 },
-  { 59, 1, 202, 1, 4001 },
-  { 272, 1, 206, 1, 4001 },
-  { 485, 1, 206, 1, 4001 },
-  { 651, 1, 210, 1, 4001 },
-  { 809, 1, 210, 1, 4001 },
-  { 1372, 1, 214, 1, 4001 },
-  { 1502, 1, 214, 1, 4001 },
-  { 1632, 1, 218, 1, 4001 },
-  { 1762, 1, 218, 1, 4001 },
-  { 1900, 1, 222, 1, 4001 },
-  { 119, 1, 222, 1, 4001 },
-  { 332, 1, 226, 1, 4001 },
-  { 159, 1, 1, 1, 4001 },
-  { 372, 1, 1, 1, 4001 },
-  { 544, 1, 1, 1, 4001 },
-  { 710, 1, 1, 1, 4001 },
-  { 1277, 1, 1, 1, 4001 },
-  { 1411, 1, 1, 1, 4001 },
-  { 1541, 1, 1, 1, 4001 },
-  { 1671, 1, 1, 1, 4001 },
-  { 191, 1, 1, 1, 4001 },
-  { 404, 1, 1, 1, 4001 },
-  { 573, 1, 1, 1, 4001 },
-  { 731, 1, 1, 1, 4001 },
-  { 1294, 1, 1, 1, 4001 },
-  { 1428, 1, 1, 1, 4001 },
-  { 1558, 1, 1, 1, 4001 },
-  { 1688, 1, 1, 1, 4001 },
-  { 1813, 1, 1, 1, 4001 },
-  { 1951, 1, 1, 1, 4001 },
-  { 29, 1, 1, 1, 4001 },
-  { 242, 1, 1, 1, 4001 },
-  { 455, 1, 1, 1, 4001 },
-  { 621, 1, 1, 1, 4001 },
-  { 779, 1, 1, 1, 4001 },
-  { 1342, 1, 1, 1, 4001 },
-  { 1472, 1, 1, 1, 4001 },
-  { 1602, 1, 1, 1, 4001 },
-  { 1732, 1, 1, 1, 4001 },
-  { 1854, 1, 1, 1, 4001 },
-  { 76, 1, 1, 1, 4001 },
-  { 289, 1, 1, 1, 4001 },
-  { 502, 1, 1, 1, 4001 },
-  { 668, 1, 1, 1, 4001 },
-  { 826, 1, 1, 1, 4001 },
-  { 1389, 1, 1, 1, 4001 },
-  { 1519, 1, 1, 1, 4001 },
-  { 1649, 1, 1, 1, 4001 },
-  { 1779, 1, 1, 1, 4001 },
-  { 1917, 1, 1, 1, 4001 },
-  { 136, 1, 1, 1, 4001 },
-  { 349, 1, 1, 1, 4001 },
+  { 167, 1, 161, 1, 3985 },
+  { 380, 1, 165, 1, 3985 },
+  { 552, 1, 165, 1, 3985 },
+  { 718, 1, 169, 1, 3985 },
+  { 1285, 1, 169, 1, 3985 },
+  { 1419, 1, 173, 1, 3985 },
+  { 1549, 1, 173, 1, 3985 },
+  { 1679, 1, 177, 1, 3985 },
+  { 1804, 1, 177, 1, 3985 },
+  { 1942, 1, 181, 1, 3985 },
+  { 18, 1, 181, 1, 3985 },
+  { 231, 1, 185, 1, 3985 },
+  { 444, 1, 185, 1, 3985 },
+  { 610, 1, 189, 1, 3985 },
+  { 768, 1, 189, 1, 3985 },
+  { 1331, 1, 193, 1, 3985 },
+  { 1461, 1, 193, 1, 3985 },
+  { 1591, 1, 197, 1, 3985 },
+  { 1721, 1, 197, 1, 3985 },
+  { 1843, 1, 201, 1, 3985 },
+  { 59, 1, 201, 1, 3985 },
+  { 272, 1, 205, 1, 3985 },
+  { 485, 1, 205, 1, 3985 },
+  { 651, 1, 209, 1, 3985 },
+  { 809, 1, 209, 1, 3985 },
+  { 1372, 1, 213, 1, 3985 },
+  { 1502, 1, 213, 1, 3985 },
+  { 1632, 1, 217, 1, 3985 },
+  { 1762, 1, 217, 1, 3985 },
+  { 1900, 1, 221, 1, 3985 },
+  { 119, 1, 221, 1, 3985 },
+  { 332, 1, 225, 1, 3985 },
+  { 159, 1, 1, 1, 3985 },
+  { 372, 1, 1, 1, 3985 },
+  { 544, 1, 1, 1, 3985 },
+  { 710, 1, 1, 1, 3985 },
+  { 1277, 1, 1, 1, 3985 },
+  { 1411, 1, 1, 1, 3985 },
+  { 1541, 1, 1, 1, 3985 },
+  { 1671, 1, 1, 1, 3985 },
+  { 191, 1, 1, 1, 3985 },
+  { 404, 1, 1, 1, 3985 },
+  { 573, 1, 1, 1, 3985 },
+  { 731, 1, 1, 1, 3985 },
+  { 1294, 1, 1, 1, 3985 },
+  { 1428, 1, 1, 1, 3985 },
+  { 1558, 1, 1, 1, 3985 },
+  { 1688, 1, 1, 1, 3985 },
+  { 1813, 1, 1, 1, 3985 },
+  { 1951, 1, 1, 1, 3985 },
+  { 29, 1, 1, 1, 3985 },
+  { 242, 1, 1, 1, 3985 },
+  { 455, 1, 1, 1, 3985 },
+  { 621, 1, 1, 1, 3985 },
+  { 779, 1, 1, 1, 3985 },
+  { 1342, 1, 1, 1, 3985 },
+  { 1472, 1, 1, 1, 3985 },
+  { 1602, 1, 1, 1, 3985 },
+  { 1732, 1, 1, 1, 3985 },
+  { 1854, 1, 1, 1, 3985 },
+  { 76, 1, 1, 1, 3985 },
+  { 289, 1, 1, 1, 3985 },
+  { 502, 1, 1, 1, 3985 },
+  { 668, 1, 1, 1, 3985 },
+  { 826, 1, 1, 1, 3985 },
+  { 1389, 1, 1, 1, 3985 },
+  { 1519, 1, 1, 1, 3985 },
+  { 1649, 1, 1, 1, 3985 },
+  { 1779, 1, 1, 1, 3985 },
+  { 1917, 1, 1, 1, 3985 },
+  { 136, 1, 1, 1, 3985 },
+  { 349, 1, 1, 1, 3985 },
   { 1253, 136, 1, 0, 1184 },
-  { 170, 1, 156, 1, 3969 },
-  { 383, 1, 156, 1, 3969 },
-  { 555, 1, 156, 1, 3969 },
-  { 721, 1, 156, 1, 3969 },
-  { 1288, 1, 156, 1, 3969 },
-  { 1422, 1, 156, 1, 3969 },
-  { 1552, 1, 156, 1, 3969 },
-  { 1682, 1, 156, 1, 3969 },
-  { 1807, 1, 156, 1, 3969 },
-  { 1945, 1, 156, 1, 3969 },
-  { 22, 1, 156, 1, 3969 },
-  { 235, 1, 156, 1, 3969 },
-  { 448, 1, 156, 1, 3969 },
-  { 614, 1, 156, 1, 3969 },
-  { 772, 1, 156, 1, 3969 },
-  { 1335, 1, 156, 1, 3969 },
-  { 1465, 1, 156, 1, 3969 },
-  { 1595, 1, 156, 1, 3969 },
-  { 1725, 1, 156, 1, 3969 },
-  { 1847, 1, 156, 1, 3969 },
-  { 63, 1, 156, 1, 3969 },
-  { 276, 1, 156, 1, 3969 },
-  { 489, 1, 156, 1, 3969 },
-  { 655, 1, 156, 1, 3969 },
-  { 813, 1, 156, 1, 3969 },
-  { 1376, 1, 156, 1, 3969 },
-  { 1506, 1, 156, 1, 3969 },
-  { 1636, 1, 156, 1, 3969 },
-  { 1766, 1, 156, 1, 3969 },
-  { 1904, 1, 156, 1, 3969 },
-  { 123, 1, 156, 1, 3969 },
-  { 336, 1, 156, 1, 3969 },
+  { 170, 1, 158, 1, 3953 },
+  { 383, 1, 158, 1, 3953 },
+  { 555, 1, 158, 1, 3953 },
+  { 721, 1, 158, 1, 3953 },
+  { 1288, 1, 158, 1, 3953 },
+  { 1422, 1, 158, 1, 3953 },
+  { 1552, 1, 158, 1, 3953 },
+  { 1682, 1, 158, 1, 3953 },
+  { 1807, 1, 158, 1, 3953 },
+  { 1945, 1, 158, 1, 3953 },
+  { 22, 1, 158, 1, 3953 },
+  { 235, 1, 158, 1, 3953 },
+  { 448, 1, 158, 1, 3953 },
+  { 614, 1, 158, 1, 3953 },
+  { 772, 1, 158, 1, 3953 },
+  { 1335, 1, 158, 1, 3953 },
+  { 1465, 1, 158, 1, 3953 },
+  { 1595, 1, 158, 1, 3953 },
+  { 1725, 1, 158, 1, 3953 },
+  { 1847, 1, 158, 1, 3953 },
+  { 63, 1, 158, 1, 3953 },
+  { 276, 1, 158, 1, 3953 },
+  { 489, 1, 158, 1, 3953 },
+  { 655, 1, 158, 1, 3953 },
+  { 813, 1, 158, 1, 3953 },
+  { 1376, 1, 158, 1, 3953 },
+  { 1506, 1, 158, 1, 3953 },
+  { 1636, 1, 158, 1, 3953 },
+  { 1766, 1, 158, 1, 3953 },
+  { 1904, 1, 158, 1, 3953 },
+  { 123, 1, 158, 1, 3953 },
+  { 336, 1, 158, 1, 3953 },
   { 1259, 128, 1, 0, 1216 },
-  { 172, 1, 234, 1, 1826 },
+  { 172, 1, 233, 1, 1826 },
   { 385, 1, 134, 1, 1826 },
   { 557, 1, 134, 1, 1826 },
   { 723, 1, 134, 1, 1826 },
-  { 196, 1, 1, 1, 3937 },
-  { 409, 1, 1, 1, 3937 },
-  { 578, 1, 1, 1, 3937 },
-  { 736, 1, 1, 1, 3937 },
-  { 1299, 1, 1, 1, 3937 },
-  { 1433, 1, 1, 1, 3937 },
-  { 1563, 1, 1, 1, 3937 },
-  { 1693, 1, 1, 1, 3937 },
-  { 1818, 1, 1, 1, 3937 },
-  { 1956, 1, 1, 1, 3937 },
-  { 35, 1, 1, 1, 3937 },
-  { 248, 1, 1, 1, 3937 },
-  { 461, 1, 1, 1, 3937 },
-  { 627, 1, 1, 1, 3937 },
-  { 785, 1, 1, 1, 3937 },
-  { 1348, 1, 1, 1, 3937 },
-  { 1478, 1, 1, 1, 3937 },
-  { 1608, 1, 1, 1, 3937 },
-  { 1738, 1, 1, 1, 3937 },
-  { 1860, 1, 1, 1, 3937 },
-  { 82, 1, 1, 1, 3937 },
-  { 295, 1, 1, 1, 3937 },
-  { 508, 1, 1, 1, 3937 },
-  { 674, 1, 1, 1, 3937 },
-  { 832, 1, 1, 1, 3937 },
-  { 1395, 1, 1, 1, 3937 },
-  { 1525, 1, 1, 1, 3937 },
-  { 1655, 1, 1, 1, 3937 },
-  { 1785, 1, 1, 1, 3937 },
-  { 1923, 1, 1, 1, 3937 },
-  { 142, 1, 1, 1, 3937 },
-  { 355, 1, 1, 1, 3937 },
-  { 176, 1, 100, 1, 3937 },
-  { 389, 1, 100, 1, 3937 },
-  { 184, 1, 230, 1, 1794 },
+  { 196, 1, 1, 1, 3921 },
+  { 409, 1, 1, 1, 3921 },
+  { 578, 1, 1, 1, 3921 },
+  { 736, 1, 1, 1, 3921 },
+  { 1299, 1, 1, 1, 3921 },
+  { 1433, 1, 1, 1, 3921 },
+  { 1563, 1, 1, 1, 3921 },
+  { 1693, 1, 1, 1, 3921 },
+  { 1818, 1, 1, 1, 3921 },
+  { 1956, 1, 1, 1, 3921 },
+  { 35, 1, 1, 1, 3921 },
+  { 248, 1, 1, 1, 3921 },
+  { 461, 1, 1, 1, 3921 },
+  { 627, 1, 1, 1, 3921 },
+  { 785, 1, 1, 1, 3921 },
+  { 1348, 1, 1, 1, 3921 },
+  { 1478, 1, 1, 1, 3921 },
+  { 1608, 1, 1, 1, 3921 },
+  { 1738, 1, 1, 1, 3921 },
+  { 1860, 1, 1, 1, 3921 },
+  { 82, 1, 1, 1, 3921 },
+  { 295, 1, 1, 1, 3921 },
+  { 508, 1, 1, 1, 3921 },
+  { 674, 1, 1, 1, 3921 },
+  { 832, 1, 1, 1, 3921 },
+  { 1395, 1, 1, 1, 3921 },
+  { 1525, 1, 1, 1, 3921 },
+  { 1655, 1, 1, 1, 3921 },
+  { 1785, 1, 1, 1, 3921 },
+  { 1923, 1, 1, 1, 3921 },
+  { 142, 1, 1, 1, 3921 },
+  { 355, 1, 1, 1, 3921 },
+  { 176, 1, 100, 1, 3921 },
+  { 389, 1, 100, 1, 3921 },
+  { 184, 1, 229, 1, 1794 },
   { 397, 1, 126, 1, 1794 },
   { 566, 1, 126, 1, 1794 },
   { 727, 1, 126, 1, 1794 },
-  { 179, 1, 1, 1, 3905 },
-  { 392, 1, 1, 1, 3905 },
-  { 561, 1, 1, 1, 3905 },
-  { 188, 1, 1, 1, 3905 },
-  { 401, 1, 1, 1, 3905 },
-  { 570, 1, 1, 1, 3905 },
+  { 179, 1, 1, 1, 3889 },
+  { 392, 1, 1, 1, 3889 },
+  { 561, 1, 1, 1, 3889 },
+  { 188, 1, 1, 1, 3889 },
+  { 401, 1, 1, 1, 3889 },
+  { 570, 1, 1, 1, 3889 },
   { 1239, 124, 1, 0, 1248 },
-  { 201, 1, 98, 1, 3873 },
-  { 414, 1, 98, 1, 3873 },
-  { 583, 1, 98, 1, 3873 },
-  { 741, 1, 98, 1, 3873 },
-  { 1304, 1, 98, 1, 3873 },
-  { 1438, 1, 98, 1, 3873 },
-  { 1568, 1, 98, 1, 3873 },
-  { 1698, 1, 98, 1, 3873 },
+  { 201, 1, 98, 1, 3857 },
+  { 414, 1, 98, 1, 3857 },
+  { 583, 1, 98, 1, 3857 },
+  { 741, 1, 98, 1, 3857 },
+  { 1304, 1, 98, 1, 3857 },
+  { 1438, 1, 98, 1, 3857 },
+  { 1568, 1, 98, 1, 3857 },
+  { 1698, 1, 98, 1, 3857 },
   { 1265, 122, 1, 0, 1280 },
-  { 204, 1, 96, 1, 3841 },
-  { 417, 1, 96, 1, 3841 },
-  { 586, 1, 96, 1, 3841 },
-  { 744, 1, 96, 1, 3841 },
-  { 1307, 1, 96, 1, 3841 },
-  { 1441, 1, 96, 1, 3841 },
-  { 1571, 1, 96, 1, 3841 },
-  { 1701, 1, 96, 1, 3841 },
-  { 1823, 1, 96, 1, 3841 },
-  { 1961, 1, 96, 1, 3841 },
-  { 207, 1, 96, 1, 3841 },
-  { 420, 1, 96, 1, 3841 },
+  { 204, 1, 96, 1, 3825 },
+  { 417, 1, 96, 1, 3825 },
+  { 586, 1, 96, 1, 3825 },
+  { 744, 1, 96, 1, 3825 },
+  { 1307, 1, 96, 1, 3825 },
+  { 1441, 1, 96, 1, 3825 },
+  { 1571, 1, 96, 1, 3825 },
+  { 1701, 1, 96, 1, 3825 },
+  { 1823, 1, 96, 1, 3825 },
+  { 1961, 1, 96, 1, 3825 },
+  { 207, 1, 96, 1, 3825 },
+  { 420, 1, 96, 1, 3825 },
   { 210, 92, 1, 8, 1425 },
   { 423, 92, 1, 8, 1425 },
   { 589, 92, 1, 8, 1425 },
@@ -918,73 +935,72 @@
   { 997, 118, 1, 0, 1921 },
   { 1035, 118, 1, 0, 1921 },
   { 875, 130, 1, 12, 656 },
-  { 882, 93, 157, 9, 1377 },
-  { 953, 93, 157, 9, 1377 },
-  { 1003, 93, 157, 9, 1377 },
-  { 1041, 93, 157, 9, 1377 },
-  { 1073, 93, 157, 9, 1377 },
-  { 1105, 93, 157, 9, 1377 },
-  { 1137, 93, 157, 9, 1377 },
-  { 1169, 93, 157, 9, 1377 },
-  { 1201, 93, 157, 9, 1377 },
-  { 1227, 93, 157, 9, 1377 },
-  { 848, 93, 157, 9, 1377 },
-  { 926, 93, 157, 9, 1377 },
-  { 983, 93, 157, 9, 1377 },
-  { 1021, 93, 157, 9, 1377 },
-  { 1059, 93, 157, 9, 1377 },
-  { 1091, 93, 157, 9, 1377 },
-  { 1123, 93, 157, 9, 1377 },
-  { 1155, 93, 157, 9, 1377 },
-  { 1187, 93, 157, 9, 1377 },
-  { 1213, 93, 157, 9, 1377 },
-  { 855, 93, 157, 9, 1377 },
-  { 933, 93, 157, 9, 1377 },
-  { 990, 93, 157, 9, 1377 },
-  { 1028, 93, 157, 9, 1377 },
-  { 1066, 93, 157, 9, 1377 },
-  { 1098, 93, 157, 9, 1377 },
-  { 1130, 93, 157, 9, 1377 },
-  { 1162, 93, 157, 9, 1377 },
-  { 1194, 93, 157, 9, 1377 },
-  { 1220, 93, 157, 9, 1377 },
-  { 862, 93, 157, 9, 1377 },
-  { 940, 93, 157, 9, 1377 },
+  { 882, 93, 159, 9, 1377 },
+  { 953, 93, 159, 9, 1377 },
+  { 1003, 93, 159, 9, 1377 },
+  { 1041, 93, 159, 9, 1377 },
+  { 1073, 93, 159, 9, 1377 },
+  { 1105, 93, 159, 9, 1377 },
+  { 1137, 93, 159, 9, 1377 },
+  { 1169, 93, 159, 9, 1377 },
+  { 1201, 93, 159, 9, 1377 },
+  { 1227, 93, 159, 9, 1377 },
+  { 848, 93, 159, 9, 1377 },
+  { 926, 93, 159, 9, 1377 },
+  { 983, 93, 159, 9, 1377 },
+  { 1021, 93, 159, 9, 1377 },
+  { 1059, 93, 159, 9, 1377 },
+  { 1091, 93, 159, 9, 1377 },
+  { 1123, 93, 159, 9, 1377 },
+  { 1155, 93, 159, 9, 1377 },
+  { 1187, 93, 159, 9, 1377 },
+  { 1213, 93, 159, 9, 1377 },
+  { 855, 93, 159, 9, 1377 },
+  { 933, 93, 159, 9, 1377 },
+  { 990, 93, 159, 9, 1377 },
+  { 1028, 93, 159, 9, 1377 },
+  { 1066, 93, 159, 9, 1377 },
+  { 1098, 93, 159, 9, 1377 },
+  { 1130, 93, 159, 9, 1377 },
+  { 1162, 93, 159, 9, 1377 },
+  { 1194, 93, 159, 9, 1377 },
+  { 1220, 93, 159, 9, 1377 },
+  { 862, 93, 159, 9, 1377 },
+  { 940, 93, 159, 9, 1377 },
   { 1870, 1, 116, 1, 1120 },
-  { 888, 138, 236, 0, 1344 },
-  { 895, 150, 1, 0, 2241 },
-  { 959, 150, 1, 0, 2241 },
-  { 901, 150, 232, 0, 1312 },
-  { 908, 152, 1, 0, 2273 },
-  { 965, 152, 1, 0, 2273 },
-  { 1009, 152, 1, 0, 2273 },
-  { 1047, 152, 1, 0, 2273 },
-  { 1079, 152, 1, 0, 2273 },
-  { 1111, 152, 1, 0, 2273 },
-  { 1143, 152, 1, 0, 2273 },
-  { 1175, 152, 1, 0, 2273 },
-  { 914, 154, 1, 0, 2273 },
-  { 971, 154, 1, 0, 2273 },
-  { 1015, 154, 1, 0, 2273 },
-  { 1053, 154, 1, 0, 2273 },
-  { 1085, 154, 1, 0, 2273 },
-  { 1117, 154, 1, 0, 2273 },
-  { 1149, 154, 1, 0, 2273 },
-  { 1181, 154, 1, 0, 2273 },
-  { 1207, 154, 1, 0, 2273 },
-  { 1233, 154, 1, 0, 2273 },
-  { 920, 154, 1, 0, 2273 },
-  { 977, 154, 1, 0, 2273 },
+  { 888, 138, 235, 0, 1344 },
+  { 895, 152, 1, 0, 2241 },
+  { 959, 152, 1, 0, 2241 },
+  { 901, 152, 231, 0, 1312 },
+  { 908, 154, 1, 0, 2273 },
+  { 965, 154, 1, 0, 2273 },
+  { 1009, 154, 1, 0, 2273 },
+  { 1047, 154, 1, 0, 2273 },
+  { 1079, 154, 1, 0, 2273 },
+  { 1111, 154, 1, 0, 2273 },
+  { 1143, 154, 1, 0, 2273 },
+  { 1175, 154, 1, 0, 2273 },
+  { 914, 156, 1, 0, 2273 },
+  { 971, 156, 1, 0, 2273 },
+  { 1015, 156, 1, 0, 2273 },
+  { 1053, 156, 1, 0, 2273 },
+  { 1085, 156, 1, 0, 2273 },
+  { 1117, 156, 1, 0, 2273 },
+  { 1149, 156, 1, 0, 2273 },
+  { 1181, 156, 1, 0, 2273 },
+  { 1207, 156, 1, 0, 2273 },
+  { 1233, 156, 1, 0, 2273 },
+  { 920, 156, 1, 0, 2273 },
+  { 977, 156, 1, 0, 2273 },
 };
 
-  // OddSP Register Class...
   static MCPhysReg OddSP[] = {
     Mips_F1, Mips_F3, Mips_F5, Mips_F7, Mips_F9, Mips_F11, Mips_F13, Mips_F15, Mips_F17, Mips_F19, Mips_F21, Mips_F23, Mips_F25, Mips_F27, Mips_F29, Mips_F31, Mips_F_HI1, Mips_F_HI3, Mips_F_HI5, Mips_F_HI7, Mips_F_HI9, Mips_F_HI11, Mips_F_HI13, Mips_F_HI15, Mips_F_HI17, Mips_F_HI19, Mips_F_HI21, Mips_F_HI23, Mips_F_HI25, Mips_F_HI27, Mips_F_HI29, Mips_F_HI31, Mips_D1, Mips_D3, Mips_D5, Mips_D7, Mips_D9, Mips_D11, Mips_D13, Mips_D15, Mips_D1_64, Mips_D3_64, Mips_D5_64, Mips_D7_64, Mips_D9_64, Mips_D11_64, Mips_D13_64, Mips_D15_64, Mips_D17_64, Mips_D19_64, Mips_D21_64, Mips_D23_64, Mips_D25_64, Mips_D27_64, Mips_D29_64, Mips_D31_64, 
   };
 
   // OddSP Bit set.
   static uint8_t OddSPBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x55, 0x50, 0x55, 0x55, 0x55, 0x05, 0x00, 0x00, 0x00, 0x00, 0xa0, 0xaa, 0xaa, 0xaa, 0x0a, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54, 0x55, 0x55, 0x55, 0x01, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x55, 0x50, 0x55, 0x55, 0x55, 0x05, 0x00, 0x00, 0x00, 0x00, 0xa0, 0xaa, 0xaa, 0xaa, 0x0a, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54, 0x55, 0x55, 0x55, 0x01, 
   };
 
   // CCR Register Class...
@@ -994,7 +1010,7 @@
 
   // CCR Bit set.
   static uint8_t CCRBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // COP2 Register Class...
@@ -1004,7 +1020,7 @@
 
   // COP2 Bit set.
   static uint8_t COP2Bits[] = {
-    0x00, 0x00, 0x00, 0x80, 0xff, 0x01, 0xf8, 0xff, 0xff, 0x01, 
+    0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0x01, 0xf8, 0xff, 0xff, 0x01, 
   };
 
   // COP3 Register Class...
@@ -1014,7 +1030,7 @@
 
   // COP3 Bit set.
   static uint8_t COP3Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0x07, 0x00, 0x00, 0xfe, 0xff, 0x7f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0x07, 0x00, 0x00, 0xfe, 0xff, 0x7f, 
   };
 
   // DSPR Register Class...
@@ -1024,7 +1040,7 @@
 
   // DSPR Bit set.
   static uint8_t DSPRBits[] = {
-    0x02, 0x03, 0xf8, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x06, 0xc0, 0xbf, 0xff, 0x07, 
+    0x02, 0x03, 0xf8, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x06, 0xc0, 0xbf, 0xff, 0x07, 
   };
 
   // FGR32 Register Class...
@@ -1034,7 +1050,7 @@
 
   // FGR32 Bit set.
   static uint8_t FGR32Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // FGRCC Register Class...
@@ -1044,7 +1060,7 @@
 
   // FGRCC Bit set.
   static uint8_t FGRCCBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // FGRH32 Register Class...
@@ -1054,7 +1070,7 @@
 
   // FGRH32 Bit set.
   static uint8_t FGRH32Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
   };
 
   // GPR32 Register Class...
@@ -1064,7 +1080,7 @@
 
   // GPR32 Bit set.
   static uint8_t GPR32Bits[] = {
-    0x02, 0x03, 0xf8, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x06, 0xc0, 0xbf, 0xff, 0x07, 
+    0x02, 0x03, 0xf8, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x06, 0xc0, 0xbf, 0xff, 0x07, 
   };
 
   // HWRegs Register Class...
@@ -1074,7 +1090,7 @@
 
   // HWRegs Bit set.
   static uint8_t HWRegsBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0xff, 0xff, 0x01, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0xff, 0xff, 0x01, 
   };
 
   // OddSP_with_sub_hi Register Class...
@@ -1084,7 +1100,7 @@
 
   // OddSP_with_sub_hi Bit set.
   static uint8_t OddSP_with_sub_hiBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x55, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54, 0x55, 0x55, 0x55, 0x01, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x55, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54, 0x55, 0x55, 0x55, 0x01, 
   };
 
   // FGR32_and_OddSP Register Class...
@@ -1094,7 +1110,7 @@
 
   // FGR32_and_OddSP Bit set.
   static uint8_t FGR32_and_OddSPBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x50, 0x55, 0x55, 0x55, 0x05, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x50, 0x55, 0x55, 0x55, 0x05, 
   };
 
   // FGRH32_and_OddSP Register Class...
@@ -1104,7 +1120,7 @@
 
   // FGRH32_and_OddSP Bit set.
   static uint8_t FGRH32_and_OddSPBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xa0, 0xaa, 0xaa, 0xaa, 0x0a, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xa0, 0xaa, 0xaa, 0xaa, 0x0a, 
   };
 
   // OddSP_with_sub_hi_with_sub_hi_in_FGRH32 Register Class...
@@ -1114,7 +1130,7 @@
 
   // OddSP_with_sub_hi_with_sub_hi_in_FGRH32 Bit set.
   static uint8_t OddSP_with_sub_hi_with_sub_hi_in_FGRH32Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54, 0x55, 0x55, 0x55, 0x01, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54, 0x55, 0x55, 0x55, 0x01, 
   };
 
   // CPU16RegsPlusSP Register Class...
@@ -1124,7 +1140,17 @@
 
   // CPU16RegsPlusSP Bit set.
   static uint8_t CPU16RegsPlusSPBits[] = {
-    0x00, 0x00, 0xd0, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x06, 
+    0x00, 0x00, 0xd0, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x06, 
+  };
+
+  // CC Register Class...
+  static MCPhysReg CC[] = {
+    Mips_CC0, Mips_CC1, Mips_CC2, Mips_CC3, Mips_CC4, Mips_CC5, Mips_CC6, Mips_CC7, 
+  };
+
+  // CC Bit set.
+  static uint8_t CCBits[] = {
+    0x00, 0x00, 0x00, 0x80, 0x7f, 
   };
 
   // CPU16Regs Register Class...
@@ -1134,7 +1160,7 @@
 
   // CPU16Regs Bit set.
   static uint8_t CPU16RegsBits[] = {
-    0x00, 0x00, 0xc0, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x06, 
+    0x00, 0x00, 0xc0, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x06, 
   };
 
   // FCC Register Class...
@@ -1144,7 +1170,7 @@
 
   // FCC Bit set.
   static uint8_t FCCBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x07, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x07, 
   };
 
   // MSACtrl Register Class...
@@ -1164,7 +1190,7 @@
 
   // OddSP_with_sub_hi_with_sub_hi_in_FGR32 Bit set.
   static uint8_t OddSP_with_sub_hi_with_sub_hi_in_FGR32Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x55, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x55, 
   };
 
   // HI32DSP Register Class...
@@ -1174,7 +1200,7 @@
 
   // HI32DSP Bit set.
   static uint8_t HI32DSPBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0x01, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0x01, 
   };
 
   // LO32DSP Register Class...
@@ -1184,7 +1210,7 @@
 
   // LO32DSP Bit set.
   static uint8_t LO32DSPBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x78, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x78, 
   };
 
   // CPURAReg Register Class...
@@ -1224,7 +1250,7 @@
 
   // HI32 Bit set.
   static uint8_t HI32Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 
   };
 
   // LO32 Register Class...
@@ -1234,7 +1260,7 @@
 
   // LO32 Bit set.
   static uint8_t LO32Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x08, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x08, 
   };
 
   // FGR64 Register Class...
@@ -1244,7 +1270,7 @@
 
   // FGR64 Bit set.
   static uint8_t FGR64Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0xff, 0xff, 0x01, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0xff, 0xff, 0x01, 
   };
 
   // GPR64 Register Class...
@@ -1254,7 +1280,7 @@
 
   // GPR64 Bit set.
   static uint8_t GPR64Bits[] = {
-    0x00, 0x00, 0x00, 0x40, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x08, 0x00, 0x00, 0x00, 0x10, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 0x40, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x00, 0x00, 0x00, 0x00, 0xd8, 0xff, 0xff, 0x03, 
+    0x00, 0x00, 0x00, 0x40, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x08, 0x00, 0x00, 0x00, 0x10, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 0x40, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x00, 0x00, 0x00, 0x00, 0xd8, 0xff, 0xff, 0x03, 
   };
 
   // AFGR64 Register Class...
@@ -1264,7 +1290,7 @@
 
   // AFGR64 Bit set.
   static uint8_t AFGR64Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0x7f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0x7f, 
   };
 
   // FGR64_and_OddSP Register Class...
@@ -1274,7 +1300,7 @@
 
   // FGR64_and_OddSP Bit set.
   static uint8_t FGR64_and_OddSPBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54, 0x55, 0x55, 0x55, 0x01, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54, 0x55, 0x55, 0x55, 0x01, 
   };
 
   // GPR64_with_sub_32_in_CPU16RegsPlusSP Register Class...
@@ -1284,7 +1310,7 @@
 
   // GPR64_with_sub_32_in_CPU16RegsPlusSP Bit set.
   static uint8_t GPR64_with_sub_32_in_CPU16RegsPlusSPBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x40, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x03, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x40, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x03, 
   };
 
   // AFGR64_and_OddSP Register Class...
@@ -1294,7 +1320,7 @@
 
   // AFGR64_and_OddSP Bit set.
   static uint8_t AFGR64_and_OddSPBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x55, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x55, 
   };
 
   // GPR64_with_sub_32_in_CPU16Regs Register Class...
@@ -1304,7 +1330,7 @@
 
   // GPR64_with_sub_32_in_CPU16Regs Bit set.
   static uint8_t GPR64_with_sub_32_in_CPU16RegsBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x03, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x03, 
   };
 
   // ACC64DSP Register Class...
@@ -1324,7 +1350,7 @@
 
   // OCTEON_MPL Bit set.
   static uint8_t OCTEON_MPLBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0x03, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0x03, 
   };
 
   // OCTEON_P Register Class...
@@ -1334,7 +1360,7 @@
 
   // OCTEON_P Bit set.
   static uint8_t OCTEON_PBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x1c, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x1c, 
   };
 
   // ACC64 Register Class...
@@ -1354,7 +1380,7 @@
 
   // GPR64_with_sub_32_in_CPURAReg Bit set.
   static uint8_t GPR64_with_sub_32_in_CPURARegBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 
   };
 
   // GPR64_with_sub_32_in_CPUSPReg Register Class...
@@ -1364,7 +1390,7 @@
 
   // GPR64_with_sub_32_in_CPUSPReg Bit set.
   static uint8_t GPR64_with_sub_32_in_CPUSPRegBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x40, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x40, 
   };
 
   // HI64 Register Class...
@@ -1374,7 +1400,7 @@
 
   // HI64 Bit set.
   static uint8_t HI64Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x04, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x04, 
   };
 
   // LO64 Register Class...
@@ -1384,7 +1410,7 @@
 
   // LO64 Bit set.
   static uint8_t LO64Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 
   };
 
   // MSA128B Register Class...
@@ -1394,7 +1420,7 @@
 
   // MSA128B Bit set.
   static uint8_t MSA128BBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // MSA128D Register Class...
@@ -1404,7 +1430,7 @@
 
   // MSA128D Bit set.
   static uint8_t MSA128DBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // MSA128H Register Class...
@@ -1414,7 +1440,7 @@
 
   // MSA128H Bit set.
   static uint8_t MSA128HBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // MSA128W Register Class...
@@ -1424,7 +1450,7 @@
 
   // MSA128W Bit set.
   static uint8_t MSA128WBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // MSA128B_with_sub_64_in_OddSP Register Class...
@@ -1434,7 +1460,7 @@
 
   // MSA128B_with_sub_64_in_OddSP Bit set.
   static uint8_t MSA128B_with_sub_64_in_OddSPBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x50, 0x55, 0x55, 0x55, 0x05, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x50, 0x55, 0x55, 0x55, 0x05, 
   };
 
   // ACC128 Register Class...
@@ -1444,7 +1470,7 @@
 
   // ACC128 Bit set.
   static uint8_t ACC128Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x01, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x01, 
   };
 
 static MCRegisterClass MipsMCRegisterClasses[] = {
@@ -1463,6 +1489,7 @@
   { "FGRH32_and_OddSP", FGRH32_and_OddSP, FGRH32_and_OddSPBits, 16, sizeof(FGRH32_and_OddSPBits), Mips_FGRH32_and_OddSPRegClassID, 4, 4, 1, 0 },
   { "OddSP_with_sub_hi_with_sub_hi_in_FGRH32", OddSP_with_sub_hi_with_sub_hi_in_FGRH32, OddSP_with_sub_hi_with_sub_hi_in_FGRH32Bits, 16, sizeof(OddSP_with_sub_hi_with_sub_hi_in_FGRH32Bits), Mips_OddSP_with_sub_hi_with_sub_hi_in_FGRH32RegClassID, 4, 4, 1, 0 },
   { "CPU16RegsPlusSP", CPU16RegsPlusSP, CPU16RegsPlusSPBits, 9, sizeof(CPU16RegsPlusSPBits), Mips_CPU16RegsPlusSPRegClassID, 4, 4, 1, 1 },
+  { "CC", CC, CCBits, 8, sizeof(CCBits), Mips_CCRegClassID, 4, 4, 1, 0 },
   { "CPU16Regs", CPU16Regs, CPU16RegsBits, 8, sizeof(CPU16RegsBits), Mips_CPU16RegsRegClassID, 4, 4, 1, 1 },
   { "FCC", FCC, FCCBits, 8, sizeof(FCCBits), Mips_FCCRegClassID, 4, 4, 1, 0 },
   { "MSACtrl", MSACtrl, MSACtrlBits, 8, sizeof(MSACtrlBits), Mips_MSACtrlRegClassID, 4, 4, 1, 1 },
diff --git a/arch/Mips/MipsMapping.c b/arch/Mips/MipsMapping.c
index d80d2ea..c0744a2 100644
--- a/arch/Mips/MipsMapping.c
+++ b/arch/Mips/MipsMapping.c
@@ -99,6 +99,15 @@
 	{ MIPS_REG_AC2, "ac2"},
 	{ MIPS_REG_AC3, "ac3"},
 
+	{ MIPS_REG_CC0, "cc0"},
+	{ MIPS_REG_CC1, "cc1"},
+	{ MIPS_REG_CC2, "cc2"},
+	{ MIPS_REG_CC3, "cc3"},
+	{ MIPS_REG_CC4, "cc4"},
+	{ MIPS_REG_CC5, "cc5"},
+	{ MIPS_REG_CC6, "cc6"},
+	{ MIPS_REG_CC7, "cc7"},
+
 	{ MIPS_REG_F0, "f0"},
 	{ MIPS_REG_F1, "f1"},
 	{ MIPS_REG_F2, "f2"},
@@ -814,6 +823,30 @@
 #endif
 	},
 	{
+		Mips_BC0F, MIPS_INS_BC0F,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BC0FL, MIPS_INS_BC0FL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BC0T, MIPS_INS_BC0T,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BC0TL, MIPS_INS_BC0TL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BC1EQZ, MIPS_INS_BC1EQZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
@@ -826,6 +859,12 @@
 #endif
 	},
 	{
+		Mips_BC1FL, MIPS_INS_BC1FL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BC1F_MM, MIPS_INS_BC1F,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
@@ -844,6 +883,12 @@
 #endif
 	},
 	{
+		Mips_BC1TL, MIPS_INS_BC1TL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BC1T_MM, MIPS_INS_BC1T,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
@@ -856,12 +901,60 @@
 #endif
 	},
 	{
+		Mips_BC2F, MIPS_INS_BC2F,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BC2FL, MIPS_INS_BC2FL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BC2NEZ, MIPS_INS_BC2NEZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
 #endif
 	},
 	{
+		Mips_BC2T, MIPS_INS_BC2T,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BC2TL, MIPS_INS_BC2TL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BC3F, MIPS_INS_BC3F,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BC3FL, MIPS_INS_BC3FL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BC3T, MIPS_INS_BC3T,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BC3TL, MIPS_INS_BC3TL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BCLRI_B, MIPS_INS_BCLRI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -928,6 +1021,12 @@
 #endif
 	},
 	{
+		Mips_BEQL, MIPS_INS_BEQL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BEQZALC, MIPS_INS_BEQZALC,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
@@ -940,6 +1039,12 @@
 #endif
 	},
 	{
+		Mips_BEQZC_MM, MIPS_INS_BEQZC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BEQ_MM, MIPS_INS_BEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
@@ -982,6 +1087,18 @@
 #endif
 	},
 	{
+		Mips_BGEZALL, MIPS_INS_BGEZALL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BGEZALS_MM, MIPS_INS_BGEZALS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_BGEZAL_MM, MIPS_INS_BGEZAL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
@@ -994,6 +1111,12 @@
 #endif
 	},
 	{
+		Mips_BGEZL, MIPS_INS_BGEZL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BGEZ_MM, MIPS_INS_BGEZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
@@ -1024,6 +1147,12 @@
 #endif
 	},
 	{
+		Mips_BGTZL, MIPS_INS_BGTZL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BGTZ_MM, MIPS_INS_BGTZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
@@ -1162,6 +1291,12 @@
 #endif
 	},
 	{
+		Mips_BLEZL, MIPS_INS_BLEZL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BLEZ_MM, MIPS_INS_BLEZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
@@ -1204,6 +1339,18 @@
 #endif
 	},
 	{
+		Mips_BLTZALL, MIPS_INS_BLTZALL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BLTZALS_MM, MIPS_INS_BLTZALS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_BLTZAL_MM, MIPS_INS_BLTZAL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
@@ -1216,6 +1363,12 @@
 #endif
 	},
 	{
+		Mips_BLTZL, MIPS_INS_BLTZL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BLTZ_MM, MIPS_INS_BLTZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
@@ -1312,6 +1465,12 @@
 #endif
 	},
 	{
+		Mips_BNEL, MIPS_INS_BNEL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BNEZALC, MIPS_INS_BNEZALC,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
@@ -1324,6 +1483,12 @@
 #endif
 	},
 	{
+		Mips_BNEZC_MM, MIPS_INS_BNEZC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BNE_MM, MIPS_INS_BNE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
@@ -4672,6 +4837,12 @@
 #endif
 	},
 	{
+		Mips_JALRS_MM, MIPS_INS_JALRS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_JALR_HB, MIPS_INS_JALR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, 0 }, 0, 1
@@ -4684,6 +4855,12 @@
 #endif
 	},
 	{
+		Mips_JALS_MM, MIPS_INS_JALS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_JALX, MIPS_INS_JALX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
@@ -4720,6 +4897,12 @@
 #endif
 	},
 	{
+		Mips_JRADDIUSP, MIPS_INS_JRADDIUSP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 1
+#endif
+	},
+	{
 		Mips_JR_HB, MIPS_INS_JR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 1
@@ -8652,7 +8835,7 @@
 	{
 		Mips_TEQ, MIPS_INS_TEQ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -8676,7 +8859,7 @@
 	{
 		Mips_TGE, MIPS_INS_TGE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -8706,7 +8889,7 @@
 	{
 		Mips_TGEU, MIPS_INS_TGEU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -8728,27 +8911,51 @@
 #endif
 	},
 	{
+		Mips_TLBP_MM, MIPS_INS_TLBP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_TLBR, MIPS_INS_TLBR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_TLBR_MM, MIPS_INS_TLBR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_TLBWI, MIPS_INS_TLBWI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_TLBWI_MM, MIPS_INS_TLBWI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_TLBWR, MIPS_INS_TLBWR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_TLBWR_MM, MIPS_INS_TLBWR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_TLT, MIPS_INS_TLT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -8772,7 +8979,7 @@
 	{
 		Mips_TLTU, MIPS_INS_TLTU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -8790,7 +8997,7 @@
 	{
 		Mips_TNE, MIPS_INS_TNE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -9114,16 +9321,31 @@
 	{ MIPS_INS_BALC, "balc" },
 	{ MIPS_INS_BALIGN, "balign" },
 	{ MIPS_INS_BC, "bc" },
+	{ MIPS_INS_BC0F, "bc0f" },
+	{ MIPS_INS_BC0FL, "bc0fl" },
+	{ MIPS_INS_BC0T, "bc0t" },
+	{ MIPS_INS_BC0TL, "bc0tl" },
 	{ MIPS_INS_BC1EQZ, "bc1eqz" },
 	{ MIPS_INS_BC1F, "bc1f" },
+	{ MIPS_INS_BC1FL, "bc1fl" },
 	{ MIPS_INS_BC1NEZ, "bc1nez" },
 	{ MIPS_INS_BC1T, "bc1t" },
+	{ MIPS_INS_BC1TL, "bc1tl" },
 	{ MIPS_INS_BC2EQZ, "bc2eqz" },
+	{ MIPS_INS_BC2F, "bc2f" },
+	{ MIPS_INS_BC2FL, "bc2fl" },
 	{ MIPS_INS_BC2NEZ, "bc2nez" },
+	{ MIPS_INS_BC2T, "bc2t" },
+	{ MIPS_INS_BC2TL, "bc2tl" },
+	{ MIPS_INS_BC3F, "bc3f" },
+	{ MIPS_INS_BC3FL, "bc3fl" },
+	{ MIPS_INS_BC3T, "bc3t" },
+	{ MIPS_INS_BC3TL, "bc3tl" },
 	{ MIPS_INS_BCLRI, "bclri" },
 	{ MIPS_INS_BCLR, "bclr" },
 	{ MIPS_INS_BEQ, "beq" },
 	{ MIPS_INS_BEQC, "beqc" },
+	{ MIPS_INS_BEQL, "beql" },
 	{ MIPS_INS_BEQZALC, "beqzalc" },
 	{ MIPS_INS_BEQZC, "beqzc" },
 	{ MIPS_INS_BGEC, "bgec" },
@@ -9131,10 +9353,14 @@
 	{ MIPS_INS_BGEZ, "bgez" },
 	{ MIPS_INS_BGEZAL, "bgezal" },
 	{ MIPS_INS_BGEZALC, "bgezalc" },
+	{ MIPS_INS_BGEZALL, "bgezall" },
+	{ MIPS_INS_BGEZALS, "bgezals" },
 	{ MIPS_INS_BGEZC, "bgezc" },
+	{ MIPS_INS_BGEZL, "bgezl" },
 	{ MIPS_INS_BGTZ, "bgtz" },
 	{ MIPS_INS_BGTZALC, "bgtzalc" },
 	{ MIPS_INS_BGTZC, "bgtzc" },
+	{ MIPS_INS_BGTZL, "bgtzl" },
 	{ MIPS_INS_BINSLI, "binsli" },
 	{ MIPS_INS_BINSL, "binsl" },
 	{ MIPS_INS_BINSRI, "binsri" },
@@ -9144,12 +9370,16 @@
 	{ MIPS_INS_BLEZ, "blez" },
 	{ MIPS_INS_BLEZALC, "blezalc" },
 	{ MIPS_INS_BLEZC, "blezc" },
+	{ MIPS_INS_BLEZL, "blezl" },
 	{ MIPS_INS_BLTC, "bltc" },
 	{ MIPS_INS_BLTUC, "bltuc" },
 	{ MIPS_INS_BLTZ, "bltz" },
 	{ MIPS_INS_BLTZAL, "bltzal" },
 	{ MIPS_INS_BLTZALC, "bltzalc" },
+	{ MIPS_INS_BLTZALL, "bltzall" },
+	{ MIPS_INS_BLTZALS, "bltzals" },
 	{ MIPS_INS_BLTZC, "bltzc" },
+	{ MIPS_INS_BLTZL, "bltzl" },
 	{ MIPS_INS_BMNZI, "bmnzi" },
 	{ MIPS_INS_BMNZ, "bmnz" },
 	{ MIPS_INS_BMZI, "bmzi" },
@@ -9158,6 +9388,7 @@
 	{ MIPS_INS_BNEC, "bnec" },
 	{ MIPS_INS_BNEGI, "bnegi" },
 	{ MIPS_INS_BNEG, "bneg" },
+	{ MIPS_INS_BNEL, "bnel" },
 	{ MIPS_INS_BNEZALC, "bnezalc" },
 	{ MIPS_INS_BNEZC, "bnezc" },
 	{ MIPS_INS_BNVC, "bnvc" },
@@ -9374,10 +9605,13 @@
 	{ MIPS_INS_J, "j" },
 	{ MIPS_INS_JAL, "jal" },
 	{ MIPS_INS_JALR, "jalr" },
+	{ MIPS_INS_JALRS, "jalrs" },
+	{ MIPS_INS_JALS, "jals" },
 	{ MIPS_INS_JALX, "jalx" },
 	{ MIPS_INS_JIALC, "jialc" },
 	{ MIPS_INS_JIC, "jic" },
 	{ MIPS_INS_JR, "jr" },
+	{ MIPS_INS_JRADDIUSP, "jraddiusp" },
 	{ MIPS_INS_JRC, "jrc" },
 	{ MIPS_INS_JALRC, "jalrc" },
 	{ MIPS_INS_LB, "lb" },
@@ -9753,78 +9987,81 @@
 		MIPS_REG_3, MIPS_REG_7, MIPS_REG_PC, MIPS_REG_RA, MIPS_REG_SP,
 		MIPS_REG_ZERO, MIPS_REG_A0, MIPS_REG_A1, MIPS_REG_A2, MIPS_REG_A3,
 		MIPS_REG_AC0, MIPS_REG_AC1, MIPS_REG_AC2, MIPS_REG_AC3, MIPS_REG_AT,
-		MIPS_REG_0, MIPS_REG_1, MIPS_REG_2, MIPS_REG_3, MIPS_REG_4,
-		MIPS_REG_5, MIPS_REG_6, MIPS_REG_7, MIPS_REG_8, MIPS_REG_9,
-		MIPS_REG_0, MIPS_REG_1, MIPS_REG_2, MIPS_REG_3, MIPS_REG_4,
-		MIPS_REG_5, MIPS_REG_6, MIPS_REG_7, MIPS_REG_8, MIPS_REG_9,
+		MIPS_REG_CC0, MIPS_REG_CC1, MIPS_REG_CC2, MIPS_REG_CC3, MIPS_REG_CC4,
+		MIPS_REG_CC5, MIPS_REG_CC6, MIPS_REG_CC7, MIPS_REG_0, MIPS_REG_1,
+		MIPS_REG_2, MIPS_REG_3, MIPS_REG_4, MIPS_REG_5, MIPS_REG_6,
+		MIPS_REG_7, MIPS_REG_8, MIPS_REG_9, MIPS_REG_0, MIPS_REG_1,
+		MIPS_REG_2, MIPS_REG_3, MIPS_REG_4, MIPS_REG_5, MIPS_REG_6,
+		MIPS_REG_7, MIPS_REG_8, MIPS_REG_9, MIPS_REG_10, MIPS_REG_11,
+		MIPS_REG_12, MIPS_REG_13, MIPS_REG_14, MIPS_REG_15, MIPS_REG_16,
+		MIPS_REG_17, MIPS_REG_18, MIPS_REG_19, MIPS_REG_20, MIPS_REG_21,
+		MIPS_REG_22, MIPS_REG_23, MIPS_REG_24, MIPS_REG_25, MIPS_REG_26,
+		MIPS_REG_27, MIPS_REG_28, MIPS_REG_29, MIPS_REG_30, MIPS_REG_31,
 		MIPS_REG_10, MIPS_REG_11, MIPS_REG_12, MIPS_REG_13, MIPS_REG_14,
 		MIPS_REG_15, MIPS_REG_16, MIPS_REG_17, MIPS_REG_18, MIPS_REG_19,
 		MIPS_REG_20, MIPS_REG_21, MIPS_REG_22, MIPS_REG_23, MIPS_REG_24,
 		MIPS_REG_25, MIPS_REG_26, MIPS_REG_27, MIPS_REG_28, MIPS_REG_29,
-		MIPS_REG_30, MIPS_REG_31, MIPS_REG_10, MIPS_REG_11, MIPS_REG_12,
-		MIPS_REG_13, MIPS_REG_14, MIPS_REG_15, MIPS_REG_16, MIPS_REG_17,
-		MIPS_REG_18, MIPS_REG_19, MIPS_REG_20, MIPS_REG_21, MIPS_REG_22,
-		MIPS_REG_23, MIPS_REG_24, MIPS_REG_25, MIPS_REG_26, MIPS_REG_27,
-		MIPS_REG_28, MIPS_REG_29, MIPS_REG_30, MIPS_REG_31, MIPS_REG_F0,
-		MIPS_REG_F2, MIPS_REG_F4, MIPS_REG_F6, MIPS_REG_F8, MIPS_REG_F10,
-		MIPS_REG_F12, MIPS_REG_F14, MIPS_REG_F16, MIPS_REG_F18, MIPS_REG_F20,
-		MIPS_REG_F22, MIPS_REG_F24, MIPS_REG_F26, MIPS_REG_F28, MIPS_REG_F30,
-		MIPS_REG_DSPOUTFLAG20, MIPS_REG_DSPOUTFLAG21, MIPS_REG_DSPOUTFLAG22, MIPS_REG_DSPOUTFLAG23,
-		MIPS_REG_F0, MIPS_REG_F1, MIPS_REG_F2, MIPS_REG_F3, MIPS_REG_F4, MIPS_REG_F5,
-		MIPS_REG_F6, MIPS_REG_F7, MIPS_REG_F8, MIPS_REG_F9, MIPS_REG_F10,
-		MIPS_REG_F11, MIPS_REG_F12, MIPS_REG_F13, MIPS_REG_F14, MIPS_REG_F15,
-		MIPS_REG_F16, MIPS_REG_F17, MIPS_REG_F18, MIPS_REG_F19, MIPS_REG_F20,
-		MIPS_REG_F21, MIPS_REG_F22, MIPS_REG_F23, MIPS_REG_F24, MIPS_REG_F25,
-		MIPS_REG_F26, MIPS_REG_F27, MIPS_REG_F28, MIPS_REG_F29, MIPS_REG_F30,
-		MIPS_REG_F31, MIPS_REG_FCC0, MIPS_REG_FCC1, MIPS_REG_FCC2, MIPS_REG_FCC3,
-		MIPS_REG_FCC4, MIPS_REG_FCC5, MIPS_REG_FCC6, MIPS_REG_FCC7, MIPS_REG_0,
-		MIPS_REG_1, MIPS_REG_2, MIPS_REG_3, MIPS_REG_4, MIPS_REG_5,
-		MIPS_REG_6, MIPS_REG_7, MIPS_REG_8, MIPS_REG_9, MIPS_REG_10,
-		MIPS_REG_11, MIPS_REG_12, MIPS_REG_13, MIPS_REG_14, MIPS_REG_15,
-		MIPS_REG_16, MIPS_REG_17, MIPS_REG_18, MIPS_REG_19, MIPS_REG_20,
-		MIPS_REG_21, MIPS_REG_22, MIPS_REG_23, MIPS_REG_24, MIPS_REG_25,
-		MIPS_REG_26, MIPS_REG_27, MIPS_REG_28, MIPS_REG_29, MIPS_REG_30,
-		MIPS_REG_31, MIPS_REG_FP, MIPS_REG_F0, MIPS_REG_F1, MIPS_REG_F2,
+		MIPS_REG_30, MIPS_REG_31, MIPS_REG_F0, MIPS_REG_F2, MIPS_REG_F4,
+		MIPS_REG_F6, MIPS_REG_F8, MIPS_REG_F10, MIPS_REG_F12, MIPS_REG_F14,
+		MIPS_REG_F16, MIPS_REG_F18, MIPS_REG_F20, MIPS_REG_F22, MIPS_REG_F24,
+		MIPS_REG_F26, MIPS_REG_F28, MIPS_REG_F30, MIPS_REG_DSPOUTFLAG20, MIPS_REG_DSPOUTFLAG21,
+		MIPS_REG_DSPOUTFLAG22, MIPS_REG_DSPOUTFLAG23, MIPS_REG_F0, MIPS_REG_F1, MIPS_REG_F2,
 		MIPS_REG_F3, MIPS_REG_F4, MIPS_REG_F5, MIPS_REG_F6, MIPS_REG_F7,
 		MIPS_REG_F8, MIPS_REG_F9, MIPS_REG_F10, MIPS_REG_F11, MIPS_REG_F12,
 		MIPS_REG_F13, MIPS_REG_F14, MIPS_REG_F15, MIPS_REG_F16, MIPS_REG_F17,
 		MIPS_REG_F18, MIPS_REG_F19, MIPS_REG_F20, MIPS_REG_F21, MIPS_REG_F22,
 		MIPS_REG_F23, MIPS_REG_F24, MIPS_REG_F25, MIPS_REG_F26, MIPS_REG_F27,
-		MIPS_REG_F28, MIPS_REG_F29, MIPS_REG_F30, MIPS_REG_F31, MIPS_REG_GP,
-		MIPS_REG_AC0, MIPS_REG_AC1, MIPS_REG_AC2, MIPS_REG_AC3, MIPS_REG_0,
-		MIPS_REG_1, MIPS_REG_2, MIPS_REG_3, MIPS_REG_4, MIPS_REG_5,
-		MIPS_REG_6, MIPS_REG_7, MIPS_REG_8, MIPS_REG_9, MIPS_REG_10,
-		MIPS_REG_11, MIPS_REG_12, MIPS_REG_13, MIPS_REG_14, MIPS_REG_15,
-		MIPS_REG_16, MIPS_REG_17, MIPS_REG_18, MIPS_REG_19, MIPS_REG_20,
-		MIPS_REG_21, MIPS_REG_22, MIPS_REG_23, MIPS_REG_24, MIPS_REG_25,
-		MIPS_REG_26, MIPS_REG_27, MIPS_REG_28, MIPS_REG_29, MIPS_REG_30,
-		MIPS_REG_31, MIPS_REG_K0, MIPS_REG_K1, MIPS_REG_AC0, MIPS_REG_AC1,
-		MIPS_REG_AC2, MIPS_REG_AC3, MIPS_REG_MPL0, MIPS_REG_MPL1, MIPS_REG_MPL2,
-		MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, MIPS_REG_RA, MIPS_REG_S0,
-		MIPS_REG_S1, MIPS_REG_S2, MIPS_REG_S3, MIPS_REG_S4, MIPS_REG_S5,
-		MIPS_REG_S6, MIPS_REG_S7, MIPS_REG_SP, MIPS_REG_T0, MIPS_REG_T1,
-		MIPS_REG_T2, MIPS_REG_T3, MIPS_REG_T4, MIPS_REG_T5, MIPS_REG_T6,
-		MIPS_REG_T7, MIPS_REG_T8, MIPS_REG_T9, MIPS_REG_V0, MIPS_REG_V1,
-		MIPS_REG_W0, MIPS_REG_W1, MIPS_REG_W2, MIPS_REG_W3, MIPS_REG_W4,
-		MIPS_REG_W5, MIPS_REG_W6, MIPS_REG_W7, MIPS_REG_W8, MIPS_REG_W9,
-		MIPS_REG_W10, MIPS_REG_W11, MIPS_REG_W12, MIPS_REG_W13, MIPS_REG_W14,
-		MIPS_REG_W15, MIPS_REG_W16, MIPS_REG_W17, MIPS_REG_W18, MIPS_REG_W19,
-		MIPS_REG_W20, MIPS_REG_W21, MIPS_REG_W22, MIPS_REG_W23, MIPS_REG_W24,
-		MIPS_REG_W25, MIPS_REG_W26, MIPS_REG_W27, MIPS_REG_W28, MIPS_REG_W29,
-		MIPS_REG_W30, MIPS_REG_W31, MIPS_REG_ZERO, MIPS_REG_A0, MIPS_REG_A1,
-		MIPS_REG_A2, MIPS_REG_A3, MIPS_REG_AC0, MIPS_REG_F0, MIPS_REG_F1,
-		MIPS_REG_F2, MIPS_REG_F3, MIPS_REG_F4, MIPS_REG_F5, MIPS_REG_F6,
-		MIPS_REG_F7, MIPS_REG_F8, MIPS_REG_F9, MIPS_REG_F10, MIPS_REG_F11,
-		MIPS_REG_F12, MIPS_REG_F13, MIPS_REG_F14, MIPS_REG_F15, MIPS_REG_F16,
-		MIPS_REG_F17, MIPS_REG_F18, MIPS_REG_F19, MIPS_REG_F20, MIPS_REG_F21,
-		MIPS_REG_F22, MIPS_REG_F23, MIPS_REG_F24, MIPS_REG_F25, MIPS_REG_F26,
-		MIPS_REG_F27, MIPS_REG_F28, MIPS_REG_F29, MIPS_REG_F30, MIPS_REG_F31,
-		MIPS_REG_DSPOUTFLAG16_19, MIPS_REG_HI, MIPS_REG_K0, MIPS_REG_K1, MIPS_REG_LO,
-		MIPS_REG_S0, MIPS_REG_S1, MIPS_REG_S2, MIPS_REG_S3, MIPS_REG_S4,
-		MIPS_REG_S5, MIPS_REG_S6, MIPS_REG_S7, MIPS_REG_T0, MIPS_REG_T1,
-		MIPS_REG_T2, MIPS_REG_T3, MIPS_REG_T4, MIPS_REG_T5, MIPS_REG_T6,
-		MIPS_REG_T7, MIPS_REG_T8, MIPS_REG_T9, MIPS_REG_V0, MIPS_REG_V1,
+		MIPS_REG_F28, MIPS_REG_F29, MIPS_REG_F30, MIPS_REG_F31, MIPS_REG_FCC0,
+		MIPS_REG_FCC1, MIPS_REG_FCC2, MIPS_REG_FCC3, MIPS_REG_FCC4, MIPS_REG_FCC5,
+		MIPS_REG_FCC6, MIPS_REG_FCC7, MIPS_REG_0, MIPS_REG_1, MIPS_REG_2,
+		MIPS_REG_3, MIPS_REG_4, MIPS_REG_5, MIPS_REG_6, MIPS_REG_7,
+		MIPS_REG_8, MIPS_REG_9, MIPS_REG_10, MIPS_REG_11, MIPS_REG_12,
+		MIPS_REG_13, MIPS_REG_14, MIPS_REG_15, MIPS_REG_16, MIPS_REG_17,
+		MIPS_REG_18, MIPS_REG_19, MIPS_REG_20, MIPS_REG_21, MIPS_REG_22,
+		MIPS_REG_23, MIPS_REG_24, MIPS_REG_25, MIPS_REG_26, MIPS_REG_27,
+		MIPS_REG_28, MIPS_REG_29, MIPS_REG_30, MIPS_REG_31, MIPS_REG_FP,
+		MIPS_REG_F0, MIPS_REG_F1, MIPS_REG_F2, MIPS_REG_F3, MIPS_REG_F4,
+		MIPS_REG_F5, MIPS_REG_F6, MIPS_REG_F7, MIPS_REG_F8, MIPS_REG_F9,
+		MIPS_REG_F10, MIPS_REG_F11, MIPS_REG_F12, MIPS_REG_F13, MIPS_REG_F14,
+		MIPS_REG_F15, MIPS_REG_F16, MIPS_REG_F17, MIPS_REG_F18, MIPS_REG_F19,
+		MIPS_REG_F20, MIPS_REG_F21, MIPS_REG_F22, MIPS_REG_F23, MIPS_REG_F24,
+		MIPS_REG_F25, MIPS_REG_F26, MIPS_REG_F27, MIPS_REG_F28, MIPS_REG_F29,
+		MIPS_REG_F30, MIPS_REG_F31, MIPS_REG_GP, MIPS_REG_AC0, MIPS_REG_AC1,
+		MIPS_REG_AC2, MIPS_REG_AC3, MIPS_REG_0, MIPS_REG_1, MIPS_REG_2,
+		MIPS_REG_3, MIPS_REG_4, MIPS_REG_5, MIPS_REG_6, MIPS_REG_7,
+		MIPS_REG_8, MIPS_REG_9, MIPS_REG_10, MIPS_REG_11, MIPS_REG_12,
+		MIPS_REG_13, MIPS_REG_14, MIPS_REG_15, MIPS_REG_16, MIPS_REG_17,
+		MIPS_REG_18, MIPS_REG_19, MIPS_REG_20, MIPS_REG_21, MIPS_REG_22,
+		MIPS_REG_23, MIPS_REG_24, MIPS_REG_25, MIPS_REG_26, MIPS_REG_27,
+		MIPS_REG_28, MIPS_REG_29, MIPS_REG_30, MIPS_REG_31, MIPS_REG_K0,
+		MIPS_REG_K1, MIPS_REG_AC0, MIPS_REG_AC1, MIPS_REG_AC2, MIPS_REG_AC3,
+		MIPS_REG_MPL0, MIPS_REG_MPL1, MIPS_REG_MPL2, MIPS_REG_P0, MIPS_REG_P1,
+		MIPS_REG_P2, MIPS_REG_RA, MIPS_REG_S0, MIPS_REG_S1, MIPS_REG_S2,
+		MIPS_REG_S3, MIPS_REG_S4, MIPS_REG_S5, MIPS_REG_S6, MIPS_REG_S7,
+		MIPS_REG_SP, MIPS_REG_T0, MIPS_REG_T1, MIPS_REG_T2, MIPS_REG_T3,
+		MIPS_REG_T4, MIPS_REG_T5, MIPS_REG_T6, MIPS_REG_T7, MIPS_REG_T8,
+		MIPS_REG_T9, MIPS_REG_V0, MIPS_REG_V1, MIPS_REG_W0, MIPS_REG_W1,
+		MIPS_REG_W2, MIPS_REG_W3, MIPS_REG_W4, MIPS_REG_W5, MIPS_REG_W6,
+		MIPS_REG_W7, MIPS_REG_W8, MIPS_REG_W9, MIPS_REG_W10, MIPS_REG_W11,
+		MIPS_REG_W12, MIPS_REG_W13, MIPS_REG_W14, MIPS_REG_W15, MIPS_REG_W16,
+		MIPS_REG_W17, MIPS_REG_W18, MIPS_REG_W19, MIPS_REG_W20, MIPS_REG_W21,
+		MIPS_REG_W22, MIPS_REG_W23, MIPS_REG_W24, MIPS_REG_W25, MIPS_REG_W26,
+		MIPS_REG_W27, MIPS_REG_W28, MIPS_REG_W29, MIPS_REG_W30, MIPS_REG_W31,
+		MIPS_REG_ZERO, MIPS_REG_A0, MIPS_REG_A1, MIPS_REG_A2, MIPS_REG_A3,
+		MIPS_REG_AC0, MIPS_REG_F0, MIPS_REG_F1, MIPS_REG_F2, MIPS_REG_F3,
+		MIPS_REG_F4, MIPS_REG_F5, MIPS_REG_F6, MIPS_REG_F7, MIPS_REG_F8,
+		MIPS_REG_F9, MIPS_REG_F10, MIPS_REG_F11, MIPS_REG_F12, MIPS_REG_F13,
+		MIPS_REG_F14, MIPS_REG_F15, MIPS_REG_F16, MIPS_REG_F17, MIPS_REG_F18,
+		MIPS_REG_F19, MIPS_REG_F20, MIPS_REG_F21, MIPS_REG_F22, MIPS_REG_F23,
+		MIPS_REG_F24, MIPS_REG_F25, MIPS_REG_F26, MIPS_REG_F27, MIPS_REG_F28,
+		MIPS_REG_F29, MIPS_REG_F30, MIPS_REG_F31, MIPS_REG_DSPOUTFLAG16_19, MIPS_REG_HI,
+		MIPS_REG_K0, MIPS_REG_K1, MIPS_REG_LO, MIPS_REG_S0, MIPS_REG_S1,
+		MIPS_REG_S2, MIPS_REG_S3, MIPS_REG_S4, MIPS_REG_S5, MIPS_REG_S6,
+		MIPS_REG_S7, MIPS_REG_T0, MIPS_REG_T1, MIPS_REG_T2, MIPS_REG_T3,
+		MIPS_REG_T4, MIPS_REG_T5, MIPS_REG_T6, MIPS_REG_T7, MIPS_REG_T8,
+		MIPS_REG_T9, MIPS_REG_V0, MIPS_REG_V1,
 	};
+
 	if (r < ARR_SIZE(map))
 		return map[r];
 
diff --git a/bindings/java/capstone/Mips_const.java b/bindings/java/capstone/Mips_const.java
index 137ba2f..b70776d 100644
--- a/bindings/java/capstone/Mips_const.java
+++ b/bindings/java/capstone/Mips_const.java
@@ -13,6 +13,8 @@
 	// MIPS registers
 
 	public static final int MIPS_REG_INVALID = 0;
+
+	// General purpose registers
 	public static final int MIPS_REG_0 = 1;
 	public static final int MIPS_REG_1 = 2;
 	public static final int MIPS_REG_2 = 3;
@@ -45,6 +47,8 @@
 	public static final int MIPS_REG_29 = 30;
 	public static final int MIPS_REG_30 = 31;
 	public static final int MIPS_REG_31 = 32;
+
+	// DSP registers
 	public static final int MIPS_REG_DSPCCOND = 33;
 	public static final int MIPS_REG_DSPCARRY = 34;
 	public static final int MIPS_REG_DSPEFI = 35;
@@ -56,92 +60,108 @@
 	public static final int MIPS_REG_DSPOUTFLAG23 = 41;
 	public static final int MIPS_REG_DSPPOS = 42;
 	public static final int MIPS_REG_DSPSCOUNT = 43;
+
+	// ACC registers
 	public static final int MIPS_REG_AC0 = 44;
 	public static final int MIPS_REG_AC1 = 45;
 	public static final int MIPS_REG_AC2 = 46;
 	public static final int MIPS_REG_AC3 = 47;
-	public static final int MIPS_REG_F0 = 48;
-	public static final int MIPS_REG_F1 = 49;
-	public static final int MIPS_REG_F2 = 50;
-	public static final int MIPS_REG_F3 = 51;
-	public static final int MIPS_REG_F4 = 52;
-	public static final int MIPS_REG_F5 = 53;
-	public static final int MIPS_REG_F6 = 54;
-	public static final int MIPS_REG_F7 = 55;
-	public static final int MIPS_REG_F8 = 56;
-	public static final int MIPS_REG_F9 = 57;
-	public static final int MIPS_REG_F10 = 58;
-	public static final int MIPS_REG_F11 = 59;
-	public static final int MIPS_REG_F12 = 60;
-	public static final int MIPS_REG_F13 = 61;
-	public static final int MIPS_REG_F14 = 62;
-	public static final int MIPS_REG_F15 = 63;
-	public static final int MIPS_REG_F16 = 64;
-	public static final int MIPS_REG_F17 = 65;
-	public static final int MIPS_REG_F18 = 66;
-	public static final int MIPS_REG_F19 = 67;
-	public static final int MIPS_REG_F20 = 68;
-	public static final int MIPS_REG_F21 = 69;
-	public static final int MIPS_REG_F22 = 70;
-	public static final int MIPS_REG_F23 = 71;
-	public static final int MIPS_REG_F24 = 72;
-	public static final int MIPS_REG_F25 = 73;
-	public static final int MIPS_REG_F26 = 74;
-	public static final int MIPS_REG_F27 = 75;
-	public static final int MIPS_REG_F28 = 76;
-	public static final int MIPS_REG_F29 = 77;
-	public static final int MIPS_REG_F30 = 78;
-	public static final int MIPS_REG_F31 = 79;
-	public static final int MIPS_REG_FCC0 = 80;
-	public static final int MIPS_REG_FCC1 = 81;
-	public static final int MIPS_REG_FCC2 = 82;
-	public static final int MIPS_REG_FCC3 = 83;
-	public static final int MIPS_REG_FCC4 = 84;
-	public static final int MIPS_REG_FCC5 = 85;
-	public static final int MIPS_REG_FCC6 = 86;
-	public static final int MIPS_REG_FCC7 = 87;
-	public static final int MIPS_REG_W0 = 88;
-	public static final int MIPS_REG_W1 = 89;
-	public static final int MIPS_REG_W2 = 90;
-	public static final int MIPS_REG_W3 = 91;
-	public static final int MIPS_REG_W4 = 92;
-	public static final int MIPS_REG_W5 = 93;
-	public static final int MIPS_REG_W6 = 94;
-	public static final int MIPS_REG_W7 = 95;
-	public static final int MIPS_REG_W8 = 96;
-	public static final int MIPS_REG_W9 = 97;
-	public static final int MIPS_REG_W10 = 98;
-	public static final int MIPS_REG_W11 = 99;
-	public static final int MIPS_REG_W12 = 100;
-	public static final int MIPS_REG_W13 = 101;
-	public static final int MIPS_REG_W14 = 102;
-	public static final int MIPS_REG_W15 = 103;
-	public static final int MIPS_REG_W16 = 104;
-	public static final int MIPS_REG_W17 = 105;
-	public static final int MIPS_REG_W18 = 106;
-	public static final int MIPS_REG_W19 = 107;
-	public static final int MIPS_REG_W20 = 108;
-	public static final int MIPS_REG_W21 = 109;
-	public static final int MIPS_REG_W22 = 110;
-	public static final int MIPS_REG_W23 = 111;
-	public static final int MIPS_REG_W24 = 112;
-	public static final int MIPS_REG_W25 = 113;
-	public static final int MIPS_REG_W26 = 114;
-	public static final int MIPS_REG_W27 = 115;
-	public static final int MIPS_REG_W28 = 116;
-	public static final int MIPS_REG_W29 = 117;
-	public static final int MIPS_REG_W30 = 118;
-	public static final int MIPS_REG_W31 = 119;
-	public static final int MIPS_REG_HI = 120;
-	public static final int MIPS_REG_LO = 121;
-	public static final int MIPS_REG_PC = 122;
-	public static final int MIPS_REG_P0 = 123;
-	public static final int MIPS_REG_P1 = 124;
-	public static final int MIPS_REG_P2 = 125;
-	public static final int MIPS_REG_MPL0 = 126;
-	public static final int MIPS_REG_MPL1 = 127;
-	public static final int MIPS_REG_MPL2 = 128;
-	public static final int MIPS_REG_MAX = 129;
+
+	// COP registers
+	public static final int MIPS_REG_CC0 = 48;
+	public static final int MIPS_REG_CC1 = 49;
+	public static final int MIPS_REG_CC2 = 50;
+	public static final int MIPS_REG_CC3 = 51;
+	public static final int MIPS_REG_CC4 = 52;
+	public static final int MIPS_REG_CC5 = 53;
+	public static final int MIPS_REG_CC6 = 54;
+	public static final int MIPS_REG_CC7 = 55;
+
+	// FPU registers
+	public static final int MIPS_REG_F0 = 56;
+	public static final int MIPS_REG_F1 = 57;
+	public static final int MIPS_REG_F2 = 58;
+	public static final int MIPS_REG_F3 = 59;
+	public static final int MIPS_REG_F4 = 60;
+	public static final int MIPS_REG_F5 = 61;
+	public static final int MIPS_REG_F6 = 62;
+	public static final int MIPS_REG_F7 = 63;
+	public static final int MIPS_REG_F8 = 64;
+	public static final int MIPS_REG_F9 = 65;
+	public static final int MIPS_REG_F10 = 66;
+	public static final int MIPS_REG_F11 = 67;
+	public static final int MIPS_REG_F12 = 68;
+	public static final int MIPS_REG_F13 = 69;
+	public static final int MIPS_REG_F14 = 70;
+	public static final int MIPS_REG_F15 = 71;
+	public static final int MIPS_REG_F16 = 72;
+	public static final int MIPS_REG_F17 = 73;
+	public static final int MIPS_REG_F18 = 74;
+	public static final int MIPS_REG_F19 = 75;
+	public static final int MIPS_REG_F20 = 76;
+	public static final int MIPS_REG_F21 = 77;
+	public static final int MIPS_REG_F22 = 78;
+	public static final int MIPS_REG_F23 = 79;
+	public static final int MIPS_REG_F24 = 80;
+	public static final int MIPS_REG_F25 = 81;
+	public static final int MIPS_REG_F26 = 82;
+	public static final int MIPS_REG_F27 = 83;
+	public static final int MIPS_REG_F28 = 84;
+	public static final int MIPS_REG_F29 = 85;
+	public static final int MIPS_REG_F30 = 86;
+	public static final int MIPS_REG_F31 = 87;
+	public static final int MIPS_REG_FCC0 = 88;
+	public static final int MIPS_REG_FCC1 = 89;
+	public static final int MIPS_REG_FCC2 = 90;
+	public static final int MIPS_REG_FCC3 = 91;
+	public static final int MIPS_REG_FCC4 = 92;
+	public static final int MIPS_REG_FCC5 = 93;
+	public static final int MIPS_REG_FCC6 = 94;
+	public static final int MIPS_REG_FCC7 = 95;
+
+	// AFPR128
+	public static final int MIPS_REG_W0 = 96;
+	public static final int MIPS_REG_W1 = 97;
+	public static final int MIPS_REG_W2 = 98;
+	public static final int MIPS_REG_W3 = 99;
+	public static final int MIPS_REG_W4 = 100;
+	public static final int MIPS_REG_W5 = 101;
+	public static final int MIPS_REG_W6 = 102;
+	public static final int MIPS_REG_W7 = 103;
+	public static final int MIPS_REG_W8 = 104;
+	public static final int MIPS_REG_W9 = 105;
+	public static final int MIPS_REG_W10 = 106;
+	public static final int MIPS_REG_W11 = 107;
+	public static final int MIPS_REG_W12 = 108;
+	public static final int MIPS_REG_W13 = 109;
+	public static final int MIPS_REG_W14 = 110;
+	public static final int MIPS_REG_W15 = 111;
+	public static final int MIPS_REG_W16 = 112;
+	public static final int MIPS_REG_W17 = 113;
+	public static final int MIPS_REG_W18 = 114;
+	public static final int MIPS_REG_W19 = 115;
+	public static final int MIPS_REG_W20 = 116;
+	public static final int MIPS_REG_W21 = 117;
+	public static final int MIPS_REG_W22 = 118;
+	public static final int MIPS_REG_W23 = 119;
+	public static final int MIPS_REG_W24 = 120;
+	public static final int MIPS_REG_W25 = 121;
+	public static final int MIPS_REG_W26 = 122;
+	public static final int MIPS_REG_W27 = 123;
+	public static final int MIPS_REG_W28 = 124;
+	public static final int MIPS_REG_W29 = 125;
+	public static final int MIPS_REG_W30 = 126;
+	public static final int MIPS_REG_W31 = 127;
+	public static final int MIPS_REG_HI = 128;
+	public static final int MIPS_REG_LO = 129;
+	public static final int MIPS_REG_PC = 130;
+	public static final int MIPS_REG_P0 = 131;
+	public static final int MIPS_REG_P1 = 132;
+	public static final int MIPS_REG_P2 = 133;
+	public static final int MIPS_REG_MPL0 = 134;
+	public static final int MIPS_REG_MPL1 = 135;
+	public static final int MIPS_REG_MPL2 = 136;
+	public static final int MIPS_REG_MAX = 137;
 	public static final int MIPS_REG_ZERO = MIPS_REG_0;
 	public static final int MIPS_REG_AT = MIPS_REG_1;
 	public static final int MIPS_REG_V0 = MIPS_REG_2;
@@ -226,526 +246,553 @@
 	public static final int MIPS_INS_BALC = 37;
 	public static final int MIPS_INS_BALIGN = 38;
 	public static final int MIPS_INS_BC = 39;
-	public static final int MIPS_INS_BC1EQZ = 40;
-	public static final int MIPS_INS_BC1F = 41;
-	public static final int MIPS_INS_BC1NEZ = 42;
-	public static final int MIPS_INS_BC1T = 43;
-	public static final int MIPS_INS_BC2EQZ = 44;
-	public static final int MIPS_INS_BC2NEZ = 45;
-	public static final int MIPS_INS_BCLRI = 46;
-	public static final int MIPS_INS_BCLR = 47;
-	public static final int MIPS_INS_BEQ = 48;
-	public static final int MIPS_INS_BEQC = 49;
-	public static final int MIPS_INS_BEQZALC = 50;
-	public static final int MIPS_INS_BEQZC = 51;
-	public static final int MIPS_INS_BGEC = 52;
-	public static final int MIPS_INS_BGEUC = 53;
-	public static final int MIPS_INS_BGEZ = 54;
-	public static final int MIPS_INS_BGEZAL = 55;
-	public static final int MIPS_INS_BGEZALC = 56;
-	public static final int MIPS_INS_BGEZC = 57;
-	public static final int MIPS_INS_BGTZ = 58;
-	public static final int MIPS_INS_BGTZALC = 59;
-	public static final int MIPS_INS_BGTZC = 60;
-	public static final int MIPS_INS_BINSLI = 61;
-	public static final int MIPS_INS_BINSL = 62;
-	public static final int MIPS_INS_BINSRI = 63;
-	public static final int MIPS_INS_BINSR = 64;
-	public static final int MIPS_INS_BITREV = 65;
-	public static final int MIPS_INS_BITSWAP = 66;
-	public static final int MIPS_INS_BLEZ = 67;
-	public static final int MIPS_INS_BLEZALC = 68;
-	public static final int MIPS_INS_BLEZC = 69;
-	public static final int MIPS_INS_BLTC = 70;
-	public static final int MIPS_INS_BLTUC = 71;
-	public static final int MIPS_INS_BLTZ = 72;
-	public static final int MIPS_INS_BLTZAL = 73;
-	public static final int MIPS_INS_BLTZALC = 74;
-	public static final int MIPS_INS_BLTZC = 75;
-	public static final int MIPS_INS_BMNZI = 76;
-	public static final int MIPS_INS_BMNZ = 77;
-	public static final int MIPS_INS_BMZI = 78;
-	public static final int MIPS_INS_BMZ = 79;
-	public static final int MIPS_INS_BNE = 80;
-	public static final int MIPS_INS_BNEC = 81;
-	public static final int MIPS_INS_BNEGI = 82;
-	public static final int MIPS_INS_BNEG = 83;
-	public static final int MIPS_INS_BNEZALC = 84;
-	public static final int MIPS_INS_BNEZC = 85;
-	public static final int MIPS_INS_BNVC = 86;
-	public static final int MIPS_INS_BNZ = 87;
-	public static final int MIPS_INS_BOVC = 88;
-	public static final int MIPS_INS_BPOSGE32 = 89;
-	public static final int MIPS_INS_BREAK = 90;
-	public static final int MIPS_INS_BSELI = 91;
-	public static final int MIPS_INS_BSEL = 92;
-	public static final int MIPS_INS_BSETI = 93;
-	public static final int MIPS_INS_BSET = 94;
-	public static final int MIPS_INS_BZ = 95;
-	public static final int MIPS_INS_BEQZ = 96;
-	public static final int MIPS_INS_B = 97;
-	public static final int MIPS_INS_BNEZ = 98;
-	public static final int MIPS_INS_BTEQZ = 99;
-	public static final int MIPS_INS_BTNEZ = 100;
-	public static final int MIPS_INS_CACHE = 101;
-	public static final int MIPS_INS_CEIL = 102;
-	public static final int MIPS_INS_CEQI = 103;
-	public static final int MIPS_INS_CEQ = 104;
-	public static final int MIPS_INS_CFC1 = 105;
-	public static final int MIPS_INS_CFCMSA = 106;
-	public static final int MIPS_INS_CINS = 107;
-	public static final int MIPS_INS_CINS32 = 108;
-	public static final int MIPS_INS_CLASS = 109;
-	public static final int MIPS_INS_CLEI_S = 110;
-	public static final int MIPS_INS_CLEI_U = 111;
-	public static final int MIPS_INS_CLE_S = 112;
-	public static final int MIPS_INS_CLE_U = 113;
-	public static final int MIPS_INS_CLO = 114;
-	public static final int MIPS_INS_CLTI_S = 115;
-	public static final int MIPS_INS_CLTI_U = 116;
-	public static final int MIPS_INS_CLT_S = 117;
-	public static final int MIPS_INS_CLT_U = 118;
-	public static final int MIPS_INS_CLZ = 119;
-	public static final int MIPS_INS_CMPGDU = 120;
-	public static final int MIPS_INS_CMPGU = 121;
-	public static final int MIPS_INS_CMPU = 122;
-	public static final int MIPS_INS_CMP = 123;
-	public static final int MIPS_INS_COPY_S = 124;
-	public static final int MIPS_INS_COPY_U = 125;
-	public static final int MIPS_INS_CTC1 = 126;
-	public static final int MIPS_INS_CTCMSA = 127;
-	public static final int MIPS_INS_CVT = 128;
-	public static final int MIPS_INS_C = 129;
-	public static final int MIPS_INS_CMPI = 130;
-	public static final int MIPS_INS_DADD = 131;
-	public static final int MIPS_INS_DADDI = 132;
-	public static final int MIPS_INS_DADDIU = 133;
-	public static final int MIPS_INS_DADDU = 134;
-	public static final int MIPS_INS_DAHI = 135;
-	public static final int MIPS_INS_DALIGN = 136;
-	public static final int MIPS_INS_DATI = 137;
-	public static final int MIPS_INS_DAUI = 138;
-	public static final int MIPS_INS_DBITSWAP = 139;
-	public static final int MIPS_INS_DCLO = 140;
-	public static final int MIPS_INS_DCLZ = 141;
-	public static final int MIPS_INS_DDIV = 142;
-	public static final int MIPS_INS_DDIVU = 143;
-	public static final int MIPS_INS_DERET = 144;
-	public static final int MIPS_INS_DEXT = 145;
-	public static final int MIPS_INS_DEXTM = 146;
-	public static final int MIPS_INS_DEXTU = 147;
-	public static final int MIPS_INS_DI = 148;
-	public static final int MIPS_INS_DINS = 149;
-	public static final int MIPS_INS_DINSM = 150;
-	public static final int MIPS_INS_DINSU = 151;
-	public static final int MIPS_INS_DIV = 152;
-	public static final int MIPS_INS_DIVU = 153;
-	public static final int MIPS_INS_DIV_S = 154;
-	public static final int MIPS_INS_DIV_U = 155;
-	public static final int MIPS_INS_DLSA = 156;
-	public static final int MIPS_INS_DMFC0 = 157;
-	public static final int MIPS_INS_DMFC1 = 158;
-	public static final int MIPS_INS_DMFC2 = 159;
-	public static final int MIPS_INS_DMOD = 160;
-	public static final int MIPS_INS_DMODU = 161;
-	public static final int MIPS_INS_DMTC0 = 162;
-	public static final int MIPS_INS_DMTC1 = 163;
-	public static final int MIPS_INS_DMTC2 = 164;
-	public static final int MIPS_INS_DMUH = 165;
-	public static final int MIPS_INS_DMUHU = 166;
-	public static final int MIPS_INS_DMUL = 167;
-	public static final int MIPS_INS_DMULT = 168;
-	public static final int MIPS_INS_DMULTU = 169;
-	public static final int MIPS_INS_DMULU = 170;
-	public static final int MIPS_INS_DOTP_S = 171;
-	public static final int MIPS_INS_DOTP_U = 172;
-	public static final int MIPS_INS_DPADD_S = 173;
-	public static final int MIPS_INS_DPADD_U = 174;
-	public static final int MIPS_INS_DPAQX_SA = 175;
-	public static final int MIPS_INS_DPAQX_S = 176;
-	public static final int MIPS_INS_DPAQ_SA = 177;
-	public static final int MIPS_INS_DPAQ_S = 178;
-	public static final int MIPS_INS_DPAU = 179;
-	public static final int MIPS_INS_DPAX = 180;
-	public static final int MIPS_INS_DPA = 181;
-	public static final int MIPS_INS_DPOP = 182;
-	public static final int MIPS_INS_DPSQX_SA = 183;
-	public static final int MIPS_INS_DPSQX_S = 184;
-	public static final int MIPS_INS_DPSQ_SA = 185;
-	public static final int MIPS_INS_DPSQ_S = 186;
-	public static final int MIPS_INS_DPSUB_S = 187;
-	public static final int MIPS_INS_DPSUB_U = 188;
-	public static final int MIPS_INS_DPSU = 189;
-	public static final int MIPS_INS_DPSX = 190;
-	public static final int MIPS_INS_DPS = 191;
-	public static final int MIPS_INS_DROTR = 192;
-	public static final int MIPS_INS_DROTR32 = 193;
-	public static final int MIPS_INS_DROTRV = 194;
-	public static final int MIPS_INS_DSBH = 195;
-	public static final int MIPS_INS_DSHD = 196;
-	public static final int MIPS_INS_DSLL = 197;
-	public static final int MIPS_INS_DSLL32 = 198;
-	public static final int MIPS_INS_DSLLV = 199;
-	public static final int MIPS_INS_DSRA = 200;
-	public static final int MIPS_INS_DSRA32 = 201;
-	public static final int MIPS_INS_DSRAV = 202;
-	public static final int MIPS_INS_DSRL = 203;
-	public static final int MIPS_INS_DSRL32 = 204;
-	public static final int MIPS_INS_DSRLV = 205;
-	public static final int MIPS_INS_DSUB = 206;
-	public static final int MIPS_INS_DSUBU = 207;
-	public static final int MIPS_INS_EHB = 208;
-	public static final int MIPS_INS_EI = 209;
-	public static final int MIPS_INS_ERET = 210;
-	public static final int MIPS_INS_EXT = 211;
-	public static final int MIPS_INS_EXTP = 212;
-	public static final int MIPS_INS_EXTPDP = 213;
-	public static final int MIPS_INS_EXTPDPV = 214;
-	public static final int MIPS_INS_EXTPV = 215;
-	public static final int MIPS_INS_EXTRV_RS = 216;
-	public static final int MIPS_INS_EXTRV_R = 217;
-	public static final int MIPS_INS_EXTRV_S = 218;
-	public static final int MIPS_INS_EXTRV = 219;
-	public static final int MIPS_INS_EXTR_RS = 220;
-	public static final int MIPS_INS_EXTR_R = 221;
-	public static final int MIPS_INS_EXTR_S = 222;
-	public static final int MIPS_INS_EXTR = 223;
-	public static final int MIPS_INS_EXTS = 224;
-	public static final int MIPS_INS_EXTS32 = 225;
-	public static final int MIPS_INS_ABS = 226;
-	public static final int MIPS_INS_FADD = 227;
-	public static final int MIPS_INS_FCAF = 228;
-	public static final int MIPS_INS_FCEQ = 229;
-	public static final int MIPS_INS_FCLASS = 230;
-	public static final int MIPS_INS_FCLE = 231;
-	public static final int MIPS_INS_FCLT = 232;
-	public static final int MIPS_INS_FCNE = 233;
-	public static final int MIPS_INS_FCOR = 234;
-	public static final int MIPS_INS_FCUEQ = 235;
-	public static final int MIPS_INS_FCULE = 236;
-	public static final int MIPS_INS_FCULT = 237;
-	public static final int MIPS_INS_FCUNE = 238;
-	public static final int MIPS_INS_FCUN = 239;
-	public static final int MIPS_INS_FDIV = 240;
-	public static final int MIPS_INS_FEXDO = 241;
-	public static final int MIPS_INS_FEXP2 = 242;
-	public static final int MIPS_INS_FEXUPL = 243;
-	public static final int MIPS_INS_FEXUPR = 244;
-	public static final int MIPS_INS_FFINT_S = 245;
-	public static final int MIPS_INS_FFINT_U = 246;
-	public static final int MIPS_INS_FFQL = 247;
-	public static final int MIPS_INS_FFQR = 248;
-	public static final int MIPS_INS_FILL = 249;
-	public static final int MIPS_INS_FLOG2 = 250;
-	public static final int MIPS_INS_FLOOR = 251;
-	public static final int MIPS_INS_FMADD = 252;
-	public static final int MIPS_INS_FMAX_A = 253;
-	public static final int MIPS_INS_FMAX = 254;
-	public static final int MIPS_INS_FMIN_A = 255;
-	public static final int MIPS_INS_FMIN = 256;
-	public static final int MIPS_INS_MOV = 257;
-	public static final int MIPS_INS_FMSUB = 258;
-	public static final int MIPS_INS_FMUL = 259;
-	public static final int MIPS_INS_MUL = 260;
-	public static final int MIPS_INS_NEG = 261;
-	public static final int MIPS_INS_FRCP = 262;
-	public static final int MIPS_INS_FRINT = 263;
-	public static final int MIPS_INS_FRSQRT = 264;
-	public static final int MIPS_INS_FSAF = 265;
-	public static final int MIPS_INS_FSEQ = 266;
-	public static final int MIPS_INS_FSLE = 267;
-	public static final int MIPS_INS_FSLT = 268;
-	public static final int MIPS_INS_FSNE = 269;
-	public static final int MIPS_INS_FSOR = 270;
-	public static final int MIPS_INS_FSQRT = 271;
-	public static final int MIPS_INS_SQRT = 272;
-	public static final int MIPS_INS_FSUB = 273;
-	public static final int MIPS_INS_SUB = 274;
-	public static final int MIPS_INS_FSUEQ = 275;
-	public static final int MIPS_INS_FSULE = 276;
-	public static final int MIPS_INS_FSULT = 277;
-	public static final int MIPS_INS_FSUNE = 278;
-	public static final int MIPS_INS_FSUN = 279;
-	public static final int MIPS_INS_FTINT_S = 280;
-	public static final int MIPS_INS_FTINT_U = 281;
-	public static final int MIPS_INS_FTQ = 282;
-	public static final int MIPS_INS_FTRUNC_S = 283;
-	public static final int MIPS_INS_FTRUNC_U = 284;
-	public static final int MIPS_INS_HADD_S = 285;
-	public static final int MIPS_INS_HADD_U = 286;
-	public static final int MIPS_INS_HSUB_S = 287;
-	public static final int MIPS_INS_HSUB_U = 288;
-	public static final int MIPS_INS_ILVEV = 289;
-	public static final int MIPS_INS_ILVL = 290;
-	public static final int MIPS_INS_ILVOD = 291;
-	public static final int MIPS_INS_ILVR = 292;
-	public static final int MIPS_INS_INS = 293;
-	public static final int MIPS_INS_INSERT = 294;
-	public static final int MIPS_INS_INSV = 295;
-	public static final int MIPS_INS_INSVE = 296;
-	public static final int MIPS_INS_J = 297;
-	public static final int MIPS_INS_JAL = 298;
-	public static final int MIPS_INS_JALR = 299;
-	public static final int MIPS_INS_JALX = 300;
-	public static final int MIPS_INS_JIALC = 301;
-	public static final int MIPS_INS_JIC = 302;
-	public static final int MIPS_INS_JR = 303;
-	public static final int MIPS_INS_JRC = 304;
-	public static final int MIPS_INS_JALRC = 305;
-	public static final int MIPS_INS_LB = 306;
-	public static final int MIPS_INS_LBUX = 307;
-	public static final int MIPS_INS_LBU = 308;
-	public static final int MIPS_INS_LD = 309;
-	public static final int MIPS_INS_LDC1 = 310;
-	public static final int MIPS_INS_LDC2 = 311;
-	public static final int MIPS_INS_LDC3 = 312;
-	public static final int MIPS_INS_LDI = 313;
-	public static final int MIPS_INS_LDL = 314;
-	public static final int MIPS_INS_LDPC = 315;
-	public static final int MIPS_INS_LDR = 316;
-	public static final int MIPS_INS_LDXC1 = 317;
-	public static final int MIPS_INS_LH = 318;
-	public static final int MIPS_INS_LHX = 319;
-	public static final int MIPS_INS_LHU = 320;
-	public static final int MIPS_INS_LL = 321;
-	public static final int MIPS_INS_LLD = 322;
-	public static final int MIPS_INS_LSA = 323;
-	public static final int MIPS_INS_LUXC1 = 324;
-	public static final int MIPS_INS_LUI = 325;
-	public static final int MIPS_INS_LW = 326;
-	public static final int MIPS_INS_LWC1 = 327;
-	public static final int MIPS_INS_LWC2 = 328;
-	public static final int MIPS_INS_LWC3 = 329;
-	public static final int MIPS_INS_LWL = 330;
-	public static final int MIPS_INS_LWPC = 331;
-	public static final int MIPS_INS_LWR = 332;
-	public static final int MIPS_INS_LWUPC = 333;
-	public static final int MIPS_INS_LWU = 334;
-	public static final int MIPS_INS_LWX = 335;
-	public static final int MIPS_INS_LWXC1 = 336;
-	public static final int MIPS_INS_LI = 337;
-	public static final int MIPS_INS_MADD = 338;
-	public static final int MIPS_INS_MADDF = 339;
-	public static final int MIPS_INS_MADDR_Q = 340;
-	public static final int MIPS_INS_MADDU = 341;
-	public static final int MIPS_INS_MADDV = 342;
-	public static final int MIPS_INS_MADD_Q = 343;
-	public static final int MIPS_INS_MAQ_SA = 344;
-	public static final int MIPS_INS_MAQ_S = 345;
-	public static final int MIPS_INS_MAXA = 346;
-	public static final int MIPS_INS_MAXI_S = 347;
-	public static final int MIPS_INS_MAXI_U = 348;
-	public static final int MIPS_INS_MAX_A = 349;
-	public static final int MIPS_INS_MAX = 350;
-	public static final int MIPS_INS_MAX_S = 351;
-	public static final int MIPS_INS_MAX_U = 352;
-	public static final int MIPS_INS_MFC0 = 353;
-	public static final int MIPS_INS_MFC1 = 354;
-	public static final int MIPS_INS_MFC2 = 355;
-	public static final int MIPS_INS_MFHC1 = 356;
-	public static final int MIPS_INS_MFHI = 357;
-	public static final int MIPS_INS_MFLO = 358;
-	public static final int MIPS_INS_MINA = 359;
-	public static final int MIPS_INS_MINI_S = 360;
-	public static final int MIPS_INS_MINI_U = 361;
-	public static final int MIPS_INS_MIN_A = 362;
-	public static final int MIPS_INS_MIN = 363;
-	public static final int MIPS_INS_MIN_S = 364;
-	public static final int MIPS_INS_MIN_U = 365;
-	public static final int MIPS_INS_MOD = 366;
-	public static final int MIPS_INS_MODSUB = 367;
-	public static final int MIPS_INS_MODU = 368;
-	public static final int MIPS_INS_MOD_S = 369;
-	public static final int MIPS_INS_MOD_U = 370;
-	public static final int MIPS_INS_MOVE = 371;
-	public static final int MIPS_INS_MOVF = 372;
-	public static final int MIPS_INS_MOVN = 373;
-	public static final int MIPS_INS_MOVT = 374;
-	public static final int MIPS_INS_MOVZ = 375;
-	public static final int MIPS_INS_MSUB = 376;
-	public static final int MIPS_INS_MSUBF = 377;
-	public static final int MIPS_INS_MSUBR_Q = 378;
-	public static final int MIPS_INS_MSUBU = 379;
-	public static final int MIPS_INS_MSUBV = 380;
-	public static final int MIPS_INS_MSUB_Q = 381;
-	public static final int MIPS_INS_MTC0 = 382;
-	public static final int MIPS_INS_MTC1 = 383;
-	public static final int MIPS_INS_MTC2 = 384;
-	public static final int MIPS_INS_MTHC1 = 385;
-	public static final int MIPS_INS_MTHI = 386;
-	public static final int MIPS_INS_MTHLIP = 387;
-	public static final int MIPS_INS_MTLO = 388;
-	public static final int MIPS_INS_MTM0 = 389;
-	public static final int MIPS_INS_MTM1 = 390;
-	public static final int MIPS_INS_MTM2 = 391;
-	public static final int MIPS_INS_MTP0 = 392;
-	public static final int MIPS_INS_MTP1 = 393;
-	public static final int MIPS_INS_MTP2 = 394;
-	public static final int MIPS_INS_MUH = 395;
-	public static final int MIPS_INS_MUHU = 396;
-	public static final int MIPS_INS_MULEQ_S = 397;
-	public static final int MIPS_INS_MULEU_S = 398;
-	public static final int MIPS_INS_MULQ_RS = 399;
-	public static final int MIPS_INS_MULQ_S = 400;
-	public static final int MIPS_INS_MULR_Q = 401;
-	public static final int MIPS_INS_MULSAQ_S = 402;
-	public static final int MIPS_INS_MULSA = 403;
-	public static final int MIPS_INS_MULT = 404;
-	public static final int MIPS_INS_MULTU = 405;
-	public static final int MIPS_INS_MULU = 406;
-	public static final int MIPS_INS_MULV = 407;
-	public static final int MIPS_INS_MUL_Q = 408;
-	public static final int MIPS_INS_MUL_S = 409;
-	public static final int MIPS_INS_NLOC = 410;
-	public static final int MIPS_INS_NLZC = 411;
-	public static final int MIPS_INS_NMADD = 412;
-	public static final int MIPS_INS_NMSUB = 413;
-	public static final int MIPS_INS_NOR = 414;
-	public static final int MIPS_INS_NORI = 415;
-	public static final int MIPS_INS_NOT = 416;
-	public static final int MIPS_INS_OR = 417;
-	public static final int MIPS_INS_ORI = 418;
-	public static final int MIPS_INS_PACKRL = 419;
-	public static final int MIPS_INS_PAUSE = 420;
-	public static final int MIPS_INS_PCKEV = 421;
-	public static final int MIPS_INS_PCKOD = 422;
-	public static final int MIPS_INS_PCNT = 423;
-	public static final int MIPS_INS_PICK = 424;
-	public static final int MIPS_INS_POP = 425;
-	public static final int MIPS_INS_PRECEQU = 426;
-	public static final int MIPS_INS_PRECEQ = 427;
-	public static final int MIPS_INS_PRECEU = 428;
-	public static final int MIPS_INS_PRECRQU_S = 429;
-	public static final int MIPS_INS_PRECRQ = 430;
-	public static final int MIPS_INS_PRECRQ_RS = 431;
-	public static final int MIPS_INS_PRECR = 432;
-	public static final int MIPS_INS_PRECR_SRA = 433;
-	public static final int MIPS_INS_PRECR_SRA_R = 434;
-	public static final int MIPS_INS_PREF = 435;
-	public static final int MIPS_INS_PREPEND = 436;
-	public static final int MIPS_INS_RADDU = 437;
-	public static final int MIPS_INS_RDDSP = 438;
-	public static final int MIPS_INS_RDHWR = 439;
-	public static final int MIPS_INS_REPLV = 440;
-	public static final int MIPS_INS_REPL = 441;
-	public static final int MIPS_INS_RINT = 442;
-	public static final int MIPS_INS_ROTR = 443;
-	public static final int MIPS_INS_ROTRV = 444;
-	public static final int MIPS_INS_ROUND = 445;
-	public static final int MIPS_INS_SAT_S = 446;
-	public static final int MIPS_INS_SAT_U = 447;
-	public static final int MIPS_INS_SB = 448;
-	public static final int MIPS_INS_SC = 449;
-	public static final int MIPS_INS_SCD = 450;
-	public static final int MIPS_INS_SD = 451;
-	public static final int MIPS_INS_SDBBP = 452;
-	public static final int MIPS_INS_SDC1 = 453;
-	public static final int MIPS_INS_SDC2 = 454;
-	public static final int MIPS_INS_SDC3 = 455;
-	public static final int MIPS_INS_SDL = 456;
-	public static final int MIPS_INS_SDR = 457;
-	public static final int MIPS_INS_SDXC1 = 458;
-	public static final int MIPS_INS_SEB = 459;
-	public static final int MIPS_INS_SEH = 460;
-	public static final int MIPS_INS_SELEQZ = 461;
-	public static final int MIPS_INS_SELNEZ = 462;
-	public static final int MIPS_INS_SEL = 463;
-	public static final int MIPS_INS_SEQ = 464;
-	public static final int MIPS_INS_SEQI = 465;
-	public static final int MIPS_INS_SH = 466;
-	public static final int MIPS_INS_SHF = 467;
-	public static final int MIPS_INS_SHILO = 468;
-	public static final int MIPS_INS_SHILOV = 469;
-	public static final int MIPS_INS_SHLLV = 470;
-	public static final int MIPS_INS_SHLLV_S = 471;
-	public static final int MIPS_INS_SHLL = 472;
-	public static final int MIPS_INS_SHLL_S = 473;
-	public static final int MIPS_INS_SHRAV = 474;
-	public static final int MIPS_INS_SHRAV_R = 475;
-	public static final int MIPS_INS_SHRA = 476;
-	public static final int MIPS_INS_SHRA_R = 477;
-	public static final int MIPS_INS_SHRLV = 478;
-	public static final int MIPS_INS_SHRL = 479;
-	public static final int MIPS_INS_SLDI = 480;
-	public static final int MIPS_INS_SLD = 481;
-	public static final int MIPS_INS_SLL = 482;
-	public static final int MIPS_INS_SLLI = 483;
-	public static final int MIPS_INS_SLLV = 484;
-	public static final int MIPS_INS_SLT = 485;
-	public static final int MIPS_INS_SLTI = 486;
-	public static final int MIPS_INS_SLTIU = 487;
-	public static final int MIPS_INS_SLTU = 488;
-	public static final int MIPS_INS_SNE = 489;
-	public static final int MIPS_INS_SNEI = 490;
-	public static final int MIPS_INS_SPLATI = 491;
-	public static final int MIPS_INS_SPLAT = 492;
-	public static final int MIPS_INS_SRA = 493;
-	public static final int MIPS_INS_SRAI = 494;
-	public static final int MIPS_INS_SRARI = 495;
-	public static final int MIPS_INS_SRAR = 496;
-	public static final int MIPS_INS_SRAV = 497;
-	public static final int MIPS_INS_SRL = 498;
-	public static final int MIPS_INS_SRLI = 499;
-	public static final int MIPS_INS_SRLRI = 500;
-	public static final int MIPS_INS_SRLR = 501;
-	public static final int MIPS_INS_SRLV = 502;
-	public static final int MIPS_INS_SSNOP = 503;
-	public static final int MIPS_INS_ST = 504;
-	public static final int MIPS_INS_SUBQH = 505;
-	public static final int MIPS_INS_SUBQH_R = 506;
-	public static final int MIPS_INS_SUBQ = 507;
-	public static final int MIPS_INS_SUBQ_S = 508;
-	public static final int MIPS_INS_SUBSUS_U = 509;
-	public static final int MIPS_INS_SUBSUU_S = 510;
-	public static final int MIPS_INS_SUBS_S = 511;
-	public static final int MIPS_INS_SUBS_U = 512;
-	public static final int MIPS_INS_SUBUH = 513;
-	public static final int MIPS_INS_SUBUH_R = 514;
-	public static final int MIPS_INS_SUBU = 515;
-	public static final int MIPS_INS_SUBU_S = 516;
-	public static final int MIPS_INS_SUBVI = 517;
-	public static final int MIPS_INS_SUBV = 518;
-	public static final int MIPS_INS_SUXC1 = 519;
-	public static final int MIPS_INS_SW = 520;
-	public static final int MIPS_INS_SWC1 = 521;
-	public static final int MIPS_INS_SWC2 = 522;
-	public static final int MIPS_INS_SWC3 = 523;
-	public static final int MIPS_INS_SWL = 524;
-	public static final int MIPS_INS_SWR = 525;
-	public static final int MIPS_INS_SWXC1 = 526;
-	public static final int MIPS_INS_SYNC = 527;
-	public static final int MIPS_INS_SYSCALL = 528;
-	public static final int MIPS_INS_TEQ = 529;
-	public static final int MIPS_INS_TEQI = 530;
-	public static final int MIPS_INS_TGE = 531;
-	public static final int MIPS_INS_TGEI = 532;
-	public static final int MIPS_INS_TGEIU = 533;
-	public static final int MIPS_INS_TGEU = 534;
-	public static final int MIPS_INS_TLBP = 535;
-	public static final int MIPS_INS_TLBR = 536;
-	public static final int MIPS_INS_TLBWI = 537;
-	public static final int MIPS_INS_TLBWR = 538;
-	public static final int MIPS_INS_TLT = 539;
-	public static final int MIPS_INS_TLTI = 540;
-	public static final int MIPS_INS_TLTIU = 541;
-	public static final int MIPS_INS_TLTU = 542;
-	public static final int MIPS_INS_TNE = 543;
-	public static final int MIPS_INS_TNEI = 544;
-	public static final int MIPS_INS_TRUNC = 545;
-	public static final int MIPS_INS_V3MULU = 546;
-	public static final int MIPS_INS_VMM0 = 547;
-	public static final int MIPS_INS_VMULU = 548;
-	public static final int MIPS_INS_VSHF = 549;
-	public static final int MIPS_INS_WAIT = 550;
-	public static final int MIPS_INS_WRDSP = 551;
-	public static final int MIPS_INS_WSBH = 552;
-	public static final int MIPS_INS_XOR = 553;
-	public static final int MIPS_INS_XORI = 554;
+	public static final int MIPS_INS_BC0F = 40;
+	public static final int MIPS_INS_BC0FL = 41;
+	public static final int MIPS_INS_BC0T = 42;
+	public static final int MIPS_INS_BC0TL = 43;
+	public static final int MIPS_INS_BC1EQZ = 44;
+	public static final int MIPS_INS_BC1F = 45;
+	public static final int MIPS_INS_BC1FL = 46;
+	public static final int MIPS_INS_BC1NEZ = 47;
+	public static final int MIPS_INS_BC1T = 48;
+	public static final int MIPS_INS_BC1TL = 49;
+	public static final int MIPS_INS_BC2EQZ = 50;
+	public static final int MIPS_INS_BC2F = 51;
+	public static final int MIPS_INS_BC2FL = 52;
+	public static final int MIPS_INS_BC2NEZ = 53;
+	public static final int MIPS_INS_BC2T = 54;
+	public static final int MIPS_INS_BC2TL = 55;
+	public static final int MIPS_INS_BC3F = 56;
+	public static final int MIPS_INS_BC3FL = 57;
+	public static final int MIPS_INS_BC3T = 58;
+	public static final int MIPS_INS_BC3TL = 59;
+	public static final int MIPS_INS_BCLRI = 60;
+	public static final int MIPS_INS_BCLR = 61;
+	public static final int MIPS_INS_BEQ = 62;
+	public static final int MIPS_INS_BEQC = 63;
+	public static final int MIPS_INS_BEQL = 64;
+	public static final int MIPS_INS_BEQZALC = 65;
+	public static final int MIPS_INS_BEQZC = 66;
+	public static final int MIPS_INS_BGEC = 67;
+	public static final int MIPS_INS_BGEUC = 68;
+	public static final int MIPS_INS_BGEZ = 69;
+	public static final int MIPS_INS_BGEZAL = 70;
+	public static final int MIPS_INS_BGEZALC = 71;
+	public static final int MIPS_INS_BGEZALL = 72;
+	public static final int MIPS_INS_BGEZALS = 73;
+	public static final int MIPS_INS_BGEZC = 74;
+	public static final int MIPS_INS_BGEZL = 75;
+	public static final int MIPS_INS_BGTZ = 76;
+	public static final int MIPS_INS_BGTZALC = 77;
+	public static final int MIPS_INS_BGTZC = 78;
+	public static final int MIPS_INS_BGTZL = 79;
+	public static final int MIPS_INS_BINSLI = 80;
+	public static final int MIPS_INS_BINSL = 81;
+	public static final int MIPS_INS_BINSRI = 82;
+	public static final int MIPS_INS_BINSR = 83;
+	public static final int MIPS_INS_BITREV = 84;
+	public static final int MIPS_INS_BITSWAP = 85;
+	public static final int MIPS_INS_BLEZ = 86;
+	public static final int MIPS_INS_BLEZALC = 87;
+	public static final int MIPS_INS_BLEZC = 88;
+	public static final int MIPS_INS_BLEZL = 89;
+	public static final int MIPS_INS_BLTC = 90;
+	public static final int MIPS_INS_BLTUC = 91;
+	public static final int MIPS_INS_BLTZ = 92;
+	public static final int MIPS_INS_BLTZAL = 93;
+	public static final int MIPS_INS_BLTZALC = 94;
+	public static final int MIPS_INS_BLTZALL = 95;
+	public static final int MIPS_INS_BLTZALS = 96;
+	public static final int MIPS_INS_BLTZC = 97;
+	public static final int MIPS_INS_BLTZL = 98;
+	public static final int MIPS_INS_BMNZI = 99;
+	public static final int MIPS_INS_BMNZ = 100;
+	public static final int MIPS_INS_BMZI = 101;
+	public static final int MIPS_INS_BMZ = 102;
+	public static final int MIPS_INS_BNE = 103;
+	public static final int MIPS_INS_BNEC = 104;
+	public static final int MIPS_INS_BNEGI = 105;
+	public static final int MIPS_INS_BNEG = 106;
+	public static final int MIPS_INS_BNEL = 107;
+	public static final int MIPS_INS_BNEZALC = 108;
+	public static final int MIPS_INS_BNEZC = 109;
+	public static final int MIPS_INS_BNVC = 110;
+	public static final int MIPS_INS_BNZ = 111;
+	public static final int MIPS_INS_BOVC = 112;
+	public static final int MIPS_INS_BPOSGE32 = 113;
+	public static final int MIPS_INS_BREAK = 114;
+	public static final int MIPS_INS_BSELI = 115;
+	public static final int MIPS_INS_BSEL = 116;
+	public static final int MIPS_INS_BSETI = 117;
+	public static final int MIPS_INS_BSET = 118;
+	public static final int MIPS_INS_BZ = 119;
+	public static final int MIPS_INS_BEQZ = 120;
+	public static final int MIPS_INS_B = 121;
+	public static final int MIPS_INS_BNEZ = 122;
+	public static final int MIPS_INS_BTEQZ = 123;
+	public static final int MIPS_INS_BTNEZ = 124;
+	public static final int MIPS_INS_CACHE = 125;
+	public static final int MIPS_INS_CEIL = 126;
+	public static final int MIPS_INS_CEQI = 127;
+	public static final int MIPS_INS_CEQ = 128;
+	public static final int MIPS_INS_CFC1 = 129;
+	public static final int MIPS_INS_CFCMSA = 130;
+	public static final int MIPS_INS_CINS = 131;
+	public static final int MIPS_INS_CINS32 = 132;
+	public static final int MIPS_INS_CLASS = 133;
+	public static final int MIPS_INS_CLEI_S = 134;
+	public static final int MIPS_INS_CLEI_U = 135;
+	public static final int MIPS_INS_CLE_S = 136;
+	public static final int MIPS_INS_CLE_U = 137;
+	public static final int MIPS_INS_CLO = 138;
+	public static final int MIPS_INS_CLTI_S = 139;
+	public static final int MIPS_INS_CLTI_U = 140;
+	public static final int MIPS_INS_CLT_S = 141;
+	public static final int MIPS_INS_CLT_U = 142;
+	public static final int MIPS_INS_CLZ = 143;
+	public static final int MIPS_INS_CMPGDU = 144;
+	public static final int MIPS_INS_CMPGU = 145;
+	public static final int MIPS_INS_CMPU = 146;
+	public static final int MIPS_INS_CMP = 147;
+	public static final int MIPS_INS_COPY_S = 148;
+	public static final int MIPS_INS_COPY_U = 149;
+	public static final int MIPS_INS_CTC1 = 150;
+	public static final int MIPS_INS_CTCMSA = 151;
+	public static final int MIPS_INS_CVT = 152;
+	public static final int MIPS_INS_C = 153;
+	public static final int MIPS_INS_CMPI = 154;
+	public static final int MIPS_INS_DADD = 155;
+	public static final int MIPS_INS_DADDI = 156;
+	public static final int MIPS_INS_DADDIU = 157;
+	public static final int MIPS_INS_DADDU = 158;
+	public static final int MIPS_INS_DAHI = 159;
+	public static final int MIPS_INS_DALIGN = 160;
+	public static final int MIPS_INS_DATI = 161;
+	public static final int MIPS_INS_DAUI = 162;
+	public static final int MIPS_INS_DBITSWAP = 163;
+	public static final int MIPS_INS_DCLO = 164;
+	public static final int MIPS_INS_DCLZ = 165;
+	public static final int MIPS_INS_DDIV = 166;
+	public static final int MIPS_INS_DDIVU = 167;
+	public static final int MIPS_INS_DERET = 168;
+	public static final int MIPS_INS_DEXT = 169;
+	public static final int MIPS_INS_DEXTM = 170;
+	public static final int MIPS_INS_DEXTU = 171;
+	public static final int MIPS_INS_DI = 172;
+	public static final int MIPS_INS_DINS = 173;
+	public static final int MIPS_INS_DINSM = 174;
+	public static final int MIPS_INS_DINSU = 175;
+	public static final int MIPS_INS_DIV = 176;
+	public static final int MIPS_INS_DIVU = 177;
+	public static final int MIPS_INS_DIV_S = 178;
+	public static final int MIPS_INS_DIV_U = 179;
+	public static final int MIPS_INS_DLSA = 180;
+	public static final int MIPS_INS_DMFC0 = 181;
+	public static final int MIPS_INS_DMFC1 = 182;
+	public static final int MIPS_INS_DMFC2 = 183;
+	public static final int MIPS_INS_DMOD = 184;
+	public static final int MIPS_INS_DMODU = 185;
+	public static final int MIPS_INS_DMTC0 = 186;
+	public static final int MIPS_INS_DMTC1 = 187;
+	public static final int MIPS_INS_DMTC2 = 188;
+	public static final int MIPS_INS_DMUH = 189;
+	public static final int MIPS_INS_DMUHU = 190;
+	public static final int MIPS_INS_DMUL = 191;
+	public static final int MIPS_INS_DMULT = 192;
+	public static final int MIPS_INS_DMULTU = 193;
+	public static final int MIPS_INS_DMULU = 194;
+	public static final int MIPS_INS_DOTP_S = 195;
+	public static final int MIPS_INS_DOTP_U = 196;
+	public static final int MIPS_INS_DPADD_S = 197;
+	public static final int MIPS_INS_DPADD_U = 198;
+	public static final int MIPS_INS_DPAQX_SA = 199;
+	public static final int MIPS_INS_DPAQX_S = 200;
+	public static final int MIPS_INS_DPAQ_SA = 201;
+	public static final int MIPS_INS_DPAQ_S = 202;
+	public static final int MIPS_INS_DPAU = 203;
+	public static final int MIPS_INS_DPAX = 204;
+	public static final int MIPS_INS_DPA = 205;
+	public static final int MIPS_INS_DPOP = 206;
+	public static final int MIPS_INS_DPSQX_SA = 207;
+	public static final int MIPS_INS_DPSQX_S = 208;
+	public static final int MIPS_INS_DPSQ_SA = 209;
+	public static final int MIPS_INS_DPSQ_S = 210;
+	public static final int MIPS_INS_DPSUB_S = 211;
+	public static final int MIPS_INS_DPSUB_U = 212;
+	public static final int MIPS_INS_DPSU = 213;
+	public static final int MIPS_INS_DPSX = 214;
+	public static final int MIPS_INS_DPS = 215;
+	public static final int MIPS_INS_DROTR = 216;
+	public static final int MIPS_INS_DROTR32 = 217;
+	public static final int MIPS_INS_DROTRV = 218;
+	public static final int MIPS_INS_DSBH = 219;
+	public static final int MIPS_INS_DSHD = 220;
+	public static final int MIPS_INS_DSLL = 221;
+	public static final int MIPS_INS_DSLL32 = 222;
+	public static final int MIPS_INS_DSLLV = 223;
+	public static final int MIPS_INS_DSRA = 224;
+	public static final int MIPS_INS_DSRA32 = 225;
+	public static final int MIPS_INS_DSRAV = 226;
+	public static final int MIPS_INS_DSRL = 227;
+	public static final int MIPS_INS_DSRL32 = 228;
+	public static final int MIPS_INS_DSRLV = 229;
+	public static final int MIPS_INS_DSUB = 230;
+	public static final int MIPS_INS_DSUBU = 231;
+	public static final int MIPS_INS_EHB = 232;
+	public static final int MIPS_INS_EI = 233;
+	public static final int MIPS_INS_ERET = 234;
+	public static final int MIPS_INS_EXT = 235;
+	public static final int MIPS_INS_EXTP = 236;
+	public static final int MIPS_INS_EXTPDP = 237;
+	public static final int MIPS_INS_EXTPDPV = 238;
+	public static final int MIPS_INS_EXTPV = 239;
+	public static final int MIPS_INS_EXTRV_RS = 240;
+	public static final int MIPS_INS_EXTRV_R = 241;
+	public static final int MIPS_INS_EXTRV_S = 242;
+	public static final int MIPS_INS_EXTRV = 243;
+	public static final int MIPS_INS_EXTR_RS = 244;
+	public static final int MIPS_INS_EXTR_R = 245;
+	public static final int MIPS_INS_EXTR_S = 246;
+	public static final int MIPS_INS_EXTR = 247;
+	public static final int MIPS_INS_EXTS = 248;
+	public static final int MIPS_INS_EXTS32 = 249;
+	public static final int MIPS_INS_ABS = 250;
+	public static final int MIPS_INS_FADD = 251;
+	public static final int MIPS_INS_FCAF = 252;
+	public static final int MIPS_INS_FCEQ = 253;
+	public static final int MIPS_INS_FCLASS = 254;
+	public static final int MIPS_INS_FCLE = 255;
+	public static final int MIPS_INS_FCLT = 256;
+	public static final int MIPS_INS_FCNE = 257;
+	public static final int MIPS_INS_FCOR = 258;
+	public static final int MIPS_INS_FCUEQ = 259;
+	public static final int MIPS_INS_FCULE = 260;
+	public static final int MIPS_INS_FCULT = 261;
+	public static final int MIPS_INS_FCUNE = 262;
+	public static final int MIPS_INS_FCUN = 263;
+	public static final int MIPS_INS_FDIV = 264;
+	public static final int MIPS_INS_FEXDO = 265;
+	public static final int MIPS_INS_FEXP2 = 266;
+	public static final int MIPS_INS_FEXUPL = 267;
+	public static final int MIPS_INS_FEXUPR = 268;
+	public static final int MIPS_INS_FFINT_S = 269;
+	public static final int MIPS_INS_FFINT_U = 270;
+	public static final int MIPS_INS_FFQL = 271;
+	public static final int MIPS_INS_FFQR = 272;
+	public static final int MIPS_INS_FILL = 273;
+	public static final int MIPS_INS_FLOG2 = 274;
+	public static final int MIPS_INS_FLOOR = 275;
+	public static final int MIPS_INS_FMADD = 276;
+	public static final int MIPS_INS_FMAX_A = 277;
+	public static final int MIPS_INS_FMAX = 278;
+	public static final int MIPS_INS_FMIN_A = 279;
+	public static final int MIPS_INS_FMIN = 280;
+	public static final int MIPS_INS_MOV = 281;
+	public static final int MIPS_INS_FMSUB = 282;
+	public static final int MIPS_INS_FMUL = 283;
+	public static final int MIPS_INS_MUL = 284;
+	public static final int MIPS_INS_NEG = 285;
+	public static final int MIPS_INS_FRCP = 286;
+	public static final int MIPS_INS_FRINT = 287;
+	public static final int MIPS_INS_FRSQRT = 288;
+	public static final int MIPS_INS_FSAF = 289;
+	public static final int MIPS_INS_FSEQ = 290;
+	public static final int MIPS_INS_FSLE = 291;
+	public static final int MIPS_INS_FSLT = 292;
+	public static final int MIPS_INS_FSNE = 293;
+	public static final int MIPS_INS_FSOR = 294;
+	public static final int MIPS_INS_FSQRT = 295;
+	public static final int MIPS_INS_SQRT = 296;
+	public static final int MIPS_INS_FSUB = 297;
+	public static final int MIPS_INS_SUB = 298;
+	public static final int MIPS_INS_FSUEQ = 299;
+	public static final int MIPS_INS_FSULE = 300;
+	public static final int MIPS_INS_FSULT = 301;
+	public static final int MIPS_INS_FSUNE = 302;
+	public static final int MIPS_INS_FSUN = 303;
+	public static final int MIPS_INS_FTINT_S = 304;
+	public static final int MIPS_INS_FTINT_U = 305;
+	public static final int MIPS_INS_FTQ = 306;
+	public static final int MIPS_INS_FTRUNC_S = 307;
+	public static final int MIPS_INS_FTRUNC_U = 308;
+	public static final int MIPS_INS_HADD_S = 309;
+	public static final int MIPS_INS_HADD_U = 310;
+	public static final int MIPS_INS_HSUB_S = 311;
+	public static final int MIPS_INS_HSUB_U = 312;
+	public static final int MIPS_INS_ILVEV = 313;
+	public static final int MIPS_INS_ILVL = 314;
+	public static final int MIPS_INS_ILVOD = 315;
+	public static final int MIPS_INS_ILVR = 316;
+	public static final int MIPS_INS_INS = 317;
+	public static final int MIPS_INS_INSERT = 318;
+	public static final int MIPS_INS_INSV = 319;
+	public static final int MIPS_INS_INSVE = 320;
+	public static final int MIPS_INS_J = 321;
+	public static final int MIPS_INS_JAL = 322;
+	public static final int MIPS_INS_JALR = 323;
+	public static final int MIPS_INS_JALRS = 324;
+	public static final int MIPS_INS_JALS = 325;
+	public static final int MIPS_INS_JALX = 326;
+	public static final int MIPS_INS_JIALC = 327;
+	public static final int MIPS_INS_JIC = 328;
+	public static final int MIPS_INS_JR = 329;
+	public static final int MIPS_INS_JRADDIUSP = 330;
+	public static final int MIPS_INS_JRC = 331;
+	public static final int MIPS_INS_JALRC = 332;
+	public static final int MIPS_INS_LB = 333;
+	public static final int MIPS_INS_LBUX = 334;
+	public static final int MIPS_INS_LBU = 335;
+	public static final int MIPS_INS_LD = 336;
+	public static final int MIPS_INS_LDC1 = 337;
+	public static final int MIPS_INS_LDC2 = 338;
+	public static final int MIPS_INS_LDC3 = 339;
+	public static final int MIPS_INS_LDI = 340;
+	public static final int MIPS_INS_LDL = 341;
+	public static final int MIPS_INS_LDPC = 342;
+	public static final int MIPS_INS_LDR = 343;
+	public static final int MIPS_INS_LDXC1 = 344;
+	public static final int MIPS_INS_LH = 345;
+	public static final int MIPS_INS_LHX = 346;
+	public static final int MIPS_INS_LHU = 347;
+	public static final int MIPS_INS_LL = 348;
+	public static final int MIPS_INS_LLD = 349;
+	public static final int MIPS_INS_LSA = 350;
+	public static final int MIPS_INS_LUXC1 = 351;
+	public static final int MIPS_INS_LUI = 352;
+	public static final int MIPS_INS_LW = 353;
+	public static final int MIPS_INS_LWC1 = 354;
+	public static final int MIPS_INS_LWC2 = 355;
+	public static final int MIPS_INS_LWC3 = 356;
+	public static final int MIPS_INS_LWL = 357;
+	public static final int MIPS_INS_LWPC = 358;
+	public static final int MIPS_INS_LWR = 359;
+	public static final int MIPS_INS_LWUPC = 360;
+	public static final int MIPS_INS_LWU = 361;
+	public static final int MIPS_INS_LWX = 362;
+	public static final int MIPS_INS_LWXC1 = 363;
+	public static final int MIPS_INS_LI = 364;
+	public static final int MIPS_INS_MADD = 365;
+	public static final int MIPS_INS_MADDF = 366;
+	public static final int MIPS_INS_MADDR_Q = 367;
+	public static final int MIPS_INS_MADDU = 368;
+	public static final int MIPS_INS_MADDV = 369;
+	public static final int MIPS_INS_MADD_Q = 370;
+	public static final int MIPS_INS_MAQ_SA = 371;
+	public static final int MIPS_INS_MAQ_S = 372;
+	public static final int MIPS_INS_MAXA = 373;
+	public static final int MIPS_INS_MAXI_S = 374;
+	public static final int MIPS_INS_MAXI_U = 375;
+	public static final int MIPS_INS_MAX_A = 376;
+	public static final int MIPS_INS_MAX = 377;
+	public static final int MIPS_INS_MAX_S = 378;
+	public static final int MIPS_INS_MAX_U = 379;
+	public static final int MIPS_INS_MFC0 = 380;
+	public static final int MIPS_INS_MFC1 = 381;
+	public static final int MIPS_INS_MFC2 = 382;
+	public static final int MIPS_INS_MFHC1 = 383;
+	public static final int MIPS_INS_MFHI = 384;
+	public static final int MIPS_INS_MFLO = 385;
+	public static final int MIPS_INS_MINA = 386;
+	public static final int MIPS_INS_MINI_S = 387;
+	public static final int MIPS_INS_MINI_U = 388;
+	public static final int MIPS_INS_MIN_A = 389;
+	public static final int MIPS_INS_MIN = 390;
+	public static final int MIPS_INS_MIN_S = 391;
+	public static final int MIPS_INS_MIN_U = 392;
+	public static final int MIPS_INS_MOD = 393;
+	public static final int MIPS_INS_MODSUB = 394;
+	public static final int MIPS_INS_MODU = 395;
+	public static final int MIPS_INS_MOD_S = 396;
+	public static final int MIPS_INS_MOD_U = 397;
+	public static final int MIPS_INS_MOVE = 398;
+	public static final int MIPS_INS_MOVF = 399;
+	public static final int MIPS_INS_MOVN = 400;
+	public static final int MIPS_INS_MOVT = 401;
+	public static final int MIPS_INS_MOVZ = 402;
+	public static final int MIPS_INS_MSUB = 403;
+	public static final int MIPS_INS_MSUBF = 404;
+	public static final int MIPS_INS_MSUBR_Q = 405;
+	public static final int MIPS_INS_MSUBU = 406;
+	public static final int MIPS_INS_MSUBV = 407;
+	public static final int MIPS_INS_MSUB_Q = 408;
+	public static final int MIPS_INS_MTC0 = 409;
+	public static final int MIPS_INS_MTC1 = 410;
+	public static final int MIPS_INS_MTC2 = 411;
+	public static final int MIPS_INS_MTHC1 = 412;
+	public static final int MIPS_INS_MTHI = 413;
+	public static final int MIPS_INS_MTHLIP = 414;
+	public static final int MIPS_INS_MTLO = 415;
+	public static final int MIPS_INS_MTM0 = 416;
+	public static final int MIPS_INS_MTM1 = 417;
+	public static final int MIPS_INS_MTM2 = 418;
+	public static final int MIPS_INS_MTP0 = 419;
+	public static final int MIPS_INS_MTP1 = 420;
+	public static final int MIPS_INS_MTP2 = 421;
+	public static final int MIPS_INS_MUH = 422;
+	public static final int MIPS_INS_MUHU = 423;
+	public static final int MIPS_INS_MULEQ_S = 424;
+	public static final int MIPS_INS_MULEU_S = 425;
+	public static final int MIPS_INS_MULQ_RS = 426;
+	public static final int MIPS_INS_MULQ_S = 427;
+	public static final int MIPS_INS_MULR_Q = 428;
+	public static final int MIPS_INS_MULSAQ_S = 429;
+	public static final int MIPS_INS_MULSA = 430;
+	public static final int MIPS_INS_MULT = 431;
+	public static final int MIPS_INS_MULTU = 432;
+	public static final int MIPS_INS_MULU = 433;
+	public static final int MIPS_INS_MULV = 434;
+	public static final int MIPS_INS_MUL_Q = 435;
+	public static final int MIPS_INS_MUL_S = 436;
+	public static final int MIPS_INS_NLOC = 437;
+	public static final int MIPS_INS_NLZC = 438;
+	public static final int MIPS_INS_NMADD = 439;
+	public static final int MIPS_INS_NMSUB = 440;
+	public static final int MIPS_INS_NOR = 441;
+	public static final int MIPS_INS_NORI = 442;
+	public static final int MIPS_INS_NOT = 443;
+	public static final int MIPS_INS_OR = 444;
+	public static final int MIPS_INS_ORI = 445;
+	public static final int MIPS_INS_PACKRL = 446;
+	public static final int MIPS_INS_PAUSE = 447;
+	public static final int MIPS_INS_PCKEV = 448;
+	public static final int MIPS_INS_PCKOD = 449;
+	public static final int MIPS_INS_PCNT = 450;
+	public static final int MIPS_INS_PICK = 451;
+	public static final int MIPS_INS_POP = 452;
+	public static final int MIPS_INS_PRECEQU = 453;
+	public static final int MIPS_INS_PRECEQ = 454;
+	public static final int MIPS_INS_PRECEU = 455;
+	public static final int MIPS_INS_PRECRQU_S = 456;
+	public static final int MIPS_INS_PRECRQ = 457;
+	public static final int MIPS_INS_PRECRQ_RS = 458;
+	public static final int MIPS_INS_PRECR = 459;
+	public static final int MIPS_INS_PRECR_SRA = 460;
+	public static final int MIPS_INS_PRECR_SRA_R = 461;
+	public static final int MIPS_INS_PREF = 462;
+	public static final int MIPS_INS_PREPEND = 463;
+	public static final int MIPS_INS_RADDU = 464;
+	public static final int MIPS_INS_RDDSP = 465;
+	public static final int MIPS_INS_RDHWR = 466;
+	public static final int MIPS_INS_REPLV = 467;
+	public static final int MIPS_INS_REPL = 468;
+	public static final int MIPS_INS_RINT = 469;
+	public static final int MIPS_INS_ROTR = 470;
+	public static final int MIPS_INS_ROTRV = 471;
+	public static final int MIPS_INS_ROUND = 472;
+	public static final int MIPS_INS_SAT_S = 473;
+	public static final int MIPS_INS_SAT_U = 474;
+	public static final int MIPS_INS_SB = 475;
+	public static final int MIPS_INS_SC = 476;
+	public static final int MIPS_INS_SCD = 477;
+	public static final int MIPS_INS_SD = 478;
+	public static final int MIPS_INS_SDBBP = 479;
+	public static final int MIPS_INS_SDC1 = 480;
+	public static final int MIPS_INS_SDC2 = 481;
+	public static final int MIPS_INS_SDC3 = 482;
+	public static final int MIPS_INS_SDL = 483;
+	public static final int MIPS_INS_SDR = 484;
+	public static final int MIPS_INS_SDXC1 = 485;
+	public static final int MIPS_INS_SEB = 486;
+	public static final int MIPS_INS_SEH = 487;
+	public static final int MIPS_INS_SELEQZ = 488;
+	public static final int MIPS_INS_SELNEZ = 489;
+	public static final int MIPS_INS_SEL = 490;
+	public static final int MIPS_INS_SEQ = 491;
+	public static final int MIPS_INS_SEQI = 492;
+	public static final int MIPS_INS_SH = 493;
+	public static final int MIPS_INS_SHF = 494;
+	public static final int MIPS_INS_SHILO = 495;
+	public static final int MIPS_INS_SHILOV = 496;
+	public static final int MIPS_INS_SHLLV = 497;
+	public static final int MIPS_INS_SHLLV_S = 498;
+	public static final int MIPS_INS_SHLL = 499;
+	public static final int MIPS_INS_SHLL_S = 500;
+	public static final int MIPS_INS_SHRAV = 501;
+	public static final int MIPS_INS_SHRAV_R = 502;
+	public static final int MIPS_INS_SHRA = 503;
+	public static final int MIPS_INS_SHRA_R = 504;
+	public static final int MIPS_INS_SHRLV = 505;
+	public static final int MIPS_INS_SHRL = 506;
+	public static final int MIPS_INS_SLDI = 507;
+	public static final int MIPS_INS_SLD = 508;
+	public static final int MIPS_INS_SLL = 509;
+	public static final int MIPS_INS_SLLI = 510;
+	public static final int MIPS_INS_SLLV = 511;
+	public static final int MIPS_INS_SLT = 512;
+	public static final int MIPS_INS_SLTI = 513;
+	public static final int MIPS_INS_SLTIU = 514;
+	public static final int MIPS_INS_SLTU = 515;
+	public static final int MIPS_INS_SNE = 516;
+	public static final int MIPS_INS_SNEI = 517;
+	public static final int MIPS_INS_SPLATI = 518;
+	public static final int MIPS_INS_SPLAT = 519;
+	public static final int MIPS_INS_SRA = 520;
+	public static final int MIPS_INS_SRAI = 521;
+	public static final int MIPS_INS_SRARI = 522;
+	public static final int MIPS_INS_SRAR = 523;
+	public static final int MIPS_INS_SRAV = 524;
+	public static final int MIPS_INS_SRL = 525;
+	public static final int MIPS_INS_SRLI = 526;
+	public static final int MIPS_INS_SRLRI = 527;
+	public static final int MIPS_INS_SRLR = 528;
+	public static final int MIPS_INS_SRLV = 529;
+	public static final int MIPS_INS_SSNOP = 530;
+	public static final int MIPS_INS_ST = 531;
+	public static final int MIPS_INS_SUBQH = 532;
+	public static final int MIPS_INS_SUBQH_R = 533;
+	public static final int MIPS_INS_SUBQ = 534;
+	public static final int MIPS_INS_SUBQ_S = 535;
+	public static final int MIPS_INS_SUBSUS_U = 536;
+	public static final int MIPS_INS_SUBSUU_S = 537;
+	public static final int MIPS_INS_SUBS_S = 538;
+	public static final int MIPS_INS_SUBS_U = 539;
+	public static final int MIPS_INS_SUBUH = 540;
+	public static final int MIPS_INS_SUBUH_R = 541;
+	public static final int MIPS_INS_SUBU = 542;
+	public static final int MIPS_INS_SUBU_S = 543;
+	public static final int MIPS_INS_SUBVI = 544;
+	public static final int MIPS_INS_SUBV = 545;
+	public static final int MIPS_INS_SUXC1 = 546;
+	public static final int MIPS_INS_SW = 547;
+	public static final int MIPS_INS_SWC1 = 548;
+	public static final int MIPS_INS_SWC2 = 549;
+	public static final int MIPS_INS_SWC3 = 550;
+	public static final int MIPS_INS_SWL = 551;
+	public static final int MIPS_INS_SWR = 552;
+	public static final int MIPS_INS_SWXC1 = 553;
+	public static final int MIPS_INS_SYNC = 554;
+	public static final int MIPS_INS_SYSCALL = 555;
+	public static final int MIPS_INS_TEQ = 556;
+	public static final int MIPS_INS_TEQI = 557;
+	public static final int MIPS_INS_TGE = 558;
+	public static final int MIPS_INS_TGEI = 559;
+	public static final int MIPS_INS_TGEIU = 560;
+	public static final int MIPS_INS_TGEU = 561;
+	public static final int MIPS_INS_TLBP = 562;
+	public static final int MIPS_INS_TLBR = 563;
+	public static final int MIPS_INS_TLBWI = 564;
+	public static final int MIPS_INS_TLBWR = 565;
+	public static final int MIPS_INS_TLT = 566;
+	public static final int MIPS_INS_TLTI = 567;
+	public static final int MIPS_INS_TLTIU = 568;
+	public static final int MIPS_INS_TLTU = 569;
+	public static final int MIPS_INS_TNE = 570;
+	public static final int MIPS_INS_TNEI = 571;
+	public static final int MIPS_INS_TRUNC = 572;
+	public static final int MIPS_INS_V3MULU = 573;
+	public static final int MIPS_INS_VMM0 = 574;
+	public static final int MIPS_INS_VMULU = 575;
+	public static final int MIPS_INS_VSHF = 576;
+	public static final int MIPS_INS_WAIT = 577;
+	public static final int MIPS_INS_WRDSP = 578;
+	public static final int MIPS_INS_WSBH = 579;
+	public static final int MIPS_INS_XOR = 580;
+	public static final int MIPS_INS_XORI = 581;
 
 	// some alias instructions
-	public static final int MIPS_INS_NOP = 555;
-	public static final int MIPS_INS_NEGU = 556;
-	public static final int MIPS_INS_MAXIMUM = 557;
+	public static final int MIPS_INS_NOP = 582;
+	public static final int MIPS_INS_NEGU = 583;
+	public static final int MIPS_INS_MAXIMUM = 584;
 
 	// Group of MIPS instructions
 
diff --git a/bindings/ocaml/mips_const.ml b/bindings/ocaml/mips_const.ml
index e2ee85c..b1c0c32 100644
--- a/bindings/ocaml/mips_const.ml
+++ b/bindings/ocaml/mips_const.ml
@@ -10,6 +10,8 @@
 (* MIPS registers *)
 
 let _MIPS_REG_INVALID = 0;;
+
+(* General purpose registers *)
 let _MIPS_REG_0 = 1;;
 let _MIPS_REG_1 = 2;;
 let _MIPS_REG_2 = 3;;
@@ -42,6 +44,8 @@
 let _MIPS_REG_29 = 30;;
 let _MIPS_REG_30 = 31;;
 let _MIPS_REG_31 = 32;;
+
+(* DSP registers *)
 let _MIPS_REG_DSPCCOND = 33;;
 let _MIPS_REG_DSPCARRY = 34;;
 let _MIPS_REG_DSPEFI = 35;;
@@ -53,92 +57,108 @@
 let _MIPS_REG_DSPOUTFLAG23 = 41;;
 let _MIPS_REG_DSPPOS = 42;;
 let _MIPS_REG_DSPSCOUNT = 43;;
+
+(* ACC registers *)
 let _MIPS_REG_AC0 = 44;;
 let _MIPS_REG_AC1 = 45;;
 let _MIPS_REG_AC2 = 46;;
 let _MIPS_REG_AC3 = 47;;
-let _MIPS_REG_F0 = 48;;
-let _MIPS_REG_F1 = 49;;
-let _MIPS_REG_F2 = 50;;
-let _MIPS_REG_F3 = 51;;
-let _MIPS_REG_F4 = 52;;
-let _MIPS_REG_F5 = 53;;
-let _MIPS_REG_F6 = 54;;
-let _MIPS_REG_F7 = 55;;
-let _MIPS_REG_F8 = 56;;
-let _MIPS_REG_F9 = 57;;
-let _MIPS_REG_F10 = 58;;
-let _MIPS_REG_F11 = 59;;
-let _MIPS_REG_F12 = 60;;
-let _MIPS_REG_F13 = 61;;
-let _MIPS_REG_F14 = 62;;
-let _MIPS_REG_F15 = 63;;
-let _MIPS_REG_F16 = 64;;
-let _MIPS_REG_F17 = 65;;
-let _MIPS_REG_F18 = 66;;
-let _MIPS_REG_F19 = 67;;
-let _MIPS_REG_F20 = 68;;
-let _MIPS_REG_F21 = 69;;
-let _MIPS_REG_F22 = 70;;
-let _MIPS_REG_F23 = 71;;
-let _MIPS_REG_F24 = 72;;
-let _MIPS_REG_F25 = 73;;
-let _MIPS_REG_F26 = 74;;
-let _MIPS_REG_F27 = 75;;
-let _MIPS_REG_F28 = 76;;
-let _MIPS_REG_F29 = 77;;
-let _MIPS_REG_F30 = 78;;
-let _MIPS_REG_F31 = 79;;
-let _MIPS_REG_FCC0 = 80;;
-let _MIPS_REG_FCC1 = 81;;
-let _MIPS_REG_FCC2 = 82;;
-let _MIPS_REG_FCC3 = 83;;
-let _MIPS_REG_FCC4 = 84;;
-let _MIPS_REG_FCC5 = 85;;
-let _MIPS_REG_FCC6 = 86;;
-let _MIPS_REG_FCC7 = 87;;
-let _MIPS_REG_W0 = 88;;
-let _MIPS_REG_W1 = 89;;
-let _MIPS_REG_W2 = 90;;
-let _MIPS_REG_W3 = 91;;
-let _MIPS_REG_W4 = 92;;
-let _MIPS_REG_W5 = 93;;
-let _MIPS_REG_W6 = 94;;
-let _MIPS_REG_W7 = 95;;
-let _MIPS_REG_W8 = 96;;
-let _MIPS_REG_W9 = 97;;
-let _MIPS_REG_W10 = 98;;
-let _MIPS_REG_W11 = 99;;
-let _MIPS_REG_W12 = 100;;
-let _MIPS_REG_W13 = 101;;
-let _MIPS_REG_W14 = 102;;
-let _MIPS_REG_W15 = 103;;
-let _MIPS_REG_W16 = 104;;
-let _MIPS_REG_W17 = 105;;
-let _MIPS_REG_W18 = 106;;
-let _MIPS_REG_W19 = 107;;
-let _MIPS_REG_W20 = 108;;
-let _MIPS_REG_W21 = 109;;
-let _MIPS_REG_W22 = 110;;
-let _MIPS_REG_W23 = 111;;
-let _MIPS_REG_W24 = 112;;
-let _MIPS_REG_W25 = 113;;
-let _MIPS_REG_W26 = 114;;
-let _MIPS_REG_W27 = 115;;
-let _MIPS_REG_W28 = 116;;
-let _MIPS_REG_W29 = 117;;
-let _MIPS_REG_W30 = 118;;
-let _MIPS_REG_W31 = 119;;
-let _MIPS_REG_HI = 120;;
-let _MIPS_REG_LO = 121;;
-let _MIPS_REG_PC = 122;;
-let _MIPS_REG_P0 = 123;;
-let _MIPS_REG_P1 = 124;;
-let _MIPS_REG_P2 = 125;;
-let _MIPS_REG_MPL0 = 126;;
-let _MIPS_REG_MPL1 = 127;;
-let _MIPS_REG_MPL2 = 128;;
-let _MIPS_REG_MAX = 129;;
+
+(* COP registers *)
+let _MIPS_REG_CC0 = 48;;
+let _MIPS_REG_CC1 = 49;;
+let _MIPS_REG_CC2 = 50;;
+let _MIPS_REG_CC3 = 51;;
+let _MIPS_REG_CC4 = 52;;
+let _MIPS_REG_CC5 = 53;;
+let _MIPS_REG_CC6 = 54;;
+let _MIPS_REG_CC7 = 55;;
+
+(* FPU registers *)
+let _MIPS_REG_F0 = 56;;
+let _MIPS_REG_F1 = 57;;
+let _MIPS_REG_F2 = 58;;
+let _MIPS_REG_F3 = 59;;
+let _MIPS_REG_F4 = 60;;
+let _MIPS_REG_F5 = 61;;
+let _MIPS_REG_F6 = 62;;
+let _MIPS_REG_F7 = 63;;
+let _MIPS_REG_F8 = 64;;
+let _MIPS_REG_F9 = 65;;
+let _MIPS_REG_F10 = 66;;
+let _MIPS_REG_F11 = 67;;
+let _MIPS_REG_F12 = 68;;
+let _MIPS_REG_F13 = 69;;
+let _MIPS_REG_F14 = 70;;
+let _MIPS_REG_F15 = 71;;
+let _MIPS_REG_F16 = 72;;
+let _MIPS_REG_F17 = 73;;
+let _MIPS_REG_F18 = 74;;
+let _MIPS_REG_F19 = 75;;
+let _MIPS_REG_F20 = 76;;
+let _MIPS_REG_F21 = 77;;
+let _MIPS_REG_F22 = 78;;
+let _MIPS_REG_F23 = 79;;
+let _MIPS_REG_F24 = 80;;
+let _MIPS_REG_F25 = 81;;
+let _MIPS_REG_F26 = 82;;
+let _MIPS_REG_F27 = 83;;
+let _MIPS_REG_F28 = 84;;
+let _MIPS_REG_F29 = 85;;
+let _MIPS_REG_F30 = 86;;
+let _MIPS_REG_F31 = 87;;
+let _MIPS_REG_FCC0 = 88;;
+let _MIPS_REG_FCC1 = 89;;
+let _MIPS_REG_FCC2 = 90;;
+let _MIPS_REG_FCC3 = 91;;
+let _MIPS_REG_FCC4 = 92;;
+let _MIPS_REG_FCC5 = 93;;
+let _MIPS_REG_FCC6 = 94;;
+let _MIPS_REG_FCC7 = 95;;
+
+(* AFPR128 *)
+let _MIPS_REG_W0 = 96;;
+let _MIPS_REG_W1 = 97;;
+let _MIPS_REG_W2 = 98;;
+let _MIPS_REG_W3 = 99;;
+let _MIPS_REG_W4 = 100;;
+let _MIPS_REG_W5 = 101;;
+let _MIPS_REG_W6 = 102;;
+let _MIPS_REG_W7 = 103;;
+let _MIPS_REG_W8 = 104;;
+let _MIPS_REG_W9 = 105;;
+let _MIPS_REG_W10 = 106;;
+let _MIPS_REG_W11 = 107;;
+let _MIPS_REG_W12 = 108;;
+let _MIPS_REG_W13 = 109;;
+let _MIPS_REG_W14 = 110;;
+let _MIPS_REG_W15 = 111;;
+let _MIPS_REG_W16 = 112;;
+let _MIPS_REG_W17 = 113;;
+let _MIPS_REG_W18 = 114;;
+let _MIPS_REG_W19 = 115;;
+let _MIPS_REG_W20 = 116;;
+let _MIPS_REG_W21 = 117;;
+let _MIPS_REG_W22 = 118;;
+let _MIPS_REG_W23 = 119;;
+let _MIPS_REG_W24 = 120;;
+let _MIPS_REG_W25 = 121;;
+let _MIPS_REG_W26 = 122;;
+let _MIPS_REG_W27 = 123;;
+let _MIPS_REG_W28 = 124;;
+let _MIPS_REG_W29 = 125;;
+let _MIPS_REG_W30 = 126;;
+let _MIPS_REG_W31 = 127;;
+let _MIPS_REG_HI = 128;;
+let _MIPS_REG_LO = 129;;
+let _MIPS_REG_PC = 130;;
+let _MIPS_REG_P0 = 131;;
+let _MIPS_REG_P1 = 132;;
+let _MIPS_REG_P2 = 133;;
+let _MIPS_REG_MPL0 = 134;;
+let _MIPS_REG_MPL1 = 135;;
+let _MIPS_REG_MPL2 = 136;;
+let _MIPS_REG_MAX = 137;;
 let _MIPS_REG_ZERO = _MIPS_REG_0;;
 let _MIPS_REG_AT = _MIPS_REG_1;;
 let _MIPS_REG_V0 = _MIPS_REG_2;;
@@ -223,526 +243,553 @@
 let _MIPS_INS_BALC = 37;;
 let _MIPS_INS_BALIGN = 38;;
 let _MIPS_INS_BC = 39;;
-let _MIPS_INS_BC1EQZ = 40;;
-let _MIPS_INS_BC1F = 41;;
-let _MIPS_INS_BC1NEZ = 42;;
-let _MIPS_INS_BC1T = 43;;
-let _MIPS_INS_BC2EQZ = 44;;
-let _MIPS_INS_BC2NEZ = 45;;
-let _MIPS_INS_BCLRI = 46;;
-let _MIPS_INS_BCLR = 47;;
-let _MIPS_INS_BEQ = 48;;
-let _MIPS_INS_BEQC = 49;;
-let _MIPS_INS_BEQZALC = 50;;
-let _MIPS_INS_BEQZC = 51;;
-let _MIPS_INS_BGEC = 52;;
-let _MIPS_INS_BGEUC = 53;;
-let _MIPS_INS_BGEZ = 54;;
-let _MIPS_INS_BGEZAL = 55;;
-let _MIPS_INS_BGEZALC = 56;;
-let _MIPS_INS_BGEZC = 57;;
-let _MIPS_INS_BGTZ = 58;;
-let _MIPS_INS_BGTZALC = 59;;
-let _MIPS_INS_BGTZC = 60;;
-let _MIPS_INS_BINSLI = 61;;
-let _MIPS_INS_BINSL = 62;;
-let _MIPS_INS_BINSRI = 63;;
-let _MIPS_INS_BINSR = 64;;
-let _MIPS_INS_BITREV = 65;;
-let _MIPS_INS_BITSWAP = 66;;
-let _MIPS_INS_BLEZ = 67;;
-let _MIPS_INS_BLEZALC = 68;;
-let _MIPS_INS_BLEZC = 69;;
-let _MIPS_INS_BLTC = 70;;
-let _MIPS_INS_BLTUC = 71;;
-let _MIPS_INS_BLTZ = 72;;
-let _MIPS_INS_BLTZAL = 73;;
-let _MIPS_INS_BLTZALC = 74;;
-let _MIPS_INS_BLTZC = 75;;
-let _MIPS_INS_BMNZI = 76;;
-let _MIPS_INS_BMNZ = 77;;
-let _MIPS_INS_BMZI = 78;;
-let _MIPS_INS_BMZ = 79;;
-let _MIPS_INS_BNE = 80;;
-let _MIPS_INS_BNEC = 81;;
-let _MIPS_INS_BNEGI = 82;;
-let _MIPS_INS_BNEG = 83;;
-let _MIPS_INS_BNEZALC = 84;;
-let _MIPS_INS_BNEZC = 85;;
-let _MIPS_INS_BNVC = 86;;
-let _MIPS_INS_BNZ = 87;;
-let _MIPS_INS_BOVC = 88;;
-let _MIPS_INS_BPOSGE32 = 89;;
-let _MIPS_INS_BREAK = 90;;
-let _MIPS_INS_BSELI = 91;;
-let _MIPS_INS_BSEL = 92;;
-let _MIPS_INS_BSETI = 93;;
-let _MIPS_INS_BSET = 94;;
-let _MIPS_INS_BZ = 95;;
-let _MIPS_INS_BEQZ = 96;;
-let _MIPS_INS_B = 97;;
-let _MIPS_INS_BNEZ = 98;;
-let _MIPS_INS_BTEQZ = 99;;
-let _MIPS_INS_BTNEZ = 100;;
-let _MIPS_INS_CACHE = 101;;
-let _MIPS_INS_CEIL = 102;;
-let _MIPS_INS_CEQI = 103;;
-let _MIPS_INS_CEQ = 104;;
-let _MIPS_INS_CFC1 = 105;;
-let _MIPS_INS_CFCMSA = 106;;
-let _MIPS_INS_CINS = 107;;
-let _MIPS_INS_CINS32 = 108;;
-let _MIPS_INS_CLASS = 109;;
-let _MIPS_INS_CLEI_S = 110;;
-let _MIPS_INS_CLEI_U = 111;;
-let _MIPS_INS_CLE_S = 112;;
-let _MIPS_INS_CLE_U = 113;;
-let _MIPS_INS_CLO = 114;;
-let _MIPS_INS_CLTI_S = 115;;
-let _MIPS_INS_CLTI_U = 116;;
-let _MIPS_INS_CLT_S = 117;;
-let _MIPS_INS_CLT_U = 118;;
-let _MIPS_INS_CLZ = 119;;
-let _MIPS_INS_CMPGDU = 120;;
-let _MIPS_INS_CMPGU = 121;;
-let _MIPS_INS_CMPU = 122;;
-let _MIPS_INS_CMP = 123;;
-let _MIPS_INS_COPY_S = 124;;
-let _MIPS_INS_COPY_U = 125;;
-let _MIPS_INS_CTC1 = 126;;
-let _MIPS_INS_CTCMSA = 127;;
-let _MIPS_INS_CVT = 128;;
-let _MIPS_INS_C = 129;;
-let _MIPS_INS_CMPI = 130;;
-let _MIPS_INS_DADD = 131;;
-let _MIPS_INS_DADDI = 132;;
-let _MIPS_INS_DADDIU = 133;;
-let _MIPS_INS_DADDU = 134;;
-let _MIPS_INS_DAHI = 135;;
-let _MIPS_INS_DALIGN = 136;;
-let _MIPS_INS_DATI = 137;;
-let _MIPS_INS_DAUI = 138;;
-let _MIPS_INS_DBITSWAP = 139;;
-let _MIPS_INS_DCLO = 140;;
-let _MIPS_INS_DCLZ = 141;;
-let _MIPS_INS_DDIV = 142;;
-let _MIPS_INS_DDIVU = 143;;
-let _MIPS_INS_DERET = 144;;
-let _MIPS_INS_DEXT = 145;;
-let _MIPS_INS_DEXTM = 146;;
-let _MIPS_INS_DEXTU = 147;;
-let _MIPS_INS_DI = 148;;
-let _MIPS_INS_DINS = 149;;
-let _MIPS_INS_DINSM = 150;;
-let _MIPS_INS_DINSU = 151;;
-let _MIPS_INS_DIV = 152;;
-let _MIPS_INS_DIVU = 153;;
-let _MIPS_INS_DIV_S = 154;;
-let _MIPS_INS_DIV_U = 155;;
-let _MIPS_INS_DLSA = 156;;
-let _MIPS_INS_DMFC0 = 157;;
-let _MIPS_INS_DMFC1 = 158;;
-let _MIPS_INS_DMFC2 = 159;;
-let _MIPS_INS_DMOD = 160;;
-let _MIPS_INS_DMODU = 161;;
-let _MIPS_INS_DMTC0 = 162;;
-let _MIPS_INS_DMTC1 = 163;;
-let _MIPS_INS_DMTC2 = 164;;
-let _MIPS_INS_DMUH = 165;;
-let _MIPS_INS_DMUHU = 166;;
-let _MIPS_INS_DMUL = 167;;
-let _MIPS_INS_DMULT = 168;;
-let _MIPS_INS_DMULTU = 169;;
-let _MIPS_INS_DMULU = 170;;
-let _MIPS_INS_DOTP_S = 171;;
-let _MIPS_INS_DOTP_U = 172;;
-let _MIPS_INS_DPADD_S = 173;;
-let _MIPS_INS_DPADD_U = 174;;
-let _MIPS_INS_DPAQX_SA = 175;;
-let _MIPS_INS_DPAQX_S = 176;;
-let _MIPS_INS_DPAQ_SA = 177;;
-let _MIPS_INS_DPAQ_S = 178;;
-let _MIPS_INS_DPAU = 179;;
-let _MIPS_INS_DPAX = 180;;
-let _MIPS_INS_DPA = 181;;
-let _MIPS_INS_DPOP = 182;;
-let _MIPS_INS_DPSQX_SA = 183;;
-let _MIPS_INS_DPSQX_S = 184;;
-let _MIPS_INS_DPSQ_SA = 185;;
-let _MIPS_INS_DPSQ_S = 186;;
-let _MIPS_INS_DPSUB_S = 187;;
-let _MIPS_INS_DPSUB_U = 188;;
-let _MIPS_INS_DPSU = 189;;
-let _MIPS_INS_DPSX = 190;;
-let _MIPS_INS_DPS = 191;;
-let _MIPS_INS_DROTR = 192;;
-let _MIPS_INS_DROTR32 = 193;;
-let _MIPS_INS_DROTRV = 194;;
-let _MIPS_INS_DSBH = 195;;
-let _MIPS_INS_DSHD = 196;;
-let _MIPS_INS_DSLL = 197;;
-let _MIPS_INS_DSLL32 = 198;;
-let _MIPS_INS_DSLLV = 199;;
-let _MIPS_INS_DSRA = 200;;
-let _MIPS_INS_DSRA32 = 201;;
-let _MIPS_INS_DSRAV = 202;;
-let _MIPS_INS_DSRL = 203;;
-let _MIPS_INS_DSRL32 = 204;;
-let _MIPS_INS_DSRLV = 205;;
-let _MIPS_INS_DSUB = 206;;
-let _MIPS_INS_DSUBU = 207;;
-let _MIPS_INS_EHB = 208;;
-let _MIPS_INS_EI = 209;;
-let _MIPS_INS_ERET = 210;;
-let _MIPS_INS_EXT = 211;;
-let _MIPS_INS_EXTP = 212;;
-let _MIPS_INS_EXTPDP = 213;;
-let _MIPS_INS_EXTPDPV = 214;;
-let _MIPS_INS_EXTPV = 215;;
-let _MIPS_INS_EXTRV_RS = 216;;
-let _MIPS_INS_EXTRV_R = 217;;
-let _MIPS_INS_EXTRV_S = 218;;
-let _MIPS_INS_EXTRV = 219;;
-let _MIPS_INS_EXTR_RS = 220;;
-let _MIPS_INS_EXTR_R = 221;;
-let _MIPS_INS_EXTR_S = 222;;
-let _MIPS_INS_EXTR = 223;;
-let _MIPS_INS_EXTS = 224;;
-let _MIPS_INS_EXTS32 = 225;;
-let _MIPS_INS_ABS = 226;;
-let _MIPS_INS_FADD = 227;;
-let _MIPS_INS_FCAF = 228;;
-let _MIPS_INS_FCEQ = 229;;
-let _MIPS_INS_FCLASS = 230;;
-let _MIPS_INS_FCLE = 231;;
-let _MIPS_INS_FCLT = 232;;
-let _MIPS_INS_FCNE = 233;;
-let _MIPS_INS_FCOR = 234;;
-let _MIPS_INS_FCUEQ = 235;;
-let _MIPS_INS_FCULE = 236;;
-let _MIPS_INS_FCULT = 237;;
-let _MIPS_INS_FCUNE = 238;;
-let _MIPS_INS_FCUN = 239;;
-let _MIPS_INS_FDIV = 240;;
-let _MIPS_INS_FEXDO = 241;;
-let _MIPS_INS_FEXP2 = 242;;
-let _MIPS_INS_FEXUPL = 243;;
-let _MIPS_INS_FEXUPR = 244;;
-let _MIPS_INS_FFINT_S = 245;;
-let _MIPS_INS_FFINT_U = 246;;
-let _MIPS_INS_FFQL = 247;;
-let _MIPS_INS_FFQR = 248;;
-let _MIPS_INS_FILL = 249;;
-let _MIPS_INS_FLOG2 = 250;;
-let _MIPS_INS_FLOOR = 251;;
-let _MIPS_INS_FMADD = 252;;
-let _MIPS_INS_FMAX_A = 253;;
-let _MIPS_INS_FMAX = 254;;
-let _MIPS_INS_FMIN_A = 255;;
-let _MIPS_INS_FMIN = 256;;
-let _MIPS_INS_MOV = 257;;
-let _MIPS_INS_FMSUB = 258;;
-let _MIPS_INS_FMUL = 259;;
-let _MIPS_INS_MUL = 260;;
-let _MIPS_INS_NEG = 261;;
-let _MIPS_INS_FRCP = 262;;
-let _MIPS_INS_FRINT = 263;;
-let _MIPS_INS_FRSQRT = 264;;
-let _MIPS_INS_FSAF = 265;;
-let _MIPS_INS_FSEQ = 266;;
-let _MIPS_INS_FSLE = 267;;
-let _MIPS_INS_FSLT = 268;;
-let _MIPS_INS_FSNE = 269;;
-let _MIPS_INS_FSOR = 270;;
-let _MIPS_INS_FSQRT = 271;;
-let _MIPS_INS_SQRT = 272;;
-let _MIPS_INS_FSUB = 273;;
-let _MIPS_INS_SUB = 274;;
-let _MIPS_INS_FSUEQ = 275;;
-let _MIPS_INS_FSULE = 276;;
-let _MIPS_INS_FSULT = 277;;
-let _MIPS_INS_FSUNE = 278;;
-let _MIPS_INS_FSUN = 279;;
-let _MIPS_INS_FTINT_S = 280;;
-let _MIPS_INS_FTINT_U = 281;;
-let _MIPS_INS_FTQ = 282;;
-let _MIPS_INS_FTRUNC_S = 283;;
-let _MIPS_INS_FTRUNC_U = 284;;
-let _MIPS_INS_HADD_S = 285;;
-let _MIPS_INS_HADD_U = 286;;
-let _MIPS_INS_HSUB_S = 287;;
-let _MIPS_INS_HSUB_U = 288;;
-let _MIPS_INS_ILVEV = 289;;
-let _MIPS_INS_ILVL = 290;;
-let _MIPS_INS_ILVOD = 291;;
-let _MIPS_INS_ILVR = 292;;
-let _MIPS_INS_INS = 293;;
-let _MIPS_INS_INSERT = 294;;
-let _MIPS_INS_INSV = 295;;
-let _MIPS_INS_INSVE = 296;;
-let _MIPS_INS_J = 297;;
-let _MIPS_INS_JAL = 298;;
-let _MIPS_INS_JALR = 299;;
-let _MIPS_INS_JALX = 300;;
-let _MIPS_INS_JIALC = 301;;
-let _MIPS_INS_JIC = 302;;
-let _MIPS_INS_JR = 303;;
-let _MIPS_INS_JRC = 304;;
-let _MIPS_INS_JALRC = 305;;
-let _MIPS_INS_LB = 306;;
-let _MIPS_INS_LBUX = 307;;
-let _MIPS_INS_LBU = 308;;
-let _MIPS_INS_LD = 309;;
-let _MIPS_INS_LDC1 = 310;;
-let _MIPS_INS_LDC2 = 311;;
-let _MIPS_INS_LDC3 = 312;;
-let _MIPS_INS_LDI = 313;;
-let _MIPS_INS_LDL = 314;;
-let _MIPS_INS_LDPC = 315;;
-let _MIPS_INS_LDR = 316;;
-let _MIPS_INS_LDXC1 = 317;;
-let _MIPS_INS_LH = 318;;
-let _MIPS_INS_LHX = 319;;
-let _MIPS_INS_LHU = 320;;
-let _MIPS_INS_LL = 321;;
-let _MIPS_INS_LLD = 322;;
-let _MIPS_INS_LSA = 323;;
-let _MIPS_INS_LUXC1 = 324;;
-let _MIPS_INS_LUI = 325;;
-let _MIPS_INS_LW = 326;;
-let _MIPS_INS_LWC1 = 327;;
-let _MIPS_INS_LWC2 = 328;;
-let _MIPS_INS_LWC3 = 329;;
-let _MIPS_INS_LWL = 330;;
-let _MIPS_INS_LWPC = 331;;
-let _MIPS_INS_LWR = 332;;
-let _MIPS_INS_LWUPC = 333;;
-let _MIPS_INS_LWU = 334;;
-let _MIPS_INS_LWX = 335;;
-let _MIPS_INS_LWXC1 = 336;;
-let _MIPS_INS_LI = 337;;
-let _MIPS_INS_MADD = 338;;
-let _MIPS_INS_MADDF = 339;;
-let _MIPS_INS_MADDR_Q = 340;;
-let _MIPS_INS_MADDU = 341;;
-let _MIPS_INS_MADDV = 342;;
-let _MIPS_INS_MADD_Q = 343;;
-let _MIPS_INS_MAQ_SA = 344;;
-let _MIPS_INS_MAQ_S = 345;;
-let _MIPS_INS_MAXA = 346;;
-let _MIPS_INS_MAXI_S = 347;;
-let _MIPS_INS_MAXI_U = 348;;
-let _MIPS_INS_MAX_A = 349;;
-let _MIPS_INS_MAX = 350;;
-let _MIPS_INS_MAX_S = 351;;
-let _MIPS_INS_MAX_U = 352;;
-let _MIPS_INS_MFC0 = 353;;
-let _MIPS_INS_MFC1 = 354;;
-let _MIPS_INS_MFC2 = 355;;
-let _MIPS_INS_MFHC1 = 356;;
-let _MIPS_INS_MFHI = 357;;
-let _MIPS_INS_MFLO = 358;;
-let _MIPS_INS_MINA = 359;;
-let _MIPS_INS_MINI_S = 360;;
-let _MIPS_INS_MINI_U = 361;;
-let _MIPS_INS_MIN_A = 362;;
-let _MIPS_INS_MIN = 363;;
-let _MIPS_INS_MIN_S = 364;;
-let _MIPS_INS_MIN_U = 365;;
-let _MIPS_INS_MOD = 366;;
-let _MIPS_INS_MODSUB = 367;;
-let _MIPS_INS_MODU = 368;;
-let _MIPS_INS_MOD_S = 369;;
-let _MIPS_INS_MOD_U = 370;;
-let _MIPS_INS_MOVE = 371;;
-let _MIPS_INS_MOVF = 372;;
-let _MIPS_INS_MOVN = 373;;
-let _MIPS_INS_MOVT = 374;;
-let _MIPS_INS_MOVZ = 375;;
-let _MIPS_INS_MSUB = 376;;
-let _MIPS_INS_MSUBF = 377;;
-let _MIPS_INS_MSUBR_Q = 378;;
-let _MIPS_INS_MSUBU = 379;;
-let _MIPS_INS_MSUBV = 380;;
-let _MIPS_INS_MSUB_Q = 381;;
-let _MIPS_INS_MTC0 = 382;;
-let _MIPS_INS_MTC1 = 383;;
-let _MIPS_INS_MTC2 = 384;;
-let _MIPS_INS_MTHC1 = 385;;
-let _MIPS_INS_MTHI = 386;;
-let _MIPS_INS_MTHLIP = 387;;
-let _MIPS_INS_MTLO = 388;;
-let _MIPS_INS_MTM0 = 389;;
-let _MIPS_INS_MTM1 = 390;;
-let _MIPS_INS_MTM2 = 391;;
-let _MIPS_INS_MTP0 = 392;;
-let _MIPS_INS_MTP1 = 393;;
-let _MIPS_INS_MTP2 = 394;;
-let _MIPS_INS_MUH = 395;;
-let _MIPS_INS_MUHU = 396;;
-let _MIPS_INS_MULEQ_S = 397;;
-let _MIPS_INS_MULEU_S = 398;;
-let _MIPS_INS_MULQ_RS = 399;;
-let _MIPS_INS_MULQ_S = 400;;
-let _MIPS_INS_MULR_Q = 401;;
-let _MIPS_INS_MULSAQ_S = 402;;
-let _MIPS_INS_MULSA = 403;;
-let _MIPS_INS_MULT = 404;;
-let _MIPS_INS_MULTU = 405;;
-let _MIPS_INS_MULU = 406;;
-let _MIPS_INS_MULV = 407;;
-let _MIPS_INS_MUL_Q = 408;;
-let _MIPS_INS_MUL_S = 409;;
-let _MIPS_INS_NLOC = 410;;
-let _MIPS_INS_NLZC = 411;;
-let _MIPS_INS_NMADD = 412;;
-let _MIPS_INS_NMSUB = 413;;
-let _MIPS_INS_NOR = 414;;
-let _MIPS_INS_NORI = 415;;
-let _MIPS_INS_NOT = 416;;
-let _MIPS_INS_OR = 417;;
-let _MIPS_INS_ORI = 418;;
-let _MIPS_INS_PACKRL = 419;;
-let _MIPS_INS_PAUSE = 420;;
-let _MIPS_INS_PCKEV = 421;;
-let _MIPS_INS_PCKOD = 422;;
-let _MIPS_INS_PCNT = 423;;
-let _MIPS_INS_PICK = 424;;
-let _MIPS_INS_POP = 425;;
-let _MIPS_INS_PRECEQU = 426;;
-let _MIPS_INS_PRECEQ = 427;;
-let _MIPS_INS_PRECEU = 428;;
-let _MIPS_INS_PRECRQU_S = 429;;
-let _MIPS_INS_PRECRQ = 430;;
-let _MIPS_INS_PRECRQ_RS = 431;;
-let _MIPS_INS_PRECR = 432;;
-let _MIPS_INS_PRECR_SRA = 433;;
-let _MIPS_INS_PRECR_SRA_R = 434;;
-let _MIPS_INS_PREF = 435;;
-let _MIPS_INS_PREPEND = 436;;
-let _MIPS_INS_RADDU = 437;;
-let _MIPS_INS_RDDSP = 438;;
-let _MIPS_INS_RDHWR = 439;;
-let _MIPS_INS_REPLV = 440;;
-let _MIPS_INS_REPL = 441;;
-let _MIPS_INS_RINT = 442;;
-let _MIPS_INS_ROTR = 443;;
-let _MIPS_INS_ROTRV = 444;;
-let _MIPS_INS_ROUND = 445;;
-let _MIPS_INS_SAT_S = 446;;
-let _MIPS_INS_SAT_U = 447;;
-let _MIPS_INS_SB = 448;;
-let _MIPS_INS_SC = 449;;
-let _MIPS_INS_SCD = 450;;
-let _MIPS_INS_SD = 451;;
-let _MIPS_INS_SDBBP = 452;;
-let _MIPS_INS_SDC1 = 453;;
-let _MIPS_INS_SDC2 = 454;;
-let _MIPS_INS_SDC3 = 455;;
-let _MIPS_INS_SDL = 456;;
-let _MIPS_INS_SDR = 457;;
-let _MIPS_INS_SDXC1 = 458;;
-let _MIPS_INS_SEB = 459;;
-let _MIPS_INS_SEH = 460;;
-let _MIPS_INS_SELEQZ = 461;;
-let _MIPS_INS_SELNEZ = 462;;
-let _MIPS_INS_SEL = 463;;
-let _MIPS_INS_SEQ = 464;;
-let _MIPS_INS_SEQI = 465;;
-let _MIPS_INS_SH = 466;;
-let _MIPS_INS_SHF = 467;;
-let _MIPS_INS_SHILO = 468;;
-let _MIPS_INS_SHILOV = 469;;
-let _MIPS_INS_SHLLV = 470;;
-let _MIPS_INS_SHLLV_S = 471;;
-let _MIPS_INS_SHLL = 472;;
-let _MIPS_INS_SHLL_S = 473;;
-let _MIPS_INS_SHRAV = 474;;
-let _MIPS_INS_SHRAV_R = 475;;
-let _MIPS_INS_SHRA = 476;;
-let _MIPS_INS_SHRA_R = 477;;
-let _MIPS_INS_SHRLV = 478;;
-let _MIPS_INS_SHRL = 479;;
-let _MIPS_INS_SLDI = 480;;
-let _MIPS_INS_SLD = 481;;
-let _MIPS_INS_SLL = 482;;
-let _MIPS_INS_SLLI = 483;;
-let _MIPS_INS_SLLV = 484;;
-let _MIPS_INS_SLT = 485;;
-let _MIPS_INS_SLTI = 486;;
-let _MIPS_INS_SLTIU = 487;;
-let _MIPS_INS_SLTU = 488;;
-let _MIPS_INS_SNE = 489;;
-let _MIPS_INS_SNEI = 490;;
-let _MIPS_INS_SPLATI = 491;;
-let _MIPS_INS_SPLAT = 492;;
-let _MIPS_INS_SRA = 493;;
-let _MIPS_INS_SRAI = 494;;
-let _MIPS_INS_SRARI = 495;;
-let _MIPS_INS_SRAR = 496;;
-let _MIPS_INS_SRAV = 497;;
-let _MIPS_INS_SRL = 498;;
-let _MIPS_INS_SRLI = 499;;
-let _MIPS_INS_SRLRI = 500;;
-let _MIPS_INS_SRLR = 501;;
-let _MIPS_INS_SRLV = 502;;
-let _MIPS_INS_SSNOP = 503;;
-let _MIPS_INS_ST = 504;;
-let _MIPS_INS_SUBQH = 505;;
-let _MIPS_INS_SUBQH_R = 506;;
-let _MIPS_INS_SUBQ = 507;;
-let _MIPS_INS_SUBQ_S = 508;;
-let _MIPS_INS_SUBSUS_U = 509;;
-let _MIPS_INS_SUBSUU_S = 510;;
-let _MIPS_INS_SUBS_S = 511;;
-let _MIPS_INS_SUBS_U = 512;;
-let _MIPS_INS_SUBUH = 513;;
-let _MIPS_INS_SUBUH_R = 514;;
-let _MIPS_INS_SUBU = 515;;
-let _MIPS_INS_SUBU_S = 516;;
-let _MIPS_INS_SUBVI = 517;;
-let _MIPS_INS_SUBV = 518;;
-let _MIPS_INS_SUXC1 = 519;;
-let _MIPS_INS_SW = 520;;
-let _MIPS_INS_SWC1 = 521;;
-let _MIPS_INS_SWC2 = 522;;
-let _MIPS_INS_SWC3 = 523;;
-let _MIPS_INS_SWL = 524;;
-let _MIPS_INS_SWR = 525;;
-let _MIPS_INS_SWXC1 = 526;;
-let _MIPS_INS_SYNC = 527;;
-let _MIPS_INS_SYSCALL = 528;;
-let _MIPS_INS_TEQ = 529;;
-let _MIPS_INS_TEQI = 530;;
-let _MIPS_INS_TGE = 531;;
-let _MIPS_INS_TGEI = 532;;
-let _MIPS_INS_TGEIU = 533;;
-let _MIPS_INS_TGEU = 534;;
-let _MIPS_INS_TLBP = 535;;
-let _MIPS_INS_TLBR = 536;;
-let _MIPS_INS_TLBWI = 537;;
-let _MIPS_INS_TLBWR = 538;;
-let _MIPS_INS_TLT = 539;;
-let _MIPS_INS_TLTI = 540;;
-let _MIPS_INS_TLTIU = 541;;
-let _MIPS_INS_TLTU = 542;;
-let _MIPS_INS_TNE = 543;;
-let _MIPS_INS_TNEI = 544;;
-let _MIPS_INS_TRUNC = 545;;
-let _MIPS_INS_V3MULU = 546;;
-let _MIPS_INS_VMM0 = 547;;
-let _MIPS_INS_VMULU = 548;;
-let _MIPS_INS_VSHF = 549;;
-let _MIPS_INS_WAIT = 550;;
-let _MIPS_INS_WRDSP = 551;;
-let _MIPS_INS_WSBH = 552;;
-let _MIPS_INS_XOR = 553;;
-let _MIPS_INS_XORI = 554;;
+let _MIPS_INS_BC0F = 40;;
+let _MIPS_INS_BC0FL = 41;;
+let _MIPS_INS_BC0T = 42;;
+let _MIPS_INS_BC0TL = 43;;
+let _MIPS_INS_BC1EQZ = 44;;
+let _MIPS_INS_BC1F = 45;;
+let _MIPS_INS_BC1FL = 46;;
+let _MIPS_INS_BC1NEZ = 47;;
+let _MIPS_INS_BC1T = 48;;
+let _MIPS_INS_BC1TL = 49;;
+let _MIPS_INS_BC2EQZ = 50;;
+let _MIPS_INS_BC2F = 51;;
+let _MIPS_INS_BC2FL = 52;;
+let _MIPS_INS_BC2NEZ = 53;;
+let _MIPS_INS_BC2T = 54;;
+let _MIPS_INS_BC2TL = 55;;
+let _MIPS_INS_BC3F = 56;;
+let _MIPS_INS_BC3FL = 57;;
+let _MIPS_INS_BC3T = 58;;
+let _MIPS_INS_BC3TL = 59;;
+let _MIPS_INS_BCLRI = 60;;
+let _MIPS_INS_BCLR = 61;;
+let _MIPS_INS_BEQ = 62;;
+let _MIPS_INS_BEQC = 63;;
+let _MIPS_INS_BEQL = 64;;
+let _MIPS_INS_BEQZALC = 65;;
+let _MIPS_INS_BEQZC = 66;;
+let _MIPS_INS_BGEC = 67;;
+let _MIPS_INS_BGEUC = 68;;
+let _MIPS_INS_BGEZ = 69;;
+let _MIPS_INS_BGEZAL = 70;;
+let _MIPS_INS_BGEZALC = 71;;
+let _MIPS_INS_BGEZALL = 72;;
+let _MIPS_INS_BGEZALS = 73;;
+let _MIPS_INS_BGEZC = 74;;
+let _MIPS_INS_BGEZL = 75;;
+let _MIPS_INS_BGTZ = 76;;
+let _MIPS_INS_BGTZALC = 77;;
+let _MIPS_INS_BGTZC = 78;;
+let _MIPS_INS_BGTZL = 79;;
+let _MIPS_INS_BINSLI = 80;;
+let _MIPS_INS_BINSL = 81;;
+let _MIPS_INS_BINSRI = 82;;
+let _MIPS_INS_BINSR = 83;;
+let _MIPS_INS_BITREV = 84;;
+let _MIPS_INS_BITSWAP = 85;;
+let _MIPS_INS_BLEZ = 86;;
+let _MIPS_INS_BLEZALC = 87;;
+let _MIPS_INS_BLEZC = 88;;
+let _MIPS_INS_BLEZL = 89;;
+let _MIPS_INS_BLTC = 90;;
+let _MIPS_INS_BLTUC = 91;;
+let _MIPS_INS_BLTZ = 92;;
+let _MIPS_INS_BLTZAL = 93;;
+let _MIPS_INS_BLTZALC = 94;;
+let _MIPS_INS_BLTZALL = 95;;
+let _MIPS_INS_BLTZALS = 96;;
+let _MIPS_INS_BLTZC = 97;;
+let _MIPS_INS_BLTZL = 98;;
+let _MIPS_INS_BMNZI = 99;;
+let _MIPS_INS_BMNZ = 100;;
+let _MIPS_INS_BMZI = 101;;
+let _MIPS_INS_BMZ = 102;;
+let _MIPS_INS_BNE = 103;;
+let _MIPS_INS_BNEC = 104;;
+let _MIPS_INS_BNEGI = 105;;
+let _MIPS_INS_BNEG = 106;;
+let _MIPS_INS_BNEL = 107;;
+let _MIPS_INS_BNEZALC = 108;;
+let _MIPS_INS_BNEZC = 109;;
+let _MIPS_INS_BNVC = 110;;
+let _MIPS_INS_BNZ = 111;;
+let _MIPS_INS_BOVC = 112;;
+let _MIPS_INS_BPOSGE32 = 113;;
+let _MIPS_INS_BREAK = 114;;
+let _MIPS_INS_BSELI = 115;;
+let _MIPS_INS_BSEL = 116;;
+let _MIPS_INS_BSETI = 117;;
+let _MIPS_INS_BSET = 118;;
+let _MIPS_INS_BZ = 119;;
+let _MIPS_INS_BEQZ = 120;;
+let _MIPS_INS_B = 121;;
+let _MIPS_INS_BNEZ = 122;;
+let _MIPS_INS_BTEQZ = 123;;
+let _MIPS_INS_BTNEZ = 124;;
+let _MIPS_INS_CACHE = 125;;
+let _MIPS_INS_CEIL = 126;;
+let _MIPS_INS_CEQI = 127;;
+let _MIPS_INS_CEQ = 128;;
+let _MIPS_INS_CFC1 = 129;;
+let _MIPS_INS_CFCMSA = 130;;
+let _MIPS_INS_CINS = 131;;
+let _MIPS_INS_CINS32 = 132;;
+let _MIPS_INS_CLASS = 133;;
+let _MIPS_INS_CLEI_S = 134;;
+let _MIPS_INS_CLEI_U = 135;;
+let _MIPS_INS_CLE_S = 136;;
+let _MIPS_INS_CLE_U = 137;;
+let _MIPS_INS_CLO = 138;;
+let _MIPS_INS_CLTI_S = 139;;
+let _MIPS_INS_CLTI_U = 140;;
+let _MIPS_INS_CLT_S = 141;;
+let _MIPS_INS_CLT_U = 142;;
+let _MIPS_INS_CLZ = 143;;
+let _MIPS_INS_CMPGDU = 144;;
+let _MIPS_INS_CMPGU = 145;;
+let _MIPS_INS_CMPU = 146;;
+let _MIPS_INS_CMP = 147;;
+let _MIPS_INS_COPY_S = 148;;
+let _MIPS_INS_COPY_U = 149;;
+let _MIPS_INS_CTC1 = 150;;
+let _MIPS_INS_CTCMSA = 151;;
+let _MIPS_INS_CVT = 152;;
+let _MIPS_INS_C = 153;;
+let _MIPS_INS_CMPI = 154;;
+let _MIPS_INS_DADD = 155;;
+let _MIPS_INS_DADDI = 156;;
+let _MIPS_INS_DADDIU = 157;;
+let _MIPS_INS_DADDU = 158;;
+let _MIPS_INS_DAHI = 159;;
+let _MIPS_INS_DALIGN = 160;;
+let _MIPS_INS_DATI = 161;;
+let _MIPS_INS_DAUI = 162;;
+let _MIPS_INS_DBITSWAP = 163;;
+let _MIPS_INS_DCLO = 164;;
+let _MIPS_INS_DCLZ = 165;;
+let _MIPS_INS_DDIV = 166;;
+let _MIPS_INS_DDIVU = 167;;
+let _MIPS_INS_DERET = 168;;
+let _MIPS_INS_DEXT = 169;;
+let _MIPS_INS_DEXTM = 170;;
+let _MIPS_INS_DEXTU = 171;;
+let _MIPS_INS_DI = 172;;
+let _MIPS_INS_DINS = 173;;
+let _MIPS_INS_DINSM = 174;;
+let _MIPS_INS_DINSU = 175;;
+let _MIPS_INS_DIV = 176;;
+let _MIPS_INS_DIVU = 177;;
+let _MIPS_INS_DIV_S = 178;;
+let _MIPS_INS_DIV_U = 179;;
+let _MIPS_INS_DLSA = 180;;
+let _MIPS_INS_DMFC0 = 181;;
+let _MIPS_INS_DMFC1 = 182;;
+let _MIPS_INS_DMFC2 = 183;;
+let _MIPS_INS_DMOD = 184;;
+let _MIPS_INS_DMODU = 185;;
+let _MIPS_INS_DMTC0 = 186;;
+let _MIPS_INS_DMTC1 = 187;;
+let _MIPS_INS_DMTC2 = 188;;
+let _MIPS_INS_DMUH = 189;;
+let _MIPS_INS_DMUHU = 190;;
+let _MIPS_INS_DMUL = 191;;
+let _MIPS_INS_DMULT = 192;;
+let _MIPS_INS_DMULTU = 193;;
+let _MIPS_INS_DMULU = 194;;
+let _MIPS_INS_DOTP_S = 195;;
+let _MIPS_INS_DOTP_U = 196;;
+let _MIPS_INS_DPADD_S = 197;;
+let _MIPS_INS_DPADD_U = 198;;
+let _MIPS_INS_DPAQX_SA = 199;;
+let _MIPS_INS_DPAQX_S = 200;;
+let _MIPS_INS_DPAQ_SA = 201;;
+let _MIPS_INS_DPAQ_S = 202;;
+let _MIPS_INS_DPAU = 203;;
+let _MIPS_INS_DPAX = 204;;
+let _MIPS_INS_DPA = 205;;
+let _MIPS_INS_DPOP = 206;;
+let _MIPS_INS_DPSQX_SA = 207;;
+let _MIPS_INS_DPSQX_S = 208;;
+let _MIPS_INS_DPSQ_SA = 209;;
+let _MIPS_INS_DPSQ_S = 210;;
+let _MIPS_INS_DPSUB_S = 211;;
+let _MIPS_INS_DPSUB_U = 212;;
+let _MIPS_INS_DPSU = 213;;
+let _MIPS_INS_DPSX = 214;;
+let _MIPS_INS_DPS = 215;;
+let _MIPS_INS_DROTR = 216;;
+let _MIPS_INS_DROTR32 = 217;;
+let _MIPS_INS_DROTRV = 218;;
+let _MIPS_INS_DSBH = 219;;
+let _MIPS_INS_DSHD = 220;;
+let _MIPS_INS_DSLL = 221;;
+let _MIPS_INS_DSLL32 = 222;;
+let _MIPS_INS_DSLLV = 223;;
+let _MIPS_INS_DSRA = 224;;
+let _MIPS_INS_DSRA32 = 225;;
+let _MIPS_INS_DSRAV = 226;;
+let _MIPS_INS_DSRL = 227;;
+let _MIPS_INS_DSRL32 = 228;;
+let _MIPS_INS_DSRLV = 229;;
+let _MIPS_INS_DSUB = 230;;
+let _MIPS_INS_DSUBU = 231;;
+let _MIPS_INS_EHB = 232;;
+let _MIPS_INS_EI = 233;;
+let _MIPS_INS_ERET = 234;;
+let _MIPS_INS_EXT = 235;;
+let _MIPS_INS_EXTP = 236;;
+let _MIPS_INS_EXTPDP = 237;;
+let _MIPS_INS_EXTPDPV = 238;;
+let _MIPS_INS_EXTPV = 239;;
+let _MIPS_INS_EXTRV_RS = 240;;
+let _MIPS_INS_EXTRV_R = 241;;
+let _MIPS_INS_EXTRV_S = 242;;
+let _MIPS_INS_EXTRV = 243;;
+let _MIPS_INS_EXTR_RS = 244;;
+let _MIPS_INS_EXTR_R = 245;;
+let _MIPS_INS_EXTR_S = 246;;
+let _MIPS_INS_EXTR = 247;;
+let _MIPS_INS_EXTS = 248;;
+let _MIPS_INS_EXTS32 = 249;;
+let _MIPS_INS_ABS = 250;;
+let _MIPS_INS_FADD = 251;;
+let _MIPS_INS_FCAF = 252;;
+let _MIPS_INS_FCEQ = 253;;
+let _MIPS_INS_FCLASS = 254;;
+let _MIPS_INS_FCLE = 255;;
+let _MIPS_INS_FCLT = 256;;
+let _MIPS_INS_FCNE = 257;;
+let _MIPS_INS_FCOR = 258;;
+let _MIPS_INS_FCUEQ = 259;;
+let _MIPS_INS_FCULE = 260;;
+let _MIPS_INS_FCULT = 261;;
+let _MIPS_INS_FCUNE = 262;;
+let _MIPS_INS_FCUN = 263;;
+let _MIPS_INS_FDIV = 264;;
+let _MIPS_INS_FEXDO = 265;;
+let _MIPS_INS_FEXP2 = 266;;
+let _MIPS_INS_FEXUPL = 267;;
+let _MIPS_INS_FEXUPR = 268;;
+let _MIPS_INS_FFINT_S = 269;;
+let _MIPS_INS_FFINT_U = 270;;
+let _MIPS_INS_FFQL = 271;;
+let _MIPS_INS_FFQR = 272;;
+let _MIPS_INS_FILL = 273;;
+let _MIPS_INS_FLOG2 = 274;;
+let _MIPS_INS_FLOOR = 275;;
+let _MIPS_INS_FMADD = 276;;
+let _MIPS_INS_FMAX_A = 277;;
+let _MIPS_INS_FMAX = 278;;
+let _MIPS_INS_FMIN_A = 279;;
+let _MIPS_INS_FMIN = 280;;
+let _MIPS_INS_MOV = 281;;
+let _MIPS_INS_FMSUB = 282;;
+let _MIPS_INS_FMUL = 283;;
+let _MIPS_INS_MUL = 284;;
+let _MIPS_INS_NEG = 285;;
+let _MIPS_INS_FRCP = 286;;
+let _MIPS_INS_FRINT = 287;;
+let _MIPS_INS_FRSQRT = 288;;
+let _MIPS_INS_FSAF = 289;;
+let _MIPS_INS_FSEQ = 290;;
+let _MIPS_INS_FSLE = 291;;
+let _MIPS_INS_FSLT = 292;;
+let _MIPS_INS_FSNE = 293;;
+let _MIPS_INS_FSOR = 294;;
+let _MIPS_INS_FSQRT = 295;;
+let _MIPS_INS_SQRT = 296;;
+let _MIPS_INS_FSUB = 297;;
+let _MIPS_INS_SUB = 298;;
+let _MIPS_INS_FSUEQ = 299;;
+let _MIPS_INS_FSULE = 300;;
+let _MIPS_INS_FSULT = 301;;
+let _MIPS_INS_FSUNE = 302;;
+let _MIPS_INS_FSUN = 303;;
+let _MIPS_INS_FTINT_S = 304;;
+let _MIPS_INS_FTINT_U = 305;;
+let _MIPS_INS_FTQ = 306;;
+let _MIPS_INS_FTRUNC_S = 307;;
+let _MIPS_INS_FTRUNC_U = 308;;
+let _MIPS_INS_HADD_S = 309;;
+let _MIPS_INS_HADD_U = 310;;
+let _MIPS_INS_HSUB_S = 311;;
+let _MIPS_INS_HSUB_U = 312;;
+let _MIPS_INS_ILVEV = 313;;
+let _MIPS_INS_ILVL = 314;;
+let _MIPS_INS_ILVOD = 315;;
+let _MIPS_INS_ILVR = 316;;
+let _MIPS_INS_INS = 317;;
+let _MIPS_INS_INSERT = 318;;
+let _MIPS_INS_INSV = 319;;
+let _MIPS_INS_INSVE = 320;;
+let _MIPS_INS_J = 321;;
+let _MIPS_INS_JAL = 322;;
+let _MIPS_INS_JALR = 323;;
+let _MIPS_INS_JALRS = 324;;
+let _MIPS_INS_JALS = 325;;
+let _MIPS_INS_JALX = 326;;
+let _MIPS_INS_JIALC = 327;;
+let _MIPS_INS_JIC = 328;;
+let _MIPS_INS_JR = 329;;
+let _MIPS_INS_JRADDIUSP = 330;;
+let _MIPS_INS_JRC = 331;;
+let _MIPS_INS_JALRC = 332;;
+let _MIPS_INS_LB = 333;;
+let _MIPS_INS_LBUX = 334;;
+let _MIPS_INS_LBU = 335;;
+let _MIPS_INS_LD = 336;;
+let _MIPS_INS_LDC1 = 337;;
+let _MIPS_INS_LDC2 = 338;;
+let _MIPS_INS_LDC3 = 339;;
+let _MIPS_INS_LDI = 340;;
+let _MIPS_INS_LDL = 341;;
+let _MIPS_INS_LDPC = 342;;
+let _MIPS_INS_LDR = 343;;
+let _MIPS_INS_LDXC1 = 344;;
+let _MIPS_INS_LH = 345;;
+let _MIPS_INS_LHX = 346;;
+let _MIPS_INS_LHU = 347;;
+let _MIPS_INS_LL = 348;;
+let _MIPS_INS_LLD = 349;;
+let _MIPS_INS_LSA = 350;;
+let _MIPS_INS_LUXC1 = 351;;
+let _MIPS_INS_LUI = 352;;
+let _MIPS_INS_LW = 353;;
+let _MIPS_INS_LWC1 = 354;;
+let _MIPS_INS_LWC2 = 355;;
+let _MIPS_INS_LWC3 = 356;;
+let _MIPS_INS_LWL = 357;;
+let _MIPS_INS_LWPC = 358;;
+let _MIPS_INS_LWR = 359;;
+let _MIPS_INS_LWUPC = 360;;
+let _MIPS_INS_LWU = 361;;
+let _MIPS_INS_LWX = 362;;
+let _MIPS_INS_LWXC1 = 363;;
+let _MIPS_INS_LI = 364;;
+let _MIPS_INS_MADD = 365;;
+let _MIPS_INS_MADDF = 366;;
+let _MIPS_INS_MADDR_Q = 367;;
+let _MIPS_INS_MADDU = 368;;
+let _MIPS_INS_MADDV = 369;;
+let _MIPS_INS_MADD_Q = 370;;
+let _MIPS_INS_MAQ_SA = 371;;
+let _MIPS_INS_MAQ_S = 372;;
+let _MIPS_INS_MAXA = 373;;
+let _MIPS_INS_MAXI_S = 374;;
+let _MIPS_INS_MAXI_U = 375;;
+let _MIPS_INS_MAX_A = 376;;
+let _MIPS_INS_MAX = 377;;
+let _MIPS_INS_MAX_S = 378;;
+let _MIPS_INS_MAX_U = 379;;
+let _MIPS_INS_MFC0 = 380;;
+let _MIPS_INS_MFC1 = 381;;
+let _MIPS_INS_MFC2 = 382;;
+let _MIPS_INS_MFHC1 = 383;;
+let _MIPS_INS_MFHI = 384;;
+let _MIPS_INS_MFLO = 385;;
+let _MIPS_INS_MINA = 386;;
+let _MIPS_INS_MINI_S = 387;;
+let _MIPS_INS_MINI_U = 388;;
+let _MIPS_INS_MIN_A = 389;;
+let _MIPS_INS_MIN = 390;;
+let _MIPS_INS_MIN_S = 391;;
+let _MIPS_INS_MIN_U = 392;;
+let _MIPS_INS_MOD = 393;;
+let _MIPS_INS_MODSUB = 394;;
+let _MIPS_INS_MODU = 395;;
+let _MIPS_INS_MOD_S = 396;;
+let _MIPS_INS_MOD_U = 397;;
+let _MIPS_INS_MOVE = 398;;
+let _MIPS_INS_MOVF = 399;;
+let _MIPS_INS_MOVN = 400;;
+let _MIPS_INS_MOVT = 401;;
+let _MIPS_INS_MOVZ = 402;;
+let _MIPS_INS_MSUB = 403;;
+let _MIPS_INS_MSUBF = 404;;
+let _MIPS_INS_MSUBR_Q = 405;;
+let _MIPS_INS_MSUBU = 406;;
+let _MIPS_INS_MSUBV = 407;;
+let _MIPS_INS_MSUB_Q = 408;;
+let _MIPS_INS_MTC0 = 409;;
+let _MIPS_INS_MTC1 = 410;;
+let _MIPS_INS_MTC2 = 411;;
+let _MIPS_INS_MTHC1 = 412;;
+let _MIPS_INS_MTHI = 413;;
+let _MIPS_INS_MTHLIP = 414;;
+let _MIPS_INS_MTLO = 415;;
+let _MIPS_INS_MTM0 = 416;;
+let _MIPS_INS_MTM1 = 417;;
+let _MIPS_INS_MTM2 = 418;;
+let _MIPS_INS_MTP0 = 419;;
+let _MIPS_INS_MTP1 = 420;;
+let _MIPS_INS_MTP2 = 421;;
+let _MIPS_INS_MUH = 422;;
+let _MIPS_INS_MUHU = 423;;
+let _MIPS_INS_MULEQ_S = 424;;
+let _MIPS_INS_MULEU_S = 425;;
+let _MIPS_INS_MULQ_RS = 426;;
+let _MIPS_INS_MULQ_S = 427;;
+let _MIPS_INS_MULR_Q = 428;;
+let _MIPS_INS_MULSAQ_S = 429;;
+let _MIPS_INS_MULSA = 430;;
+let _MIPS_INS_MULT = 431;;
+let _MIPS_INS_MULTU = 432;;
+let _MIPS_INS_MULU = 433;;
+let _MIPS_INS_MULV = 434;;
+let _MIPS_INS_MUL_Q = 435;;
+let _MIPS_INS_MUL_S = 436;;
+let _MIPS_INS_NLOC = 437;;
+let _MIPS_INS_NLZC = 438;;
+let _MIPS_INS_NMADD = 439;;
+let _MIPS_INS_NMSUB = 440;;
+let _MIPS_INS_NOR = 441;;
+let _MIPS_INS_NORI = 442;;
+let _MIPS_INS_NOT = 443;;
+let _MIPS_INS_OR = 444;;
+let _MIPS_INS_ORI = 445;;
+let _MIPS_INS_PACKRL = 446;;
+let _MIPS_INS_PAUSE = 447;;
+let _MIPS_INS_PCKEV = 448;;
+let _MIPS_INS_PCKOD = 449;;
+let _MIPS_INS_PCNT = 450;;
+let _MIPS_INS_PICK = 451;;
+let _MIPS_INS_POP = 452;;
+let _MIPS_INS_PRECEQU = 453;;
+let _MIPS_INS_PRECEQ = 454;;
+let _MIPS_INS_PRECEU = 455;;
+let _MIPS_INS_PRECRQU_S = 456;;
+let _MIPS_INS_PRECRQ = 457;;
+let _MIPS_INS_PRECRQ_RS = 458;;
+let _MIPS_INS_PRECR = 459;;
+let _MIPS_INS_PRECR_SRA = 460;;
+let _MIPS_INS_PRECR_SRA_R = 461;;
+let _MIPS_INS_PREF = 462;;
+let _MIPS_INS_PREPEND = 463;;
+let _MIPS_INS_RADDU = 464;;
+let _MIPS_INS_RDDSP = 465;;
+let _MIPS_INS_RDHWR = 466;;
+let _MIPS_INS_REPLV = 467;;
+let _MIPS_INS_REPL = 468;;
+let _MIPS_INS_RINT = 469;;
+let _MIPS_INS_ROTR = 470;;
+let _MIPS_INS_ROTRV = 471;;
+let _MIPS_INS_ROUND = 472;;
+let _MIPS_INS_SAT_S = 473;;
+let _MIPS_INS_SAT_U = 474;;
+let _MIPS_INS_SB = 475;;
+let _MIPS_INS_SC = 476;;
+let _MIPS_INS_SCD = 477;;
+let _MIPS_INS_SD = 478;;
+let _MIPS_INS_SDBBP = 479;;
+let _MIPS_INS_SDC1 = 480;;
+let _MIPS_INS_SDC2 = 481;;
+let _MIPS_INS_SDC3 = 482;;
+let _MIPS_INS_SDL = 483;;
+let _MIPS_INS_SDR = 484;;
+let _MIPS_INS_SDXC1 = 485;;
+let _MIPS_INS_SEB = 486;;
+let _MIPS_INS_SEH = 487;;
+let _MIPS_INS_SELEQZ = 488;;
+let _MIPS_INS_SELNEZ = 489;;
+let _MIPS_INS_SEL = 490;;
+let _MIPS_INS_SEQ = 491;;
+let _MIPS_INS_SEQI = 492;;
+let _MIPS_INS_SH = 493;;
+let _MIPS_INS_SHF = 494;;
+let _MIPS_INS_SHILO = 495;;
+let _MIPS_INS_SHILOV = 496;;
+let _MIPS_INS_SHLLV = 497;;
+let _MIPS_INS_SHLLV_S = 498;;
+let _MIPS_INS_SHLL = 499;;
+let _MIPS_INS_SHLL_S = 500;;
+let _MIPS_INS_SHRAV = 501;;
+let _MIPS_INS_SHRAV_R = 502;;
+let _MIPS_INS_SHRA = 503;;
+let _MIPS_INS_SHRA_R = 504;;
+let _MIPS_INS_SHRLV = 505;;
+let _MIPS_INS_SHRL = 506;;
+let _MIPS_INS_SLDI = 507;;
+let _MIPS_INS_SLD = 508;;
+let _MIPS_INS_SLL = 509;;
+let _MIPS_INS_SLLI = 510;;
+let _MIPS_INS_SLLV = 511;;
+let _MIPS_INS_SLT = 512;;
+let _MIPS_INS_SLTI = 513;;
+let _MIPS_INS_SLTIU = 514;;
+let _MIPS_INS_SLTU = 515;;
+let _MIPS_INS_SNE = 516;;
+let _MIPS_INS_SNEI = 517;;
+let _MIPS_INS_SPLATI = 518;;
+let _MIPS_INS_SPLAT = 519;;
+let _MIPS_INS_SRA = 520;;
+let _MIPS_INS_SRAI = 521;;
+let _MIPS_INS_SRARI = 522;;
+let _MIPS_INS_SRAR = 523;;
+let _MIPS_INS_SRAV = 524;;
+let _MIPS_INS_SRL = 525;;
+let _MIPS_INS_SRLI = 526;;
+let _MIPS_INS_SRLRI = 527;;
+let _MIPS_INS_SRLR = 528;;
+let _MIPS_INS_SRLV = 529;;
+let _MIPS_INS_SSNOP = 530;;
+let _MIPS_INS_ST = 531;;
+let _MIPS_INS_SUBQH = 532;;
+let _MIPS_INS_SUBQH_R = 533;;
+let _MIPS_INS_SUBQ = 534;;
+let _MIPS_INS_SUBQ_S = 535;;
+let _MIPS_INS_SUBSUS_U = 536;;
+let _MIPS_INS_SUBSUU_S = 537;;
+let _MIPS_INS_SUBS_S = 538;;
+let _MIPS_INS_SUBS_U = 539;;
+let _MIPS_INS_SUBUH = 540;;
+let _MIPS_INS_SUBUH_R = 541;;
+let _MIPS_INS_SUBU = 542;;
+let _MIPS_INS_SUBU_S = 543;;
+let _MIPS_INS_SUBVI = 544;;
+let _MIPS_INS_SUBV = 545;;
+let _MIPS_INS_SUXC1 = 546;;
+let _MIPS_INS_SW = 547;;
+let _MIPS_INS_SWC1 = 548;;
+let _MIPS_INS_SWC2 = 549;;
+let _MIPS_INS_SWC3 = 550;;
+let _MIPS_INS_SWL = 551;;
+let _MIPS_INS_SWR = 552;;
+let _MIPS_INS_SWXC1 = 553;;
+let _MIPS_INS_SYNC = 554;;
+let _MIPS_INS_SYSCALL = 555;;
+let _MIPS_INS_TEQ = 556;;
+let _MIPS_INS_TEQI = 557;;
+let _MIPS_INS_TGE = 558;;
+let _MIPS_INS_TGEI = 559;;
+let _MIPS_INS_TGEIU = 560;;
+let _MIPS_INS_TGEU = 561;;
+let _MIPS_INS_TLBP = 562;;
+let _MIPS_INS_TLBR = 563;;
+let _MIPS_INS_TLBWI = 564;;
+let _MIPS_INS_TLBWR = 565;;
+let _MIPS_INS_TLT = 566;;
+let _MIPS_INS_TLTI = 567;;
+let _MIPS_INS_TLTIU = 568;;
+let _MIPS_INS_TLTU = 569;;
+let _MIPS_INS_TNE = 570;;
+let _MIPS_INS_TNEI = 571;;
+let _MIPS_INS_TRUNC = 572;;
+let _MIPS_INS_V3MULU = 573;;
+let _MIPS_INS_VMM0 = 574;;
+let _MIPS_INS_VMULU = 575;;
+let _MIPS_INS_VSHF = 576;;
+let _MIPS_INS_WAIT = 577;;
+let _MIPS_INS_WRDSP = 578;;
+let _MIPS_INS_WSBH = 579;;
+let _MIPS_INS_XOR = 580;;
+let _MIPS_INS_XORI = 581;;
 
 (* some alias instructions *)
-let _MIPS_INS_NOP = 555;;
-let _MIPS_INS_NEGU = 556;;
-let _MIPS_INS_MAXIMUM = 557;;
+let _MIPS_INS_NOP = 582;;
+let _MIPS_INS_NEGU = 583;;
+let _MIPS_INS_MAXIMUM = 584;;
 
 (* Group of MIPS instructions *)
 
diff --git a/bindings/python/capstone/mips_const.py b/bindings/python/capstone/mips_const.py
index 2f59a6e..d74b48b 100644
--- a/bindings/python/capstone/mips_const.py
+++ b/bindings/python/capstone/mips_const.py
@@ -10,6 +10,8 @@
 # MIPS registers
 
 MIPS_REG_INVALID = 0
+
+# General purpose registers
 MIPS_REG_0 = 1
 MIPS_REG_1 = 2
 MIPS_REG_2 = 3
@@ -42,6 +44,8 @@
 MIPS_REG_29 = 30
 MIPS_REG_30 = 31
 MIPS_REG_31 = 32
+
+# DSP registers
 MIPS_REG_DSPCCOND = 33
 MIPS_REG_DSPCARRY = 34
 MIPS_REG_DSPEFI = 35
@@ -53,92 +57,108 @@
 MIPS_REG_DSPOUTFLAG23 = 41
 MIPS_REG_DSPPOS = 42
 MIPS_REG_DSPSCOUNT = 43
+
+# ACC registers
 MIPS_REG_AC0 = 44
 MIPS_REG_AC1 = 45
 MIPS_REG_AC2 = 46
 MIPS_REG_AC3 = 47
-MIPS_REG_F0 = 48
-MIPS_REG_F1 = 49
-MIPS_REG_F2 = 50
-MIPS_REG_F3 = 51
-MIPS_REG_F4 = 52
-MIPS_REG_F5 = 53
-MIPS_REG_F6 = 54
-MIPS_REG_F7 = 55
-MIPS_REG_F8 = 56
-MIPS_REG_F9 = 57
-MIPS_REG_F10 = 58
-MIPS_REG_F11 = 59
-MIPS_REG_F12 = 60
-MIPS_REG_F13 = 61
-MIPS_REG_F14 = 62
-MIPS_REG_F15 = 63
-MIPS_REG_F16 = 64
-MIPS_REG_F17 = 65
-MIPS_REG_F18 = 66
-MIPS_REG_F19 = 67
-MIPS_REG_F20 = 68
-MIPS_REG_F21 = 69
-MIPS_REG_F22 = 70
-MIPS_REG_F23 = 71
-MIPS_REG_F24 = 72
-MIPS_REG_F25 = 73
-MIPS_REG_F26 = 74
-MIPS_REG_F27 = 75
-MIPS_REG_F28 = 76
-MIPS_REG_F29 = 77
-MIPS_REG_F30 = 78
-MIPS_REG_F31 = 79
-MIPS_REG_FCC0 = 80
-MIPS_REG_FCC1 = 81
-MIPS_REG_FCC2 = 82
-MIPS_REG_FCC3 = 83
-MIPS_REG_FCC4 = 84
-MIPS_REG_FCC5 = 85
-MIPS_REG_FCC6 = 86
-MIPS_REG_FCC7 = 87
-MIPS_REG_W0 = 88
-MIPS_REG_W1 = 89
-MIPS_REG_W2 = 90
-MIPS_REG_W3 = 91
-MIPS_REG_W4 = 92
-MIPS_REG_W5 = 93
-MIPS_REG_W6 = 94
-MIPS_REG_W7 = 95
-MIPS_REG_W8 = 96
-MIPS_REG_W9 = 97
-MIPS_REG_W10 = 98
-MIPS_REG_W11 = 99
-MIPS_REG_W12 = 100
-MIPS_REG_W13 = 101
-MIPS_REG_W14 = 102
-MIPS_REG_W15 = 103
-MIPS_REG_W16 = 104
-MIPS_REG_W17 = 105
-MIPS_REG_W18 = 106
-MIPS_REG_W19 = 107
-MIPS_REG_W20 = 108
-MIPS_REG_W21 = 109
-MIPS_REG_W22 = 110
-MIPS_REG_W23 = 111
-MIPS_REG_W24 = 112
-MIPS_REG_W25 = 113
-MIPS_REG_W26 = 114
-MIPS_REG_W27 = 115
-MIPS_REG_W28 = 116
-MIPS_REG_W29 = 117
-MIPS_REG_W30 = 118
-MIPS_REG_W31 = 119
-MIPS_REG_HI = 120
-MIPS_REG_LO = 121
-MIPS_REG_PC = 122
-MIPS_REG_P0 = 123
-MIPS_REG_P1 = 124
-MIPS_REG_P2 = 125
-MIPS_REG_MPL0 = 126
-MIPS_REG_MPL1 = 127
-MIPS_REG_MPL2 = 128
-MIPS_REG_MAX = 129
+
+# COP registers
+MIPS_REG_CC0 = 48
+MIPS_REG_CC1 = 49
+MIPS_REG_CC2 = 50
+MIPS_REG_CC3 = 51
+MIPS_REG_CC4 = 52
+MIPS_REG_CC5 = 53
+MIPS_REG_CC6 = 54
+MIPS_REG_CC7 = 55
+
+# FPU registers
+MIPS_REG_F0 = 56
+MIPS_REG_F1 = 57
+MIPS_REG_F2 = 58
+MIPS_REG_F3 = 59
+MIPS_REG_F4 = 60
+MIPS_REG_F5 = 61
+MIPS_REG_F6 = 62
+MIPS_REG_F7 = 63
+MIPS_REG_F8 = 64
+MIPS_REG_F9 = 65
+MIPS_REG_F10 = 66
+MIPS_REG_F11 = 67
+MIPS_REG_F12 = 68
+MIPS_REG_F13 = 69
+MIPS_REG_F14 = 70
+MIPS_REG_F15 = 71
+MIPS_REG_F16 = 72
+MIPS_REG_F17 = 73
+MIPS_REG_F18 = 74
+MIPS_REG_F19 = 75
+MIPS_REG_F20 = 76
+MIPS_REG_F21 = 77
+MIPS_REG_F22 = 78
+MIPS_REG_F23 = 79
+MIPS_REG_F24 = 80
+MIPS_REG_F25 = 81
+MIPS_REG_F26 = 82
+MIPS_REG_F27 = 83
+MIPS_REG_F28 = 84
+MIPS_REG_F29 = 85
+MIPS_REG_F30 = 86
+MIPS_REG_F31 = 87
+MIPS_REG_FCC0 = 88
+MIPS_REG_FCC1 = 89
+MIPS_REG_FCC2 = 90
+MIPS_REG_FCC3 = 91
+MIPS_REG_FCC4 = 92
+MIPS_REG_FCC5 = 93
+MIPS_REG_FCC6 = 94
+MIPS_REG_FCC7 = 95
+
+# AFPR128
+MIPS_REG_W0 = 96
+MIPS_REG_W1 = 97
+MIPS_REG_W2 = 98
+MIPS_REG_W3 = 99
+MIPS_REG_W4 = 100
+MIPS_REG_W5 = 101
+MIPS_REG_W6 = 102
+MIPS_REG_W7 = 103
+MIPS_REG_W8 = 104
+MIPS_REG_W9 = 105
+MIPS_REG_W10 = 106
+MIPS_REG_W11 = 107
+MIPS_REG_W12 = 108
+MIPS_REG_W13 = 109
+MIPS_REG_W14 = 110
+MIPS_REG_W15 = 111
+MIPS_REG_W16 = 112
+MIPS_REG_W17 = 113
+MIPS_REG_W18 = 114
+MIPS_REG_W19 = 115
+MIPS_REG_W20 = 116
+MIPS_REG_W21 = 117
+MIPS_REG_W22 = 118
+MIPS_REG_W23 = 119
+MIPS_REG_W24 = 120
+MIPS_REG_W25 = 121
+MIPS_REG_W26 = 122
+MIPS_REG_W27 = 123
+MIPS_REG_W28 = 124
+MIPS_REG_W29 = 125
+MIPS_REG_W30 = 126
+MIPS_REG_W31 = 127
+MIPS_REG_HI = 128
+MIPS_REG_LO = 129
+MIPS_REG_PC = 130
+MIPS_REG_P0 = 131
+MIPS_REG_P1 = 132
+MIPS_REG_P2 = 133
+MIPS_REG_MPL0 = 134
+MIPS_REG_MPL1 = 135
+MIPS_REG_MPL2 = 136
+MIPS_REG_MAX = 137
 MIPS_REG_ZERO = MIPS_REG_0
 MIPS_REG_AT = MIPS_REG_1
 MIPS_REG_V0 = MIPS_REG_2
@@ -223,526 +243,553 @@
 MIPS_INS_BALC = 37
 MIPS_INS_BALIGN = 38
 MIPS_INS_BC = 39
-MIPS_INS_BC1EQZ = 40
-MIPS_INS_BC1F = 41
-MIPS_INS_BC1NEZ = 42
-MIPS_INS_BC1T = 43
-MIPS_INS_BC2EQZ = 44
-MIPS_INS_BC2NEZ = 45
-MIPS_INS_BCLRI = 46
-MIPS_INS_BCLR = 47
-MIPS_INS_BEQ = 48
-MIPS_INS_BEQC = 49
-MIPS_INS_BEQZALC = 50
-MIPS_INS_BEQZC = 51
-MIPS_INS_BGEC = 52
-MIPS_INS_BGEUC = 53
-MIPS_INS_BGEZ = 54
-MIPS_INS_BGEZAL = 55
-MIPS_INS_BGEZALC = 56
-MIPS_INS_BGEZC = 57
-MIPS_INS_BGTZ = 58
-MIPS_INS_BGTZALC = 59
-MIPS_INS_BGTZC = 60
-MIPS_INS_BINSLI = 61
-MIPS_INS_BINSL = 62
-MIPS_INS_BINSRI = 63
-MIPS_INS_BINSR = 64
-MIPS_INS_BITREV = 65
-MIPS_INS_BITSWAP = 66
-MIPS_INS_BLEZ = 67
-MIPS_INS_BLEZALC = 68
-MIPS_INS_BLEZC = 69
-MIPS_INS_BLTC = 70
-MIPS_INS_BLTUC = 71
-MIPS_INS_BLTZ = 72
-MIPS_INS_BLTZAL = 73
-MIPS_INS_BLTZALC = 74
-MIPS_INS_BLTZC = 75
-MIPS_INS_BMNZI = 76
-MIPS_INS_BMNZ = 77
-MIPS_INS_BMZI = 78
-MIPS_INS_BMZ = 79
-MIPS_INS_BNE = 80
-MIPS_INS_BNEC = 81
-MIPS_INS_BNEGI = 82
-MIPS_INS_BNEG = 83
-MIPS_INS_BNEZALC = 84
-MIPS_INS_BNEZC = 85
-MIPS_INS_BNVC = 86
-MIPS_INS_BNZ = 87
-MIPS_INS_BOVC = 88
-MIPS_INS_BPOSGE32 = 89
-MIPS_INS_BREAK = 90
-MIPS_INS_BSELI = 91
-MIPS_INS_BSEL = 92
-MIPS_INS_BSETI = 93
-MIPS_INS_BSET = 94
-MIPS_INS_BZ = 95
-MIPS_INS_BEQZ = 96
-MIPS_INS_B = 97
-MIPS_INS_BNEZ = 98
-MIPS_INS_BTEQZ = 99
-MIPS_INS_BTNEZ = 100
-MIPS_INS_CACHE = 101
-MIPS_INS_CEIL = 102
-MIPS_INS_CEQI = 103
-MIPS_INS_CEQ = 104
-MIPS_INS_CFC1 = 105
-MIPS_INS_CFCMSA = 106
-MIPS_INS_CINS = 107
-MIPS_INS_CINS32 = 108
-MIPS_INS_CLASS = 109
-MIPS_INS_CLEI_S = 110
-MIPS_INS_CLEI_U = 111
-MIPS_INS_CLE_S = 112
-MIPS_INS_CLE_U = 113
-MIPS_INS_CLO = 114
-MIPS_INS_CLTI_S = 115
-MIPS_INS_CLTI_U = 116
-MIPS_INS_CLT_S = 117
-MIPS_INS_CLT_U = 118
-MIPS_INS_CLZ = 119
-MIPS_INS_CMPGDU = 120
-MIPS_INS_CMPGU = 121
-MIPS_INS_CMPU = 122
-MIPS_INS_CMP = 123
-MIPS_INS_COPY_S = 124
-MIPS_INS_COPY_U = 125
-MIPS_INS_CTC1 = 126
-MIPS_INS_CTCMSA = 127
-MIPS_INS_CVT = 128
-MIPS_INS_C = 129
-MIPS_INS_CMPI = 130
-MIPS_INS_DADD = 131
-MIPS_INS_DADDI = 132
-MIPS_INS_DADDIU = 133
-MIPS_INS_DADDU = 134
-MIPS_INS_DAHI = 135
-MIPS_INS_DALIGN = 136
-MIPS_INS_DATI = 137
-MIPS_INS_DAUI = 138
-MIPS_INS_DBITSWAP = 139
-MIPS_INS_DCLO = 140
-MIPS_INS_DCLZ = 141
-MIPS_INS_DDIV = 142
-MIPS_INS_DDIVU = 143
-MIPS_INS_DERET = 144
-MIPS_INS_DEXT = 145
-MIPS_INS_DEXTM = 146
-MIPS_INS_DEXTU = 147
-MIPS_INS_DI = 148
-MIPS_INS_DINS = 149
-MIPS_INS_DINSM = 150
-MIPS_INS_DINSU = 151
-MIPS_INS_DIV = 152
-MIPS_INS_DIVU = 153
-MIPS_INS_DIV_S = 154
-MIPS_INS_DIV_U = 155
-MIPS_INS_DLSA = 156
-MIPS_INS_DMFC0 = 157
-MIPS_INS_DMFC1 = 158
-MIPS_INS_DMFC2 = 159
-MIPS_INS_DMOD = 160
-MIPS_INS_DMODU = 161
-MIPS_INS_DMTC0 = 162
-MIPS_INS_DMTC1 = 163
-MIPS_INS_DMTC2 = 164
-MIPS_INS_DMUH = 165
-MIPS_INS_DMUHU = 166
-MIPS_INS_DMUL = 167
-MIPS_INS_DMULT = 168
-MIPS_INS_DMULTU = 169
-MIPS_INS_DMULU = 170
-MIPS_INS_DOTP_S = 171
-MIPS_INS_DOTP_U = 172
-MIPS_INS_DPADD_S = 173
-MIPS_INS_DPADD_U = 174
-MIPS_INS_DPAQX_SA = 175
-MIPS_INS_DPAQX_S = 176
-MIPS_INS_DPAQ_SA = 177
-MIPS_INS_DPAQ_S = 178
-MIPS_INS_DPAU = 179
-MIPS_INS_DPAX = 180
-MIPS_INS_DPA = 181
-MIPS_INS_DPOP = 182
-MIPS_INS_DPSQX_SA = 183
-MIPS_INS_DPSQX_S = 184
-MIPS_INS_DPSQ_SA = 185
-MIPS_INS_DPSQ_S = 186
-MIPS_INS_DPSUB_S = 187
-MIPS_INS_DPSUB_U = 188
-MIPS_INS_DPSU = 189
-MIPS_INS_DPSX = 190
-MIPS_INS_DPS = 191
-MIPS_INS_DROTR = 192
-MIPS_INS_DROTR32 = 193
-MIPS_INS_DROTRV = 194
-MIPS_INS_DSBH = 195
-MIPS_INS_DSHD = 196
-MIPS_INS_DSLL = 197
-MIPS_INS_DSLL32 = 198
-MIPS_INS_DSLLV = 199
-MIPS_INS_DSRA = 200
-MIPS_INS_DSRA32 = 201
-MIPS_INS_DSRAV = 202
-MIPS_INS_DSRL = 203
-MIPS_INS_DSRL32 = 204
-MIPS_INS_DSRLV = 205
-MIPS_INS_DSUB = 206
-MIPS_INS_DSUBU = 207
-MIPS_INS_EHB = 208
-MIPS_INS_EI = 209
-MIPS_INS_ERET = 210
-MIPS_INS_EXT = 211
-MIPS_INS_EXTP = 212
-MIPS_INS_EXTPDP = 213
-MIPS_INS_EXTPDPV = 214
-MIPS_INS_EXTPV = 215
-MIPS_INS_EXTRV_RS = 216
-MIPS_INS_EXTRV_R = 217
-MIPS_INS_EXTRV_S = 218
-MIPS_INS_EXTRV = 219
-MIPS_INS_EXTR_RS = 220
-MIPS_INS_EXTR_R = 221
-MIPS_INS_EXTR_S = 222
-MIPS_INS_EXTR = 223
-MIPS_INS_EXTS = 224
-MIPS_INS_EXTS32 = 225
-MIPS_INS_ABS = 226
-MIPS_INS_FADD = 227
-MIPS_INS_FCAF = 228
-MIPS_INS_FCEQ = 229
-MIPS_INS_FCLASS = 230
-MIPS_INS_FCLE = 231
-MIPS_INS_FCLT = 232
-MIPS_INS_FCNE = 233
-MIPS_INS_FCOR = 234
-MIPS_INS_FCUEQ = 235
-MIPS_INS_FCULE = 236
-MIPS_INS_FCULT = 237
-MIPS_INS_FCUNE = 238
-MIPS_INS_FCUN = 239
-MIPS_INS_FDIV = 240
-MIPS_INS_FEXDO = 241
-MIPS_INS_FEXP2 = 242
-MIPS_INS_FEXUPL = 243
-MIPS_INS_FEXUPR = 244
-MIPS_INS_FFINT_S = 245
-MIPS_INS_FFINT_U = 246
-MIPS_INS_FFQL = 247
-MIPS_INS_FFQR = 248
-MIPS_INS_FILL = 249
-MIPS_INS_FLOG2 = 250
-MIPS_INS_FLOOR = 251
-MIPS_INS_FMADD = 252
-MIPS_INS_FMAX_A = 253
-MIPS_INS_FMAX = 254
-MIPS_INS_FMIN_A = 255
-MIPS_INS_FMIN = 256
-MIPS_INS_MOV = 257
-MIPS_INS_FMSUB = 258
-MIPS_INS_FMUL = 259
-MIPS_INS_MUL = 260
-MIPS_INS_NEG = 261
-MIPS_INS_FRCP = 262
-MIPS_INS_FRINT = 263
-MIPS_INS_FRSQRT = 264
-MIPS_INS_FSAF = 265
-MIPS_INS_FSEQ = 266
-MIPS_INS_FSLE = 267
-MIPS_INS_FSLT = 268
-MIPS_INS_FSNE = 269
-MIPS_INS_FSOR = 270
-MIPS_INS_FSQRT = 271
-MIPS_INS_SQRT = 272
-MIPS_INS_FSUB = 273
-MIPS_INS_SUB = 274
-MIPS_INS_FSUEQ = 275
-MIPS_INS_FSULE = 276
-MIPS_INS_FSULT = 277
-MIPS_INS_FSUNE = 278
-MIPS_INS_FSUN = 279
-MIPS_INS_FTINT_S = 280
-MIPS_INS_FTINT_U = 281
-MIPS_INS_FTQ = 282
-MIPS_INS_FTRUNC_S = 283
-MIPS_INS_FTRUNC_U = 284
-MIPS_INS_HADD_S = 285
-MIPS_INS_HADD_U = 286
-MIPS_INS_HSUB_S = 287
-MIPS_INS_HSUB_U = 288
-MIPS_INS_ILVEV = 289
-MIPS_INS_ILVL = 290
-MIPS_INS_ILVOD = 291
-MIPS_INS_ILVR = 292
-MIPS_INS_INS = 293
-MIPS_INS_INSERT = 294
-MIPS_INS_INSV = 295
-MIPS_INS_INSVE = 296
-MIPS_INS_J = 297
-MIPS_INS_JAL = 298
-MIPS_INS_JALR = 299
-MIPS_INS_JALX = 300
-MIPS_INS_JIALC = 301
-MIPS_INS_JIC = 302
-MIPS_INS_JR = 303
-MIPS_INS_JRC = 304
-MIPS_INS_JALRC = 305
-MIPS_INS_LB = 306
-MIPS_INS_LBUX = 307
-MIPS_INS_LBU = 308
-MIPS_INS_LD = 309
-MIPS_INS_LDC1 = 310
-MIPS_INS_LDC2 = 311
-MIPS_INS_LDC3 = 312
-MIPS_INS_LDI = 313
-MIPS_INS_LDL = 314
-MIPS_INS_LDPC = 315
-MIPS_INS_LDR = 316
-MIPS_INS_LDXC1 = 317
-MIPS_INS_LH = 318
-MIPS_INS_LHX = 319
-MIPS_INS_LHU = 320
-MIPS_INS_LL = 321
-MIPS_INS_LLD = 322
-MIPS_INS_LSA = 323
-MIPS_INS_LUXC1 = 324
-MIPS_INS_LUI = 325
-MIPS_INS_LW = 326
-MIPS_INS_LWC1 = 327
-MIPS_INS_LWC2 = 328
-MIPS_INS_LWC3 = 329
-MIPS_INS_LWL = 330
-MIPS_INS_LWPC = 331
-MIPS_INS_LWR = 332
-MIPS_INS_LWUPC = 333
-MIPS_INS_LWU = 334
-MIPS_INS_LWX = 335
-MIPS_INS_LWXC1 = 336
-MIPS_INS_LI = 337
-MIPS_INS_MADD = 338
-MIPS_INS_MADDF = 339
-MIPS_INS_MADDR_Q = 340
-MIPS_INS_MADDU = 341
-MIPS_INS_MADDV = 342
-MIPS_INS_MADD_Q = 343
-MIPS_INS_MAQ_SA = 344
-MIPS_INS_MAQ_S = 345
-MIPS_INS_MAXA = 346
-MIPS_INS_MAXI_S = 347
-MIPS_INS_MAXI_U = 348
-MIPS_INS_MAX_A = 349
-MIPS_INS_MAX = 350
-MIPS_INS_MAX_S = 351
-MIPS_INS_MAX_U = 352
-MIPS_INS_MFC0 = 353
-MIPS_INS_MFC1 = 354
-MIPS_INS_MFC2 = 355
-MIPS_INS_MFHC1 = 356
-MIPS_INS_MFHI = 357
-MIPS_INS_MFLO = 358
-MIPS_INS_MINA = 359
-MIPS_INS_MINI_S = 360
-MIPS_INS_MINI_U = 361
-MIPS_INS_MIN_A = 362
-MIPS_INS_MIN = 363
-MIPS_INS_MIN_S = 364
-MIPS_INS_MIN_U = 365
-MIPS_INS_MOD = 366
-MIPS_INS_MODSUB = 367
-MIPS_INS_MODU = 368
-MIPS_INS_MOD_S = 369
-MIPS_INS_MOD_U = 370
-MIPS_INS_MOVE = 371
-MIPS_INS_MOVF = 372
-MIPS_INS_MOVN = 373
-MIPS_INS_MOVT = 374
-MIPS_INS_MOVZ = 375
-MIPS_INS_MSUB = 376
-MIPS_INS_MSUBF = 377
-MIPS_INS_MSUBR_Q = 378
-MIPS_INS_MSUBU = 379
-MIPS_INS_MSUBV = 380
-MIPS_INS_MSUB_Q = 381
-MIPS_INS_MTC0 = 382
-MIPS_INS_MTC1 = 383
-MIPS_INS_MTC2 = 384
-MIPS_INS_MTHC1 = 385
-MIPS_INS_MTHI = 386
-MIPS_INS_MTHLIP = 387
-MIPS_INS_MTLO = 388
-MIPS_INS_MTM0 = 389
-MIPS_INS_MTM1 = 390
-MIPS_INS_MTM2 = 391
-MIPS_INS_MTP0 = 392
-MIPS_INS_MTP1 = 393
-MIPS_INS_MTP2 = 394
-MIPS_INS_MUH = 395
-MIPS_INS_MUHU = 396
-MIPS_INS_MULEQ_S = 397
-MIPS_INS_MULEU_S = 398
-MIPS_INS_MULQ_RS = 399
-MIPS_INS_MULQ_S = 400
-MIPS_INS_MULR_Q = 401
-MIPS_INS_MULSAQ_S = 402
-MIPS_INS_MULSA = 403
-MIPS_INS_MULT = 404
-MIPS_INS_MULTU = 405
-MIPS_INS_MULU = 406
-MIPS_INS_MULV = 407
-MIPS_INS_MUL_Q = 408
-MIPS_INS_MUL_S = 409
-MIPS_INS_NLOC = 410
-MIPS_INS_NLZC = 411
-MIPS_INS_NMADD = 412
-MIPS_INS_NMSUB = 413
-MIPS_INS_NOR = 414
-MIPS_INS_NORI = 415
-MIPS_INS_NOT = 416
-MIPS_INS_OR = 417
-MIPS_INS_ORI = 418
-MIPS_INS_PACKRL = 419
-MIPS_INS_PAUSE = 420
-MIPS_INS_PCKEV = 421
-MIPS_INS_PCKOD = 422
-MIPS_INS_PCNT = 423
-MIPS_INS_PICK = 424
-MIPS_INS_POP = 425
-MIPS_INS_PRECEQU = 426
-MIPS_INS_PRECEQ = 427
-MIPS_INS_PRECEU = 428
-MIPS_INS_PRECRQU_S = 429
-MIPS_INS_PRECRQ = 430
-MIPS_INS_PRECRQ_RS = 431
-MIPS_INS_PRECR = 432
-MIPS_INS_PRECR_SRA = 433
-MIPS_INS_PRECR_SRA_R = 434
-MIPS_INS_PREF = 435
-MIPS_INS_PREPEND = 436
-MIPS_INS_RADDU = 437
-MIPS_INS_RDDSP = 438
-MIPS_INS_RDHWR = 439
-MIPS_INS_REPLV = 440
-MIPS_INS_REPL = 441
-MIPS_INS_RINT = 442
-MIPS_INS_ROTR = 443
-MIPS_INS_ROTRV = 444
-MIPS_INS_ROUND = 445
-MIPS_INS_SAT_S = 446
-MIPS_INS_SAT_U = 447
-MIPS_INS_SB = 448
-MIPS_INS_SC = 449
-MIPS_INS_SCD = 450
-MIPS_INS_SD = 451
-MIPS_INS_SDBBP = 452
-MIPS_INS_SDC1 = 453
-MIPS_INS_SDC2 = 454
-MIPS_INS_SDC3 = 455
-MIPS_INS_SDL = 456
-MIPS_INS_SDR = 457
-MIPS_INS_SDXC1 = 458
-MIPS_INS_SEB = 459
-MIPS_INS_SEH = 460
-MIPS_INS_SELEQZ = 461
-MIPS_INS_SELNEZ = 462
-MIPS_INS_SEL = 463
-MIPS_INS_SEQ = 464
-MIPS_INS_SEQI = 465
-MIPS_INS_SH = 466
-MIPS_INS_SHF = 467
-MIPS_INS_SHILO = 468
-MIPS_INS_SHILOV = 469
-MIPS_INS_SHLLV = 470
-MIPS_INS_SHLLV_S = 471
-MIPS_INS_SHLL = 472
-MIPS_INS_SHLL_S = 473
-MIPS_INS_SHRAV = 474
-MIPS_INS_SHRAV_R = 475
-MIPS_INS_SHRA = 476
-MIPS_INS_SHRA_R = 477
-MIPS_INS_SHRLV = 478
-MIPS_INS_SHRL = 479
-MIPS_INS_SLDI = 480
-MIPS_INS_SLD = 481
-MIPS_INS_SLL = 482
-MIPS_INS_SLLI = 483
-MIPS_INS_SLLV = 484
-MIPS_INS_SLT = 485
-MIPS_INS_SLTI = 486
-MIPS_INS_SLTIU = 487
-MIPS_INS_SLTU = 488
-MIPS_INS_SNE = 489
-MIPS_INS_SNEI = 490
-MIPS_INS_SPLATI = 491
-MIPS_INS_SPLAT = 492
-MIPS_INS_SRA = 493
-MIPS_INS_SRAI = 494
-MIPS_INS_SRARI = 495
-MIPS_INS_SRAR = 496
-MIPS_INS_SRAV = 497
-MIPS_INS_SRL = 498
-MIPS_INS_SRLI = 499
-MIPS_INS_SRLRI = 500
-MIPS_INS_SRLR = 501
-MIPS_INS_SRLV = 502
-MIPS_INS_SSNOP = 503
-MIPS_INS_ST = 504
-MIPS_INS_SUBQH = 505
-MIPS_INS_SUBQH_R = 506
-MIPS_INS_SUBQ = 507
-MIPS_INS_SUBQ_S = 508
-MIPS_INS_SUBSUS_U = 509
-MIPS_INS_SUBSUU_S = 510
-MIPS_INS_SUBS_S = 511
-MIPS_INS_SUBS_U = 512
-MIPS_INS_SUBUH = 513
-MIPS_INS_SUBUH_R = 514
-MIPS_INS_SUBU = 515
-MIPS_INS_SUBU_S = 516
-MIPS_INS_SUBVI = 517
-MIPS_INS_SUBV = 518
-MIPS_INS_SUXC1 = 519
-MIPS_INS_SW = 520
-MIPS_INS_SWC1 = 521
-MIPS_INS_SWC2 = 522
-MIPS_INS_SWC3 = 523
-MIPS_INS_SWL = 524
-MIPS_INS_SWR = 525
-MIPS_INS_SWXC1 = 526
-MIPS_INS_SYNC = 527
-MIPS_INS_SYSCALL = 528
-MIPS_INS_TEQ = 529
-MIPS_INS_TEQI = 530
-MIPS_INS_TGE = 531
-MIPS_INS_TGEI = 532
-MIPS_INS_TGEIU = 533
-MIPS_INS_TGEU = 534
-MIPS_INS_TLBP = 535
-MIPS_INS_TLBR = 536
-MIPS_INS_TLBWI = 537
-MIPS_INS_TLBWR = 538
-MIPS_INS_TLT = 539
-MIPS_INS_TLTI = 540
-MIPS_INS_TLTIU = 541
-MIPS_INS_TLTU = 542
-MIPS_INS_TNE = 543
-MIPS_INS_TNEI = 544
-MIPS_INS_TRUNC = 545
-MIPS_INS_V3MULU = 546
-MIPS_INS_VMM0 = 547
-MIPS_INS_VMULU = 548
-MIPS_INS_VSHF = 549
-MIPS_INS_WAIT = 550
-MIPS_INS_WRDSP = 551
-MIPS_INS_WSBH = 552
-MIPS_INS_XOR = 553
-MIPS_INS_XORI = 554
+MIPS_INS_BC0F = 40
+MIPS_INS_BC0FL = 41
+MIPS_INS_BC0T = 42
+MIPS_INS_BC0TL = 43
+MIPS_INS_BC1EQZ = 44
+MIPS_INS_BC1F = 45
+MIPS_INS_BC1FL = 46
+MIPS_INS_BC1NEZ = 47
+MIPS_INS_BC1T = 48
+MIPS_INS_BC1TL = 49
+MIPS_INS_BC2EQZ = 50
+MIPS_INS_BC2F = 51
+MIPS_INS_BC2FL = 52
+MIPS_INS_BC2NEZ = 53
+MIPS_INS_BC2T = 54
+MIPS_INS_BC2TL = 55
+MIPS_INS_BC3F = 56
+MIPS_INS_BC3FL = 57
+MIPS_INS_BC3T = 58
+MIPS_INS_BC3TL = 59
+MIPS_INS_BCLRI = 60
+MIPS_INS_BCLR = 61
+MIPS_INS_BEQ = 62
+MIPS_INS_BEQC = 63
+MIPS_INS_BEQL = 64
+MIPS_INS_BEQZALC = 65
+MIPS_INS_BEQZC = 66
+MIPS_INS_BGEC = 67
+MIPS_INS_BGEUC = 68
+MIPS_INS_BGEZ = 69
+MIPS_INS_BGEZAL = 70
+MIPS_INS_BGEZALC = 71
+MIPS_INS_BGEZALL = 72
+MIPS_INS_BGEZALS = 73
+MIPS_INS_BGEZC = 74
+MIPS_INS_BGEZL = 75
+MIPS_INS_BGTZ = 76
+MIPS_INS_BGTZALC = 77
+MIPS_INS_BGTZC = 78
+MIPS_INS_BGTZL = 79
+MIPS_INS_BINSLI = 80
+MIPS_INS_BINSL = 81
+MIPS_INS_BINSRI = 82
+MIPS_INS_BINSR = 83
+MIPS_INS_BITREV = 84
+MIPS_INS_BITSWAP = 85
+MIPS_INS_BLEZ = 86
+MIPS_INS_BLEZALC = 87
+MIPS_INS_BLEZC = 88
+MIPS_INS_BLEZL = 89
+MIPS_INS_BLTC = 90
+MIPS_INS_BLTUC = 91
+MIPS_INS_BLTZ = 92
+MIPS_INS_BLTZAL = 93
+MIPS_INS_BLTZALC = 94
+MIPS_INS_BLTZALL = 95
+MIPS_INS_BLTZALS = 96
+MIPS_INS_BLTZC = 97
+MIPS_INS_BLTZL = 98
+MIPS_INS_BMNZI = 99
+MIPS_INS_BMNZ = 100
+MIPS_INS_BMZI = 101
+MIPS_INS_BMZ = 102
+MIPS_INS_BNE = 103
+MIPS_INS_BNEC = 104
+MIPS_INS_BNEGI = 105
+MIPS_INS_BNEG = 106
+MIPS_INS_BNEL = 107
+MIPS_INS_BNEZALC = 108
+MIPS_INS_BNEZC = 109
+MIPS_INS_BNVC = 110
+MIPS_INS_BNZ = 111
+MIPS_INS_BOVC = 112
+MIPS_INS_BPOSGE32 = 113
+MIPS_INS_BREAK = 114
+MIPS_INS_BSELI = 115
+MIPS_INS_BSEL = 116
+MIPS_INS_BSETI = 117
+MIPS_INS_BSET = 118
+MIPS_INS_BZ = 119
+MIPS_INS_BEQZ = 120
+MIPS_INS_B = 121
+MIPS_INS_BNEZ = 122
+MIPS_INS_BTEQZ = 123
+MIPS_INS_BTNEZ = 124
+MIPS_INS_CACHE = 125
+MIPS_INS_CEIL = 126
+MIPS_INS_CEQI = 127
+MIPS_INS_CEQ = 128
+MIPS_INS_CFC1 = 129
+MIPS_INS_CFCMSA = 130
+MIPS_INS_CINS = 131
+MIPS_INS_CINS32 = 132
+MIPS_INS_CLASS = 133
+MIPS_INS_CLEI_S = 134
+MIPS_INS_CLEI_U = 135
+MIPS_INS_CLE_S = 136
+MIPS_INS_CLE_U = 137
+MIPS_INS_CLO = 138
+MIPS_INS_CLTI_S = 139
+MIPS_INS_CLTI_U = 140
+MIPS_INS_CLT_S = 141
+MIPS_INS_CLT_U = 142
+MIPS_INS_CLZ = 143
+MIPS_INS_CMPGDU = 144
+MIPS_INS_CMPGU = 145
+MIPS_INS_CMPU = 146
+MIPS_INS_CMP = 147
+MIPS_INS_COPY_S = 148
+MIPS_INS_COPY_U = 149
+MIPS_INS_CTC1 = 150
+MIPS_INS_CTCMSA = 151
+MIPS_INS_CVT = 152
+MIPS_INS_C = 153
+MIPS_INS_CMPI = 154
+MIPS_INS_DADD = 155
+MIPS_INS_DADDI = 156
+MIPS_INS_DADDIU = 157
+MIPS_INS_DADDU = 158
+MIPS_INS_DAHI = 159
+MIPS_INS_DALIGN = 160
+MIPS_INS_DATI = 161
+MIPS_INS_DAUI = 162
+MIPS_INS_DBITSWAP = 163
+MIPS_INS_DCLO = 164
+MIPS_INS_DCLZ = 165
+MIPS_INS_DDIV = 166
+MIPS_INS_DDIVU = 167
+MIPS_INS_DERET = 168
+MIPS_INS_DEXT = 169
+MIPS_INS_DEXTM = 170
+MIPS_INS_DEXTU = 171
+MIPS_INS_DI = 172
+MIPS_INS_DINS = 173
+MIPS_INS_DINSM = 174
+MIPS_INS_DINSU = 175
+MIPS_INS_DIV = 176
+MIPS_INS_DIVU = 177
+MIPS_INS_DIV_S = 178
+MIPS_INS_DIV_U = 179
+MIPS_INS_DLSA = 180
+MIPS_INS_DMFC0 = 181
+MIPS_INS_DMFC1 = 182
+MIPS_INS_DMFC2 = 183
+MIPS_INS_DMOD = 184
+MIPS_INS_DMODU = 185
+MIPS_INS_DMTC0 = 186
+MIPS_INS_DMTC1 = 187
+MIPS_INS_DMTC2 = 188
+MIPS_INS_DMUH = 189
+MIPS_INS_DMUHU = 190
+MIPS_INS_DMUL = 191
+MIPS_INS_DMULT = 192
+MIPS_INS_DMULTU = 193
+MIPS_INS_DMULU = 194
+MIPS_INS_DOTP_S = 195
+MIPS_INS_DOTP_U = 196
+MIPS_INS_DPADD_S = 197
+MIPS_INS_DPADD_U = 198
+MIPS_INS_DPAQX_SA = 199
+MIPS_INS_DPAQX_S = 200
+MIPS_INS_DPAQ_SA = 201
+MIPS_INS_DPAQ_S = 202
+MIPS_INS_DPAU = 203
+MIPS_INS_DPAX = 204
+MIPS_INS_DPA = 205
+MIPS_INS_DPOP = 206
+MIPS_INS_DPSQX_SA = 207
+MIPS_INS_DPSQX_S = 208
+MIPS_INS_DPSQ_SA = 209
+MIPS_INS_DPSQ_S = 210
+MIPS_INS_DPSUB_S = 211
+MIPS_INS_DPSUB_U = 212
+MIPS_INS_DPSU = 213
+MIPS_INS_DPSX = 214
+MIPS_INS_DPS = 215
+MIPS_INS_DROTR = 216
+MIPS_INS_DROTR32 = 217
+MIPS_INS_DROTRV = 218
+MIPS_INS_DSBH = 219
+MIPS_INS_DSHD = 220
+MIPS_INS_DSLL = 221
+MIPS_INS_DSLL32 = 222
+MIPS_INS_DSLLV = 223
+MIPS_INS_DSRA = 224
+MIPS_INS_DSRA32 = 225
+MIPS_INS_DSRAV = 226
+MIPS_INS_DSRL = 227
+MIPS_INS_DSRL32 = 228
+MIPS_INS_DSRLV = 229
+MIPS_INS_DSUB = 230
+MIPS_INS_DSUBU = 231
+MIPS_INS_EHB = 232
+MIPS_INS_EI = 233
+MIPS_INS_ERET = 234
+MIPS_INS_EXT = 235
+MIPS_INS_EXTP = 236
+MIPS_INS_EXTPDP = 237
+MIPS_INS_EXTPDPV = 238
+MIPS_INS_EXTPV = 239
+MIPS_INS_EXTRV_RS = 240
+MIPS_INS_EXTRV_R = 241
+MIPS_INS_EXTRV_S = 242
+MIPS_INS_EXTRV = 243
+MIPS_INS_EXTR_RS = 244
+MIPS_INS_EXTR_R = 245
+MIPS_INS_EXTR_S = 246
+MIPS_INS_EXTR = 247
+MIPS_INS_EXTS = 248
+MIPS_INS_EXTS32 = 249
+MIPS_INS_ABS = 250
+MIPS_INS_FADD = 251
+MIPS_INS_FCAF = 252
+MIPS_INS_FCEQ = 253
+MIPS_INS_FCLASS = 254
+MIPS_INS_FCLE = 255
+MIPS_INS_FCLT = 256
+MIPS_INS_FCNE = 257
+MIPS_INS_FCOR = 258
+MIPS_INS_FCUEQ = 259
+MIPS_INS_FCULE = 260
+MIPS_INS_FCULT = 261
+MIPS_INS_FCUNE = 262
+MIPS_INS_FCUN = 263
+MIPS_INS_FDIV = 264
+MIPS_INS_FEXDO = 265
+MIPS_INS_FEXP2 = 266
+MIPS_INS_FEXUPL = 267
+MIPS_INS_FEXUPR = 268
+MIPS_INS_FFINT_S = 269
+MIPS_INS_FFINT_U = 270
+MIPS_INS_FFQL = 271
+MIPS_INS_FFQR = 272
+MIPS_INS_FILL = 273
+MIPS_INS_FLOG2 = 274
+MIPS_INS_FLOOR = 275
+MIPS_INS_FMADD = 276
+MIPS_INS_FMAX_A = 277
+MIPS_INS_FMAX = 278
+MIPS_INS_FMIN_A = 279
+MIPS_INS_FMIN = 280
+MIPS_INS_MOV = 281
+MIPS_INS_FMSUB = 282
+MIPS_INS_FMUL = 283
+MIPS_INS_MUL = 284
+MIPS_INS_NEG = 285
+MIPS_INS_FRCP = 286
+MIPS_INS_FRINT = 287
+MIPS_INS_FRSQRT = 288
+MIPS_INS_FSAF = 289
+MIPS_INS_FSEQ = 290
+MIPS_INS_FSLE = 291
+MIPS_INS_FSLT = 292
+MIPS_INS_FSNE = 293
+MIPS_INS_FSOR = 294
+MIPS_INS_FSQRT = 295
+MIPS_INS_SQRT = 296
+MIPS_INS_FSUB = 297
+MIPS_INS_SUB = 298
+MIPS_INS_FSUEQ = 299
+MIPS_INS_FSULE = 300
+MIPS_INS_FSULT = 301
+MIPS_INS_FSUNE = 302
+MIPS_INS_FSUN = 303
+MIPS_INS_FTINT_S = 304
+MIPS_INS_FTINT_U = 305
+MIPS_INS_FTQ = 306
+MIPS_INS_FTRUNC_S = 307
+MIPS_INS_FTRUNC_U = 308
+MIPS_INS_HADD_S = 309
+MIPS_INS_HADD_U = 310
+MIPS_INS_HSUB_S = 311
+MIPS_INS_HSUB_U = 312
+MIPS_INS_ILVEV = 313
+MIPS_INS_ILVL = 314
+MIPS_INS_ILVOD = 315
+MIPS_INS_ILVR = 316
+MIPS_INS_INS = 317
+MIPS_INS_INSERT = 318
+MIPS_INS_INSV = 319
+MIPS_INS_INSVE = 320
+MIPS_INS_J = 321
+MIPS_INS_JAL = 322
+MIPS_INS_JALR = 323
+MIPS_INS_JALRS = 324
+MIPS_INS_JALS = 325
+MIPS_INS_JALX = 326
+MIPS_INS_JIALC = 327
+MIPS_INS_JIC = 328
+MIPS_INS_JR = 329
+MIPS_INS_JRADDIUSP = 330
+MIPS_INS_JRC = 331
+MIPS_INS_JALRC = 332
+MIPS_INS_LB = 333
+MIPS_INS_LBUX = 334
+MIPS_INS_LBU = 335
+MIPS_INS_LD = 336
+MIPS_INS_LDC1 = 337
+MIPS_INS_LDC2 = 338
+MIPS_INS_LDC3 = 339
+MIPS_INS_LDI = 340
+MIPS_INS_LDL = 341
+MIPS_INS_LDPC = 342
+MIPS_INS_LDR = 343
+MIPS_INS_LDXC1 = 344
+MIPS_INS_LH = 345
+MIPS_INS_LHX = 346
+MIPS_INS_LHU = 347
+MIPS_INS_LL = 348
+MIPS_INS_LLD = 349
+MIPS_INS_LSA = 350
+MIPS_INS_LUXC1 = 351
+MIPS_INS_LUI = 352
+MIPS_INS_LW = 353
+MIPS_INS_LWC1 = 354
+MIPS_INS_LWC2 = 355
+MIPS_INS_LWC3 = 356
+MIPS_INS_LWL = 357
+MIPS_INS_LWPC = 358
+MIPS_INS_LWR = 359
+MIPS_INS_LWUPC = 360
+MIPS_INS_LWU = 361
+MIPS_INS_LWX = 362
+MIPS_INS_LWXC1 = 363
+MIPS_INS_LI = 364
+MIPS_INS_MADD = 365
+MIPS_INS_MADDF = 366
+MIPS_INS_MADDR_Q = 367
+MIPS_INS_MADDU = 368
+MIPS_INS_MADDV = 369
+MIPS_INS_MADD_Q = 370
+MIPS_INS_MAQ_SA = 371
+MIPS_INS_MAQ_S = 372
+MIPS_INS_MAXA = 373
+MIPS_INS_MAXI_S = 374
+MIPS_INS_MAXI_U = 375
+MIPS_INS_MAX_A = 376
+MIPS_INS_MAX = 377
+MIPS_INS_MAX_S = 378
+MIPS_INS_MAX_U = 379
+MIPS_INS_MFC0 = 380
+MIPS_INS_MFC1 = 381
+MIPS_INS_MFC2 = 382
+MIPS_INS_MFHC1 = 383
+MIPS_INS_MFHI = 384
+MIPS_INS_MFLO = 385
+MIPS_INS_MINA = 386
+MIPS_INS_MINI_S = 387
+MIPS_INS_MINI_U = 388
+MIPS_INS_MIN_A = 389
+MIPS_INS_MIN = 390
+MIPS_INS_MIN_S = 391
+MIPS_INS_MIN_U = 392
+MIPS_INS_MOD = 393
+MIPS_INS_MODSUB = 394
+MIPS_INS_MODU = 395
+MIPS_INS_MOD_S = 396
+MIPS_INS_MOD_U = 397
+MIPS_INS_MOVE = 398
+MIPS_INS_MOVF = 399
+MIPS_INS_MOVN = 400
+MIPS_INS_MOVT = 401
+MIPS_INS_MOVZ = 402
+MIPS_INS_MSUB = 403
+MIPS_INS_MSUBF = 404
+MIPS_INS_MSUBR_Q = 405
+MIPS_INS_MSUBU = 406
+MIPS_INS_MSUBV = 407
+MIPS_INS_MSUB_Q = 408
+MIPS_INS_MTC0 = 409
+MIPS_INS_MTC1 = 410
+MIPS_INS_MTC2 = 411
+MIPS_INS_MTHC1 = 412
+MIPS_INS_MTHI = 413
+MIPS_INS_MTHLIP = 414
+MIPS_INS_MTLO = 415
+MIPS_INS_MTM0 = 416
+MIPS_INS_MTM1 = 417
+MIPS_INS_MTM2 = 418
+MIPS_INS_MTP0 = 419
+MIPS_INS_MTP1 = 420
+MIPS_INS_MTP2 = 421
+MIPS_INS_MUH = 422
+MIPS_INS_MUHU = 423
+MIPS_INS_MULEQ_S = 424
+MIPS_INS_MULEU_S = 425
+MIPS_INS_MULQ_RS = 426
+MIPS_INS_MULQ_S = 427
+MIPS_INS_MULR_Q = 428
+MIPS_INS_MULSAQ_S = 429
+MIPS_INS_MULSA = 430
+MIPS_INS_MULT = 431
+MIPS_INS_MULTU = 432
+MIPS_INS_MULU = 433
+MIPS_INS_MULV = 434
+MIPS_INS_MUL_Q = 435
+MIPS_INS_MUL_S = 436
+MIPS_INS_NLOC = 437
+MIPS_INS_NLZC = 438
+MIPS_INS_NMADD = 439
+MIPS_INS_NMSUB = 440
+MIPS_INS_NOR = 441
+MIPS_INS_NORI = 442
+MIPS_INS_NOT = 443
+MIPS_INS_OR = 444
+MIPS_INS_ORI = 445
+MIPS_INS_PACKRL = 446
+MIPS_INS_PAUSE = 447
+MIPS_INS_PCKEV = 448
+MIPS_INS_PCKOD = 449
+MIPS_INS_PCNT = 450
+MIPS_INS_PICK = 451
+MIPS_INS_POP = 452
+MIPS_INS_PRECEQU = 453
+MIPS_INS_PRECEQ = 454
+MIPS_INS_PRECEU = 455
+MIPS_INS_PRECRQU_S = 456
+MIPS_INS_PRECRQ = 457
+MIPS_INS_PRECRQ_RS = 458
+MIPS_INS_PRECR = 459
+MIPS_INS_PRECR_SRA = 460
+MIPS_INS_PRECR_SRA_R = 461
+MIPS_INS_PREF = 462
+MIPS_INS_PREPEND = 463
+MIPS_INS_RADDU = 464
+MIPS_INS_RDDSP = 465
+MIPS_INS_RDHWR = 466
+MIPS_INS_REPLV = 467
+MIPS_INS_REPL = 468
+MIPS_INS_RINT = 469
+MIPS_INS_ROTR = 470
+MIPS_INS_ROTRV = 471
+MIPS_INS_ROUND = 472
+MIPS_INS_SAT_S = 473
+MIPS_INS_SAT_U = 474
+MIPS_INS_SB = 475
+MIPS_INS_SC = 476
+MIPS_INS_SCD = 477
+MIPS_INS_SD = 478
+MIPS_INS_SDBBP = 479
+MIPS_INS_SDC1 = 480
+MIPS_INS_SDC2 = 481
+MIPS_INS_SDC3 = 482
+MIPS_INS_SDL = 483
+MIPS_INS_SDR = 484
+MIPS_INS_SDXC1 = 485
+MIPS_INS_SEB = 486
+MIPS_INS_SEH = 487
+MIPS_INS_SELEQZ = 488
+MIPS_INS_SELNEZ = 489
+MIPS_INS_SEL = 490
+MIPS_INS_SEQ = 491
+MIPS_INS_SEQI = 492
+MIPS_INS_SH = 493
+MIPS_INS_SHF = 494
+MIPS_INS_SHILO = 495
+MIPS_INS_SHILOV = 496
+MIPS_INS_SHLLV = 497
+MIPS_INS_SHLLV_S = 498
+MIPS_INS_SHLL = 499
+MIPS_INS_SHLL_S = 500
+MIPS_INS_SHRAV = 501
+MIPS_INS_SHRAV_R = 502
+MIPS_INS_SHRA = 503
+MIPS_INS_SHRA_R = 504
+MIPS_INS_SHRLV = 505
+MIPS_INS_SHRL = 506
+MIPS_INS_SLDI = 507
+MIPS_INS_SLD = 508
+MIPS_INS_SLL = 509
+MIPS_INS_SLLI = 510
+MIPS_INS_SLLV = 511
+MIPS_INS_SLT = 512
+MIPS_INS_SLTI = 513
+MIPS_INS_SLTIU = 514
+MIPS_INS_SLTU = 515
+MIPS_INS_SNE = 516
+MIPS_INS_SNEI = 517
+MIPS_INS_SPLATI = 518
+MIPS_INS_SPLAT = 519
+MIPS_INS_SRA = 520
+MIPS_INS_SRAI = 521
+MIPS_INS_SRARI = 522
+MIPS_INS_SRAR = 523
+MIPS_INS_SRAV = 524
+MIPS_INS_SRL = 525
+MIPS_INS_SRLI = 526
+MIPS_INS_SRLRI = 527
+MIPS_INS_SRLR = 528
+MIPS_INS_SRLV = 529
+MIPS_INS_SSNOP = 530
+MIPS_INS_ST = 531
+MIPS_INS_SUBQH = 532
+MIPS_INS_SUBQH_R = 533
+MIPS_INS_SUBQ = 534
+MIPS_INS_SUBQ_S = 535
+MIPS_INS_SUBSUS_U = 536
+MIPS_INS_SUBSUU_S = 537
+MIPS_INS_SUBS_S = 538
+MIPS_INS_SUBS_U = 539
+MIPS_INS_SUBUH = 540
+MIPS_INS_SUBUH_R = 541
+MIPS_INS_SUBU = 542
+MIPS_INS_SUBU_S = 543
+MIPS_INS_SUBVI = 544
+MIPS_INS_SUBV = 545
+MIPS_INS_SUXC1 = 546
+MIPS_INS_SW = 547
+MIPS_INS_SWC1 = 548
+MIPS_INS_SWC2 = 549
+MIPS_INS_SWC3 = 550
+MIPS_INS_SWL = 551
+MIPS_INS_SWR = 552
+MIPS_INS_SWXC1 = 553
+MIPS_INS_SYNC = 554
+MIPS_INS_SYSCALL = 555
+MIPS_INS_TEQ = 556
+MIPS_INS_TEQI = 557
+MIPS_INS_TGE = 558
+MIPS_INS_TGEI = 559
+MIPS_INS_TGEIU = 560
+MIPS_INS_TGEU = 561
+MIPS_INS_TLBP = 562
+MIPS_INS_TLBR = 563
+MIPS_INS_TLBWI = 564
+MIPS_INS_TLBWR = 565
+MIPS_INS_TLT = 566
+MIPS_INS_TLTI = 567
+MIPS_INS_TLTIU = 568
+MIPS_INS_TLTU = 569
+MIPS_INS_TNE = 570
+MIPS_INS_TNEI = 571
+MIPS_INS_TRUNC = 572
+MIPS_INS_V3MULU = 573
+MIPS_INS_VMM0 = 574
+MIPS_INS_VMULU = 575
+MIPS_INS_VSHF = 576
+MIPS_INS_WAIT = 577
+MIPS_INS_WRDSP = 578
+MIPS_INS_WSBH = 579
+MIPS_INS_XOR = 580
+MIPS_INS_XORI = 581
 
 # some alias instructions
-MIPS_INS_NOP = 555
-MIPS_INS_NEGU = 556
-MIPS_INS_MAXIMUM = 557
+MIPS_INS_NOP = 582
+MIPS_INS_NEGU = 583
+MIPS_INS_MAXIMUM = 584
 
 # Group of MIPS instructions
 
diff --git a/include/mips.h b/include/mips.h
index 78269f6..e1d481d 100644
--- a/include/mips.h
+++ b/include/mips.h
@@ -55,7 +55,7 @@
 //> MIPS registers
 typedef enum mips_reg {
 	MIPS_REG_INVALID = 0,
-	// General purpose registers
+	//> General purpose registers
 	MIPS_REG_0,
 	MIPS_REG_1,
 	MIPS_REG_2,
@@ -89,7 +89,7 @@
 	MIPS_REG_30,
 	MIPS_REG_31,
 
-	// DSP registers
+	//> DSP registers
 	MIPS_REG_DSPCCOND,
 	MIPS_REG_DSPCARRY,
 	MIPS_REG_DSPEFI,
@@ -102,13 +102,23 @@
 	MIPS_REG_DSPPOS,
 	MIPS_REG_DSPSCOUNT,
 
-	// ACC registers
+	//> ACC registers
 	MIPS_REG_AC0,
 	MIPS_REG_AC1,
 	MIPS_REG_AC2,
 	MIPS_REG_AC3,
 
-	// FPU registers
+	//> COP registers
+	MIPS_REG_CC0,
+	MIPS_REG_CC1,
+	MIPS_REG_CC2,
+	MIPS_REG_CC3,
+	MIPS_REG_CC4,
+	MIPS_REG_CC5,
+	MIPS_REG_CC6,
+	MIPS_REG_CC7,
+
+	//> FPU registers
 	MIPS_REG_F0,
 	MIPS_REG_F1,
 	MIPS_REG_F2,
@@ -151,7 +161,7 @@
 	MIPS_REG_FCC6,
 	MIPS_REG_FCC7,
 
-	// AFPR128
+	//> AFPR128
 	MIPS_REG_W0,
 	MIPS_REG_W1,
 	MIPS_REG_W2,
@@ -287,16 +297,31 @@
 	MIPS_INS_BALC,
 	MIPS_INS_BALIGN,
 	MIPS_INS_BC,
+	MIPS_INS_BC0F,
+	MIPS_INS_BC0FL,
+	MIPS_INS_BC0T,
+	MIPS_INS_BC0TL,
 	MIPS_INS_BC1EQZ,
 	MIPS_INS_BC1F,
+	MIPS_INS_BC1FL,
 	MIPS_INS_BC1NEZ,
 	MIPS_INS_BC1T,
+	MIPS_INS_BC1TL,
 	MIPS_INS_BC2EQZ,
+	MIPS_INS_BC2F,
+	MIPS_INS_BC2FL,
 	MIPS_INS_BC2NEZ,
+	MIPS_INS_BC2T,
+	MIPS_INS_BC2TL,
+	MIPS_INS_BC3F,
+	MIPS_INS_BC3FL,
+	MIPS_INS_BC3T,
+	MIPS_INS_BC3TL,
 	MIPS_INS_BCLRI,
 	MIPS_INS_BCLR,
 	MIPS_INS_BEQ,
 	MIPS_INS_BEQC,
+	MIPS_INS_BEQL,
 	MIPS_INS_BEQZALC,
 	MIPS_INS_BEQZC,
 	MIPS_INS_BGEC,
@@ -304,10 +329,14 @@
 	MIPS_INS_BGEZ,
 	MIPS_INS_BGEZAL,
 	MIPS_INS_BGEZALC,
+	MIPS_INS_BGEZALL,
+	MIPS_INS_BGEZALS,
 	MIPS_INS_BGEZC,
+	MIPS_INS_BGEZL,
 	MIPS_INS_BGTZ,
 	MIPS_INS_BGTZALC,
 	MIPS_INS_BGTZC,
+	MIPS_INS_BGTZL,
 	MIPS_INS_BINSLI,
 	MIPS_INS_BINSL,
 	MIPS_INS_BINSRI,
@@ -317,12 +346,16 @@
 	MIPS_INS_BLEZ,
 	MIPS_INS_BLEZALC,
 	MIPS_INS_BLEZC,
+	MIPS_INS_BLEZL,
 	MIPS_INS_BLTC,
 	MIPS_INS_BLTUC,
 	MIPS_INS_BLTZ,
 	MIPS_INS_BLTZAL,
 	MIPS_INS_BLTZALC,
+	MIPS_INS_BLTZALL,
+	MIPS_INS_BLTZALS,
 	MIPS_INS_BLTZC,
+	MIPS_INS_BLTZL,
 	MIPS_INS_BMNZI,
 	MIPS_INS_BMNZ,
 	MIPS_INS_BMZI,
@@ -331,6 +364,7 @@
 	MIPS_INS_BNEC,
 	MIPS_INS_BNEGI,
 	MIPS_INS_BNEG,
+	MIPS_INS_BNEL,
 	MIPS_INS_BNEZALC,
 	MIPS_INS_BNEZC,
 	MIPS_INS_BNVC,
@@ -547,10 +581,13 @@
 	MIPS_INS_J,
 	MIPS_INS_JAL,
 	MIPS_INS_JALR,
+	MIPS_INS_JALRS,
+	MIPS_INS_JALS,
 	MIPS_INS_JALX,
 	MIPS_INS_JIALC,
 	MIPS_INS_JIC,
 	MIPS_INS_JR,
+	MIPS_INS_JRADDIUSP,
 	MIPS_INS_JRC,
 	MIPS_INS_JALRC,
 	MIPS_INS_LB,