x86: handle SAL instructions. bug reported by Attila Suszter & Ange Albertini
diff --git a/arch/X86/X86GenAsmWriter1.inc b/arch/X86/X86GenAsmWriter1.inc
index 563cbd1..c52e312 100644
--- a/arch/X86/X86GenAsmWriter1.inc
+++ b/arch/X86/X86GenAsmWriter1.inc
@@ -25,27 +25,27 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    11653U,	// DBG_VALUE
+    11658U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    11646U,	// BUNDLE
-    11718U,	// LIFETIME_START
-    11633U,	// LIFETIME_END
+    11651U,	// BUNDLE
+    11723U,	// LIFETIME_START
+    11638U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
-    11733U,	// AAA
+    11738U,	// AAA
     20092U,	// AAD8i8
-    22469U,	// AAM8i8
-    12414U,	// AAS
-    12422U,	// ABS_F
+    22474U,	// AAM8i8
+    12419U,	// AAS
+    12427U,	// ABS_F
     0U,	// ABS_Fp32
     0U,	// ABS_Fp64
     0U,	// ABS_Fp80
-    11348U,	// ACQUIRE_MOV16rm
-    11348U,	// ACQUIRE_MOV32rm
-    11348U,	// ACQUIRE_MOV64rm
-    11348U,	// ACQUIRE_MOV8rm
-    26069U,	// ADC16i16
+    11353U,	// ACQUIRE_MOV16rm
+    11353U,	// ACQUIRE_MOV32rm
+    11353U,	// ACQUIRE_MOV64rm
+    11353U,	// ACQUIRE_MOV8rm
+    26074U,	// ADC16i16
     1084988U,	// ADC16mi
     1084988U,	// ADC16mi8
     1084988U,	// ADC16mr
@@ -54,7 +54,7 @@
     3198524U,	// ADC16rm
     35704380U,	// ADC16rr
     68177468U,	// ADC16rr_REV
-    26205U,	// ADC32i32
+    26210U,	// ADC32i32
     1117756U,	// ADC32mi
     1117756U,	// ADC32mi8
     1117756U,	// ADC32mr
@@ -63,7 +63,7 @@
     4247100U,	// ADC32rm
     35704380U,	// ADC32rr
     68177468U,	// ADC32rr_REV
-    26353U,	// ADC64i32
+    26358U,	// ADC64i32
     1134140U,	// ADC64mi32
     1134140U,	// ADC64mi8
     1134140U,	// ADC64mr
@@ -72,18 +72,18 @@
     5295676U,	// ADC64rm
     35704380U,	// ADC64rr
     68177468U,	// ADC64rr_REV
-    25967U,	// ADC8i8
+    25972U,	// ADC8i8
     1150524U,	// ADC8mi
     1150524U,	// ADC8mr
     35704380U,	// ADC8ri
     6344252U,	// ADC8rm
     35704380U,	// ADC8rr
     68177468U,	// ADC8rr_REV
-    101737560U,	// ADCX32rm
-    135291992U,	// ADCX32rr
-    168846424U,	// ADCX64rm
-    135291992U,	// ADCX64rr
-    26078U,	// ADD16i16
+    101737565U,	// ADCX32rm
+    135291997U,	// ADCX32rr
+    168846429U,	// ADCX64rm
+    135291997U,	// ADCX64rr
+    26083U,	// ADD16i16
     1085143U,	// ADD16mi
     1085143U,	// ADD16mi8
     1085143U,	// ADD16mr
@@ -95,7 +95,7 @@
     35704535U,	// ADD16rr
     0U,	// ADD16rr_DB
     68177623U,	// ADD16rr_REV
-    26215U,	// ADD32i32
+    26220U,	// ADD32i32
     1117911U,	// ADD32mi
     1117911U,	// ADD32mi8
     1117911U,	// ADD32mr
@@ -107,7 +107,7 @@
     35704535U,	// ADD32rr
     0U,	// ADD32rr_DB
     68177623U,	// ADD32rr_REV
-    26363U,	// ADD64i32
+    26368U,	// ADD64i32
     1134295U,	// ADD64mi32
     1134295U,	// ADD64mi8
     1134295U,	// ADD64mr
@@ -119,7 +119,7 @@
     35704535U,	// ADD64rr
     0U,	// ADD64rr_DB
     68177623U,	// ADD64rr_REV
-    25976U,	// ADD8i8
+    25981U,	// ADD8i8
     1150679U,	// ADD8mi
     1150679U,	// ADD8mr
     35704535U,	// ADD8ri
@@ -128,25 +128,25 @@
     68177623U,	// ADD8rr_REV
     202395971U,	// ADDPDrm
     68178243U,	// ADDPDrr
-    202399184U,	// ADDPSrm
-    68181456U,	// ADDPSrr
+    202399189U,	// ADDPSrm
+    68181461U,	// ADDPSrr
     235951010U,	// ADDSDrm
     235951010U,	// ADDSDrm_Int
     68178850U,	// ADDSDrr
     68178850U,	// ADDSDrr_Int
-    269508654U,	// ADDSSrm
-    269508654U,	// ADDSSrm_Int
-    68182062U,	// ADDSSrr
-    68182062U,	// ADDSSrr_Int
+    269508659U,	// ADDSSrm
+    269508659U,	// ADDSSrm_Int
+    68182067U,	// ADDSSrr
+    68182067U,	// ADDSSrr_Int
     202395906U,	// ADDSUBPDrm
     68178178U,	// ADDSUBPDrr
-    202399119U,	// ADDSUBPSrm
-    68181391U,	// ADDSUBPSrr
+    202399124U,	// ADDSUBPSrm
+    68181396U,	// ADDSUBPSrr
     118486U,	// ADD_F32m
     134870U,	// ADD_F64m
     36572U,	// ADD_FI16m
     69340U,	// ADD_FI32m
-    22614U,	// ADD_FPrST0
+    22619U,	// ADD_FPrST0
     20182U,	// ADD_FST0r
     0U,	// ADD_Fp32
     0U,	// ADD_Fp32m
@@ -163,27 +163,27 @@
     0U,	// ADD_FpI32m64
     0U,	// ADD_FpI32m80
     7360214U,	// ADD_FrST0
-    11672U,	// ADJCALLSTACKDOWN32
-    11672U,	// ADJCALLSTACKDOWN64
-    11690U,	// ADJCALLSTACKUP32
-    11690U,	// ADJCALLSTACKUP64
-    101737578U,	// ADOX32rm
-    135292010U,	// ADOX32rr
-    168846442U,	// ADOX64rm
-    135292010U,	// ADOX64rr
-    303063388U,	// AESDECLASTrm
-    68182364U,	// AESDECLASTrr
+    11677U,	// ADJCALLSTACKDOWN32
+    11677U,	// ADJCALLSTACKDOWN64
+    11695U,	// ADJCALLSTACKUP32
+    11695U,	// ADJCALLSTACKUP64
+    101737583U,	// ADOX32rm
+    135292015U,	// ADOX32rr
+    168846447U,	// ADOX64rm
+    135292015U,	// ADOX64rr
+    303063393U,	// AESDECLASTrm
+    68182369U,	// AESDECLASTrr
     303058498U,	// AESDECrm
     68177474U,	// AESDECrr
-    303063401U,	// AESENCLASTrm
-    68182377U,	// AESENCLASTrr
+    303063406U,	// AESENCLASTrm
+    68182382U,	// AESENCLASTrr
     303058538U,	// AESENCrm
     68177514U,	// AESENCrr
     336612961U,	// AESIMCrm
     135286369U,	// AESIMCrr
-    2484101513U,	// AESKEYGENASSIST128rm
-    2282774921U,	// AESKEYGENASSIST128rr
-    26087U,	// AND16i16
+    2484101518U,	// AESKEYGENASSIST128rm
+    2282774926U,	// AESKEYGENASSIST128rr
+    26092U,	// AND16i16
     1085347U,	// AND16mi
     1085347U,	// AND16mi8
     1085347U,	// AND16mr
@@ -192,7 +192,7 @@
     3198883U,	// AND16rm
     35704739U,	// AND16rr
     68177827U,	// AND16rr_REV
-    26225U,	// AND32i32
+    26230U,	// AND32i32
     1118115U,	// AND32mi
     1118115U,	// AND32mi8
     1118115U,	// AND32mr
@@ -201,7 +201,7 @@
     4247459U,	// AND32rm
     35704739U,	// AND32rr
     68177827U,	// AND32rr_REV
-    26373U,	// AND64i32
+    26378U,	// AND64i32
     1134499U,	// AND64mi32
     1134499U,	// AND64mi8
     1134499U,	// AND64mr
@@ -210,85 +210,85 @@
     5296035U,	// AND64rm
     35704739U,	// AND64rr
     68177827U,	// AND64rr_REV
-    25985U,	// AND8i8
+    25990U,	// AND8i8
     1150883U,	// AND8mi
     1150883U,	// AND8mr
     35704739U,	// AND8ri
     6344611U,	// AND8rm
     35704739U,	// AND8rr
     68177827U,	// AND8rr_REV
-    2282772480U,	// ANDN32rm
-    2282772480U,	// ANDN32rr
-    2282772480U,	// ANDN64rm
-    2282772480U,	// ANDN64rr
+    2282772485U,	// ANDN32rm
+    2282772485U,	// ANDN32rr
+    2282772485U,	// ANDN64rm
+    2282772485U,	// ANDN64rr
     202396153U,	// ANDNPDrm
     68178425U,	// ANDNPDrr
-    202399378U,	// ANDNPSrm
-    68181650U,	// ANDNPSrr
+    202399383U,	// ANDNPSrm
+    68181655U,	// ANDNPSrr
     202396017U,	// ANDPDrm
     68178289U,	// ANDPDrr
-    202399230U,	// ANDPSrm
-    68181502U,	// ANDPSrr
-    1087368U,	// ARPL16mr
-    135288712U,	// ARPL16rr
-    10250U,	// ATOMADD6432
-    11030U,	// ATOMAND16
-    10463U,	// ATOMAND32
-    10717U,	// ATOMAND64
-    10271U,	// ATOMAND6432
-    11184U,	// ATOMAND8
-    11145U,	// ATOMMAX16
-    10678U,	// ATOMMAX32
-    10991U,	// ATOMMAX64
-    10420U,	// ATOMMAX6432
-    11311U,	// ATOMMAX8
-    11069U,	// ATOMMIN16
-    10563U,	// ATOMMIN32
-    10876U,	// ATOMMIN64
-    10314U,	// ATOMMIN6432
-    11221U,	// ATOMMIN8
-    11049U,	// ATOMNAND16
-    10482U,	// ATOMNAND32
-    10736U,	// ATOMNAND64
-    10292U,	// ATOMNAND6432
-    11202U,	// ATOMNAND8
-    11108U,	// ATOMOR16
-    10641U,	// ATOMOR32
-    10954U,	// ATOMOR64
-    10379U,	// ATOMOR6432
-    11276U,	// ATOMOR8
-    10229U,	// ATOMSUB6432
-    10357U,	// ATOMSWAP6432
-    11164U,	// ATOMUMAX16
-    10697U,	// ATOMUMAX32
-    11010U,	// ATOMUMAX64
-    10441U,	// ATOMUMAX6432
-    11329U,	// ATOMUMAX8
-    11088U,	// ATOMUMIN16
-    10582U,	// ATOMUMIN32
-    10895U,	// ATOMUMIN64
-    10335U,	// ATOMUMIN6432
-    11239U,	// ATOMUMIN8
-    11126U,	// ATOMXOR16
-    10659U,	// ATOMXOR32
-    10972U,	// ATOMXOR64
-    10399U,	// ATOMXOR6432
-    11293U,	// ATOMXOR8
+    202399235U,	// ANDPSrm
+    68181507U,	// ANDPSrr
+    1087373U,	// ARPL16mr
+    135288717U,	// ARPL16rr
+    10255U,	// ATOMADD6432
+    11035U,	// ATOMAND16
+    10468U,	// ATOMAND32
+    10722U,	// ATOMAND64
+    10276U,	// ATOMAND6432
+    11189U,	// ATOMAND8
+    11150U,	// ATOMMAX16
+    10683U,	// ATOMMAX32
+    10996U,	// ATOMMAX64
+    10425U,	// ATOMMAX6432
+    11316U,	// ATOMMAX8
+    11074U,	// ATOMMIN16
+    10568U,	// ATOMMIN32
+    10881U,	// ATOMMIN64
+    10319U,	// ATOMMIN6432
+    11226U,	// ATOMMIN8
+    11054U,	// ATOMNAND16
+    10487U,	// ATOMNAND32
+    10741U,	// ATOMNAND64
+    10297U,	// ATOMNAND6432
+    11207U,	// ATOMNAND8
+    11113U,	// ATOMOR16
+    10646U,	// ATOMOR32
+    10959U,	// ATOMOR64
+    10384U,	// ATOMOR6432
+    11281U,	// ATOMOR8
+    10234U,	// ATOMSUB6432
+    10362U,	// ATOMSWAP6432
+    11169U,	// ATOMUMAX16
+    10702U,	// ATOMUMAX32
+    11015U,	// ATOMUMAX64
+    10446U,	// ATOMUMAX6432
+    11334U,	// ATOMUMAX8
+    11093U,	// ATOMUMIN16
+    10587U,	// ATOMUMIN32
+    10900U,	// ATOMUMIN64
+    10340U,	// ATOMUMIN6432
+    11244U,	// ATOMUMIN8
+    11131U,	// ATOMXOR16
+    10664U,	// ATOMXOR32
+    10977U,	// ATOMXOR64
+    10404U,	// ATOMXOR6432
+    11298U,	// ATOMXOR8
     0U,	// AVX2_SETALLONES
     0U,	// AVX512_512_SET0
     0U,	// AVX_SET0
-    2249219066U,	// BEXTR32rm
-    2282773498U,	// BEXTR32rr
-    2316327930U,	// BEXTR64rm
-    2282773498U,	// BEXTR64rr
-    2249219066U,	// BEXTRI32mi
-    2282773498U,	// BEXTRI32ri
-    2316327930U,	// BEXTRI64mi
-    2282773498U,	// BEXTRI64ri
-    101734257U,	// BLCFILL32rm
-    135288689U,	// BLCFILL32rr
-    168843121U,	// BLCFILL64rm
-    135288689U,	// BLCFILL64rr
+    2249219071U,	// BEXTR32rm
+    2282773503U,	// BEXTR32rr
+    2316327935U,	// BEXTR64rm
+    2282773503U,	// BEXTR64rr
+    2249219071U,	// BEXTRI32mi
+    2282773503U,	// BEXTRI32ri
+    2316327935U,	// BEXTRI64mi
+    2282773503U,	// BEXTRI64ri
+    101734262U,	// BLCFILL32rm
+    135288694U,	// BLCFILL32rr
+    168843126U,	// BLCFILL64rm
+    135288694U,	// BLCFILL64rr
     101734043U,	// BLCI32rm
     135288475U,	// BLCI32rr
     168842907U,	// BLCI64rm
@@ -301,22 +301,22 @@
     135288645U,	// BLCMSK32rr
     168843077U,	// BLCMSK64rm
     135288645U,	// BLCMSK64rr
-    101735448U,	// BLCS32rm
-    135289880U,	// BLCS32rr
-    168844312U,	// BLCS64rm
-    135289880U,	// BLCS64rr
+    101735453U,	// BLCS32rm
+    135289885U,	// BLCS32rr
+    168844317U,	// BLCS64rm
+    135289885U,	// BLCS64rr
     2349879673U,	// BLENDPDrmi
     2215661945U,	// BLENDPDrri
-    2349882886U,	// BLENDPSrmi
-    2215665158U,	// BLENDPSrri
+    2349882891U,	// BLENDPSrmi
+    2215665163U,	// BLENDPSrri
     202396249U,	// BLENDVPDrm0
     68178521U,	// BLENDVPDrr0
-    202399515U,	// BLENDVPSrm0
-    68181787U,	// BLENDVPSrr0
-    101734266U,	// BLSFILL32rm
-    135288698U,	// BLSFILL32rr
-    168843130U,	// BLSFILL64rm
-    135288698U,	// BLSFILL64rr
+    202399520U,	// BLENDVPSrm0
+    68181792U,	// BLENDVPSrr0
+    101734271U,	// BLSFILL32rm
+    135288703U,	// BLSFILL32rr
+    168843135U,	// BLSFILL64rm
+    135288703U,	// BLSFILL64rr
     101734199U,	// BLSI32rm
     135288631U,	// BLSI32rr
     168843063U,	// BLSI64rm
@@ -329,10 +329,10 @@
     135288653U,	// BLSMSK32rr
     168843085U,	// BLSMSK64rm
     135288653U,	// BLSMSK64rr
-    101735397U,	// BLSR32rm
-    135289829U,	// BLSR32rr
-    168844261U,	// BLSR64rm
-    135289829U,	// BLSR64rr
+    101735402U,	// BLSR32rm
+    135289834U,	// BLSR32rr
+    168844266U,	// BLSR64rm
+    135289834U,	// BLSR64rr
     370167737U,	// BOUNDS16rm
     101732281U,	// BOUNDS32rm
     370169384U,	// BSF16rm
@@ -341,26 +341,26 @@
     135288360U,	// BSF32rr
     168842792U,	// BSF64rm
     135288360U,	// BSF64rr
-    370170828U,	// BSR16rm
-    135289804U,	// BSR16rr
-    101735372U,	// BSR32rm
-    135289804U,	// BSR32rr
-    168844236U,	// BSR64rm
-    135289804U,	// BSR64rr
-    22593U,	// BSWAP32r
-    22593U,	// BSWAP64r
-    1089762U,	// BT16mi8
-    1089762U,	// BT16mr
-    135291106U,	// BT16ri8
-    135291106U,	// BT16rr
-    1122530U,	// BT32mi8
-    1122530U,	// BT32mr
-    135291106U,	// BT32ri8
-    135291106U,	// BT32rr
-    1138914U,	// BT64mi8
-    1138914U,	// BT64mr
-    135291106U,	// BT64ri8
-    135291106U,	// BT64rr
+    370170833U,	// BSR16rm
+    135289809U,	// BSR16rr
+    101735377U,	// BSR32rm
+    135289809U,	// BSR32rr
+    168844241U,	// BSR64rm
+    135289809U,	// BSR64rr
+    22598U,	// BSWAP32r
+    22598U,	// BSWAP64r
+    1089767U,	// BT16mi8
+    1089767U,	// BT16mr
+    135291111U,	// BT16ri8
+    135291111U,	// BT16rr
+    1122535U,	// BT32mi8
+    1122535U,	// BT32mr
+    135291111U,	// BT32ri8
+    135291111U,	// BT32rr
+    1138919U,	// BT64mi8
+    1138919U,	// BT64mr
+    135291111U,	// BT64ri8
+    135291111U,	// BT64rr
     1085047U,	// BTC16mi8
     1085047U,	// BTC16mr
     135286391U,	// BTC16ri8
@@ -373,58 +373,58 @@
     1134199U,	// BTC64mr
     135286391U,	// BTC64ri8
     135286391U,	// BTC64rr
-    1088491U,	// BTR16mi8
-    1088491U,	// BTR16mr
-    135289835U,	// BTR16ri8
-    135289835U,	// BTR16rr
-    1121259U,	// BTR32mi8
-    1121259U,	// BTR32mr
-    135289835U,	// BTR32ri8
-    135289835U,	// BTR32rr
-    1137643U,	// BTR64mi8
-    1137643U,	// BTR64mr
-    135289835U,	// BTR64ri8
-    135289835U,	// BTR64rr
-    1089744U,	// BTS16mi8
-    1089744U,	// BTS16mr
-    135291088U,	// BTS16ri8
-    135291088U,	// BTS16rr
-    1122512U,	// BTS32mi8
-    1122512U,	// BTS32mr
-    135291088U,	// BTS32ri8
-    135291088U,	// BTS32rr
-    1138896U,	// BTS64mi8
-    1138896U,	// BTS64mr
-    135291088U,	// BTS64ri8
-    135291088U,	// BTS64rr
+    1088496U,	// BTR16mi8
+    1088496U,	// BTR16mr
+    135289840U,	// BTR16ri8
+    135289840U,	// BTR16rr
+    1121264U,	// BTR32mi8
+    1121264U,	// BTR32mr
+    135289840U,	// BTR32ri8
+    135289840U,	// BTR32rr
+    1137648U,	// BTR64mi8
+    1137648U,	// BTR64mr
+    135289840U,	// BTR64ri8
+    135289840U,	// BTR64rr
+    1089749U,	// BTS16mi8
+    1089749U,	// BTS16mr
+    135291093U,	// BTS16ri8
+    135291093U,	// BTS16rr
+    1122517U,	// BTS32mi8
+    1122517U,	// BTS32mr
+    135291093U,	// BTS32ri8
+    135291093U,	// BTS32rr
+    1138901U,	// BTS64mi8
+    1138901U,	// BTS64mr
+    135291093U,	// BTS64ri8
+    135291093U,	// BTS64rr
     2249217697U,	// BZHI32rm
     2282772129U,	// BZHI32rr
     2316326561U,	// BZHI64rm
     2282772129U,	// BZHI64rr
-    38763U,	// CALL16m
-    22379U,	// CALL16r
-    71531U,	// CALL32m
-    22379U,	// CALL32r
-    87915U,	// CALL64m
-    153451U,	// CALL64pcrel32
-    22379U,	// CALL64r
-    153451U,	// CALLpcrel16
-    153451U,	// CALLpcrel32
-    12695U,	// CBW
-    12315U,	// CDQ
-    11989U,	// CDQE
-    12502U,	// CHS_F
+    38768U,	// CALL16m
+    22384U,	// CALL16r
+    71536U,	// CALL32m
+    22384U,	// CALL32r
+    87920U,	// CALL64m
+    153456U,	// CALL64pcrel32
+    22384U,	// CALL64r
+    153456U,	// CALLpcrel16
+    153456U,	// CALLpcrel32
+    12700U,	// CBW
+    12320U,	// CDQ
+    11994U,	// CDQE
+    12507U,	// CHS_F
     0U,	// CHS_Fp32
     0U,	// CHS_Fp64
     0U,	// CHS_Fp80
-    11802U,	// CLAC
-    11834U,	// CLC
-    11884U,	// CLD
+    11807U,	// CLAC
+    11839U,	// CLC
+    11889U,	// CLD
     104076U,	// CLFLUSH
-    12073U,	// CLGI
-    12083U,	// CLI
-    12586U,	// CLTS
-    11838U,	// CMC
+    12078U,	// CLGI
+    12088U,	// CLI
+    12591U,	// CLTS
+    11843U,	// CMC
     403721407U,	// CMOVA16rm
     68177087U,	// CMOVA16rr
     437275839U,	// CMOVA32rm
@@ -449,11 +449,11 @@
     68179304U,	// CMOVBE32rr
     470832488U,	// CMOVBE64rm
     68179304U,	// CMOVBE64rr
-    25849U,	// CMOVBE_F
+    25854U,	// CMOVBE_F
     0U,	// CMOVBE_Fp32
     0U,	// CMOVBE_Fp64
     0U,	// CMOVBE_Fp80
-    25817U,	// CMOVB_F
+    25822U,	// CMOVB_F
     0U,	// CMOVB_Fp32
     0U,	// CMOVB_Fp64
     0U,	// CMOVB_Fp80
@@ -463,7 +463,7 @@
     68179489U,	// CMOVE32rr
     470832673U,	// CMOVE64rm
     68179489U,	// CMOVE64rr
-    25881U,	// CMOVE_F
+    25886U,	// CMOVE_F
     0U,	// CMOVE_Fp32
     0U,	// CMOVE_Fp64
     0U,	// CMOVE_Fp80
@@ -479,23 +479,23 @@
     68179340U,	// CMOVGE32rr
     470832524U,	// CMOVGE64rm
     68179340U,	// CMOVGE64rr
-    403724222U,	// CMOVL16rm
-    68179902U,	// CMOVL16rr
-    437278654U,	// CMOVL32rm
-    68179902U,	// CMOVL32rr
-    470833086U,	// CMOVL64rm
-    68179902U,	// CMOVL64rr
+    403724227U,	// CMOVL16rm
+    68179907U,	// CMOVL16rr
+    437278659U,	// CMOVL32rm
+    68179907U,	// CMOVL32rr
+    470833091U,	// CMOVL64rm
+    68179907U,	// CMOVL64rr
     403723684U,	// CMOVLE16rm
     68179364U,	// CMOVLE16rr
     437278116U,	// CMOVLE32rm
     68179364U,	// CMOVLE32rr
     470832548U,	// CMOVLE64rm
     68179364U,	// CMOVLE64rr
-    25832U,	// CMOVNBE_F
+    25837U,	// CMOVNBE_F
     0U,	// CMOVNBE_Fp32
     0U,	// CMOVNBE_Fp64
     0U,	// CMOVNBE_Fp80
-    25801U,	// CMOVNB_F
+    25806U,	// CMOVNB_F
     0U,	// CMOVNB_Fp32
     0U,	// CMOVNB_Fp64
     0U,	// CMOVNB_Fp80
@@ -505,125 +505,125 @@
     68179392U,	// CMOVNE32rr
     470832576U,	// CMOVNE64rm
     68179392U,	// CMOVNE64rr
-    25865U,	// CMOVNE_F
+    25870U,	// CMOVNE_F
     0U,	// CMOVNE_Fp32
     0U,	// CMOVNE_Fp64
     0U,	// CMOVNE_Fp80
-    403724332U,	// CMOVNO16rm
-    68180012U,	// CMOVNO16rr
-    437278764U,	// CMOVNO32rm
-    68180012U,	// CMOVNO32rr
-    470833196U,	// CMOVNO64rm
-    68180012U,	// CMOVNO64rr
-    403724444U,	// CMOVNP16rm
-    68180124U,	// CMOVNP16rr
-    437278876U,	// CMOVNP32rm
-    68180124U,	// CMOVNP32rr
-    470833308U,	// CMOVNP64rm
-    68180124U,	// CMOVNP64rr
-    25896U,	// CMOVNP_F
+    403724337U,	// CMOVNO16rm
+    68180017U,	// CMOVNO16rr
+    437278769U,	// CMOVNO32rm
+    68180017U,	// CMOVNO32rr
+    470833201U,	// CMOVNO64rm
+    68180017U,	// CMOVNO64rr
+    403724449U,	// CMOVNP16rm
+    68180129U,	// CMOVNP16rr
+    437278881U,	// CMOVNP32rm
+    68180129U,	// CMOVNP32rr
+    470833313U,	// CMOVNP64rm
+    68180129U,	// CMOVNP64rr
+    25901U,	// CMOVNP_F
     0U,	// CMOVNP_Fp32
     0U,	// CMOVNP_Fp64
     0U,	// CMOVNP_Fp80
-    403725378U,	// CMOVNS16rm
-    68181058U,	// CMOVNS16rr
-    437279810U,	// CMOVNS32rm
-    68181058U,	// CMOVNS32rr
-    470834242U,	// CMOVNS64rm
-    68181058U,	// CMOVNS64rr
-    403724346U,	// CMOVO16rm
-    68180026U,	// CMOVO16rr
-    437278778U,	// CMOVO32rm
-    68180026U,	// CMOVO32rr
-    470833210U,	// CMOVO64rm
-    68180026U,	// CMOVO64rr
-    403724573U,	// CMOVP16rm
-    68180253U,	// CMOVP16rr
-    437279005U,	// CMOVP32rm
-    68180253U,	// CMOVP32rr
-    470833437U,	// CMOVP64rm
-    68180253U,	// CMOVP64rr
-    25912U,	// CMOVP_F
+    403725383U,	// CMOVNS16rm
+    68181063U,	// CMOVNS16rr
+    437279815U,	// CMOVNS32rm
+    68181063U,	// CMOVNS32rr
+    470834247U,	// CMOVNS64rm
+    68181063U,	// CMOVNS64rr
+    403724351U,	// CMOVO16rm
+    68180031U,	// CMOVO16rr
+    437278783U,	// CMOVO32rm
+    68180031U,	// CMOVO32rr
+    470833215U,	// CMOVO64rm
+    68180031U,	// CMOVO64rr
+    403724578U,	// CMOVP16rm
+    68180258U,	// CMOVP16rr
+    437279010U,	// CMOVP32rm
+    68180258U,	// CMOVP32rr
+    470833442U,	// CMOVP64rm
+    68180258U,	// CMOVP64rr
+    25917U,	// CMOVP_F
     0U,	// CMOVP_Fp32
     0U,	// CMOVP_Fp64
     0U,	// CMOVP_Fp80
-    403726555U,	// CMOVS16rm
-    68182235U,	// CMOVS16rr
-    437280987U,	// CMOVS32rm
-    68182235U,	// CMOVS32rr
-    470835419U,	// CMOVS64rm
-    68182235U,	// CMOVS64rr
-    10622U,	// CMOV_FR32
-    10935U,	// CMOV_FR64
-    10189U,	// CMOV_GR16
-    10169U,	// CMOV_GR32
-    11258U,	// CMOV_GR8
-    10602U,	// CMOV_RFP32
-    10915U,	// CMOV_RFP64
-    10209U,	// CMOV_RFP80
-    10522U,	// CMOV_V16F32
-    10756U,	// CMOV_V2F64
-    10816U,	// CMOV_V2I64
-    10502U,	// CMOV_V4F32
-    10776U,	// CMOV_V4F64
-    10836U,	// CMOV_V4I64
-    10543U,	// CMOV_V8F32
-    10796U,	// CMOV_V8F64
-    10856U,	// CMOV_V8I64
-    26114U,	// CMP16i16
-    1087598U,	// CMP16mi
-    1087598U,	// CMP16mi8
-    1087598U,	// CMP16mr
-    135288942U,	// CMP16ri
-    135288942U,	// CMP16ri8
-    370169966U,	// CMP16rm
-    135288942U,	// CMP16rr
-    135288942U,	// CMP16rr_REV
-    26279U,	// CMP32i32
-    1120366U,	// CMP32mi
-    1120366U,	// CMP32mi8
-    1120366U,	// CMP32mr
-    135288942U,	// CMP32ri
-    135288942U,	// CMP32ri8
-    101734510U,	// CMP32rm
-    135288942U,	// CMP32rr
-    135288942U,	// CMP32rr_REV
-    26394U,	// CMP64i32
-    1136750U,	// CMP64mi32
-    1136750U,	// CMP64mi8
-    1136750U,	// CMP64mr
-    135288942U,	// CMP64ri32
-    135288942U,	// CMP64ri8
-    168843374U,	// CMP64rm
-    135288942U,	// CMP64rr
-    135288942U,	// CMP64rr_REV
-    26002U,	// CMP8i8
-    1153134U,	// CMP8mi
-    1153134U,	// CMP8mr
-    135288942U,	// CMP8ri
-    504387694U,	// CMP8rm
-    135288942U,	// CMP8rr
-    135288942U,	// CMP8rr_REV
-    209891310U,	// CMPPDrmi
+    403726560U,	// CMOVS16rm
+    68182240U,	// CMOVS16rr
+    437280992U,	// CMOVS32rm
+    68182240U,	// CMOVS32rr
+    470835424U,	// CMOVS64rm
+    68182240U,	// CMOVS64rr
+    10627U,	// CMOV_FR32
+    10940U,	// CMOV_FR64
+    10194U,	// CMOV_GR16
+    10174U,	// CMOV_GR32
+    11263U,	// CMOV_GR8
+    10607U,	// CMOV_RFP32
+    10920U,	// CMOV_RFP64
+    10214U,	// CMOV_RFP80
+    10527U,	// CMOV_V16F32
+    10761U,	// CMOV_V2F64
+    10821U,	// CMOV_V2I64
+    10507U,	// CMOV_V4F32
+    10781U,	// CMOV_V4F64
+    10841U,	// CMOV_V4I64
+    10548U,	// CMOV_V8F32
+    10801U,	// CMOV_V8F64
+    10861U,	// CMOV_V8I64
+    26119U,	// CMP16i16
+    1087603U,	// CMP16mi
+    1087603U,	// CMP16mi8
+    1087603U,	// CMP16mr
+    135288947U,	// CMP16ri
+    135288947U,	// CMP16ri8
+    370169971U,	// CMP16rm
+    135288947U,	// CMP16rr
+    135288947U,	// CMP16rr_REV
+    26284U,	// CMP32i32
+    1120371U,	// CMP32mi
+    1120371U,	// CMP32mi8
+    1120371U,	// CMP32mr
+    135288947U,	// CMP32ri
+    135288947U,	// CMP32ri8
+    101734515U,	// CMP32rm
+    135288947U,	// CMP32rr
+    135288947U,	// CMP32rr_REV
+    26399U,	// CMP64i32
+    1136755U,	// CMP64mi32
+    1136755U,	// CMP64mi8
+    1136755U,	// CMP64mr
+    135288947U,	// CMP64ri32
+    135288947U,	// CMP64ri8
+    168843379U,	// CMP64rm
+    135288947U,	// CMP64rr
+    135288947U,	// CMP64rr_REV
+    26007U,	// CMP8i8
+    1153139U,	// CMP8mi
+    1153139U,	// CMP8mr
+    135288947U,	// CMP8ri
+    504387699U,	// CMP8rm
+    135288947U,	// CMP8rr
+    135288947U,	// CMP8rr_REV
+    209891315U,	// CMPPDrmi
     2349879825U,	// CMPPDrmi_alt
-    75689966U,	// CMPPDrri
+    75689971U,	// CMPPDrri
     2215662097U,	// CMPPDrri_alt
-    210939886U,	// CMPPSrmi
-    2349883058U,	// CMPPSrmi_alt
-    76738542U,	// CMPPSrri
-    2215665330U,	// CMPPSrri_alt
-    205740U,	// CMPS16
+    210939891U,	// CMPPSrmi
+    2349883063U,	// CMPPSrmi_alt
+    76738547U,	// CMPPSrri
+    2215665335U,	// CMPPSrri_alt
+    205745U,	// CMPS16
     218106U,	// CMPS32
-    236228U,	// CMPS64
+    236233U,	// CMPS64
     249209U,	// CMPS8
-    245542894U,	// CMPSDrm
+    245542899U,	// CMPSDrm
     2383434746U,	// CMPSDrm_alt
-    77787118U,	// CMPSDrr
+    77787123U,	// CMPSDrr
     2215662586U,	// CMPSDrr_alt
-    280145902U,	// CMPSSrm
-    2416992382U,	// CMPSSrm_alt
-    78835694U,	// CMPSSrr
-    2215665790U,	// CMPSSrr_alt
+    280145907U,	// CMPSSrm
+    2416992387U,	// CMPSSrm_alt
+    78835699U,	// CMPSSrr
+    2215665795U,	// CMPSSrr_alt
     265414U,	// CMPXCHG16B
     1087032U,	// CMPXCHG16rm
     135288376U,	// CMPXCHG16rr
@@ -636,19 +636,19 @@
     135288376U,	// CMPXCHG8rr
     537940936U,	// COMISDrm
     135287752U,	// COMISDrr
-    537944148U,	// COMISSrm
-    135290964U,	// COMISSrr
-    22649U,	// COMP_FST0r
+    537944153U,	// COMISSrm
+    135290969U,	// COMISSrr
+    22654U,	// COMP_FST0r
     22240U,	// COM_FIPr
     22183U,	// COM_FIr
-    22474U,	// COM_FST0r
-    12558U,	// COS_F
+    22479U,	// COM_FST0r
+    12563U,	// COS_F
     0U,	// COS_Fp32
     0U,	// COS_Fp64
     0U,	// COS_Fp80
-    11878U,	// CPUID32
-    11878U,	// CPUID64
-    12248U,	// CQO
+    11883U,	// CPUID32
+    11883U,	// CPUID64
+    12253U,	// CQO
     3197750U,	// CRC32r32m16
     4246326U,	// CRC32r32m32
     6343478U,	// CRC32r32m8
@@ -659,43 +659,43 @@
     6343478U,	// CRC32r64m8
     35703606U,	// CRC32r64r64
     35703606U,	// CRC32r64r8
-    12432U,	// CS_PREFIX
+    12437U,	// CS_PREFIX
     168841347U,	// CVTDQ2PDrm
     135286915U,	// CVTDQ2PDrr
-    336616739U,	// CVTDQ2PSrm
-    135290147U,	// CVTDQ2PSrr
-    537942389U,	// CVTPD2DQrm
-    135289205U,	// CVTPD2DQrr
-    537943287U,	// CVTPD2PSrm
-    135290103U,	// CVTPD2PSrr
-    537942421U,	// CVTPS2DQrm
-    135289237U,	// CVTPS2DQrr
+    336616744U,	// CVTDQ2PSrm
+    135290152U,	// CVTDQ2PSrr
+    537942394U,	// CVTPD2DQrm
+    135289210U,	// CVTPD2DQrr
+    537943292U,	// CVTPD2PSrm
+    135290108U,	// CVTPD2PSrr
+    537942426U,	// CVTPS2DQrm
+    135289242U,	// CVTPS2DQrr
     571494542U,	// CVTPS2PDrm
     135286926U,	// CVTPS2PDrr
     571496214U,	// CVTSD2SI64rm
     135288598U,	// CVTSD2SI64rr
     571496214U,	// CVTSD2SIrm
     135288598U,	// CVTSD2SIrr
-    571498423U,	// CVTSD2SSrm
-    135290807U,	// CVTSD2SSrr
+    571498428U,	// CVTSD2SSrm
+    135290812U,	// CVTSD2SSrr
     168842019U,	// CVTSI2SD64rm
     135287587U,	// CVTSI2SD64rr
     101733155U,	// CVTSI2SDrm
     135287587U,	// CVTSI2SDrr
-    168845250U,	// CVTSI2SS64rm
-    135290818U,	// CVTSI2SS64rr
-    101736386U,	// CVTSI2SSrm
-    135290818U,	// CVTSI2SSrr
+    168845255U,	// CVTSI2SS64rm
+    135290823U,	// CVTSI2SS64rr
+    101736391U,	// CVTSI2SSrm
+    135290823U,	// CVTSI2SSrr
     605049658U,	// CVTSS2SDrm
     135287610U,	// CVTSS2SDrr
     605050669U,	// CVTSS2SI64rm
     135288621U,	// CVTSS2SI64rr
     605050669U,	// CVTSS2SIrm
     135288621U,	// CVTSS2SIrr
-    537942377U,	// CVTTPD2DQrm
-    135289193U,	// CVTTPD2DQrr
-    537942409U,	// CVTTPS2DQrm
-    135289225U,	// CVTTPS2DQrr
+    537942382U,	// CVTTPD2DQrm
+    135289198U,	// CVTTPD2DQrr
+    537942414U,	// CVTTPS2DQrm
+    135289230U,	// CVTTPS2DQrr
     571496202U,	// CVTTSD2SI64rm
     135288586U,	// CVTTSD2SI64rr
     571496202U,	// CVTTSD2SIrm
@@ -704,11 +704,11 @@
     135288609U,	// CVTTSS2SI64rr
     605050657U,	// CVTTSS2SIrm
     135288609U,	// CVTTSS2SIrr
-    11930U,	// CWD
-    11962U,	// CWDE
-    11737U,	// DAA
-    12418U,	// DAS
-    11618U,	// DATA16_PREFIX
+    11935U,	// CWD
+    11967U,	// CWDE
+    11742U,	// DAA
+    12423U,	// DAS
+    11623U,	// DATA16_PREFIX
     36421U,	// DEC16m
     20037U,	// DEC16r
     20037U,	// DEC32_16r
@@ -723,24 +723,24 @@
     20037U,	// DEC64r
     101957U,	// DEC8m
     20037U,	// DEC8r
-    41421U,	// DIV16m
-    25037U,	// DIV16r
-    74189U,	// DIV32m
-    25037U,	// DIV32r
-    90573U,	// DIV64m
-    25037U,	// DIV64r
-    106957U,	// DIV8m
-    25037U,	// DIV8r
+    41426U,	// DIV16m
+    25042U,	// DIV16r
+    74194U,	// DIV32m
+    25042U,	// DIV32r
+    90578U,	// DIV64m
+    25042U,	// DIV64r
+    106962U,	// DIV8m
+    25042U,	// DIV8r
     202396260U,	// DIVPDrm
     68178532U,	// DIVPDrr
-    202399526U,	// DIVPSrm
-    68181798U,	// DIVPSrr
-    121857U,	// DIVR_F32m
-    138241U,	// DIVR_F64m
-    39944U,	// DIVR_FI16m
-    72712U,	// DIVR_FI32m
-    22716U,	// DIVR_FPrST0
-    23553U,	// DIVR_FST0r
+    202399531U,	// DIVPSrm
+    68181803U,	// DIVPSrr
+    121862U,	// DIVR_F32m
+    138246U,	// DIVR_F64m
+    39949U,	// DIVR_FI16m
+    72717U,	// DIVR_FI32m
+    22721U,	// DIVR_FPrST0
+    23558U,	// DIVR_FST0r
     0U,	// DIVR_Fp32m
     0U,	// DIVR_Fp64m
     0U,	// DIVR_Fp64m32
@@ -752,21 +752,21 @@
     0U,	// DIVR_FpI32m32
     0U,	// DIVR_FpI32m64
     0U,	// DIVR_FpI32m80
-    7363585U,	// DIVR_FrST0
+    7363590U,	// DIVR_FrST0
     235951138U,	// DIVSDrm
     235951138U,	// DIVSDrm_Int
     68178978U,	// DIVSDrr
     68178978U,	// DIVSDrr_Int
-    269508784U,	// DIVSSrm
-    269508784U,	// DIVSSrm_Int
-    68182192U,	// DIVSSrr
-    68182192U,	// DIVSSrr_Int
-    123340U,	// DIV_F32m
-    139724U,	// DIV_F64m
-    41426U,	// DIV_FI16m
-    74194U,	// DIV_FI32m
-    22806U,	// DIV_FPrST0
-    25036U,	// DIV_FST0r
+    269508789U,	// DIVSSrm
+    269508789U,	// DIVSSrm_Int
+    68182197U,	// DIVSSrr
+    68182197U,	// DIVSSrr_Int
+    123345U,	// DIV_F32m
+    139729U,	// DIV_F64m
+    41431U,	// DIV_FI16m
+    74199U,	// DIV_FI32m
+    22811U,	// DIV_FPrST0
+    25041U,	// DIV_FST0r
     0U,	// DIV_Fp32
     0U,	// DIV_Fp32m
     0U,	// DIV_Fp64
@@ -781,64 +781,64 @@
     0U,	// DIV_FpI32m32
     0U,	// DIV_FpI32m64
     0U,	// DIV_FpI32m80
-    7365068U,	// DIV_FrST0
+    7365073U,	// DIV_FrST0
     2349879818U,	// DPPDrmi
     2215662090U,	// DPPDrri
-    2349883051U,	// DPPSrmi
-    2215665323U,	// DPPSrri
-    12440U,	// DS_PREFIX
-    26506U,	// EH_RETURN
-    26506U,	// EH_RETURN64
-    11427U,	// EH_SjLj_LongJmp32
-    11531U,	// EH_SjLj_LongJmp64
-    11446U,	// EH_SjLj_SetJmp32
-    11550U,	// EH_SjLj_SetJmp64
-    153862U,	// EH_SjLj_Setup
-    135289741U,	// ENTER
-    12455U,	// ES_PREFIX
-    2148654805U,	// EXTRACTPSmr
-    2282774229U,	// EXTRACTPSrr
-    35707574U,	// EXTRQ
-    639687350U,	// EXTRQI
-    11408U,	// F2XM1
-    135288682U,	// FARCALL16i
-    284522U,	// FARCALL16m
-    135288682U,	// FARCALL32i
-    284522U,	// FARCALL32m
-    284522U,	// FARCALL64
-    135288947U,	// FARJMP16i
-    284787U,	// FARJMP16m
-    135288947U,	// FARJMP32i
-    284787U,	// FARJMP32m
-    284787U,	// FARJMP64
+    2349883056U,	// DPPSrmi
+    2215665328U,	// DPPSrri
+    12445U,	// DS_PREFIX
+    26511U,	// EH_RETURN
+    26511U,	// EH_RETURN64
+    11432U,	// EH_SjLj_LongJmp32
+    11536U,	// EH_SjLj_LongJmp64
+    11451U,	// EH_SjLj_SetJmp32
+    11555U,	// EH_SjLj_SetJmp64
+    153867U,	// EH_SjLj_Setup
+    135289746U,	// ENTER
+    12460U,	// ES_PREFIX
+    2148654810U,	// EXTRACTPSmr
+    2282774234U,	// EXTRACTPSrr
+    35707579U,	// EXTRQ
+    639687355U,	// EXTRQI
+    11413U,	// F2XM1
+    135288687U,	// FARCALL16i
+    284527U,	// FARCALL16m
+    135288687U,	// FARCALL32i
+    284527U,	// FARCALL32m
+    284527U,	// FARCALL64
+    135288952U,	// FARJMP16i
+    284792U,	// FARJMP16m
+    135288952U,	// FARJMP32i
+    284792U,	// FARJMP32m
+    284792U,	// FARJMP64
     118614U,	// FBLDm
-    121034U,	// FBSTPm
-    120778U,	// FCOM32m
-    137162U,	// FCOM64m
-    120953U,	// FCOMP32m
-    137337U,	// FCOMP64m
-    12284U,	// FCOMPP
-    12299U,	// FDECSTP
-    12552U,	// FEMMS
+    121039U,	// FBSTPm
+    120783U,	// FCOM32m
+    137167U,	// FCOM64m
+    120958U,	// FCOMP32m
+    137342U,	// FCOMP64m
+    12289U,	// FCOMPP
+    12304U,	// FDECSTP
+    12557U,	// FEMMS
     21872U,	// FFREE
-    38864U,	// FICOM16m
-    71632U,	// FICOM32m
-    39040U,	// FICOMP16m
-    71808U,	// FICOMP32m
-    12307U,	// FINCSTP
-    41587U,	// FLDCW16m
-    123353U,	// FLDENVm
-    11934U,	// FLDL2E
-    12591U,	// FLDL2T
-    11512U,	// FLDLG2
-    11519U,	// FLDLN2
-    12087U,	// FLDPI
-    12898U,	// FNCLEX
-    12624U,	// FNINIT
-    12279U,	// FNOP
-    41594U,	// FNSTCW16m
-    12725U,	// FNSTSW16r
-    123838U,	// FNSTSWm
+    38869U,	// FICOM16m
+    71637U,	// FICOM32m
+    39045U,	// FICOMP16m
+    71813U,	// FICOMP32m
+    12312U,	// FINCSTP
+    41592U,	// FLDCW16m
+    123358U,	// FLDENVm
+    11939U,	// FLDL2E
+    12596U,	// FLDL2T
+    11517U,	// FLDLG2
+    11524U,	// FLDLN2
+    12092U,	// FLDPI
+    12903U,	// FNCLEX
+    12629U,	// FNINIT
+    12284U,	// FNOP
+    41599U,	// FNSTCW16m
+    12730U,	// FNSTSW16r
+    123843U,	// FNSTSWm
     0U,	// FP32_TO_INT16_IN_MEM
     0U,	// FP32_TO_INT32_IN_MEM
     0U,	// FP32_TO_INT64_IN_MEM
@@ -848,68 +848,68 @@
     0U,	// FP80_TO_INT16_IN_MEM
     0U,	// FP80_TO_INT32_IN_MEM
     0U,	// FP80_TO_INT64_IN_MEM
-    12203U,	// FPATAN
-    12186U,	// FPREM
-    11401U,	// FPREM1
-    12210U,	// FPTAN
-    12643U,	// FRNDINT
-    121774U,	// FRSTORm
+    12208U,	// FPATAN
+    12191U,	// FPREM
+    11406U,	// FPREM1
+    12215U,	// FPTAN
+    12648U,	// FRNDINT
+    121779U,	// FRSTORm
     120337U,	// FSAVEm
-    11967U,	// FSCALE
-    12192U,	// FSETPM
-    12563U,	// FSINCOS
-    123361U,	// FSTENVm
-    12470U,	// FS_PREFIX
-    12181U,	// FXAM
-    285622U,	// FXRSTOR
+    11972U,	// FSCALE
+    12197U,	// FSETPM
+    12568U,	// FSINCOS
+    123366U,	// FSTENVm
+    12475U,	// FS_PREFIX
+    12186U,	// FXAM
+    285627U,	// FXRSTOR
     281483U,	// FXRSTOR64
     284185U,	// FXSAVE
     281473U,	// FXSAVE64
-    12598U,	// FXTRACT
-    12719U,	// FYL2X
-    11414U,	// FYL2XP1
+    12603U,	// FXTRACT
+    12724U,	// FYL2X
+    11419U,	// FYL2XP1
     0U,	// FpPOP_RETVAL
     202396153U,	// FsANDNPDrm
     68178425U,	// FsANDNPDrr
-    202399378U,	// FsANDNPSrm
-    68181650U,	// FsANDNPSrr
+    202399383U,	// FsANDNPSrm
+    68181655U,	// FsANDNPSrr
     202396017U,	// FsANDPDrm
     68178289U,	// FsANDPDrr
-    202399230U,	// FsANDPSrm
-    68181502U,	// FsANDPSrr
+    202399235U,	// FsANDPSrm
+    68181507U,	// FsANDPSrr
     0U,	// FsFLD0SD
     0U,	// FsFLD0SS
     537940207U,	// FsMOVAPDrm
-    537943428U,	// FsMOVAPSrm
+    537943433U,	// FsMOVAPSrm
     202396197U,	// FsORPDrm
     68178469U,	// FsORPDrr
-    202399430U,	// FsORPSrm
-    68181702U,	// FsORPSrr
+    202399435U,	// FsORPSrm
+    68181707U,	// FsORPSrr
     537940206U,	// FsVMOVAPDrm
-    537943427U,	// FsVMOVAPSrm
+    537943432U,	// FsVMOVAPSrm
     202396204U,	// FsXORPDrm
     68178476U,	// FsXORPDrr
-    202399437U,	// FsXORPSrm
-    68181709U,	// FsXORPSrr
-    11822U,	// GETSEC
-    12485U,	// GS_PREFIX
+    202399442U,	// FsXORPSrm
+    68181714U,	// FsXORPSrr
+    11827U,	// GETSEC
+    12490U,	// GS_PREFIX
     202395979U,	// HADDPDrm
     68178251U,	// HADDPDrr
-    202399192U,	// HADDPSrm
-    68181464U,	// HADDPSrr
-    12639U,	// HLT
+    202399197U,	// HADDPSrm
+    68181469U,	// HADDPSrr
+    12644U,	// HLT
     202395928U,	// HSUBPDrm
     68178200U,	// HSUBPDrr
-    202399141U,	// HSUBPSrm
-    68181413U,	// HSUBPSrr
-    41427U,	// IDIV16m
-    25043U,	// IDIV16r
-    74195U,	// IDIV32m
-    25043U,	// IDIV32r
-    90579U,	// IDIV64m
-    25043U,	// IDIV64r
-    106963U,	// IDIV8m
-    25043U,	// IDIV8r
+    202399146U,	// HSUBPSrm
+    68181418U,	// HSUBPSrr
+    41432U,	// IDIV16m
+    25048U,	// IDIV16r
+    74200U,	// IDIV32m
+    25048U,	// IDIV32r
+    90584U,	// IDIV64m
+    25048U,	// IDIV64r
+    106968U,	// IDIV8m
+    25048U,	// IDIV8r
     36713U,	// ILD_F16m
     69481U,	// ILD_F32m
     85865U,	// ILD_F64m
@@ -922,41 +922,41 @@
     0U,	// ILD_Fp64m32
     0U,	// ILD_Fp64m64
     0U,	// ILD_Fp64m80
-    38840U,	// IMUL16m
-    22456U,	// IMUL16r
-    403724216U,	// IMUL16rm
-    2517653432U,	// IMUL16rmi
-    2517653432U,	// IMUL16rmi8
-    68179896U,	// IMUL16rr
-    2282772408U,	// IMUL16rri
-    2282772408U,	// IMUL16rri8
-    71608U,	// IMUL32m
-    22456U,	// IMUL32r
-    437278648U,	// IMUL32rm
-    2249217976U,	// IMUL32rmi
-    2249217976U,	// IMUL32rmi8
-    68179896U,	// IMUL32rr
-    2282772408U,	// IMUL32rri
-    2282772408U,	// IMUL32rri8
-    87992U,	// IMUL64m
-    22456U,	// IMUL64r
-    470833080U,	// IMUL64rm
-    2316326840U,	// IMUL64rmi32
-    2316326840U,	// IMUL64rmi8
-    68179896U,	// IMUL64rr
-    2282772408U,	// IMUL64rri32
-    2282772408U,	// IMUL64rri8
-    104376U,	// IMUL8m
-    22456U,	// IMUL8r
-    12886943U,	// IN16
-    26106U,	// IN16ri
-    12877U,	// IN16rr
+    38845U,	// IMUL16m
+    22461U,	// IMUL16r
+    403724221U,	// IMUL16rm
+    2517653437U,	// IMUL16rmi
+    2517653437U,	// IMUL16rmi8
+    68179901U,	// IMUL16rr
+    2282772413U,	// IMUL16rri
+    2282772413U,	// IMUL16rri8
+    71613U,	// IMUL32m
+    22461U,	// IMUL32r
+    437278653U,	// IMUL32rm
+    2249217981U,	// IMUL32rmi
+    2249217981U,	// IMUL32rmi8
+    68179901U,	// IMUL32rr
+    2282772413U,	// IMUL32rri
+    2282772413U,	// IMUL32rri8
+    87997U,	// IMUL64m
+    22461U,	// IMUL64r
+    470833085U,	// IMUL64rm
+    2316326845U,	// IMUL64rmi32
+    2316326845U,	// IMUL64rmi8
+    68179901U,	// IMUL64rr
+    2282772413U,	// IMUL64rri32
+    2282772413U,	// IMUL64rri8
+    104381U,	// IMUL8m
+    22461U,	// IMUL8r
+    12886948U,	// IN16
+    26111U,	// IN16ri
+    12882U,	// IN16rr
     12899300U,	// IN32
-    26270U,	// IN32ri
-    12887U,	// IN32rr
+    26275U,	// IN32ri
+    12892U,	// IN32rr
     12914028U,	// IN8
-    25994U,	// IN8ri
-    12867U,	// IN8rr
+    25999U,	// IN8ri
+    12872U,	// IN8rr
     36466U,	// INC16m
     20082U,	// INC16r
     20082U,	// INC32_16r
@@ -971,30 +971,30 @@
     20082U,	// INC64r
     102002U,	// INC8m
     20082U,	// INC8r
-    2416991979U,	// INSERTPSrm
-    2215665387U,	// INSERTPSrr
-    35707628U,	// INSERTQ
-    2787171052U,	// INSERTQI
-    24878U,	// INT
-    11422U,	// INT1
-    11526U,	// INT3
-    12252U,	// INTO
-    11925U,	// INVD
-    336617784U,	// INVEPT32
-    336617784U,	// INVEPT64
+    2416991984U,	// INSERTPSrm
+    2215665392U,	// INSERTPSrr
+    35707633U,	// INSERTQ
+    2787171057U,	// INSERTQI
+    24883U,	// INT
+    11427U,	// INT1
+    11531U,	// INT3
+    12257U,	// INTO
+    11930U,	// INVD
+    336617789U,	// INVEPT32
+    336617789U,	// INVEPT64
     104005U,	// INVLPG
-    12833U,	// INVLPGA32
-    12850U,	// INVLPGA64
+    12838U,	// INVLPGA32
+    12855U,	// INVLPGA64
     336613188U,	// INVPCID32
     336613188U,	// INVPCID64
     336613197U,	// INVVPID32
     336613197U,	// INVVPID64
-    12606U,	// IRET16
-    11913U,	// IRET32
-    12358U,	// IRET64
-    39134U,	// ISTT_FP16m
-    71902U,	// ISTT_FP32m
-    88286U,	// ISTT_FP64m
+    12611U,	// IRET16
+    11918U,	// IRET32
+    12363U,	// IRET64
+    39139U,	// ISTT_FP16m
+    71907U,	// ISTT_FP32m
+    88291U,	// ISTT_FP64m
     0U,	// ISTT_Fp16m32
     0U,	// ISTT_Fp16m64
     0U,	// ISTT_Fp16m80
@@ -1004,11 +1004,11 @@
     0U,	// ISTT_Fp64m32
     0U,	// ISTT_Fp64m64
     0U,	// ISTT_Fp64m80
-    41346U,	// IST_F16m
-    74114U,	// IST_F32m
-    39127U,	// IST_FP16m
-    71895U,	// IST_FP32m
-    88279U,	// IST_FP64m
+    41351U,	// IST_F16m
+    74119U,	// IST_F32m
+    39132U,	// IST_FP16m
+    71900U,	// IST_FP32m
+    88284U,	// IST_FP64m
     0U,	// IST_Fp16m32
     0U,	// IST_Fp16m64
     0U,	// IST_Fp16m80
@@ -1018,24 +1018,24 @@
     0U,	// IST_Fp64m32
     0U,	// IST_Fp64m64
     0U,	// IST_Fp64m80
-    245542894U,	// Int_CMPSDrm
-    77787118U,	// Int_CMPSDrr
-    280145902U,	// Int_CMPSSrm
-    78835694U,	// Int_CMPSSrr
+    245542899U,	// Int_CMPSDrm
+    77787123U,	// Int_CMPSDrr
+    280145907U,	// Int_CMPSSrm
+    78835699U,	// Int_CMPSSrr
     537940936U,	// Int_COMISDrm
     135287752U,	// Int_COMISDrr
-    537944148U,	// Int_COMISSrm
-    135290964U,	// Int_COMISSrr
-    235954103U,	// Int_CVTSD2SSrm
-    68181943U,	// Int_CVTSD2SSrr
+    537944153U,	// Int_COMISSrm
+    135290969U,	// Int_COMISSrr
+    235954108U,	// Int_CVTSD2SSrm
+    68181948U,	// Int_CVTSD2SSrr
     470831907U,	// Int_CVTSI2SD64rm
     68178723U,	// Int_CVTSI2SD64rr
     437277475U,	// Int_CVTSI2SDrm
     68178723U,	// Int_CVTSI2SDrr
-    470835138U,	// Int_CVTSI2SS64rm
-    68181954U,	// Int_CVTSI2SS64rr
-    437280706U,	// Int_CVTSI2SSrm
-    68181954U,	// Int_CVTSI2SSrr
+    470835143U,	// Int_CVTSI2SS64rm
+    68181959U,	// Int_CVTSI2SS64rr
+    437280711U,	// Int_CVTSI2SSrm
+    68181959U,	// Int_CVTSI2SSrr
     269505338U,	// Int_CVTSS2SDrm
     68178746U,	// Int_CVTSS2SDrr
     571496202U,	// Int_CVTTSD2SI64rm
@@ -1046,25 +1046,25 @@
     135288609U,	// Int_CVTTSS2SI64rr
     605050657U,	// Int_CVTTSS2SIrm
     135288609U,	// Int_CVTTSS2SIrr
-    11706U,	// Int_MemBarrier
+    11711U,	// Int_MemBarrier
     537940935U,	// Int_UCOMISDrm
     135287751U,	// Int_UCOMISDrr
-    537944147U,	// Int_UCOMISSrm
-    135290963U,	// Int_UCOMISSrr
-    2292543474U,	// Int_VCMPSDrm
-    2292559858U,	// Int_VCMPSDrr
-    2293592050U,	// Int_VCMPSSrm
-    2293608434U,	// Int_VCMPSSrr
+    537944152U,	// Int_UCOMISSrm
+    135290968U,	// Int_UCOMISSrr
+    2292543479U,	// Int_VCMPSDrm
+    2292559863U,	// Int_VCMPSDrr
+    2293592055U,	// Int_VCMPSSrm
+    2293608439U,	// Int_VCMPSSrr
     537940944U,	// Int_VCOMISDZrm
     135287760U,	// Int_VCOMISDZrr
     537940944U,	// Int_VCOMISDrm
     135287760U,	// Int_VCOMISDrr
-    537944156U,	// Int_VCOMISSZrm
-    135290972U,	// Int_VCOMISSZrr
-    537944156U,	// Int_VCOMISSrm
-    135290972U,	// Int_VCOMISSrr
-    2282774454U,	// Int_VCVTSD2SSrm
-    2282774454U,	// Int_VCVTSD2SSrr
+    537944161U,	// Int_VCOMISSZrm
+    135290977U,	// Int_VCOMISSZrr
+    537944161U,	// Int_VCOMISSrm
+    135290977U,	// Int_VCOMISSrr
+    2282774459U,	// Int_VCVTSD2SSrm
+    2282774459U,	// Int_VCVTSD2SSrr
     2282771234U,	// Int_VCVTSI2SD64Zrm
     2282771234U,	// Int_VCVTSI2SD64Zrr
     2282771234U,	// Int_VCVTSI2SD64rm
@@ -1073,14 +1073,14 @@
     2282771234U,	// Int_VCVTSI2SDZrr
     2282771234U,	// Int_VCVTSI2SDrm
     2282771234U,	// Int_VCVTSI2SDrr
-    2282774465U,	// Int_VCVTSI2SS64Zrm
-    2282774465U,	// Int_VCVTSI2SS64Zrr
-    2282774465U,	// Int_VCVTSI2SS64rm
-    2282774465U,	// Int_VCVTSI2SS64rr
-    2282774465U,	// Int_VCVTSI2SSZrm
-    2282774465U,	// Int_VCVTSI2SSZrr
-    2282774465U,	// Int_VCVTSI2SSrm
-    2282774465U,	// Int_VCVTSI2SSrr
+    2282774470U,	// Int_VCVTSI2SS64Zrm
+    2282774470U,	// Int_VCVTSI2SS64Zrr
+    2282774470U,	// Int_VCVTSI2SS64rm
+    2282774470U,	// Int_VCVTSI2SS64rr
+    2282774470U,	// Int_VCVTSI2SSZrm
+    2282774470U,	// Int_VCVTSI2SSZrr
+    2282774470U,	// Int_VCVTSI2SSrm
+    2282774470U,	// Int_VCVTSI2SSrr
     2282771257U,	// Int_VCVTSS2SDrm
     2282771257U,	// Int_VCVTSS2SDrr
     571491524U,	// Int_VCVTTSD2SI64Zrm
@@ -1111,18 +1111,18 @@
     2282771245U,	// Int_VCVTUSI2SD64Zrr
     2282771245U,	// Int_VCVTUSI2SDZrm
     2282771245U,	// Int_VCVTUSI2SDZrr
-    2282774476U,	// Int_VCVTUSI2SS64Zrm
-    2282774476U,	// Int_VCVTUSI2SS64Zrr
-    2282774476U,	// Int_VCVTUSI2SSZrm
-    2282774476U,	// Int_VCVTUSI2SSZrr
+    2282774481U,	// Int_VCVTUSI2SS64Zrm
+    2282774481U,	// Int_VCVTUSI2SS64Zrr
+    2282774481U,	// Int_VCVTUSI2SSZrm
+    2282774481U,	// Int_VCVTUSI2SSZrr
     537940934U,	// Int_VUCOMISDZrm
     135287750U,	// Int_VUCOMISDZrr
     537940934U,	// Int_VUCOMISDrm
     135287750U,	// Int_VUCOMISDrr
-    537944146U,	// Int_VUCOMISSZrm
-    135290962U,	// Int_VUCOMISSZrr
-    537944146U,	// Int_VUCOMISSrm
-    135290962U,	// Int_VUCOMISSrr
+    537944151U,	// Int_VUCOMISSZrm
+    135290967U,	// Int_VUCOMISSZrr
+    537944151U,	// Int_VUCOMISSrm
+    135290967U,	// Int_VUCOMISSrr
     152904U,	// JAE_1
     152904U,	// JAE_2
     152904U,	// JAE_4
@@ -1135,9 +1135,9 @@
     150795U,	// JB_1
     150795U,	// JB_2
     150795U,	// JB_4
-    156860U,	// JCXZ
-    156853U,	// JECXZ_32
-    156853U,	// JECXZ_64
+    156865U,	// JCXZ
+    156858U,	// JECXZ_32
+    156858U,	// JECXZ_64
     152980U,	// JE_1
     152980U,	// JE_2
     152980U,	// JE_4
@@ -1150,40 +1150,40 @@
     152984U,	// JLE_1
     152984U,	// JLE_2
     152984U,	// JLE_4
-    153446U,	// JL_1
-    153446U,	// JL_2
-    153446U,	// JL_4
-    39028U,	// JMP16m
-    22644U,	// JMP16r
-    71796U,	// JMP32m
-    22644U,	// JMP32r
-    88180U,	// JMP64m
-    22644U,	// JMP64r
-    153716U,	// JMP_1
-    153716U,	// JMP_2
-    153716U,	// JMP_4
+    153451U,	// JL_1
+    153451U,	// JL_2
+    153451U,	// JL_4
+    39033U,	// JMP16m
+    22649U,	// JMP16r
+    71801U,	// JMP32m
+    22649U,	// JMP32r
+    88185U,	// JMP64m
+    22649U,	// JMP64r
+    153721U,	// JMP_1
+    153721U,	// JMP_2
+    153721U,	// JMP_4
     153004U,	// JNE_1
     153004U,	// JNE_2
     153004U,	// JNE_4
-    153632U,	// JNO_1
-    153632U,	// JNO_2
-    153632U,	// JNO_4
-    153744U,	// JNP_1
-    153744U,	// JNP_2
-    153744U,	// JNP_4
-    154678U,	// JNS_1
-    154678U,	// JNS_2
-    154678U,	// JNS_4
-    153628U,	// JO_1
-    153628U,	// JO_2
-    153628U,	// JO_4
-    153699U,	// JP_1
-    153699U,	// JP_2
-    153699U,	// JP_4
-    156866U,	// JRCXZ
-    154674U,	// JS_1
-    154674U,	// JS_2
-    154674U,	// JS_4
+    153637U,	// JNO_1
+    153637U,	// JNO_2
+    153637U,	// JNO_4
+    153749U,	// JNP_1
+    153749U,	// JNP_2
+    153749U,	// JNP_4
+    154683U,	// JNS_1
+    154683U,	// JNS_2
+    154683U,	// JNS_4
+    153633U,	// JO_1
+    153633U,	// JO_2
+    153633U,	// JO_4
+    153704U,	// JP_1
+    153704U,	// JP_2
+    153704U,	// JP_4
+    156871U,	// JRCXZ
+    154679U,	// JS_1
+    154679U,	// JS_2
+    154679U,	// JS_4
     2282769025U,	// KANDNWrr
     2282768973U,	// KANDWrr
     135285473U,	// KMOVWkk
@@ -1203,25 +1203,25 @@
     2282768962U,	// KUNPCKBWrr
     2282769074U,	// KXNORWrr
     2282769083U,	// KXORWrr
-    12038U,	// LAHF
-    370170734U,	// LAR16rm
-    135289710U,	// LAR16rr
-    370170734U,	// LAR32rm
-    135289710U,	// LAR32rr
-    370170734U,	// LAR64rm
-    135289710U,	// LAR64rr
+    12043U,	// LAHF
+    370170739U,	// LAR16rm
+    135289715U,	// LAR16rr
+    370170739U,	// LAR32rm
+    135289715U,	// LAR32rr
+    370170739U,	// LAR64rm
+    135289715U,	// LAR64rr
     1087032U,	// LCMPXCHG16
     265414U,	// LCMPXCHG16B
     1119800U,	// LCMPXCHG32
     1136184U,	// LCMPXCHG64
     1152568U,	// LCMPXCHG8
     85202U,	// LCMPXCHG8B
-    336617903U,	// LDDQUrm
-    72658U,	// LDMXCSR
-    672160798U,	// LDS16rm
-    672160798U,	// LDS32rm
-    12905U,	// LD_F0
-    11396U,	// LD_F1
+    336617908U,	// LDDQUrm
+    72663U,	// LDMXCSR
+    672160803U,	// LDS16rm
+    672160803U,	// LDS32rm
+    12910U,	// LD_F0
+    11401U,	// LD_F1
     118620U,	// LD_F32m
     135004U,	// LD_F64m
     380764U,	// LD_F80m
@@ -1242,27 +1242,27 @@
     101731471U,	// LEA32r
     101731471U,	// LEA64_32r
     168840335U,	// LEA64r
-    12025U,	// LEAVE
-    12025U,	// LEAVE64
-    672160803U,	// LES16rm
-    672160803U,	// LES32rm
-    11941U,	// LFENCE
-    672160808U,	// LFS16rm
-    672160808U,	// LFS32rm
-    672160808U,	// LFS64rm
-    286950U,	// LGDT16m
-    286950U,	// LGDT32m
-    286950U,	// LGDT64m
-    672160813U,	// LGS16rm
-    672160813U,	// LGS32rm
-    672160813U,	// LGS64rm
-    286962U,	// LIDT16m
-    286962U,	// LIDT32m
-    286962U,	// LIDT64m
-    41214U,	// LLDT16m
-    24830U,	// LLDT16r
-    41872U,	// LMSW16m
-    25488U,	// LMSW16r
+    12030U,	// LEAVE
+    12030U,	// LEAVE64
+    672160808U,	// LES16rm
+    672160808U,	// LES32rm
+    11946U,	// LFENCE
+    672160813U,	// LFS16rm
+    672160813U,	// LFS32rm
+    672160813U,	// LFS64rm
+    286955U,	// LGDT16m
+    286955U,	// LGDT32m
+    286955U,	// LGDT64m
+    672160818U,	// LGS16rm
+    672160818U,	// LGS32rm
+    672160818U,	// LGS64rm
+    286967U,	// LIDT16m
+    286967U,	// LIDT32m
+    286967U,	// LIDT64m
+    41219U,	// LLDT16m
+    24835U,	// LLDT16r
+    41877U,	// LMSW16m
+    25493U,	// LMSW16r
     1085143U,	// LOCK_ADD16mi
     1085143U,	// LOCK_ADD16mi8
     1085143U,	// LOCK_ADD16mr
@@ -1293,18 +1293,18 @@
     69234U,	// LOCK_INC32m
     85618U,	// LOCK_INC64m
     102002U,	// LOCK_INC8m
-    1088421U,	// LOCK_OR16mi
-    1088421U,	// LOCK_OR16mi8
-    1088421U,	// LOCK_OR16mr
-    1121189U,	// LOCK_OR32mi
-    1121189U,	// LOCK_OR32mi8
-    1121189U,	// LOCK_OR32mr
-    1137573U,	// LOCK_OR64mi32
-    1137573U,	// LOCK_OR64mi8
-    1137573U,	// LOCK_OR64mr
-    1153957U,	// LOCK_OR8mi
-    1153957U,	// LOCK_OR8mr
-    12112U,	// LOCK_PREFIX
+    1088426U,	// LOCK_OR16mi
+    1088426U,	// LOCK_OR16mi8
+    1088426U,	// LOCK_OR16mr
+    1121194U,	// LOCK_OR32mi
+    1121194U,	// LOCK_OR32mi8
+    1121194U,	// LOCK_OR32mr
+    1137578U,	// LOCK_OR64mi32
+    1137578U,	// LOCK_OR64mi8
+    1137578U,	// LOCK_OR64mr
+    1153962U,	// LOCK_OR8mi
+    1153962U,	// LOCK_OR8mr
+    12117U,	// LOCK_PREFIX
     1084903U,	// LOCK_SUB16mi
     1084903U,	// LOCK_SUB16mi8
     1084903U,	// LOCK_SUB16mr
@@ -1316,131 +1316,131 @@
     1134055U,	// LOCK_SUB64mr
     1150439U,	// LOCK_SUB8mi
     1150439U,	// LOCK_SUB8mr
-    1088449U,	// LOCK_XOR16mi
-    1088449U,	// LOCK_XOR16mi8
-    1088449U,	// LOCK_XOR16mr
-    1121217U,	// LOCK_XOR32mi
-    1121217U,	// LOCK_XOR32mi8
-    1121217U,	// LOCK_XOR32mr
-    1137601U,	// LOCK_XOR64mi32
-    1137601U,	// LOCK_XOR64mi8
-    1137601U,	// LOCK_XOR64mr
-    1153985U,	// LOCK_XOR8mi
-    1153985U,	// LOCK_XOR8mr
-    402779U,	// LODSB
-    419463U,	// LODSL
-    436016U,	// LODSQ
-    452158U,	// LODSW
-    153769U,	// LOOP
+    1088454U,	// LOCK_XOR16mi
+    1088454U,	// LOCK_XOR16mi8
+    1088454U,	// LOCK_XOR16mr
+    1121222U,	// LOCK_XOR32mi
+    1121222U,	// LOCK_XOR32mi8
+    1121222U,	// LOCK_XOR32mr
+    1137606U,	// LOCK_XOR64mi32
+    1137606U,	// LOCK_XOR64mi8
+    1137606U,	// LOCK_XOR64mr
+    1153990U,	// LOCK_XOR8mi
+    1153990U,	// LOCK_XOR8mr
+    402784U,	// LODSB
+    419468U,	// LODSL
+    436021U,	// LODSQ
+    452163U,	// LODSW
+    153774U,	// LOOP
     153032U,	// LOOPE
     153009U,	// LOOPNE
     22061U,	// LRETIL
-    23134U,	// LRETIQ
+    23139U,	// LRETIQ
     22061U,	// LRETIW
-    12059U,	// LRETL
-    12332U,	// LRETQ
-    12059U,	// LRETW
-    370169765U,	// LSL16rm
-    135288741U,	// LSL16rr
-    101734309U,	// LSL32rm
-    135288741U,	// LSL32rr
-    168843173U,	// LSL64rm
-    135288741U,	// LSL64rr
-    672161896U,	// LSS16rm
-    672161896U,	// LSS32rm
-    672161896U,	// LSS64rm
-    39920U,	// LTRm
-    23536U,	// LTRr
+    12064U,	// LRETL
+    12337U,	// LRETQ
+    12064U,	// LRETW
+    370169770U,	// LSL16rm
+    135288746U,	// LSL16rr
+    101734314U,	// LSL32rm
+    135288746U,	// LSL32rr
+    168843178U,	// LSL64rm
+    135288746U,	// LSL64rr
+    672161901U,	// LSS16rm
+    672161901U,	// LSS32rm
+    672161901U,	// LSS64rm
+    39925U,	// LTRm
+    23541U,	// LTRr
     462563U,	// LXADD16
     478947U,	// LXADD32
     495331U,	// LXADD64
     511715U,	// LXADD8
-    370172192U,	// LZCNT16rm
-    135291168U,	// LZCNT16rr
-    101736736U,	// LZCNT32rm
-    135291168U,	// LZCNT32rr
-    168845600U,	// LZCNT64rm
-    135291168U,	// LZCNT64rr
-    135291319U,	// MASKMOVDQU
-    135291319U,	// MASKMOVDQU64
+    370172197U,	// LZCNT16rm
+    135291173U,	// LZCNT16rr
+    101736741U,	// LZCNT32rm
+    135291173U,	// LZCNT32rr
+    168845605U,	// LZCNT64rm
+    135291173U,	// LZCNT64rr
+    135291324U,	// MASKMOVDQU
+    135291324U,	// MASKMOVDQU64
     202396280U,	// MAXCPDrm
     68178552U,	// MAXCPDrr
-    202399546U,	// MAXCPSrm
-    68181818U,	// MAXCPSrr
+    202399551U,	// MAXCPSrm
+    68181823U,	// MAXCPSrr
     235951155U,	// MAXCSDrm
     68178995U,	// MAXCSDrr
-    269508800U,	// MAXCSSrm
-    68182208U,	// MAXCSSrr
+    269508805U,	// MAXCSSrm
+    68182213U,	// MAXCSSrr
     202396280U,	// MAXPDrm
     68178552U,	// MAXPDrr
-    202399546U,	// MAXPSrm
-    68181818U,	// MAXPSrr
+    202399551U,	// MAXPSrm
+    68181823U,	// MAXPSrr
     235951155U,	// MAXSDrm
     235951155U,	// MAXSDrm_Int
     68178995U,	// MAXSDrr
     68178995U,	// MAXSDrr_Int
-    269508800U,	// MAXSSrm
-    269508800U,	// MAXSSrm_Int
-    68182208U,	// MAXSSrr
-    68182208U,	// MAXSSrr_Int
-    11948U,	// MFENCE
+    269508805U,	// MAXSSrm
+    269508805U,	// MAXSSrm_Int
+    68182213U,	// MAXSSrr
+    68182213U,	// MAXSSrr_Int
+    11953U,	// MFENCE
     202396162U,	// MINCPDrm
     68178434U,	// MINCPDrr
-    202399387U,	// MINCPSrm
-    68181659U,	// MINCPSrr
+    202399392U,	// MINCPSrm
+    68181664U,	// MINCPSrr
     235951075U,	// MINCSDrm
     68178915U,	// MINCSDrr
-    269508718U,	// MINCSSrm
-    68182126U,	// MINCSSrr
+    269508723U,	// MINCSSrm
+    68182131U,	// MINCSSrr
     202396162U,	// MINPDrm
     68178434U,	// MINPDrr
-    202399387U,	// MINPSrm
-    68181659U,	// MINPSrr
+    202399392U,	// MINPSrm
+    68181664U,	// MINPSrr
     235951075U,	// MINSDrm
     235951075U,	// MINSDrm_Int
     68178915U,	// MINSDrr
     68178915U,	// MINSDrr_Int
-    269508718U,	// MINSSrm
-    269508718U,	// MINSSrm_Int
-    68182126U,	// MINSSrr
-    68182126U,	// MINSSrr_Int
+    269508723U,	// MINSSrm
+    269508723U,	// MINSSrm_Int
+    68182131U,	// MINSSrr
+    68182131U,	// MINSSrr_Int
     537941697U,	// MMX_CVTPD2PIirm
     135288513U,	// MMX_CVTPD2PIirr
     168841324U,	// MMX_CVTPI2PDirm
     135286892U,	// MMX_CVTPI2PDirr
-    470834444U,	// MMX_CVTPI2PSirm
-    68181260U,	// MMX_CVTPI2PSirr
+    470834449U,	// MMX_CVTPI2PSirm
+    68181265U,	// MMX_CVTPI2PSirr
     571496150U,	// MMX_CVTPS2PIirm
     135288534U,	// MMX_CVTPS2PIirr
     537941686U,	// MMX_CVTTPD2PIirm
     135288502U,	// MMX_CVTTPD2PIirr
     571496139U,	// MMX_CVTTPS2PIirm
     135288523U,	// MMX_CVTTPS2PIirr
-    12553U,	// MMX_EMMS
-    135289641U,	// MMX_MASKMOVQ
-    135289641U,	// MMX_MASKMOVQ64
+    12558U,	// MMX_EMMS
+    135289646U,	// MMX_MASKMOVQ
+    135289646U,	// MMX_MASKMOVQ64
     135287984U,	// MMX_MOVD64from64rr
     135287984U,	// MMX_MOVD64grr
     1119408U,	// MMX_MOVD64mr
     101733552U,	// MMX_MOVD64rm
     135287984U,	// MMX_MOVD64rr
     135287984U,	// MMX_MOVD64to64rr
-    135289124U,	// MMX_MOVDQ2Qrr
-    135289124U,	// MMX_MOVFR642Qrr
-    1137372U,	// MMX_MOVNTQmr
-    135289215U,	// MMX_MOVQ2DQrr
-    135289215U,	// MMX_MOVQ2FR64rr
-    1137453U,	// MMX_MOVQ64mr
-    168844077U,	// MMX_MOVQ64rm
-    135289645U,	// MMX_MOVQ64rr
+    135289129U,	// MMX_MOVDQ2Qrr
+    135289129U,	// MMX_MOVFR642Qrr
+    1137377U,	// MMX_MOVNTQmr
+    135289220U,	// MMX_MOVQ2DQrr
+    135289220U,	// MMX_MOVQ2FR64rr
+    1137458U,	// MMX_MOVQ64mr
+    168844082U,	// MMX_MOVQ64rm
+    135289650U,	// MMX_MOVQ64rr
     168840528U,	// MMX_PABSBrm64
     135286096U,	// MMX_PABSBrr64
     168842107U,	// MMX_PABSDrm64
     135287675U,	// MMX_PABSDrr64
-    168846167U,	// MMX_PABSWrm64
-    135291735U,	// MMX_PABSWrr64
-    470835870U,	// MMX_PACKSSDWirm
-    68182686U,	// MMX_PACKSSDWirr
+    168846172U,	// MMX_PABSWrm64
+    135291740U,	// MMX_PABSWrr64
+    470835875U,	// MMX_PACKSSDWirm
+    68182691U,	// MMX_PACKSSDWirr
     470830607U,	// MMX_PACKSSWBirm
     68177423U,	// MMX_PACKSSWBirr
     470830618U,	// MMX_PACKUSWBirm
@@ -1449,243 +1449,243 @@
     68177139U,	// MMX_PADDBirr
     470830835U,	// MMX_PADDDirm
     68177651U,	// MMX_PADDDirr
-    470833578U,	// MMX_PADDQirm
-    68180394U,	// MMX_PADDQirr
+    470833583U,	// MMX_PADDQirm
+    68180399U,	// MMX_PADDQirr
     470830433U,	// MMX_PADDSBirm
     68177249U,	// MMX_PADDSBirr
-    470836104U,	// MMX_PADDSWirm
-    68182920U,	// MMX_PADDSWirr
+    470836109U,	// MMX_PADDSWirm
+    68182925U,	// MMX_PADDSWirr
     470830475U,	// MMX_PADDUSBirm
     68177291U,	// MMX_PADDUSBirr
-    470836177U,	// MMX_PADDUSWirm
-    68182993U,	// MMX_PADDUSWirr
-    470835852U,	// MMX_PADDWirm
-    68182668U,	// MMX_PADDWirr
-    2618317722U,	// MMX_PALIGNR64irm
-    2215664538U,	// MMX_PALIGNR64irr
-    470833151U,	// MMX_PANDNirm
-    68179967U,	// MMX_PANDNirr
+    470836182U,	// MMX_PADDUSWirm
+    68182998U,	// MMX_PADDUSWirr
+    470835857U,	// MMX_PADDWirm
+    68182673U,	// MMX_PADDWirr
+    2618317727U,	// MMX_PALIGNR64irm
+    2215664543U,	// MMX_PALIGNR64irr
+    470833156U,	// MMX_PANDNirm
+    68179972U,	// MMX_PANDNirr
     470831010U,	// MMX_PANDirm
     68177826U,	// MMX_PANDirr
     470830340U,	// MMX_PAVGBirm
     68177156U,	// MMX_PAVGBirr
-    470835907U,	// MMX_PAVGWirm
-    68182723U,	// MMX_PAVGWirr
+    470835912U,	// MMX_PAVGWirm
+    68182728U,	// MMX_PAVGWirr
     470830388U,	// MMX_PCMPEQBirm
     68177204U,	// MMX_PCMPEQBirr
     470831753U,	// MMX_PCMPEQDirm
     68178569U,	// MMX_PCMPEQDirr
-    470836012U,	// MMX_PCMPEQWirm
-    68182828U,	// MMX_PCMPEQWirr
+    470836017U,	// MMX_PCMPEQWirm
+    68182833U,	// MMX_PCMPEQWirr
     470830516U,	// MMX_PCMPGTBirm
     68177332U,	// MMX_PCMPGTBirr
     470832204U,	// MMX_PCMPGTDirm
     68179020U,	// MMX_PCMPGTDirr
-    470836203U,	// MMX_PCMPGTWirm
-    68183019U,	// MMX_PCMPGTWirr
-    2282775374U,	// MMX_PEXTRWirri
-    470836094U,	// MMX_PHADDSWrm64
-    68182910U,	// MMX_PHADDSWrr64
-    470835843U,	// MMX_PHADDWrm64
-    68182659U,	// MMX_PHADDWrr64
+    470836208U,	// MMX_PCMPGTWirm
+    68183024U,	// MMX_PCMPGTWirr
+    2282775379U,	// MMX_PEXTRWirri
+    470836099U,	// MMX_PHADDSWrm64
+    68182915U,	// MMX_PHADDSWrr64
+    470835848U,	// MMX_PHADDWrm64
+    68182664U,	// MMX_PHADDWrr64
     470830826U,	// MMX_PHADDrm64
     68177642U,	// MMX_PHADDrr64
     470830767U,	// MMX_PHSUBDrm64
     68177583U,	// MMX_PHSUBDrr64
-    470836075U,	// MMX_PHSUBSWrm64
-    68182891U,	// MMX_PHSUBSWrr64
-    470835789U,	// MMX_PHSUBWrm64
-    68182605U,	// MMX_PHSUBWrr64
-    2551210821U,	// MMX_PINSRWirmi
-    2215666501U,	// MMX_PINSRWirri
-    470836063U,	// MMX_PMADDUBSWrm64
-    68182879U,	// MMX_PMADDUBSWrr64
+    470836080U,	// MMX_PHSUBSWrm64
+    68182896U,	// MMX_PHSUBSWrr64
+    470835794U,	// MMX_PHSUBWrm64
+    68182610U,	// MMX_PHSUBWrr64
+    2551210826U,	// MMX_PINSRWirmi
+    2215666506U,	// MMX_PINSRWirri
+    470836068U,	// MMX_PMADDUBSWrm64
+    68182884U,	// MMX_PMADDUBSWrr64
     470832338U,	// MMX_PMADDWDirm
     68179154U,	// MMX_PMADDWDirr
-    470836194U,	// MMX_PMAXSWirm
-    68183010U,	// MMX_PMAXSWirr
+    470836199U,	// MMX_PMAXSWirm
+    68183015U,	// MMX_PMAXSWirr
     470830580U,	// MMX_PMAXUBirm
     68177396U,	// MMX_PMAXUBirr
-    470836125U,	// MMX_PMINSWirm
-    68182941U,	// MMX_PMINSWirr
+    470836130U,	// MMX_PMINSWirm
+    68182946U,	// MMX_PMINSWirr
     470830557U,	// MMX_PMINUBirm
     68177373U,	// MMX_PMINUBirr
     135286032U,	// MMX_PMOVMSKBrr
-    470836148U,	// MMX_PMULHRSWrm64
-    68182964U,	// MMX_PMULHRSWrr64
-    470836235U,	// MMX_PMULHUWirm
-    68183051U,	// MMX_PMULHUWirr
-    470835936U,	// MMX_PMULHWirm
-    68182752U,	// MMX_PMULHWirr
-    470835978U,	// MMX_PMULLWirm
-    68182794U,	// MMX_PMULLWirr
-    470833718U,	// MMX_PMULUDQirm
-    68180534U,	// MMX_PMULUDQirr
-    470834084U,	// MMX_PORirm
-    68180900U,	// MMX_PORirr
-    470835726U,	// MMX_PSADBWirm
-    68182542U,	// MMX_PSADBWirr
+    470836153U,	// MMX_PMULHRSWrm64
+    68182969U,	// MMX_PMULHRSWrr64
+    470836240U,	// MMX_PMULHUWirm
+    68183056U,	// MMX_PMULHUWirr
+    470835941U,	// MMX_PMULHWirm
+    68182757U,	// MMX_PMULHWirr
+    470835983U,	// MMX_PMULLWirm
+    68182799U,	// MMX_PMULLWirr
+    470833723U,	// MMX_PMULUDQirm
+    68180539U,	// MMX_PMULUDQirr
+    470834089U,	// MMX_PORirm
+    68180905U,	// MMX_PORirr
+    470835731U,	// MMX_PSADBWirm
+    68182547U,	// MMX_PSADBWirr
     470830331U,	// MMX_PSHUFBrm64
     68177147U,	// MMX_PSHUFBrr64
-    2316329658U,	// MMX_PSHUFWmi
-    2282775226U,	// MMX_PSHUFWri
+    2316329663U,	// MMX_PSHUFWmi
+    2282775231U,	// MMX_PSHUFWri
     470830379U,	// MMX_PSIGNBrm64
     68177195U,	// MMX_PSIGNBrr64
     470831025U,	// MMX_PSIGNDrm64
     68177841U,	// MMX_PSIGNDrr64
-    470836003U,	// MMX_PSIGNWrm64
-    68182819U,	// MMX_PSIGNWrr64
+    470836008U,	// MMX_PSIGNWrm64
+    68182824U,	// MMX_PSIGNWrr64
     68177776U,	// MMX_PSLLDri
     470830960U,	// MMX_PSLLDrm
     68177776U,	// MMX_PSLLDrr
-    68180590U,	// MMX_PSLLQri
-    470833774U,	// MMX_PSLLQrm
-    68180590U,	// MMX_PSLLQrr
-    68182786U,	// MMX_PSLLWri
-    470835970U,	// MMX_PSLLWrm
-    68182786U,	// MMX_PSLLWrr
+    68180595U,	// MMX_PSLLQri
+    470833779U,	// MMX_PSLLQrm
+    68180595U,	// MMX_PSLLQrr
+    68182791U,	// MMX_PSLLWri
+    470835975U,	// MMX_PSLLWrm
+    68182791U,	// MMX_PSLLWrr
     68177554U,	// MMX_PSRADri
     470830738U,	// MMX_PSRADrm
     68177554U,	// MMX_PSRADrr
-    68182523U,	// MMX_PSRAWri
-    470835707U,	// MMX_PSRAWrm
-    68182523U,	// MMX_PSRAWrr
+    68182528U,	// MMX_PSRAWri
+    470835712U,	// MMX_PSRAWrm
+    68182528U,	// MMX_PSRAWrr
     68177793U,	// MMX_PSRLDri
     470830977U,	// MMX_PSRLDrm
     68177793U,	// MMX_PSRLDrr
-    68180598U,	// MMX_PSRLQri
-    470833782U,	// MMX_PSRLQrm
-    68180598U,	// MMX_PSRLQrr
-    68182803U,	// MMX_PSRLWri
-    470835987U,	// MMX_PSRLWrm
-    68182803U,	// MMX_PSRLWrr
+    68180603U,	// MMX_PSRLQri
+    470833787U,	// MMX_PSRLQrm
+    68180603U,	// MMX_PSRLQrr
+    68182808U,	// MMX_PSRLWri
+    470835992U,	// MMX_PSRLWrm
+    68182808U,	// MMX_PSRLWrr
     470830315U,	// MMX_PSUBBirm
     68177131U,	// MMX_PSUBBirr
     470830776U,	// MMX_PSUBDirm
     68177592U,	// MMX_PSUBDirr
-    470833483U,	// MMX_PSUBQirm
-    68180299U,	// MMX_PSUBQirr
+    470833488U,	// MMX_PSUBQirm
+    68180304U,	// MMX_PSUBQirr
     470830424U,	// MMX_PSUBSBirm
     68177240U,	// MMX_PSUBSBirr
-    470836085U,	// MMX_PSUBSWirm
-    68182901U,	// MMX_PSUBSWirr
+    470836090U,	// MMX_PSUBSWirm
+    68182906U,	// MMX_PSUBSWirr
     470830465U,	// MMX_PSUBUSBirm
     68177281U,	// MMX_PSUBUSBirr
-    470836167U,	// MMX_PSUBUSWirm
-    68182983U,	// MMX_PSUBUSWirr
-    470835798U,	// MMX_PSUBWirm
-    68182614U,	// MMX_PSUBWirr
-    470835754U,	// MMX_PUNPCKHBWirm
-    68182570U,	// MMX_PUNPCKHBWirr
-    470833596U,	// MMX_PUNPCKHDQirm
-    68180412U,	// MMX_PUNPCKHDQirr
+    470836172U,	// MMX_PSUBUSWirm
+    68182988U,	// MMX_PSUBUSWirr
+    470835803U,	// MMX_PSUBWirm
+    68182619U,	// MMX_PSUBWirr
+    470835759U,	// MMX_PUNPCKHBWirm
+    68182575U,	// MMX_PUNPCKHBWirr
+    470833601U,	// MMX_PUNPCKHDQirm
+    68180417U,	// MMX_PUNPCKHDQirr
     470832348U,	// MMX_PUNPCKHWDirm
     68179164U,	// MMX_PUNPCKHWDirr
-    470835766U,	// MMX_PUNPCKLBWirm
-    68182582U,	// MMX_PUNPCKLBWirr
-    470833608U,	// MMX_PUNPCKLDQirm
-    68180424U,	// MMX_PUNPCKLDQirr
+    470835771U,	// MMX_PUNPCKLBWirm
+    68182587U,	// MMX_PUNPCKLBWirr
+    470833613U,	// MMX_PUNPCKLDQirm
+    68180429U,	// MMX_PUNPCKLDQirr
     470832360U,	// MMX_PUNPCKLWDirm
     68179176U,	// MMX_PUNPCKLWDirr
-    470834112U,	// MMX_PXORirm
-    68180928U,	// MMX_PXORirr
+    470834117U,	// MMX_PXORirm
+    68180933U,	// MMX_PXORirr
     0U,	// MONITOR
-    12384U,	// MONITORrrr
-    12173U,	// MONTMUL
+    12389U,	// MONITORrrr
+    12178U,	// MONTMUL
     0U,	// MORESTACK_RET
     0U,	// MORESTACK_RET_RESTORE_R10
-    14164461U,	// MOV16ao16
-    14164461U,	// MOV16ao16_16
-    1090029U,	// MOV16mi
-    1090029U,	// MOV16mr
-    1090029U,	// MOV16ms
-    534058U,	// MOV16o16a
-    534058U,	// MOV16o16a_16
-    135291373U,	// MOV16ri
-    135291373U,	// MOV16ri_alt
-    370172397U,	// MOV16rm
-    135291373U,	// MOV16rr
-    135291373U,	// MOV16rr_REV
-    135291373U,	// MOV16rs
-    370172397U,	// MOV16sm
-    135291373U,	// MOV16sr
-    15229421U,	// MOV32ao32
-    15229421U,	// MOV32ao32_16
-    135291373U,	// MOV32cr
-    135291373U,	// MOV32dr
-    1122797U,	// MOV32mi
-    1122797U,	// MOV32mr
-    1122797U,	// MOV32ms
-    550611U,	// MOV32o32a
-    550611U,	// MOV32o32a_16
+    14164466U,	// MOV16ao16
+    14164466U,	// MOV16ao16_16
+    1090034U,	// MOV16mi
+    1090034U,	// MOV16mr
+    1090034U,	// MOV16ms
+    534063U,	// MOV16o16a
+    534063U,	// MOV16o16a_16
+    135291378U,	// MOV16ri
+    135291378U,	// MOV16ri_alt
+    370172402U,	// MOV16rm
+    135291378U,	// MOV16rr
+    135291378U,	// MOV16rr_REV
+    135291378U,	// MOV16rs
+    370172402U,	// MOV16sm
+    135291378U,	// MOV16sr
+    15229426U,	// MOV32ao32
+    15229426U,	// MOV32ao32_16
+    135291378U,	// MOV32cr
+    135291378U,	// MOV32dr
+    1122802U,	// MOV32mi
+    1122802U,	// MOV32mr
+    1122802U,	// MOV32ms
+    550616U,	// MOV32o32a
+    550616U,	// MOV32o32a_16
     0U,	// MOV32r0
-    135291373U,	// MOV32rc
-    135291373U,	// MOV32rd
-    135291373U,	// MOV32ri
+    135291378U,	// MOV32rc
+    135291378U,	// MOV32rd
+    135291378U,	// MOV32ri
     0U,	// MOV32ri64
-    135291373U,	// MOV32ri_alt
-    101736941U,	// MOV32rm
-    135291373U,	// MOV32rr
-    135291373U,	// MOV32rr_REV
-    135291373U,	// MOV32rs
-    101736941U,	// MOV32sm
-    135291373U,	// MOV32sr
-    14162960U,	// MOV64ao16
-    15227920U,	// MOV64ao32
-    16292880U,	// MOV64ao64
-    17357840U,	// MOV64ao8
-    135291373U,	// MOV64cr
-    135291373U,	// MOV64dr
-    1139181U,	// MOV64mi32
-    1139181U,	// MOV64mr
-    1139181U,	// MOV64ms
-    534036U,	// MOV64o16a
-    550587U,	// MOV64o32a
-    567110U,	// MOV64o64a
-    583076U,	// MOV64o8a
-    135291373U,	// MOV64rc
-    135291373U,	// MOV64rd
-    135289872U,	// MOV64ri
-    135291373U,	// MOV64ri32
-    168845805U,	// MOV64rm
-    135291373U,	// MOV64rr
-    135291373U,	// MOV64rr_REV
-    135291373U,	// MOV64rs
-    168845805U,	// MOV64sm
-    135291373U,	// MOV64sr
-    135289645U,	// MOV64toPQIrr
-    168844077U,	// MOV64toSDrm
-    135289645U,	// MOV64toSDrr
-    17359341U,	// MOV8ao8
-    17359341U,	// MOV8ao8_16
-    1155565U,	// MOV8mi
-    1155565U,	// MOV8mr
-    1155565U,	// MOV8mr_NOREX
-    583098U,	// MOV8o8a
-    583098U,	// MOV8o8a_16
-    135291373U,	// MOV8ri
-    135291373U,	// MOV8ri_alt
-    504390125U,	// MOV8rm
-    504390125U,	// MOV8rm_NOREX
-    135291373U,	// MOV8rr
-    135291373U,	// MOV8rr_NOREX
-    135291373U,	// MOV8rr_REV
+    135291378U,	// MOV32ri_alt
+    101736946U,	// MOV32rm
+    135291378U,	// MOV32rr
+    135291378U,	// MOV32rr_REV
+    135291378U,	// MOV32rs
+    101736946U,	// MOV32sm
+    135291378U,	// MOV32sr
+    14162965U,	// MOV64ao16
+    15227925U,	// MOV64ao32
+    16292885U,	// MOV64ao64
+    17357845U,	// MOV64ao8
+    135291378U,	// MOV64cr
+    135291378U,	// MOV64dr
+    1139186U,	// MOV64mi32
+    1139186U,	// MOV64mr
+    1139186U,	// MOV64ms
+    534041U,	// MOV64o16a
+    550592U,	// MOV64o32a
+    567115U,	// MOV64o64a
+    583081U,	// MOV64o8a
+    135291378U,	// MOV64rc
+    135291378U,	// MOV64rd
+    135289877U,	// MOV64ri
+    135291378U,	// MOV64ri32
+    168845810U,	// MOV64rm
+    135291378U,	// MOV64rr
+    135291378U,	// MOV64rr_REV
+    135291378U,	// MOV64rs
+    168845810U,	// MOV64sm
+    135291378U,	// MOV64sr
+    135289650U,	// MOV64toPQIrr
+    168844082U,	// MOV64toSDrm
+    135289650U,	// MOV64toSDrr
+    17359346U,	// MOV8ao8
+    17359346U,	// MOV8ao8_16
+    1155570U,	// MOV8mi
+    1155570U,	// MOV8mr
+    1155570U,	// MOV8mr_NOREX
+    583103U,	// MOV8o8a
+    583103U,	// MOV8o8a_16
+    135291378U,	// MOV8ri
+    135291378U,	// MOV8ri_alt
+    504390130U,	// MOV8rm
+    504390130U,	// MOV8rm_NOREX
+    135291378U,	// MOV8rr
+    135291378U,	// MOV8rr_NOREX
+    135291378U,	// MOV8rr_REV
     594159U,	// MOVAPDmr
     537940207U,	// MOVAPDrm
     135287023U,	// MOVAPDrr
     135287023U,	// MOVAPDrr_REV
-    597380U,	// MOVAPSmr
-    537943428U,	// MOVAPSrm
-    135290244U,	// MOVAPSrr
-    135290244U,	// MOVAPSrr_REV
+    597385U,	// MOVAPSmr
+    537943433U,	// MOVAPSrm
+    135290249U,	// MOVAPSrr
+    135290249U,	// MOVAPSrr_REV
     1086825U,	// MOVBE16mr
     370169193U,	// MOVBE16rm
     1119593U,	// MOVBE32mr
     101733737U,	// MOVBE32rm
     1135977U,	// MOVBE64mr
     168842601U,	// MOVBE64rm
-    571496679U,	// MOVDDUPrm
-    135289063U,	// MOVDDUPrr
+    571496684U,	// MOVDDUPrm
+    135289068U,	// MOVDDUPrr
     101733552U,	// MOVDI2PDIrm
     135287984U,	// MOVDI2PDIrr
     101733552U,	// MOVDI2SSrm
@@ -1694,125 +1694,125 @@
     336612516U,	// MOVDQArm
     135285924U,	// MOVDQArr
     135285924U,	// MOVDQArr_REV
-    1319355U,	// MOVDQUmr
-    336617915U,	// MOVDQUrm
-    135291323U,	// MOVDQUrr
-    135291323U,	// MOVDQUrr_REV
-    68181592U,	// MOVHLPSrr
+    1319360U,	// MOVDQUmr
+    336617920U,	// MOVDQUrm
+    135291328U,	// MOVDQUrr
+    135291328U,	// MOVDQUrr_REV
+    68181597U,	// MOVHLPSrr
     1184173U,	// MOVHPDmr
     235950509U,	// MOVHPDrm
-    1187396U,	// MOVHPSmr
-    235953732U,	// MOVHPSrm
-    68181562U,	// MOVLHPSrr
+    1187401U,	// MOVHPSmr
+    235953737U,	// MOVHPSrm
+    68181567U,	// MOVLHPSrr
     1184223U,	// MOVLPDmr
     235950559U,	// MOVLPDrm
-    1187456U,	// MOVLPSmr
-    235953792U,	// MOVLPSrm
+    1187461U,	// MOVLPSmr
+    235953797U,	// MOVLPSrm
     135287222U,	// MOVMSKPDrr
-    135290445U,	// MOVMSKPSrr
+    135290450U,	// MOVMSKPSrr
     336612505U,	// MOVNTDQArm
-    596513U,	// MOVNTDQmr
+    596518U,	// MOVNTDQmr
     1136445U,	// MOVNTI_64mr
     1120061U,	// MOVNTImr
     594484U,	// MOVNTPDmr
-    597729U,	// MOVNTPSmr
+    597734U,	// MOVNTPSmr
     1184769U,	// MOVNTSD
-    1171589U,	// MOVNTSS
+    1171594U,	// MOVNTSS
     0U,	// MOVPC32r
     1119408U,	// MOVPDI2DImr
     135287984U,	// MOVPDI2DIrr
-    1137453U,	// MOVPQI2QImr
-    135289645U,	// MOVPQI2QIrr
-    135289645U,	// MOVPQIto64rr
-    168844077U,	// MOVQI2PQIrm
+    1137458U,	// MOVPQI2QImr
+    135289650U,	// MOVPQI2QIrr
+    135289650U,	// MOVPQIto64rr
+    168844082U,	// MOVQI2PQIrm
     706022813U,	// MOVSB
     1184810U,	// MOVSDmr
     571495466U,	// MOVSDrm
     68178986U,	// MOVSDrr
     68178986U,	// MOVSDrr_REV
-    1137453U,	// MOVSDto64mr
-    135289645U,	// MOVSDto64rr
-    537942257U,	// MOVSHDUPrm
-    135289073U,	// MOVSHDUPrr
+    1137458U,	// MOVSDto64mr
+    135289650U,	// MOVSDto64rr
+    537942262U,	// MOVSHDUPrm
+    135289078U,	// MOVSHDUPrr
     739562538U,	// MOVSL
-    537942268U,	// MOVSLDUPrm
-    135289084U,	// MOVSLDUPrr
-    773413579U,	// MOVSQ
+    537942273U,	// MOVSLDUPrm
+    135289089U,	// MOVSLDUPrr
+    773413584U,	// MOVSQ
     1119408U,	// MOVSS2DImr
     135287984U,	// MOVSS2DIrr
-    1171640U,	// MOVSSmr
-    605053112U,	// MOVSSrm
-    68182200U,	// MOVSSrr
-    68182200U,	// MOVSSrr_REV
-    806659034U,	// MOVSW
-    504390823U,	// MOVSX16rm8
-    135292071U,	// MOVSX16rr8
-    370173095U,	// MOVSX32rm16
-    504390823U,	// MOVSX32rm8
-    135292071U,	// MOVSX32rr16
-    135292071U,	// MOVSX32rr8
-    370173095U,	// MOVSX64rm16
+    1171645U,	// MOVSSmr
+    605053117U,	// MOVSSrm
+    68182205U,	// MOVSSrr
+    68182205U,	// MOVSSrr_REV
+    806659039U,	// MOVSW
+    504390828U,	// MOVSX16rm8
+    135292076U,	// MOVSX16rr8
+    370173100U,	// MOVSX32rm16
+    504390828U,	// MOVSX32rm8
+    135292076U,	// MOVSX32rr16
+    135292076U,	// MOVSX32rr8
+    370173100U,	// MOVSX64rm16
     101733696U,	// MOVSX64rm32
-    504390823U,	// MOVSX64rm8
-    135292071U,	// MOVSX64rr16
+    504390828U,	// MOVSX64rm8
+    135292076U,	// MOVSX64rr16
     135288128U,	// MOVSX64rr32
-    135292071U,	// MOVSX64rr8
+    135292076U,	// MOVSX64rr8
     594512U,	// MOVUPDmr
     537940560U,	// MOVUPDrm
     135287376U,	// MOVUPDrr
     135287376U,	// MOVUPDrr_REV
-    597778U,	// MOVUPSmr
-    537943826U,	// MOVUPSrm
-    135290642U,	// MOVUPSrr
-    135290642U,	// MOVUPSrr_REV
-    336616237U,	// MOVZPQILo2PQIrm
-    135289645U,	// MOVZPQILo2PQIrr
-    168844077U,	// MOVZQI2PQIrm
-    135289645U,	// MOVZQI2PQIrr
-    504390830U,	// MOVZX16rm8
-    135292078U,	// MOVZX16rr8
-    504390830U,	// MOVZX32_NOREXrm8
-    135292078U,	// MOVZX32_NOREXrr8
-    370173102U,	// MOVZX32rm16
-    504390830U,	// MOVZX32rm8
-    135292078U,	// MOVZX32rr16
-    135292078U,	// MOVZX32rr8
-    370173102U,	// MOVZX64rm16_Q
-    504390830U,	// MOVZX64rm8_Q
-    135292078U,	// MOVZX64rr16_Q
-    135292078U,	// MOVZX64rr8_Q
-    2450547213U,	// MPSADBWrmi
-    2215666189U,	// MPSADBWrri
-    38834U,	// MUL16m
-    22450U,	// MUL16r
-    71602U,	// MUL32m
-    22450U,	// MUL32r
-    87986U,	// MUL64m
-    22450U,	// MUL64r
-    104370U,	// MUL8m
-    22450U,	// MUL8r
+    597783U,	// MOVUPSmr
+    537943831U,	// MOVUPSrm
+    135290647U,	// MOVUPSrr
+    135290647U,	// MOVUPSrr_REV
+    336616242U,	// MOVZPQILo2PQIrm
+    135289650U,	// MOVZPQILo2PQIrr
+    168844082U,	// MOVZQI2PQIrm
+    135289650U,	// MOVZQI2PQIrr
+    504390835U,	// MOVZX16rm8
+    135292083U,	// MOVZX16rr8
+    504390835U,	// MOVZX32_NOREXrm8
+    135292083U,	// MOVZX32_NOREXrr8
+    370173107U,	// MOVZX32rm16
+    504390835U,	// MOVZX32rm8
+    135292083U,	// MOVZX32rr16
+    135292083U,	// MOVZX32rr8
+    370173107U,	// MOVZX64rm16_Q
+    504390835U,	// MOVZX64rm8_Q
+    135292083U,	// MOVZX64rr16_Q
+    135292083U,	// MOVZX64rr8_Q
+    2450547218U,	// MPSADBWrmi
+    2215666194U,	// MPSADBWrri
+    38839U,	// MUL16m
+    22455U,	// MUL16r
+    71607U,	// MUL32m
+    22455U,	// MUL32r
+    87991U,	// MUL64m
+    22455U,	// MUL64r
+    104375U,	// MUL8m
+    22455U,	// MUL8r
     202396119U,	// MULPDrm
     68178391U,	// MULPDrr
-    202399352U,	// MULPSrm
-    68181624U,	// MULPSrr
+    202399357U,	// MULPSrm
+    68181629U,	// MULPSrr
     235951066U,	// MULSDrm
     235951066U,	// MULSDrm_Int
     68178906U,	// MULSDrr
     68178906U,	// MULSDrr_Int
-    269508710U,	// MULSSrm
-    269508710U,	// MULSSrm_Int
-    68182118U,	// MULSSrr
-    68182118U,	// MULSSrr_Int
-    2282775652U,	// MULX32rm
-    2282775652U,	// MULX32rr
-    2282775652U,	// MULX64rm
-    2282775652U,	// MULX64rr
-    120753U,	// MUL_F32m
-    137137U,	// MUL_F64m
-    38839U,	// MUL_FI16m
-    71607U,	// MUL_FI32m
-    22631U,	// MUL_FPrST0
-    22449U,	// MUL_FST0r
+    269508715U,	// MULSSrm
+    269508715U,	// MULSSrm_Int
+    68182123U,	// MULSSrr
+    68182123U,	// MULSSrr_Int
+    2282775657U,	// MULX32rm
+    2282775657U,	// MULX32rr
+    2282775657U,	// MULX64rm
+    2282775657U,	// MULX64rr
+    120758U,	// MUL_F32m
+    137142U,	// MUL_F64m
+    38844U,	// MUL_FI16m
+    71612U,	// MUL_FI32m
+    22636U,	// MUL_FPrST0
+    22454U,	// MUL_FST0r
     0U,	// MUL_Fp32
     0U,	// MUL_Fp32m
     0U,	// MUL_Fp64
@@ -1827,8 +1827,8 @@
     0U,	// MUL_FpI32m32
     0U,	// MUL_FpI32m64
     0U,	// MUL_FpI32m80
-    7362481U,	// MUL_FrST0
-    12618U,	// MWAITrr
+    7362486U,	// MUL_FrST0
+    12623U,	// MWAITrr
     38451U,	// NEG16m
     22067U,	// NEG16r
     71219U,	// NEG32m
@@ -1837,183 +1837,183 @@
     22067U,	// NEG64r
     103987U,	// NEG8m
     22067U,	// NEG8r
-    12280U,	// NOOP
-    71844U,	// NOOPL
-    39076U,	// NOOPW
-    41267U,	// NOT16m
-    24883U,	// NOT16r
-    74035U,	// NOT32m
-    24883U,	// NOT32r
-    90419U,	// NOT64m
-    24883U,	// NOT64r
-    106803U,	// NOT8m
-    24883U,	// NOT8r
-    26124U,	// OR16i16
-    1088421U,	// OR16mi
-    1088421U,	// OR16mi8
-    1088421U,	// OR16mr
-    35707813U,	// OR16ri
-    35707813U,	// OR16ri8
-    3201957U,	// OR16rm
-    35707813U,	// OR16rr
-    68180901U,	// OR16rr_REV
-    26290U,	// OR32i32
-    1121189U,	// OR32mi
-    1121189U,	// OR32mi8
-    1121189U,	// OR32mr
-    1121189U,	// OR32mrLocked
-    35707813U,	// OR32ri
-    35707813U,	// OR32ri8
-    4250533U,	// OR32rm
-    35707813U,	// OR32rr
-    68180901U,	// OR32rr_REV
-    26429U,	// OR64i32
-    1137573U,	// OR64mi32
-    1137573U,	// OR64mi8
-    1137573U,	// OR64mr
-    35707813U,	// OR64ri32
-    35707813U,	// OR64ri8
-    5299109U,	// OR64rm
-    35707813U,	// OR64rr
-    68180901U,	// OR64rr_REV
-    26012U,	// OR8i8
-    1153957U,	// OR8mi
-    1153957U,	// OR8mr
-    35707813U,	// OR8ri
-    6347685U,	// OR8rm
-    35707813U,	// OR8rr
-    68180901U,	// OR8rr_REV
+    12285U,	// NOOP
+    71849U,	// NOOPL
+    39081U,	// NOOPW
+    41272U,	// NOT16m
+    24888U,	// NOT16r
+    74040U,	// NOT32m
+    24888U,	// NOT32r
+    90424U,	// NOT64m
+    24888U,	// NOT64r
+    106808U,	// NOT8m
+    24888U,	// NOT8r
+    26129U,	// OR16i16
+    1088426U,	// OR16mi
+    1088426U,	// OR16mi8
+    1088426U,	// OR16mr
+    35707818U,	// OR16ri
+    35707818U,	// OR16ri8
+    3201962U,	// OR16rm
+    35707818U,	// OR16rr
+    68180906U,	// OR16rr_REV
+    26295U,	// OR32i32
+    1121194U,	// OR32mi
+    1121194U,	// OR32mi8
+    1121194U,	// OR32mr
+    1121194U,	// OR32mrLocked
+    35707818U,	// OR32ri
+    35707818U,	// OR32ri8
+    4250538U,	// OR32rm
+    35707818U,	// OR32rr
+    68180906U,	// OR32rr_REV
+    26434U,	// OR64i32
+    1137578U,	// OR64mi32
+    1137578U,	// OR64mi8
+    1137578U,	// OR64mr
+    35707818U,	// OR64ri32
+    35707818U,	// OR64ri8
+    5299114U,	// OR64rm
+    35707818U,	// OR64rr
+    68180906U,	// OR64rr_REV
+    26017U,	// OR8i8
+    1153962U,	// OR8mi
+    1153962U,	// OR8mr
+    35707818U,	// OR8ri
+    6347690U,	// OR8rm
+    35707818U,	// OR8rr
+    68180906U,	// OR8rr_REV
     202396197U,	// ORPDrm
     68178469U,	// ORPDrr
-    202399430U,	// ORPSrm
-    68181702U,	// ORPSrr
-    13656483U,	// OUT16ir
-    12735U,	// OUT16rr
-    14705059U,	// OUT32ir
-    12789U,	// OUT32rr
-    16802211U,	// OUT8ir
-    12117U,	// OUT8rr
-    403294U,	// OUTSB
-    419689U,	// OUTSL
-    452468U,	// OUTSW
+    202399435U,	// ORPSrm
+    68181707U,	// ORPSrr
+    13656488U,	// OUT16ir
+    12740U,	// OUT16rr
+    14705064U,	// OUT32ir
+    12794U,	// OUT32rr
+    16802216U,	// OUT8ir
+    12122U,	// OUT8rr
+    403299U,	// OUTSB
+    419694U,	// OUTSL
+    452473U,	// OUTSW
     336612688U,	// PABSBrm128
     135286096U,	// PABSBrr128
     336614267U,	// PABSDrm128
     135287675U,	// PABSDrr128
-    336618327U,	// PABSWrm128
-    135291735U,	// PABSWrr128
-    303063710U,	// PACKSSDWrm
-    68182686U,	// PACKSSDWrr
+    336618332U,	// PABSWrm128
+    135291740U,	// PABSWrr128
+    303063715U,	// PACKSSDWrm
+    68182691U,	// PACKSSDWrr
     303058447U,	// PACKSSWBrm
     68177423U,	// PACKSSWBrr
-    303063721U,	// PACKUSDWrm
-    68182697U,	// PACKUSDWrr
+    303063726U,	// PACKUSDWrm
+    68182702U,	// PACKUSDWrr
     303058458U,	// PACKUSWBrm
     68177434U,	// PACKUSWBrr
     303058163U,	// PADDBrm
     68177139U,	// PADDBrr
     303058675U,	// PADDDrm
     68177651U,	// PADDDrr
-    303061418U,	// PADDQrm
-    68180394U,	// PADDQrr
+    303061423U,	// PADDQrm
+    68180399U,	// PADDQrr
     303058273U,	// PADDSBrm
     68177249U,	// PADDSBrr
-    303063944U,	// PADDSWrm
-    68182920U,	// PADDSWrr
+    303063949U,	// PADDSWrm
+    68182925U,	// PADDSWrr
     303058315U,	// PADDUSBrm
     68177291U,	// PADDUSBrr
-    303064017U,	// PADDUSWrm
-    68182993U,	// PADDUSWrr
-    303063692U,	// PADDWrm
-    68182668U,	// PADDWrr
-    2450545562U,	// PALIGNR128rm
-    2215664538U,	// PALIGNR128rr
-    303060991U,	// PANDNrm
-    68179967U,	// PANDNrr
+    303064022U,	// PADDUSWrm
+    68182998U,	// PADDUSWrr
+    303063697U,	// PADDWrm
+    68182673U,	// PADDWrr
+    2450545567U,	// PALIGNR128rm
+    2215664543U,	// PALIGNR128rr
+    303060996U,	// PANDNrm
+    68179972U,	// PANDNrr
     303058850U,	// PANDrm
     68177826U,	// PANDrr
-    12019U,	// PAUSE
+    12024U,	// PAUSE
     303058180U,	// PAVGBrm
     68177156U,	// PAVGBrr
     470830484U,	// PAVGUSBrm
     68177300U,	// PAVGUSBrr
-    303063747U,	// PAVGWrm
-    68182723U,	// PAVGWrr
+    303063752U,	// PAVGWrm
+    68182728U,	// PAVGWrr
     303058429U,	// PBLENDVBrm0
     68177405U,	// PBLENDVBrr0
-    2450547348U,	// PBLENDWrmi
-    2215666324U,	// PBLENDWrri
-    2450545161U,	// PCLMULQDQrm
-    2215664137U,	// PCLMULQDQrr
+    2450547353U,	// PBLENDWrmi
+    2215666329U,	// PBLENDWrri
+    2450545166U,	// PCLMULQDQrm
+    2215664142U,	// PCLMULQDQrr
     303058228U,	// PCMPEQBrm
     68177204U,	// PCMPEQBrr
     303059593U,	// PCMPEQDrm
     68178569U,	// PCMPEQDrr
-    303061654U,	// PCMPEQQrm
-    68180630U,	// PCMPEQQrr
-    303063852U,	// PCMPEQWrm
-    68182828U,	// PCMPEQWrr
+    303061659U,	// PCMPEQQrm
+    68180635U,	// PCMPEQQrr
+    303063857U,	// PCMPEQWrm
+    68182833U,	// PCMPEQWrr
     0U,	// PCMPESTRIMEM
     0U,	// PCMPESTRIREG
     2484098802U,	// PCMPESTRIrm
     2282772210U,	// PCMPESTRIrr
     0U,	// PCMPESTRM128MEM
     0U,	// PCMPESTRM128REG
-    2484099047U,	// PCMPESTRM128rm
-    2282772455U,	// PCMPESTRM128rr
+    2484099052U,	// PCMPESTRM128rm
+    2282772460U,	// PCMPESTRM128rr
     303058356U,	// PCMPGTBrm
     68177332U,	// PCMPGTBrr
     303060044U,	// PCMPGTDrm
     68179020U,	// PCMPGTDrr
-    303061715U,	// PCMPGTQrm
-    68180691U,	// PCMPGTQrr
-    303064043U,	// PCMPGTWrm
-    68183019U,	// PCMPGTWrr
+    303061720U,	// PCMPGTQrm
+    68180696U,	// PCMPGTQrr
+    303064048U,	// PCMPGTWrm
+    68183024U,	// PCMPGTWrr
     0U,	// PCMPISTRIMEM
     0U,	// PCMPISTRIREG
     2484098814U,	// PCMPISTRIrm
     2282772222U,	// PCMPISTRIrr
     0U,	// PCMPISTRM128MEM
     0U,	// PCMPISTRM128REG
-    2484099059U,	// PCMPISTRM128rm
-    2282772467U,	// PCMPISTRM128rr
-    2282772573U,	// PDEP32rm
-    2282772573U,	// PDEP32rr
-    2282772573U,	// PDEP64rm
-    2282772573U,	// PDEP64rr
-    2282774952U,	// PEXT32rm
-    2282774952U,	// PEXT32rr
-    2282774952U,	// PEXT64rm
-    2282774952U,	// PEXT64rr
+    2484099064U,	// PCMPISTRM128rm
+    2282772472U,	// PCMPISTRM128rr
+    2282772578U,	// PDEP32rm
+    2282772578U,	// PDEP32rr
+    2282772578U,	// PDEP64rm
+    2282772578U,	// PDEP64rr
+    2282774957U,	// PEXT32rm
+    2282774957U,	// PEXT32rr
+    2282774957U,	// PEXT64rm
+    2282774957U,	// PEXT64rr
     2148633927U,	// PEXTRBmr
     2282769735U,	// PEXTRBrr
     2148602542U,	// PEXTRDmr
     2282771118U,	// PEXTRDrr
-    2148620981U,	// PEXTRQmr
-    2282773173U,	// PEXTRQrr
-    2148574030U,	// PEXTRWmr
-    2282775374U,	// PEXTRWri
-    2282775374U,	// PEXTRWrr_REV
+    2148620986U,	// PEXTRQmr
+    2282773178U,	// PEXTRQrr
+    2148574035U,	// PEXTRWmr
+    2282775379U,	// PEXTRWri
+    2282775379U,	// PEXTRWrr_REV
     168841021U,	// PF2IDrm
     135286589U,	// PF2IDrr
-    168846056U,	// PF2IWrm
-    135291624U,	// PF2IWrr
+    168846061U,	// PF2IWrm
+    135291629U,	// PF2IWrr
     470830628U,	// PFACCrm
     68177444U,	// PFACCrr
     470830805U,	// PFADDrm
     68177621U,	// PFADDrr
-    470833749U,	// PFCMPEQrm
-    68180565U,	// PFCMPEQrr
+    470833754U,	// PFCMPEQrm
+    68180570U,	// PFCMPEQrr
     470832508U,	// PFCMPGErm
     68179324U,	// PFCMPGErr
-    470835471U,	// PFCMPGTrm
-    68182287U,	// PFCMPGTrr
-    470836305U,	// PFMAXrm
-    68183121U,	// PFMAXrr
-    470833166U,	// PFMINrm
-    68179982U,	// PFMINrr
-    470833072U,	// PFMULrm
-    68179888U,	// PFMULrr
+    470835476U,	// PFCMPGTrm
+    68182292U,	// PFCMPGTrr
+    470836310U,	// PFMAXrm
+    68183126U,	// PFMAXrr
+    470833171U,	// PFMINrm
+    68179987U,	// PFMINrr
+    470833077U,	// PFMULrm
+    68179893U,	// PFMULrr
     470830635U,	// PFNACCrm
     68177451U,	// PFNACCrr
     470830643U,	// PFPNACCrm
@@ -2022,227 +2022,227 @@
     68176663U,	// PFRCPIT1rr
     470829932U,	// PFRCPIT2rm
     68176748U,	// PFRCPIT2rr
-    168843343U,	// PFRCPrm
-    135288911U,	// PFRCPrr
+    168843348U,	// PFRCPrm
+    135288916U,	// PFRCPrr
     470829857U,	// PFRSQIT1rm
     68176673U,	// PFRSQIT1rr
-    168845650U,	// PFRSQRTrm
-    135291218U,	// PFRSQRTrr
-    470834040U,	// PFSUBRrm
-    68180856U,	// PFSUBRrr
+    168845655U,	// PFRSQRTrm
+    135291223U,	// PFRSQRTrr
+    470834045U,	// PFSUBRrm
+    68180861U,	// PFSUBRrr
     470830565U,	// PFSUBrm
     68177381U,	// PFSUBrr
     303058666U,	// PHADDDrm
     68177642U,	// PHADDDrr
-    303063934U,	// PHADDSWrm128
-    68182910U,	// PHADDSWrr128
-    303063683U,	// PHADDWrm
-    68182659U,	// PHADDWrr
-    336618535U,	// PHMINPOSUWrm128
-    135291943U,	// PHMINPOSUWrr128
+    303063939U,	// PHADDSWrm128
+    68182915U,	// PHADDSWrr128
+    303063688U,	// PHADDWrm
+    68182664U,	// PHADDWrr
+    336618540U,	// PHMINPOSUWrm128
+    135291948U,	// PHMINPOSUWrr128
     303058607U,	// PHSUBDrm
     68177583U,	// PHSUBDrr
-    303063915U,	// PHSUBSWrm128
-    68182891U,	// PHSUBSWrr128
-    303063629U,	// PHSUBWrm
-    68182605U,	// PHSUBWrr
+    303063920U,	// PHSUBSWrm128
+    68182896U,	// PHSUBSWrr128
+    303063634U,	// PHSUBWrm
+    68182610U,	// PHSUBWrr
     168841005U,	// PI2FDrm
     135286573U,	// PI2FDrr
-    168846003U,	// PI2FWrm
-    135291571U,	// PI2FWrr
+    168846008U,	// PI2FWrm
+    135291576U,	// PI2FWrr
     839929150U,	// PINSRBrm
     2215660862U,	// PINSRBrr
     2584760997U,	// PINSRDrm
     2215662245U,	// PINSRDrr
-    2618317484U,	// PINSRQrm
-    2215664300U,	// PINSRQrr
-    2551210821U,	// PINSRWrmi
-    2215666501U,	// PINSRWrri
-    303063903U,	// PMADDUBSWrm128
-    68182879U,	// PMADDUBSWrr128
+    2618317489U,	// PINSRQrm
+    2215664305U,	// PINSRQrr
+    2551210826U,	// PINSRWrmi
+    2215666506U,	// PINSRWrri
+    303063908U,	// PMADDUBSWrm128
+    68182884U,	// PMADDUBSWrr128
     303060178U,	// PMADDWDrm
     68179154U,	// PMADDWDrr
     303058341U,	// PMAXSBrm
     68177317U,	// PMAXSBrr
     303060018U,	// PMAXSDrm
     68178994U,	// PMAXSDrr
-    303064034U,	// PMAXSWrm
-    68183010U,	// PMAXSWrr
+    303064039U,	// PMAXSWrm
+    68183015U,	// PMAXSWrr
     303058420U,	// PMAXUBrm
     68177396U,	// PMAXUBrr
     303060103U,	// PMAXUDrm
     68179079U,	// PMAXUDrr
-    303064116U,	// PMAXUWrm
-    68183092U,	// PMAXUWrr
+    303064121U,	// PMAXUWrm
+    68183097U,	// PMAXUWrr
     303058282U,	// PMINSBrm
     68177258U,	// PMINSBrr
     303059938U,	// PMINSDrm
     68178914U,	// PMINSDrr
-    303063965U,	// PMINSWrm
-    68182941U,	// PMINSWrr
+    303063970U,	// PMINSWrm
+    68182946U,	// PMINSWrr
     303058397U,	// PMINUBrm
     68177373U,	// PMINUBrr
     303060085U,	// PMINUDrm
     68179061U,	// PMINUDrr
-    303064094U,	// PMINUWrm
-    68183070U,	// PMINUWrr
+    303064099U,	// PMINUWrm
+    68183075U,	// PMINUWrr
     135286032U,	// PMOVMSKBrr
     101732032U,	// PMOVSXBDrm
     135286464U,	// PMOVSXBDrr
-    370170195U,	// PMOVSXBQrm
-    135289171U,	// PMOVSXBQrr
-    168845918U,	// PMOVSXBWrm
-    135291486U,	// PMOVSXBWrr
-    168843840U,	// PMOVSXDQrm
-    135289408U,	// PMOVSXDQrr
+    370170200U,	// PMOVSXBQrm
+    135289176U,	// PMOVSXBQrr
+    168845923U,	// PMOVSXBWrm
+    135291491U,	// PMOVSXBWrr
+    168843845U,	// PMOVSXDQrm
+    135289413U,	// PMOVSXDQrr
     168842539U,	// PMOVSXWDrm
     135288107U,	// PMOVSXWDrr
-    101735248U,	// PMOVSXWQrm
-    135289680U,	// PMOVSXWQrr
+    101735253U,	// PMOVSXWQrm
+    135289685U,	// PMOVSXWQrr
     101732043U,	// PMOVZXBDrm
     135286475U,	// PMOVZXBDrr
-    370170206U,	// PMOVZXBQrm
-    135289182U,	// PMOVZXBQrr
-    168845929U,	// PMOVZXBWrm
-    135291497U,	// PMOVZXBWrr
-    168843851U,	// PMOVZXDQrm
-    135289419U,	// PMOVZXDQrr
+    370170211U,	// PMOVZXBQrm
+    135289187U,	// PMOVZXBQrr
+    168845934U,	// PMOVZXBWrm
+    135291502U,	// PMOVZXBWrr
+    168843856U,	// PMOVZXDQrm
+    135289424U,	// PMOVZXDQrr
     168842550U,	// PMOVZXWDrm
     135288118U,	// PMOVZXWDrr
-    101735259U,	// PMOVZXWQrm
-    135289691U,	// PMOVZXWQrr
-    303061478U,	// PMULDQrm
-    68180454U,	// PMULDQrr
-    303063988U,	// PMULHRSWrm128
-    68182964U,	// PMULHRSWrr128
-    470836027U,	// PMULHRWrm
-    68182843U,	// PMULHRWrr
-    303064075U,	// PMULHUWrm
-    68183051U,	// PMULHUWrr
-    303063776U,	// PMULHWrm
-    68182752U,	// PMULHWrr
+    101735264U,	// PMOVZXWQrm
+    135289696U,	// PMOVZXWQrr
+    303061483U,	// PMULDQrm
+    68180459U,	// PMULDQrr
+    303063993U,	// PMULHRSWrm128
+    68182969U,	// PMULHRSWrr128
+    470836032U,	// PMULHRWrm
+    68182848U,	// PMULHRWrr
+    303064080U,	// PMULHUWrm
+    68183056U,	// PMULHUWrr
+    303063781U,	// PMULHWrm
+    68182757U,	// PMULHWrr
     303058808U,	// PMULLDrm
     68177784U,	// PMULLDrr
-    303063818U,	// PMULLWrm
-    68182794U,	// PMULLWrr
-    303061558U,	// PMULUDQrm
-    68180534U,	// PMULUDQrr
-    22703U,	// POP16r
-    39087U,	// POP16rmm
-    22703U,	// POP16rmr
-    22703U,	// POP32r
-    71855U,	// POP32rmm
-    22703U,	// POP32rmr
-    22703U,	// POP64r
-    88239U,	// POP64rmm
-    22703U,	// POP64rmr
-    12689U,	// POPA16
-    12135U,	// POPA32
-    370172184U,	// POPCNT16rm
-    135291160U,	// POPCNT16rr
-    101736728U,	// POPCNT32rm
-    135291160U,	// POPCNT32rr
-    168845592U,	// POPCNT64rm
-    135291160U,	// POPCNT64rr
-    12443U,	// POPDS16
-    12443U,	// POPDS32
-    12458U,	// POPES16
-    12458U,	// POPES32
-    12054U,	// POPF16
-    11872U,	// POPF32
-    12326U,	// POPF64
-    12473U,	// POPFS16
-    12473U,	// POPFS32
-    12473U,	// POPFS64
-    12488U,	// POPGS16
-    12488U,	// POPGS32
-    12488U,	// POPGS64
-    12579U,	// POPSS16
-    12579U,	// POPSS32
-    303061924U,	// PORrm
-    68180900U,	// PORrr
+    303063823U,	// PMULLWrm
+    68182799U,	// PMULLWrr
+    303061563U,	// PMULUDQrm
+    68180539U,	// PMULUDQrr
+    22708U,	// POP16r
+    39092U,	// POP16rmm
+    22708U,	// POP16rmr
+    22708U,	// POP32r
+    71860U,	// POP32rmm
+    22708U,	// POP32rmr
+    22708U,	// POP64r
+    88244U,	// POP64rmm
+    22708U,	// POP64rmr
+    12694U,	// POPA16
+    12140U,	// POPA32
+    370172189U,	// POPCNT16rm
+    135291165U,	// POPCNT16rr
+    101736733U,	// POPCNT32rm
+    135291165U,	// POPCNT32rr
+    168845597U,	// POPCNT64rm
+    135291165U,	// POPCNT64rr
+    12448U,	// POPDS16
+    12448U,	// POPDS32
+    12463U,	// POPES16
+    12463U,	// POPES32
+    12059U,	// POPF16
+    11877U,	// POPF32
+    12331U,	// POPF64
+    12478U,	// POPFS16
+    12478U,	// POPFS32
+    12478U,	// POPFS64
+    12493U,	// POPGS16
+    12493U,	// POPGS32
+    12493U,	// POPGS64
+    12584U,	// POPSS16
+    12584U,	// POPSS32
+    303061929U,	// PORrm
+    68180905U,	// PORrr
     104026U,	// PREFETCH
     101554U,	// PREFETCHNTA
     101097U,	// PREFETCHT0
     101131U,	// PREFETCHT1
     101216U,	// PREFETCHT2
-    107210U,	// PREFETCHW
-    303063566U,	// PSADBWrm
-    68182542U,	// PSADBWrr
+    107215U,	// PREFETCHW
+    303063571U,	// PSADBWrm
+    68182547U,	// PSADBWrr
     303058171U,	// PSHUFBrm
     68177147U,	// PSHUFBrr
     2484096821U,	// PSHUFDmi
     2282770229U,	// PSHUFDri
-    2484101846U,	// PSHUFHWmi
-    2282775254U,	// PSHUFHWri
-    2484101872U,	// PSHUFLWmi
-    2282775280U,	// PSHUFLWri
+    2484101851U,	// PSHUFHWmi
+    2282775259U,	// PSHUFHWri
+    2484101877U,	// PSHUFLWmi
+    2282775285U,	// PSHUFLWri
     303058219U,	// PSIGNBrm
     68177195U,	// PSIGNBrr
     303058865U,	// PSIGNDrm
     68177841U,	// PSIGNDrr
-    303063843U,	// PSIGNWrm
-    68182819U,	// PSIGNWrr
-    68180436U,	// PSLLDQri
+    303063848U,	// PSIGNWrm
+    68182824U,	// PSIGNWrr
+    68180441U,	// PSLLDQri
     68177776U,	// PSLLDri
     303058800U,	// PSLLDrm
     68177776U,	// PSLLDrr
-    68180590U,	// PSLLQri
-    303061614U,	// PSLLQrm
-    68180590U,	// PSLLQrr
-    68182786U,	// PSLLWri
-    303063810U,	// PSLLWrm
-    68182786U,	// PSLLWrr
+    68180595U,	// PSLLQri
+    303061619U,	// PSLLQrm
+    68180595U,	// PSLLQrr
+    68182791U,	// PSLLWri
+    303063815U,	// PSLLWrm
+    68182791U,	// PSLLWrr
     68177554U,	// PSRADri
     303058578U,	// PSRADrm
     68177554U,	// PSRADrr
-    68182523U,	// PSRAWri
-    303063547U,	// PSRAWrm
-    68182523U,	// PSRAWrr
-    68180445U,	// PSRLDQri
+    68182528U,	// PSRAWri
+    303063552U,	// PSRAWrm
+    68182528U,	// PSRAWrr
+    68180450U,	// PSRLDQri
     68177793U,	// PSRLDri
     303058817U,	// PSRLDrm
     68177793U,	// PSRLDrr
-    68180598U,	// PSRLQri
-    303061622U,	// PSRLQrm
-    68180598U,	// PSRLQrr
-    68182803U,	// PSRLWri
-    303063827U,	// PSRLWrm
-    68182803U,	// PSRLWrr
+    68180603U,	// PSRLQri
+    303061627U,	// PSRLQrm
+    68180603U,	// PSRLQrr
+    68182808U,	// PSRLWri
+    303063832U,	// PSRLWrm
+    68182808U,	// PSRLWrr
     303058155U,	// PSUBBrm
     68177131U,	// PSUBBrr
     303058616U,	// PSUBDrm
     68177592U,	// PSUBDrr
-    303061323U,	// PSUBQrm
-    68180299U,	// PSUBQrr
+    303061328U,	// PSUBQrm
+    68180304U,	// PSUBQrr
     303058264U,	// PSUBSBrm
     68177240U,	// PSUBSBrr
-    303063925U,	// PSUBSWrm
-    68182901U,	// PSUBSWrr
+    303063930U,	// PSUBSWrm
+    68182906U,	// PSUBSWrr
     303058305U,	// PSUBUSBrm
     68177281U,	// PSUBUSBrr
-    303064007U,	// PSUBUSWrm
-    68182983U,	// PSUBUSWrr
-    303063638U,	// PSUBWrm
-    68182614U,	// PSUBWrr
+    303064012U,	// PSUBUSWrm
+    68182988U,	// PSUBUSWrr
+    303063643U,	// PSUBWrm
+    68182619U,	// PSUBWrr
     168841463U,	// PSWAPDrm
     135287031U,	// PSWAPDrr
-    537944438U,	// PTESTrm
-    135291254U,	// PTESTrr
-    303063594U,	// PUNPCKHBWrm
-    68182570U,	// PUNPCKHBWrr
-    303061436U,	// PUNPCKHDQrm
-    68180412U,	// PUNPCKHDQrr
-    303061487U,	// PUNPCKHQDQrm
-    68180463U,	// PUNPCKHQDQrr
+    537944443U,	// PTESTrm
+    135291259U,	// PTESTrr
+    303063599U,	// PUNPCKHBWrm
+    68182575U,	// PUNPCKHBWrr
+    303061441U,	// PUNPCKHDQrm
+    68180417U,	// PUNPCKHDQrr
+    303061492U,	// PUNPCKHQDQrm
+    68180468U,	// PUNPCKHQDQrr
     303060188U,	// PUNPCKHWDrm
     68179164U,	// PUNPCKHWDrr
-    303063606U,	// PUNPCKLBWrm
-    68182582U,	// PUNPCKLBWrr
-    303061448U,	// PUNPCKLDQrm
-    68180424U,	// PUNPCKLDQrr
-    303061500U,	// PUNPCKLQDQrm
-    68180476U,	// PUNPCKLQDQrr
+    303063611U,	// PUNPCKLBWrm
+    68182587U,	// PUNPCKLBWrr
+    303061453U,	// PUNPCKLDQrm
+    68180429U,	// PUNPCKLDQrr
+    303061505U,	// PUNPCKLQDQrm
+    68180481U,	// PUNPCKLQDQrr
     303060200U,	// PUNPCKLWDrm
     68179176U,	// PUNPCKLWDrr
     22165U,	// PUSH16i8
@@ -2259,228 +2259,252 @@
     22165U,	// PUSH64r
     87701U,	// PUSH64rmm
     22165U,	// PUSH64rmr
-    12682U,	// PUSHA16
-    12128U,	// PUSHA32
-    12427U,	// PUSHCS16
-    12427U,	// PUSHCS32
-    12435U,	// PUSHDS16
-    12435U,	// PUSHDS32
-    12450U,	// PUSHES16
-    12450U,	// PUSHES32
-    12048U,	// PUSHF16
-    11865U,	// PUSHF32
-    12319U,	// PUSHF64
-    12465U,	// PUSHFS16
-    12465U,	// PUSHFS32
-    12465U,	// PUSHFS64
-    12480U,	// PUSHGS16
-    12480U,	// PUSHGS32
-    12480U,	// PUSHGS64
-    12571U,	// PUSHSS16
-    12571U,	// PUSHSS32
+    12687U,	// PUSHA16
+    12133U,	// PUSHA32
+    12432U,	// PUSHCS16
+    12432U,	// PUSHCS32
+    12440U,	// PUSHDS16
+    12440U,	// PUSHDS32
+    12455U,	// PUSHES16
+    12455U,	// PUSHES32
+    12053U,	// PUSHF16
+    11870U,	// PUSHF32
+    12324U,	// PUSHF64
+    12470U,	// PUSHFS16
+    12470U,	// PUSHFS32
+    12470U,	// PUSHFS64
+    12485U,	// PUSHGS16
+    12485U,	// PUSHGS32
+    12485U,	// PUSHGS64
+    12576U,	// PUSHSS16
+    12576U,	// PUSHSS32
     22165U,	// PUSHi16
     22165U,	// PUSHi32
-    303061952U,	// PXORrm
-    68180928U,	// PXORrr
-    38748U,	// RCL16m1
-    17864540U,	// RCL16mCL
-    1087324U,	// RCL16mi
-    22364U,	// RCL16r1
-    17848156U,	// RCL16rCL
-    68179804U,	// RCL16ri
-    71516U,	// RCL32m1
-    17897308U,	// RCL32mCL
-    1120092U,	// RCL32mi
-    22364U,	// RCL32r1
-    17848156U,	// RCL32rCL
-    68179804U,	// RCL32ri
-    87900U,	// RCL64m1
-    17913692U,	// RCL64mCL
-    1136476U,	// RCL64mi
-    22364U,	// RCL64r1
-    17848156U,	// RCL64rCL
-    68179804U,	// RCL64ri
-    104284U,	// RCL8m1
-    17930076U,	// RCL8mCL
-    1152860U,	// RCL8mi
-    22364U,	// RCL8r1
-    17848156U,	// RCL8rCL
-    68179804U,	// RCL8ri
-    537943715U,	// RCPPSm
-    537943715U,	// RCPPSm_Int
-    135290531U,	// RCPPSr
-    135290531U,	// RCPPSr_Int
-    605053046U,	// RCPSSm
-    269508726U,	// RCPSSm_Int
-    135290998U,	// RCPSSr
-    68182134U,	// RCPSSr_Int
-    39816U,	// RCR16m1
-    17865608U,	// RCR16mCL
-    1088392U,	// RCR16mi
-    23432U,	// RCR16r1
-    17849224U,	// RCR16rCL
-    68180872U,	// RCR16ri
-    72584U,	// RCR32m1
-    17898376U,	// RCR32mCL
-    1121160U,	// RCR32mi
-    23432U,	// RCR32r1
-    17849224U,	// RCR32rCL
-    68180872U,	// RCR32ri
-    88968U,	// RCR64m1
-    17914760U,	// RCR64mCL
-    1137544U,	// RCR64mi
-    23432U,	// RCR64r1
-    17849224U,	// RCR64rCL
-    68180872U,	// RCR64ri
-    105352U,	// RCR8m1
-    17931144U,	// RCR8mCL
-    1153928U,	// RCR8mi
-    23432U,	// RCR8r1
-    17849224U,	// RCR8rCL
-    68180872U,	// RCR8ri
+    303061957U,	// PXORrm
+    68180933U,	// PXORrr
+    38753U,	// RCL16m1
+    17864545U,	// RCL16mCL
+    1087329U,	// RCL16mi
+    22369U,	// RCL16r1
+    17848161U,	// RCL16rCL
+    68179809U,	// RCL16ri
+    71521U,	// RCL32m1
+    17897313U,	// RCL32mCL
+    1120097U,	// RCL32mi
+    22369U,	// RCL32r1
+    17848161U,	// RCL32rCL
+    68179809U,	// RCL32ri
+    87905U,	// RCL64m1
+    17913697U,	// RCL64mCL
+    1136481U,	// RCL64mi
+    22369U,	// RCL64r1
+    17848161U,	// RCL64rCL
+    68179809U,	// RCL64ri
+    104289U,	// RCL8m1
+    17930081U,	// RCL8mCL
+    1152865U,	// RCL8mi
+    22369U,	// RCL8r1
+    17848161U,	// RCL8rCL
+    68179809U,	// RCL8ri
+    537943720U,	// RCPPSm
+    537943720U,	// RCPPSm_Int
+    135290536U,	// RCPPSr
+    135290536U,	// RCPPSr_Int
+    605053051U,	// RCPSSm
+    269508731U,	// RCPSSm_Int
+    135291003U,	// RCPSSr
+    68182139U,	// RCPSSr_Int
+    39821U,	// RCR16m1
+    17865613U,	// RCR16mCL
+    1088397U,	// RCR16mi
+    23437U,	// RCR16r1
+    17849229U,	// RCR16rCL
+    68180877U,	// RCR16ri
+    72589U,	// RCR32m1
+    17898381U,	// RCR32mCL
+    1121165U,	// RCR32mi
+    23437U,	// RCR32r1
+    17849229U,	// RCR32rCL
+    68180877U,	// RCR32ri
+    88973U,	// RCR64m1
+    17914765U,	// RCR64mCL
+    1137549U,	// RCR64mi
+    23437U,	// RCR64r1
+    17849229U,	// RCR64rCL
+    68180877U,	// RCR64ri
+    105357U,	// RCR8m1
+    17931149U,	// RCR8mCL
+    1153933U,	// RCR8mi
+    23437U,	// RCR8r1
+    17849229U,	// RCR8rCL
+    68180877U,	// RCR8ri
     21967U,	// RDFSBASE
     21967U,	// RDFSBASE64
     21987U,	// RDGSBASE
     21987U,	// RDGSBASE64
-    12392U,	// RDMSR
-    11842U,	// RDPMC
+    12397U,	// RDMSR
+    11847U,	// RDPMC
     20392U,	// RDRAND16r
     20392U,	// RDRAND32r
     20392U,	// RDRAND64r
     20261U,	// RDSEED16r
     20261U,	// RDSEED32r
     20261U,	// RDSEED64r
-    11855U,	// RDTSC
-    12257U,	// RDTSCP
-    11369U,	// RELEASE_MOV16mr
-    11369U,	// RELEASE_MOV32mr
-    11369U,	// RELEASE_MOV64mr
-    11369U,	// RELEASE_MOV8mr
-    11983U,	// REPNE_PREFIX
-    11786U,	// REP_MOVSB_32
-    11786U,	// REP_MOVSB_64
-    11903U,	// REP_MOVSD_32
-    11903U,	// REP_MOVSD_64
-    12348U,	// REP_MOVSQ_64
-    12709U,	// REP_MOVSW_32
-    12709U,	// REP_MOVSW_64
-    12264U,	// REP_PREFIX
-    11776U,	// REP_STOSB_32
-    11776U,	// REP_STOSB_64
-    11893U,	// REP_STOSD_32
-    11893U,	// REP_STOSD_64
-    12338U,	// REP_STOSQ_64
-    12699U,	// REP_STOSW_32
-    12699U,	// REP_STOSW_64
-    24842U,	// RETIL
-    24842U,	// RETIQ
-    24842U,	// RETIW
-    12607U,	// RETL
-    12607U,	// RETQ
-    12607U,	// RETW
-    11612U,	// REX64_PREFIX
-    18913155U,	// ROL16m1
-    17864579U,	// ROL16mCL
-    1087363U,	// ROL16mi
-    18896771U,	// ROL16r1
-    17848195U,	// ROL16rCL
-    68179843U,	// ROL16ri
-    18945923U,	// ROL32m1
-    17897347U,	// ROL32mCL
-    1120131U,	// ROL32mi
-    18896771U,	// ROL32r1
-    17848195U,	// ROL32rCL
-    68179843U,	// ROL32ri
-    18962307U,	// ROL64m1
-    17913731U,	// ROL64mCL
-    1136515U,	// ROL64mi
-    18896771U,	// ROL64r1
-    17848195U,	// ROL64rCL
-    68179843U,	// ROL64ri
-    18978691U,	// ROL8m1
-    17930115U,	// ROL8mCL
-    1152899U,	// ROL8mi
-    18896771U,	// ROL8r1
-    17848195U,	// ROL8rCL
-    68179843U,	// ROL8ri
-    18914217U,	// ROR16m1
-    17865641U,	// ROR16mCL
-    1088425U,	// ROR16mi
-    18897833U,	// ROR16r1
-    17849257U,	// ROR16rCL
-    68180905U,	// ROR16ri
-    18946985U,	// ROR32m1
-    17898409U,	// ROR32mCL
-    1121193U,	// ROR32mi
-    18897833U,	// ROR32r1
-    17849257U,	// ROR32rCL
-    68180905U,	// ROR32ri
-    18963369U,	// ROR64m1
-    17914793U,	// ROR64mCL
-    1137577U,	// ROR64mi
-    18897833U,	// ROR64r1
-    17849257U,	// ROR64rCL
-    68180905U,	// ROR64ri
-    18979753U,	// ROR8m1
-    17931177U,	// ROR8mCL
-    1153961U,	// ROR8mi
-    18897833U,	// ROR8r1
-    17849257U,	// ROR8rCL
-    68180905U,	// ROR8ri
-    2249221269U,	// RORX32mi
-    2282775701U,	// RORX32ri
-    2316330133U,	// RORX64mi
-    2282775701U,	// RORX64ri
+    11860U,	// RDTSC
+    12262U,	// RDTSCP
+    11374U,	// RELEASE_MOV16mr
+    11374U,	// RELEASE_MOV32mr
+    11374U,	// RELEASE_MOV64mr
+    11374U,	// RELEASE_MOV8mr
+    11988U,	// REPNE_PREFIX
+    11791U,	// REP_MOVSB_32
+    11791U,	// REP_MOVSB_64
+    11908U,	// REP_MOVSD_32
+    11908U,	// REP_MOVSD_64
+    12353U,	// REP_MOVSQ_64
+    12714U,	// REP_MOVSW_32
+    12714U,	// REP_MOVSW_64
+    12269U,	// REP_PREFIX
+    11781U,	// REP_STOSB_32
+    11781U,	// REP_STOSB_64
+    11898U,	// REP_STOSD_32
+    11898U,	// REP_STOSD_64
+    12343U,	// REP_STOSQ_64
+    12704U,	// REP_STOSW_32
+    12704U,	// REP_STOSW_64
+    24847U,	// RETIL
+    24847U,	// RETIQ
+    24847U,	// RETIW
+    12612U,	// RETL
+    12612U,	// RETQ
+    12612U,	// RETW
+    11617U,	// REX64_PREFIX
+    18913160U,	// ROL16m1
+    17864584U,	// ROL16mCL
+    1087368U,	// ROL16mi
+    18896776U,	// ROL16r1
+    17848200U,	// ROL16rCL
+    68179848U,	// ROL16ri
+    18945928U,	// ROL32m1
+    17897352U,	// ROL32mCL
+    1120136U,	// ROL32mi
+    18896776U,	// ROL32r1
+    17848200U,	// ROL32rCL
+    68179848U,	// ROL32ri
+    18962312U,	// ROL64m1
+    17913736U,	// ROL64mCL
+    1136520U,	// ROL64mi
+    18896776U,	// ROL64r1
+    17848200U,	// ROL64rCL
+    68179848U,	// ROL64ri
+    18978696U,	// ROL8m1
+    17930120U,	// ROL8mCL
+    1152904U,	// ROL8mi
+    18896776U,	// ROL8r1
+    17848200U,	// ROL8rCL
+    68179848U,	// ROL8ri
+    18914222U,	// ROR16m1
+    17865646U,	// ROR16mCL
+    1088430U,	// ROR16mi
+    18897838U,	// ROR16r1
+    17849262U,	// ROR16rCL
+    68180910U,	// ROR16ri
+    18946990U,	// ROR32m1
+    17898414U,	// ROR32mCL
+    1121198U,	// ROR32mi
+    18897838U,	// ROR32r1
+    17849262U,	// ROR32rCL
+    68180910U,	// ROR32ri
+    18963374U,	// ROR64m1
+    17914798U,	// ROR64mCL
+    1137582U,	// ROR64mi
+    18897838U,	// ROR64r1
+    17849262U,	// ROR64rCL
+    68180910U,	// ROR64ri
+    18979758U,	// ROR8m1
+    17931182U,	// ROR8mCL
+    1153966U,	// ROR8mi
+    18897838U,	// ROR8r1
+    17849262U,	// ROR8rCL
+    68180910U,	// ROR8ri
+    2249221274U,	// RORX32mi
+    2282775706U,	// RORX32ri
+    2316330138U,	// RORX64mi
+    2282775706U,	// RORX64ri
     2685424003U,	// ROUNDPDm
     2282770819U,	// ROUNDPDr
-    2685427216U,	// ROUNDPSm
-    2282774032U,	// ROUNDPSr
+    2685427221U,	// ROUNDPSm
+    2282774037U,	// ROUNDPSr
     2383434685U,	// ROUNDSDm
     2215662525U,	// ROUNDSDr
     2215662525U,	// ROUNDSDr_Int
-    2416992329U,	// ROUNDSSm
-    2215665737U,	// ROUNDSSr
-    2215665737U,	// ROUNDSSr_Int
-    12199U,	// RSM
-    537943798U,	// RSQRTPSm
-    537943798U,	// RSQRTPSm_Int
-    135290614U,	// RSQRTPSr
-    135290614U,	// RSQRTPSr_Int
-    605053071U,	// RSQRTSSm
-    269508751U,	// RSQRTSSm_Int
-    135291023U,	// RSQRTSSr
-    68182159U,	// RSQRTSSr_Int
-    12043U,	// SAHF
-    11829U,	// SALC
-    18914163U,	// SAR16m1
-    17865587U,	// SAR16mCL
-    1088371U,	// SAR16mi
-    18897779U,	// SAR16r1
-    17849203U,	// SAR16rCL
-    68180851U,	// SAR16ri
-    18946931U,	// SAR32m1
-    17898355U,	// SAR32mCL
-    1121139U,	// SAR32mi
-    18897779U,	// SAR32r1
-    17849203U,	// SAR32rCL
-    68180851U,	// SAR32ri
-    18963315U,	// SAR64m1
-    17914739U,	// SAR64mCL
-    1137523U,	// SAR64mi
-    18897779U,	// SAR64r1
-    17849203U,	// SAR64rCL
-    68180851U,	// SAR64ri
-    18979699U,	// SAR8m1
-    17931123U,	// SAR8mCL
-    1153907U,	// SAR8mi
-    18897779U,	// SAR8r1
-    17849203U,	// SAR8rCL
-    68180851U,	// SAR8ri
-    2249221257U,	// SARX32rm
-    2282775689U,	// SARX32rr
-    2316330121U,	// SARX64rm
-    2282775689U,	// SARX64rr
-    26051U,	// SBB16i16
+    2416992334U,	// ROUNDSSm
+    2215665742U,	// ROUNDSSr
+    2215665742U,	// ROUNDSSr_Int
+    12204U,	// RSM
+    537943803U,	// RSQRTPSm
+    537943803U,	// RSQRTPSm_Int
+    135290619U,	// RSQRTPSr
+    135290619U,	// RSQRTPSr_Int
+    605053076U,	// RSQRTSSm
+    269508756U,	// RSQRTSSm_Int
+    135291028U,	// RSQRTSSr
+    68182164U,	// RSQRTSSr_Int
+    12048U,	// SAHF
+    18913116U,	// SAL16m1
+    17864540U,	// SAL16mCL
+    1087324U,	// SAL16mi
+    18896732U,	// SAL16r1
+    17848156U,	// SAL16rCL
+    68179804U,	// SAL16ri
+    18945884U,	// SAL32m1
+    17897308U,	// SAL32mCL
+    1120092U,	// SAL32mi
+    18896732U,	// SAL32r1
+    17848156U,	// SAL32rCL
+    68179804U,	// SAL32ri
+    18962268U,	// SAL64m1
+    17913692U,	// SAL64mCL
+    1136476U,	// SAL64mi
+    18896732U,	// SAL64r1
+    17848156U,	// SAL64rCL
+    68179804U,	// SAL64ri
+    18978652U,	// SAL8m1
+    17930076U,	// SAL8mCL
+    1152860U,	// SAL8mi
+    18896732U,	// SAL8r1
+    17848156U,	// SAL8rCL
+    68179804U,	// SAL8ri
+    11834U,	// SALC
+    18914168U,	// SAR16m1
+    17865592U,	// SAR16mCL
+    1088376U,	// SAR16mi
+    18897784U,	// SAR16r1
+    17849208U,	// SAR16rCL
+    68180856U,	// SAR16ri
+    18946936U,	// SAR32m1
+    17898360U,	// SAR32mCL
+    1121144U,	// SAR32mi
+    18897784U,	// SAR32r1
+    17849208U,	// SAR32rCL
+    68180856U,	// SAR32ri
+    18963320U,	// SAR64m1
+    17914744U,	// SAR64mCL
+    1137528U,	// SAR64mi
+    18897784U,	// SAR64r1
+    17849208U,	// SAR64rCL
+    68180856U,	// SAR64ri
+    18979704U,	// SAR8m1
+    17931128U,	// SAR8mCL
+    1153912U,	// SAR8mi
+    18897784U,	// SAR8r1
+    17849208U,	// SAR8rCL
+    68180856U,	// SAR8ri
+    2249221262U,	// SARX32rm
+    2282775694U,	// SARX32rr
+    2316330126U,	// SARX64rm
+    2282775694U,	// SARX64rr
+    26056U,	// SBB16i16
     1084645U,	// SBB16mi
     1084645U,	// SBB16mi8
     1084645U,	// SBB16mr
@@ -2489,7 +2513,7 @@
     3198181U,	// SBB16rm
     35704037U,	// SBB16rr
     68177125U,	// SBB16rr_REV
-    26185U,	// SBB32i32
+    26190U,	// SBB32i32
     1117413U,	// SBB32mi
     1117413U,	// SBB32mi8
     1117413U,	// SBB32mr
@@ -2498,7 +2522,7 @@
     4246757U,	// SBB32rm
     35704037U,	// SBB32rr
     68177125U,	// SBB32rr_REV
-    26333U,	// SBB64i32
+    26338U,	// SBB64i32
     1133797U,	// SBB64mi32
     1133797U,	// SBB64mi8
     1133797U,	// SBB64mr
@@ -2507,19 +2531,19 @@
     5295333U,	// SBB64rm
     35704037U,	// SBB64rr
     68177125U,	// SBB64rr_REV
-    25927U,	// SBB8i8
+    25932U,	// SBB8i8
     1150181U,	// SBB8mi
     1150181U,	// SBB8mr
     35704037U,	// SBB8ri
     6343909U,	// SBB8rm
     35704037U,	// SBB8rr
     68177125U,	// SBB8rr_REV
-    304691U,	// SCAS16
-    321147U,	// SCAS32
-    616228U,	// SCAS64
-    337232U,	// SCAS8
-    12507U,	// SEG_ALLOCA_32
-    12507U,	// SEG_ALLOCA_64
+    304696U,	// SCAS16
+    321152U,	// SCAS32
+    616233U,	// SCAS64
+    337237U,	// SCAS8
+    12512U,	// SEG_ALLOCA_32
+    12512U,	// SEG_ALLOCA_64
     103757U,	// SETAEm
     21837U,	// SETAEr
     101548U,	// SETAm
@@ -2540,26 +2564,26 @@
     22093U,	// SETGr
     103837U,	// SETLEm
     21917U,	// SETLEr
-    104362U,	// SETLm
-    22442U,	// SETLr
+    104367U,	// SETLm
+    22447U,	// SETLr
     103865U,	// SETNEm
     21945U,	// SETNEr
-    104485U,	// SETNOm
-    22565U,	// SETNOr
-    104597U,	// SETNPm
-    22677U,	// SETNPr
-    105531U,	// SETNSm
-    23611U,	// SETNSr
-    104500U,	// SETOm
-    22580U,	// SETOr
-    104644U,	// SETPm
-    22724U,	// SETPr
-    106709U,	// SETSm
-    24789U,	// SETSr
-    11955U,	// SFENCE
-    286956U,	// SGDT16m
-    286956U,	// SGDT32m
-    286956U,	// SGDT64m
+    104490U,	// SETNOm
+    22570U,	// SETNOr
+    104602U,	// SETNPm
+    22682U,	// SETNPr
+    105536U,	// SETNSm
+    23616U,	// SETNSr
+    104505U,	// SETOm
+    22585U,	// SETOr
+    104649U,	// SETPm
+    22729U,	// SETPr
+    106714U,	// SETSm
+    24794U,	// SETSr
+    11960U,	// SFENCE
+    286961U,	// SGDT16m
+    286961U,	// SGDT32m
+    286961U,	// SGDT64m
     303057653U,	// SHA1MSG1rm
     68176629U,	// SHA1MSG1rr
     303057725U,	// SHA1MSG2rm
@@ -2574,30 +2598,30 @@
     68176711U,	// SHA256MSG2rr
     303057747U,	// SHA256RNDS2rm
     68176723U,	// SHA256RNDS2rr
-    18913121U,	// SHL16m1
-    17864545U,	// SHL16mCL
-    1087329U,	// SHL16mi
-    18896737U,	// SHL16r1
-    17848161U,	// SHL16rCL
-    68179809U,	// SHL16ri
-    18945889U,	// SHL32m1
-    17897313U,	// SHL32mCL
-    1120097U,	// SHL32mi
-    18896737U,	// SHL32r1
-    17848161U,	// SHL32rCL
-    68179809U,	// SHL32ri
-    18962273U,	// SHL64m1
-    17913697U,	// SHL64mCL
-    1136481U,	// SHL64mi
-    18896737U,	// SHL64r1
-    17848161U,	// SHL64rCL
-    68179809U,	// SHL64ri
-    18978657U,	// SHL8m1
-    17930081U,	// SHL8mCL
-    1152865U,	// SHL8mi
-    18896737U,	// SHL8r1
-    17848161U,	// SHL8rCL
-    68179809U,	// SHL8ri
+    18913126U,	// SHL16m1
+    17864550U,	// SHL16mCL
+    1087334U,	// SHL16mi
+    18896742U,	// SHL16r1
+    17848166U,	// SHL16rCL
+    68179814U,	// SHL16ri
+    18945894U,	// SHL32m1
+    17897318U,	// SHL32mCL
+    1120102U,	// SHL32mi
+    18896742U,	// SHL32r1
+    17848166U,	// SHL32rCL
+    68179814U,	// SHL32ri
+    18962278U,	// SHL64m1
+    17913702U,	// SHL64mCL
+    1136486U,	// SHL64mi
+    18896742U,	// SHL64r1
+    17848166U,	// SHL64rCL
+    68179814U,	// SHL64ri
+    18978662U,	// SHL8m1
+    17930086U,	// SHL8mCL
+    1152870U,	// SHL8mi
+    18896742U,	// SHL8r1
+    17848166U,	// SHL8rCL
+    68179814U,	// SHL8ri
     2148568931U,	// SHLD16mrCL
     2148568931U,	// SHLD16mri8
     2215661411U,	// SHLD16rrCL
@@ -2610,34 +2634,34 @@
     2148618083U,	// SHLD64mri8
     2215661411U,	// SHLD64rrCL
     2215661411U,	// SHLD64rri8
-    2249221214U,	// SHLX32rm
-    2282775646U,	// SHLX32rr
-    2316330078U,	// SHLX64rm
-    2282775646U,	// SHLX64rr
-    18914196U,	// SHR16m1
-    17865620U,	// SHR16mCL
-    1088404U,	// SHR16mi
-    18897812U,	// SHR16r1
-    17849236U,	// SHR16rCL
-    68180884U,	// SHR16ri
-    18946964U,	// SHR32m1
-    17898388U,	// SHR32mCL
-    1121172U,	// SHR32mi
-    18897812U,	// SHR32r1
-    17849236U,	// SHR32rCL
-    68180884U,	// SHR32ri
-    18963348U,	// SHR64m1
-    17914772U,	// SHR64mCL
-    1137556U,	// SHR64mi
-    18897812U,	// SHR64r1
-    17849236U,	// SHR64rCL
-    68180884U,	// SHR64ri
-    18979732U,	// SHR8m1
-    17931156U,	// SHR8mCL
-    1153940U,	// SHR8mi
-    18897812U,	// SHR8r1
-    17849236U,	// SHR8rCL
-    68180884U,	// SHR8ri
+    2249221219U,	// SHLX32rm
+    2282775651U,	// SHLX32rr
+    2316330083U,	// SHLX64rm
+    2282775651U,	// SHLX64rr
+    18914201U,	// SHR16m1
+    17865625U,	// SHR16mCL
+    1088409U,	// SHR16mi
+    18897817U,	// SHR16r1
+    17849241U,	// SHR16rCL
+    68180889U,	// SHR16ri
+    18946969U,	// SHR32m1
+    17898393U,	// SHR32mCL
+    1121177U,	// SHR32mi
+    18897817U,	// SHR32r1
+    17849241U,	// SHR32rCL
+    68180889U,	// SHR32ri
+    18963353U,	// SHR64m1
+    17914777U,	// SHR64mCL
+    1137561U,	// SHR64mi
+    18897817U,	// SHR64r1
+    17849241U,	// SHR64rCL
+    68180889U,	// SHR64ri
+    18979737U,	// SHR8m1
+    17931161U,	// SHR8mCL
+    1153945U,	// SHR8mi
+    18897817U,	// SHR8r1
+    17849241U,	// SHR8rCL
+    68180889U,	// SHR8ri
     2148569758U,	// SHRD16mrCL
     2148569758U,	// SHRD16mri8
     2215662238U,	// SHRD16rrCL
@@ -2650,68 +2674,68 @@
     2148618910U,	// SHRD64mri8
     2215662238U,	// SHRD64rrCL
     2215662238U,	// SHRD64rri8
-    2249221263U,	// SHRX32rm
-    2282775695U,	// SHRX32rr
-    2316330127U,	// SHRX64rm
-    2282775695U,	// SHRX64rr
+    2249221268U,	// SHRX32rm
+    2282775700U,	// SHRX32rr
+    2316330132U,	// SHRX64rm
+    2282775700U,	// SHRX64rr
     2349879705U,	// SHUFPDrmi
     2215661977U,	// SHUFPDrri
-    2349882918U,	// SHUFPSrmi
-    2215665190U,	// SHUFPSrri
-    286968U,	// SIDT16m
-    286968U,	// SIDT32m
-    286968U,	// SIDT64m
-    12216U,	// SIN_F
+    2349882923U,	// SHUFPSrmi
+    2215665195U,	// SHUFPSrri
+    286973U,	// SIDT16m
+    286973U,	// SIDT32m
+    286973U,	// SIDT64m
+    12221U,	// SIN_F
     0U,	// SIN_Fp32
     0U,	// SIN_Fp64
     0U,	// SIN_Fp80
-    12778U,	// SKINIT
-    41220U,	// SLDT16m
-    24836U,	// SLDT16r
-    24836U,	// SLDT32r
-    41220U,	// SLDT64m
-    24836U,	// SLDT64r
-    41878U,	// SMSW16m
-    25494U,	// SMSW16r
-    25494U,	// SMSW32r
-    25494U,	// SMSW64r
+    12783U,	// SKINIT
+    41225U,	// SLDT16m
+    24841U,	// SLDT16r
+    24841U,	// SLDT32r
+    41225U,	// SLDT64m
+    24841U,	// SLDT64r
+    41883U,	// SMSW16m
+    25499U,	// SMSW16r
+    25499U,	// SMSW32r
+    25499U,	// SMSW64r
     537940542U,	// SQRTPDm
     135287358U,	// SQRTPDr
-    537943799U,	// SQRTPSm
-    135290615U,	// SQRTPSr
+    537943804U,	// SQRTPSm
+    135290620U,	// SQRTPSr
     571495435U,	// SQRTSDm
     571495435U,	// SQRTSDm_Int
     135287819U,	// SQRTSDr
     135287819U,	// SQRTSDr_Int
-    605053072U,	// SQRTSSm
-    605053072U,	// SQRTSSm_Int
-    135291024U,	// SQRTSSr
-    135291024U,	// SQRTSSr_Int
-    12651U,	// SQRT_F
+    605053077U,	// SQRTSSm
+    605053077U,	// SQRTSSm_Int
+    135291029U,	// SQRTSSr
+    135291029U,	// SQRTSSr_Int
+    12656U,	// SQRT_F
     0U,	// SQRT_Fp32
     0U,	// SQRT_Fp64
     0U,	// SQRT_Fp80
-    12576U,	// SS_PREFIX
-    11807U,	// STAC
-    11861U,	// STC
-    11919U,	// STD
-    12078U,	// STGI
-    12093U,	// STI
-    72668U,	// STMXCSR
+    12581U,	// SS_PREFIX
+    11812U,	// STAC
+    11866U,	// STC
+    11924U,	// STD
+    12083U,	// STGI
+    12098U,	// STI
+    72673U,	// STMXCSR
     17108338U,	// STOSB
     14996466U,	// STOSL
-    16341693U,	// STOSQ
-    13935525U,	// STOSW
-    23541U,	// STR16r
-    23541U,	// STR32r
-    23541U,	// STR64r
-    39925U,	// STRm
-    123261U,	// ST_F32m
-    139645U,	// ST_F64m
-    121041U,	// ST_FP32m
-    137425U,	// ST_FP64m
-    383185U,	// ST_FP80m
-    22737U,	// ST_FPrr
+    16341698U,	// STOSQ
+    13935530U,	// STOSW
+    23546U,	// STR16r
+    23546U,	// STR32r
+    23546U,	// STR64r
+    39930U,	// STRm
+    123266U,	// ST_F32m
+    139650U,	// ST_F64m
+    121046U,	// ST_FP32m
+    137430U,	// ST_FP64m
+    383190U,	// ST_FP80m
+    22742U,	// ST_FPrr
     0U,	// ST_Fp32m
     0U,	// ST_Fp64m
     0U,	// ST_Fp64m32
@@ -2723,8 +2747,8 @@
     0U,	// ST_FpP80m
     0U,	// ST_FpP80m32
     0U,	// ST_FpP80m64
-    24957U,	// ST_Frr
-    26060U,	// SUB16i16
+    24962U,	// ST_Frr
+    26065U,	// SUB16i16
     1084903U,	// SUB16mi
     1084903U,	// SUB16mi8
     1084903U,	// SUB16mr
@@ -2733,7 +2757,7 @@
     3198439U,	// SUB16rm
     35704295U,	// SUB16rr
     68177383U,	// SUB16rr_REV
-    26195U,	// SUB32i32
+    26200U,	// SUB32i32
     1117671U,	// SUB32mi
     1117671U,	// SUB32mi8
     1117671U,	// SUB32mr
@@ -2742,7 +2766,7 @@
     4247015U,	// SUB32rm
     35704295U,	// SUB32rr
     68177383U,	// SUB32rr_REV
-    26343U,	// SUB64i32
+    26348U,	// SUB64i32
     1134055U,	// SUB64mi32
     1134055U,	// SUB64mi8
     1134055U,	// SUB64mr
@@ -2751,7 +2775,7 @@
     5295591U,	// SUB64rm
     35704295U,	// SUB64rr
     68177383U,	// SUB64rr_REV
-    25958U,	// SUB8i8
+    25963U,	// SUB8i8
     1150439U,	// SUB8mi
     1150439U,	// SUB8mr
     35704295U,	// SUB8ri
@@ -2760,14 +2784,14 @@
     68177383U,	// SUB8rr_REV
     202395909U,	// SUBPDrm
     68178181U,	// SUBPDrr
-    202399122U,	// SUBPSrm
-    68181394U,	// SUBPSrr
-    121721U,	// SUBR_F32m
-    138105U,	// SUBR_F64m
-    39808U,	// SUBR_FI16m
-    72576U,	// SUBR_FI32m
-    22708U,	// SUBR_FPrST0
-    23417U,	// SUBR_FST0r
+    202399127U,	// SUBPSrm
+    68181399U,	// SUBPSrr
+    121726U,	// SUBR_F32m
+    138110U,	// SUBR_F64m
+    39813U,	// SUBR_FI16m
+    72581U,	// SUBR_FI32m
+    22713U,	// SUBR_FPrST0
+    23422U,	// SUBR_FST0r
     0U,	// SUBR_Fp32m
     0U,	// SUBR_Fp64m
     0U,	// SUBR_Fp64m32
@@ -2779,20 +2803,20 @@
     0U,	// SUBR_FpI32m32
     0U,	// SUBR_FpI32m64
     0U,	// SUBR_FpI32m80
-    7363449U,	// SUBR_FrST0
+    7363454U,	// SUBR_FrST0
     235950981U,	// SUBSDrm
     235950981U,	// SUBSDrm_Int
     68178821U,	// SUBSDrr
     68178821U,	// SUBSDrr_Int
-    269508625U,	// SUBSSrm
-    269508625U,	// SUBSSrm_Int
-    68182033U,	// SUBSSrr
-    68182033U,	// SUBSSrr_Int
+    269508630U,	// SUBSSrm
+    269508630U,	// SUBSSrm_Int
+    68182038U,	// SUBSSrr
+    68182038U,	// SUBSSrr_Int
     118246U,	// SUB_F32m
     134630U,	// SUB_F64m
     36332U,	// SUB_FI16m
     69100U,	// SUB_FI32m
-    22600U,	// SUB_FPrST0
+    22605U,	// SUB_FPrST0
     19942U,	// SUB_FST0r
     0U,	// SUB_Fp32
     0U,	// SUB_Fp32m
@@ -2809,96 +2833,96 @@
     0U,	// SUB_FpI32m64
     0U,	// SUB_FpI32m80
     7359974U,	// SUB_FrST0
-    12495U,	// SWAPGS
-    12156U,	// SYSCALL
-    12375U,	// SYSENTER
-    12631U,	// SYSEXIT
-    12631U,	// SYSEXIT64
-    12611U,	// SYSRET
-    12611U,	// SYSRET64
+    12500U,	// SWAPGS
+    12161U,	// SYSCALL
+    12380U,	// SYSENTER
+    12636U,	// SYSEXIT
+    12636U,	// SYSEXIT64
+    12616U,	// SYSRET
+    12616U,	// SYSRET64
     101731928U,	// T1MSKC32rm
     135286360U,	// T1MSKC32rr
     168840792U,	// T1MSKC64rm
     135286360U,	// T1MSKC64rr
-    20076660U,	// TAILJMPd
-    20076660U,	// TAILJMPd64
-    19994740U,	// TAILJMPm
-    20011124U,	// TAILJMPm64
+    20076665U,	// TAILJMPd
+    20076665U,	// TAILJMPd64
+    19994745U,	// TAILJMPm
+    20011129U,	// TAILJMPm64
     0U,	// TAILJMPr
-    19945588U,	// TAILJMPr64
+    19945593U,	// TAILJMPr64
     0U,	// TCRETURNdi
     0U,	// TCRETURNdi64
     0U,	// TCRETURNmi
     0U,	// TCRETURNmi64
     0U,	// TCRETURNri
     0U,	// TCRETURNri64
-    26144U,	// TEST16i16
-    1089911U,	// TEST16mi
-    135291255U,	// TEST16ri
-    370172279U,	// TEST16rm
-    135291255U,	// TEST16rr
-    26312U,	// TEST32i32
-    1122679U,	// TEST32mi
-    135291255U,	// TEST32ri
-    101736823U,	// TEST32rm
-    135291255U,	// TEST32rr
-    26451U,	// TEST64i32
-    1139063U,	// TEST64mi32
-    135291255U,	// TEST64ri32
-    168845687U,	// TEST64rm
-    135291255U,	// TEST64rr
-    26032U,	// TEST8i8
-    1155447U,	// TEST8mi
-    135291255U,	// TEST8ri
+    26149U,	// TEST16i16
+    1089916U,	// TEST16mi
+    135291260U,	// TEST16ri
+    370172284U,	// TEST16rm
+    135291260U,	// TEST16rr
+    26317U,	// TEST32i32
+    1122684U,	// TEST32mi
+    135291260U,	// TEST32ri
+    101736828U,	// TEST32rm
+    135291260U,	// TEST32rr
+    26456U,	// TEST64i32
+    1139068U,	// TEST64mi32
+    135291260U,	// TEST64ri32
+    168845692U,	// TEST64rm
+    135291260U,	// TEST64rr
+    26037U,	// TEST8i8
+    1155452U,	// TEST8mi
+    135291260U,	// TEST8ri
     0U,	// TEST8ri_NOREX
-    504390007U,	// TEST8rm
-    135291255U,	// TEST8rr
-    11464U,	// TLSCall_32
-    11568U,	// TLSCall_64
-    11477U,	// TLS_addr32
-    11581U,	// TLS_addr64
-    11490U,	// TLS_base_addr32
-    11594U,	// TLS_base_addr64
-    11508U,	// TRAP
-    12663U,	// TST_F
+    504390012U,	// TEST8rm
+    135291260U,	// TEST8rr
+    11469U,	// TLSCall_32
+    11573U,	// TLSCall_64
+    11482U,	// TLS_addr32
+    11586U,	// TLS_addr64
+    11495U,	// TLS_base_addr32
+    11599U,	// TLS_base_addr64
+    11513U,	// TRAP
+    12668U,	// TST_F
     0U,	// TST_Fp32
     0U,	// TST_Fp64
     0U,	// TST_Fp80
-    370172199U,	// TZCNT16rm
-    135291175U,	// TZCNT16rr
-    101736743U,	// TZCNT32rm
-    135291175U,	// TZCNT32rr
-    168845607U,	// TZCNT64rm
-    135291175U,	// TZCNT64rr
+    370172204U,	// TZCNT16rm
+    135291180U,	// TZCNT16rr
+    101736748U,	// TZCNT32rm
+    135291180U,	// TZCNT32rr
+    168845612U,	// TZCNT64rm
+    135291180U,	// TZCNT64rr
     101734229U,	// TZMSK32rm
     135288661U,	// TZMSK32rr
     168843093U,	// TZMSK64rm
     135288661U,	// TZMSK64rr
     571495367U,	// UCOMISDrm
     135287751U,	// UCOMISDrr
-    605053011U,	// UCOMISSrm
-    135290963U,	// UCOMISSrr
+    605053016U,	// UCOMISSrm
+    135290968U,	// UCOMISSrr
     22248U,	// UCOM_FIPr
     22190U,	// UCOM_FIr
-    12291U,	// UCOM_FPPr
-    22664U,	// UCOM_FPr
+    12296U,	// UCOM_FPPr
+    22669U,	// UCOM_FPr
     0U,	// UCOM_FpIr32
     0U,	// UCOM_FpIr64
     0U,	// UCOM_FpIr80
     0U,	// UCOM_Fpr32
     0U,	// UCOM_Fpr64
     0U,	// UCOM_Fpr80
-    22487U,	// UCOM_Fr
-    11741U,	// UD2B
+    22492U,	// UCOM_Fr
+    11746U,	// UD2B
     202396066U,	// UNPCKHPDrm
     68178338U,	// UNPCKHPDrr
-    202399279U,	// UNPCKHPSrm
-    68181551U,	// UNPCKHPSrr
+    202399284U,	// UNPCKHPSrm
+    68181556U,	// UNPCKHPSrr
     202396108U,	// UNPCKLPDrm
     68178380U,	// UNPCKLPDrr
-    202399341U,	// UNPCKLPSrm
-    68181613U,	// UNPCKLPSrr
-    2651875199U,	// VAARG_64
+    202399346U,	// UNPCKLPSrm
+    68181618U,	// UNPCKLPSrr
+    2651875204U,	// VAARG_64
     2282770792U,	// VADDPDYrm
     2282770792U,	// VADDPDYrr
     2282766968U,	// VADDPDZrm
@@ -2906,45 +2930,45 @@
     2282766968U,	// VADDPDZrr
     2282770792U,	// VADDPDrm
     2282770792U,	// VADDPDrr
-    2282774005U,	// VADDPSYrm
-    2282774005U,	// VADDPSYrr
+    2282774010U,	// VADDPSYrm
+    2282774010U,	// VADDPSYrr
     2282768617U,	// VADDPSZrm
     2282768617U,	// VADDPSZrmb
     2282768617U,	// VADDPSZrr
-    2282774005U,	// VADDPSrm
-    2282774005U,	// VADDPSrr
+    2282774010U,	// VADDPSrm
+    2282774010U,	// VADDPSrr
     2282771380U,	// VADDSDZrm
     2282771380U,	// VADDSDZrr
     2282771380U,	// VADDSDrm
     2282771380U,	// VADDSDrm_Int
     2282771380U,	// VADDSDrr
     2282771380U,	// VADDSDrr_Int
-    2282774592U,	// VADDSSZrm
-    2282774592U,	// VADDSSZrr
-    2282774592U,	// VADDSSrm
-    2282774592U,	// VADDSSrm_Int
-    2282774592U,	// VADDSSrr
-    2282774592U,	// VADDSSrr_Int
+    2282774597U,	// VADDSSZrm
+    2282774597U,	// VADDSSZrr
+    2282774597U,	// VADDSSrm
+    2282774597U,	// VADDSSrm_Int
+    2282774597U,	// VADDSSrr
+    2282774597U,	// VADDSSrr_Int
     2282770700U,	// VADDSUBPDYrm
     2282770700U,	// VADDSUBPDYrr
     2282770700U,	// VADDSUBPDrm
     2282770700U,	// VADDSUBPDrr
-    2282773913U,	// VADDSUBPSYrm
-    2282773913U,	// VADDSUBPSYrr
-    2282773913U,	// VADDSUBPSrm
-    2282773913U,	// VADDSUBPSrr
-    2282774875U,	// VAESDECLASTrm
-    2282774875U,	// VAESDECLASTrr
+    2282773918U,	// VADDSUBPSYrm
+    2282773918U,	// VADDSUBPSYrr
+    2282773918U,	// VADDSUBPSrm
+    2282773918U,	// VADDSUBPSrr
+    2282774880U,	// VAESDECLASTrm
+    2282774880U,	// VAESDECLASTrr
     2282769985U,	// VAESDECrm
     2282769985U,	// VAESDECrr
-    2282774888U,	// VAESENCLASTrm
-    2282774888U,	// VAESENCLASTrr
+    2282774893U,	// VAESENCLASTrm
+    2282774893U,	// VAESENCLASTrr
     2282770025U,	// VAESENCrm
     2282770025U,	// VAESENCrr
     336612960U,	// VAESIMCrm
     135286368U,	// VAESIMCrr
-    2484101512U,	// VAESKEYGENASSIST128rm
-    2282774920U,	// VAESKEYGENASSIST128rr
+    2484101517U,	// VAESKEYGENASSIST128rm
+    2282774925U,	// VAESKEYGENASSIST128rr
     2282766646U,	// VALIGNDrmi
     2282766646U,	// VALIGNDrri
     2282768097U,	// VALIGNQrmi
@@ -2953,19 +2977,19 @@
     2282770936U,	// VANDNPDYrr
     2282770936U,	// VANDNPDrm
     2282770936U,	// VANDNPDrr
-    2282774161U,	// VANDNPSYrm
-    2282774161U,	// VANDNPSYrr
-    2282774161U,	// VANDNPSrm
-    2282774161U,	// VANDNPSrr
+    2282774166U,	// VANDNPSYrm
+    2282774166U,	// VANDNPSYrr
+    2282774166U,	// VANDNPSrm
+    2282774166U,	// VANDNPSrr
     2282770800U,	// VANDPDYrm
     2282770800U,	// VANDPDYrr
     2282770800U,	// VANDPDrm
     2282770800U,	// VANDPDrr
-    2282774013U,	// VANDPSYrm
-    2282774013U,	// VANDPSYrr
-    2282774013U,	// VANDPSrm
-    2282774013U,	// VANDPSrr
-    2282776481U,	// VASTART_SAVE_XMM_REGS
+    2282774018U,	// VANDPSYrm
+    2282774018U,	// VANDPSYrr
+    2282774018U,	// VANDPSrm
+    2282774018U,	// VANDPSrr
+    2282776486U,	// VASTART_SAVE_XMM_REGS
     155206345U,	// VBLENDMPDZrm
     155206345U,	// VBLENDMPDZrr
     155207994U,	// VBLENDMPSZrm
@@ -2974,123 +2998,123 @@
     2282770808U,	// VBLENDPDYrri
     2282770808U,	// VBLENDPDrmi
     2282770808U,	// VBLENDPDrri
-    2282774021U,	// VBLENDPSYrmi
-    2282774021U,	// VBLENDPSYrri
-    2282774021U,	// VBLENDPSrmi
-    2282774021U,	// VBLENDPSrri
+    2282774026U,	// VBLENDPSYrmi
+    2282774026U,	// VBLENDPSYrri
+    2282774026U,	// VBLENDPSrmi
+    2282774026U,	// VBLENDPSrri
     2282771032U,	// VBLENDVPDYrm
     2282771032U,	// VBLENDVPDYrr
     2282771032U,	// VBLENDVPDrm
     2282771032U,	// VBLENDVPDrr
-    2282774298U,	// VBLENDVPSYrm
-    2282774298U,	// VBLENDVPSYrr
-    2282774298U,	// VBLENDVPSrm
-    2282774298U,	// VBLENDVPSrr
+    2282774303U,	// VBLENDVPSYrm
+    2282774303U,	// VBLENDVPSYrr
+    2282774303U,	// VBLENDVPSrm
+    2282774303U,	// VBLENDVPSrr
     537939016U,	// VBROADCASTF128
     336612479U,	// VBROADCASTI128
     571495443U,	// VBROADCASTSDYrm
     135287827U,	// VBROADCASTSDYrr
     571491364U,	// VBROADCASTSDZrm
     135283748U,	// VBROADCASTSDZrr
-    605053089U,	// VBROADCASTSSYrm
-    135291041U,	// VBROADCASTSSYrr
+    605053094U,	// VBROADCASTSSYrm
+    135291046U,	// VBROADCASTSSYrr
     605047338U,	// VBROADCASTSSZrm
     135285290U,	// VBROADCASTSSZrr
-    605053089U,	// VBROADCASTSSrm
-    135291041U,	// VBROADCASTSSrr
-    2290446322U,	// VCMPPDYrmi
+    605053094U,	// VBROADCASTSSrm
+    135291046U,	// VBROADCASTSSrr
+    2290446327U,	// VCMPPDYrmi
     2282770960U,	// VCMPPDYrmi_alt
-    2290462706U,	// VCMPPDYrri
+    2290462711U,	// VCMPPDYrri
     2282770960U,	// VCMPPDYrri_alt
-    894791666U,	// VCMPPDZrmi
+    894791671U,	// VCMPPDZrmi
     2282767080U,	// VCMPPDZrmi_alt
-    89501682U,	// VCMPPDZrri
+    89501687U,	// VCMPPDZrri
     2282767080U,	// VCMPPDZrri_alt
-    2236985330U,	// VCMPPDZrrib
-    2290446322U,	// VCMPPDrmi
+    2236985335U,	// VCMPPDZrrib
+    2290446327U,	// VCMPPDrmi
     2282770960U,	// VCMPPDrmi_alt
-    2290462706U,	// VCMPPDrri
+    2290462711U,	// VCMPPDrri
     2282770960U,	// VCMPPDrri_alt
-    2291494898U,	// VCMPPSYrmi
-    2282774193U,	// VCMPPSYrmi_alt
-    2291511282U,	// VCMPPSYrri
-    2282774193U,	// VCMPPSYrri_alt
-    895840242U,	// VCMPPSZrmi
+    2291494903U,	// VCMPPSYrmi
+    2282774198U,	// VCMPPSYrmi_alt
+    2291511287U,	// VCMPPSYrri
+    2282774198U,	// VCMPPSYrri_alt
+    895840247U,	// VCMPPSZrmi
     2282768729U,	// VCMPPSZrmi_alt
-    90550258U,	// VCMPPSZrri
+    90550263U,	// VCMPPSZrri
     2282768729U,	// VCMPPSZrri_alt
-    2238033906U,	// VCMPPSZrrib
-    2291494898U,	// VCMPPSrmi
-    2282774193U,	// VCMPPSrmi_alt
-    2291511282U,	// VCMPPSrri
-    2282774193U,	// VCMPPSrri_alt
-    2292543474U,	// VCMPSDZrm
+    2238033911U,	// VCMPPSZrrib
+    2291494903U,	// VCMPPSrmi
+    2282774198U,	// VCMPPSrmi_alt
+    2291511287U,	// VCMPPSrri
+    2282774198U,	// VCMPPSrri_alt
+    2292543479U,	// VCMPSDZrm
     2282771449U,	// VCMPSDZrmi_alt
-    2292559858U,	// VCMPSDZrr
+    2292559863U,	// VCMPSDZrr
     2282771449U,	// VCMPSDZrri_alt
-    2292543474U,	// VCMPSDrm
+    2292543479U,	// VCMPSDrm
     2282771449U,	// VCMPSDrm_alt
-    2292559858U,	// VCMPSDrr
+    2292559863U,	// VCMPSDrr
     2282771449U,	// VCMPSDrr_alt
-    2293592050U,	// VCMPSSZrm
-    2282774653U,	// VCMPSSZrmi_alt
-    2293608434U,	// VCMPSSZrr
-    2282774653U,	// VCMPSSZrri_alt
-    2293592050U,	// VCMPSSrm
-    2282774653U,	// VCMPSSrm_alt
-    2293608434U,	// VCMPSSrr
-    2282774653U,	// VCMPSSrr_alt
+    2293592055U,	// VCMPSSZrm
+    2282774658U,	// VCMPSSZrmi_alt
+    2293608439U,	// VCMPSSZrr
+    2282774658U,	// VCMPSSZrri_alt
+    2293592055U,	// VCMPSSrm
+    2282774658U,	// VCMPSSrm_alt
+    2293608439U,	// VCMPSSrr
+    2282774658U,	// VCMPSSrr_alt
     537940944U,	// VCOMISDZrm
     135287760U,	// VCOMISDZrr
     537940944U,	// VCOMISDrm
     135287760U,	// VCOMISDrr
-    537944156U,	// VCOMISSZrm
-    135290972U,	// VCOMISSZrr
-    537944156U,	// VCOMISSrm
-    135290972U,	// VCOMISSrr
+    537944161U,	// VCOMISSZrm
+    135290977U,	// VCOMISSZrr
+    537944161U,	// VCOMISSrm
+    135290977U,	// VCOMISSrr
     336613506U,	// VCVTDQ2PDYrm
     135286914U,	// VCVTDQ2PDYrr
     907035048U,	// VCVTDQ2PDZrm
     135283112U,	// VCVTDQ2PDZrr
     168841346U,	// VCVTDQ2PDrm
     135286914U,	// VCVTDQ2PDrr
-    907042082U,	// VCVTDQ2PSYrm
-    135290146U,	// VCVTDQ2PSYrr
+    907042087U,	// VCVTDQ2PSYrm
+    135290151U,	// VCVTDQ2PSYrr
     940591141U,	// VCVTDQ2PSZrm
     135284773U,	// VCVTDQ2PSZrr
     2282768421U,	// VCVTDQ2PSZrrb
-    336616738U,	// VCVTDQ2PSrm
-    135290146U,	// VCVTDQ2PSrr
-    537945213U,	// VCVTPD2DQXrm
-    974150004U,	// VCVTPD2DQYrm
-    135289204U,	// VCVTPD2DQYrr
+    336616743U,	// VCVTDQ2PSrm
+    135290151U,	// VCVTDQ2PSrr
+    537945218U,	// VCVTPD2DQXrm
+    974150009U,	// VCVTPD2DQYrm
+    135289209U,	// VCVTPD2DQYrr
     1007699374U,	// VCVTPD2DQZrm
     135284142U,	// VCVTPD2DQZrr
     2282767790U,	// VCVTPD2DQZrrb
-    135289204U,	// VCVTPD2DQrr
-    537945243U,	// VCVTPD2PSXrm
-    974150902U,	// VCVTPD2PSYrm
-    135290102U,	// VCVTPD2PSYrr
+    135289209U,	// VCVTPD2DQrr
+    537945248U,	// VCVTPD2PSXrm
+    974150907U,	// VCVTPD2PSYrm
+    135290107U,	// VCVTPD2PSYrr
     1007699981U,	// VCVTPD2PSZrm
     135284749U,	// VCVTPD2PSZrr
     2282768397U,	// VCVTPD2PSZrrb
-    135290102U,	// VCVTPD2PSrr
+    135290107U,	// VCVTPD2PSrr
     1007699534U,	// VCVTPD2UDQZrm
     135284302U,	// VCVTPD2UDQZrr
     2282767950U,	// VCVTPD2UDQZrrb
-    537943297U,	// VCVTPH2PSYrm
-    135290113U,	// VCVTPH2PSYrr
-    974150913U,	// VCVTPH2PSZrm
-    135290113U,	// VCVTPH2PSZrr
-    571497729U,	// VCVTPH2PSrm
-    135290113U,	// VCVTPH2PSrr
-    974150036U,	// VCVTPS2DQYrm
-    135289236U,	// VCVTPS2DQYrr
+    537943302U,	// VCVTPH2PSYrm
+    135290118U,	// VCVTPH2PSYrr
+    974150918U,	// VCVTPH2PSZrm
+    135290118U,	// VCVTPH2PSZrr
+    571497734U,	// VCVTPH2PSrm
+    135290118U,	// VCVTPH2PSrr
+    974150041U,	// VCVTPS2DQYrm
+    135289241U,	// VCVTPS2DQYrr
     1007699399U,	// VCVTPS2DQZrm
     135284167U,	// VCVTPS2DQZrr
     2282767815U,	// VCVTPS2DQZrrb
-    537942420U,	// VCVTPS2DQrm
-    135289236U,	// VCVTPS2DQrr
+    537942425U,	// VCVTPS2DQrm
+    135289241U,	// VCVTPS2DQrr
     537940109U,	// VCVTPS2PDYrm
     135286925U,	// VCVTPS2PDYrr
     974143937U,	// VCVTPS2PDZrm
@@ -3114,10 +3138,10 @@
     135283921U,	// VCVTSD2SIZrr
     571496213U,	// VCVTSD2SIrm
     135288597U,	// VCVTSD2SIrr
-    2282774454U,	// VCVTSD2SSZrm
-    2282774454U,	// VCVTSD2SSZrr
-    2282774454U,	// VCVTSD2SSrm
-    2282774454U,	// VCVTSD2SSrr
+    2282774459U,	// VCVTSD2SSZrm
+    2282774459U,	// VCVTSD2SSZrr
+    2282774459U,	// VCVTSD2SSrm
+    2282774459U,	// VCVTSD2SSrr
     571491588U,	// VCVTSD2USI64Zrm
     135283972U,	// VCVTSD2USI64Zrr
     571491588U,	// VCVTSD2USIZrm
@@ -3128,12 +3152,12 @@
     2282767232U,	// VCVTSI2SDZrr
     2282771234U,	// VCVTSI2SDrm
     2282771234U,	// VCVTSI2SDrr
-    2282774465U,	// VCVTSI2SS64rm
-    2282774465U,	// VCVTSI2SS64rr
+    2282774470U,	// VCVTSI2SS64rm
+    2282774470U,	// VCVTSI2SS64rr
     2282768793U,	// VCVTSI2SSZrm
     2282768793U,	// VCVTSI2SSZrr
-    2282774465U,	// VCVTSI2SSrm
-    2282774465U,	// VCVTSI2SSrr
+    2282774470U,	// VCVTSI2SSrm
+    2282774470U,	// VCVTSI2SSrr
     2282767232U,	// VCVTSI642SDZrm
     2282767232U,	// VCVTSI642SDZrr
     2282768793U,	// VCVTSI642SSZrm
@@ -3154,20 +3178,20 @@
     135283999U,	// VCVTSS2USI64Zrr
     605046047U,	// VCVTSS2USIZrm
     135283999U,	// VCVTSS2USIZrr
-    537945200U,	// VCVTTPD2DQXrm
-    974149992U,	// VCVTTPD2DQYrm
-    135289192U,	// VCVTTPD2DQYrr
+    537945205U,	// VCVTTPD2DQXrm
+    974149997U,	// VCVTTPD2DQYrm
+    135289197U,	// VCVTTPD2DQYrr
     1007699361U,	// VCVTTPD2DQZrm
     135284129U,	// VCVTTPD2DQZrr
-    135289192U,	// VCVTTPD2DQrr
+    135289197U,	// VCVTTPD2DQrr
     1007699520U,	// VCVTTPD2UDQZrm
     135284288U,	// VCVTTPD2UDQZrr
-    974150024U,	// VCVTTPS2DQYrm
-    135289224U,	// VCVTTPS2DQYrr
+    974150029U,	// VCVTTPS2DQYrm
+    135289229U,	// VCVTTPS2DQYrr
     1007699386U,	// VCVTTPS2DQZrm
     135284154U,	// VCVTTPS2DQZrr
-    537942408U,	// VCVTTPS2DQrm
-    135289224U,	// VCVTTPS2DQrr
+    537942413U,	// VCVTTPS2DQrm
+    135289229U,	// VCVTTPS2DQrr
     1007699547U,	// VCVTTPS2UDQZrm
     135284315U,	// VCVTTPS2UDQZrr
     571491524U,	// VCVTTSD2SI64Zrm
@@ -3214,35 +3238,35 @@
     2282767126U,	// VDIVPDZrr
     2282771043U,	// VDIVPDrm
     2282771043U,	// VDIVPDrr
-    2282774309U,	// VDIVPSYrm
-    2282774309U,	// VDIVPSYrr
+    2282774314U,	// VDIVPSYrm
+    2282774314U,	// VDIVPSYrr
     2282768775U,	// VDIVPSZrm
     2282768775U,	// VDIVPSZrmb
     2282768775U,	// VDIVPSZrr
-    2282774309U,	// VDIVPSrm
-    2282774309U,	// VDIVPSrr
+    2282774314U,	// VDIVPSrm
+    2282774314U,	// VDIVPSrr
     2282771489U,	// VDIVSDZrm
     2282771489U,	// VDIVSDZrr
     2282771489U,	// VDIVSDrm
     2282771489U,	// VDIVSDrm_Int
     2282771489U,	// VDIVSDrr
     2282771489U,	// VDIVSDrr_Int
-    2282774703U,	// VDIVSSZrm
-    2282774703U,	// VDIVSSZrr
-    2282774703U,	// VDIVSSrm
-    2282774703U,	// VDIVSSrm_Int
-    2282774703U,	// VDIVSSrr
-    2282774703U,	// VDIVSSrr_Int
+    2282774708U,	// VDIVSSZrm
+    2282774708U,	// VDIVSSZrr
+    2282774708U,	// VDIVSSrm
+    2282774708U,	// VDIVSSrm_Int
+    2282774708U,	// VDIVSSrr
+    2282774708U,	// VDIVSSrr_Int
     2282770953U,	// VDPPDrmi
     2282770953U,	// VDPPDrri
-    2282774186U,	// VDPPSYrmi
-    2282774186U,	// VDPPSYrri
-    2282774186U,	// VDPPSrmi
-    2282774186U,	// VDPPSrri
-    39878U,	// VERRm
-    23494U,	// VERRr
-    41781U,	// VERWm
-    25397U,	// VERWr
+    2282774191U,	// VDPPSYrmi
+    2282774191U,	// VDPPSYrri
+    2282774191U,	// VDPPSrmi
+    2282774191U,	// VDPPSrri
+    39883U,	// VERRm
+    23499U,	// VERRr
+    41786U,	// VERWm
+    25402U,	// VERWr
     1041828909U,	// VEXTRACTF128mr
     2282769453U,	// VEXTRACTF128rr
     1041828781U,	// VEXTRACTF32x4mr
@@ -3255,10 +3279,10 @@
     2282769354U,	// VEXTRACTI32x4rr
     1041878020U,	// VEXTRACTI64x4mr
     2282769412U,	// VEXTRACTI64x4rr
-    2148654804U,	// VEXTRACTPSmr
-    2282774228U,	// VEXTRACTPSrr
-    2148654804U,	// VEXTRACTPSzmr
-    2282774228U,	// VEXTRACTPSzrr
+    2148654809U,	// VEXTRACTPSmr
+    2282774233U,	// VEXTRACTPSrr
+    2148654809U,	// VEXTRACTPSzmr
+    2282774233U,	// VEXTRACTPSzrr
     2215657855U,	// VFMADD132PDZm
     2215657855U,	// VFMADD132PDZmb
     2215659504U,	// VFMADD132PSZm
@@ -3289,26 +3313,26 @@
     2215661563U,	// VFMADDPDr231mY
     2215661563U,	// VFMADDPDr231r
     2215661563U,	// VFMADDPDr231rY
-    2282773984U,	// VFMADDPS4mr
-    2282773984U,	// VFMADDPS4mrY
-    2282773984U,	// VFMADDPS4rm
-    2282773984U,	// VFMADDPS4rmY
-    2282773984U,	// VFMADDPS4rr
-    2282773984U,	// VFMADDPS4rrY
-    2282773984U,	// VFMADDPS4rrY_REV
-    2282773984U,	// VFMADDPS4rr_REV
-    2215664859U,	// VFMADDPSr132m
-    2215664859U,	// VFMADDPSr132mY
-    2215664859U,	// VFMADDPSr132r
-    2215664859U,	// VFMADDPSr132rY
-    2215665000U,	// VFMADDPSr213m
-    2215665000U,	// VFMADDPSr213mY
-    2215665000U,	// VFMADDPSr213r
-    2215665000U,	// VFMADDPSr213rY
-    2215664773U,	// VFMADDPSr231m
-    2215664773U,	// VFMADDPSr231mY
-    2215664773U,	// VFMADDPSr231r
-    2215664773U,	// VFMADDPSr231rY
+    2282773989U,	// VFMADDPS4mr
+    2282773989U,	// VFMADDPS4mrY
+    2282773989U,	// VFMADDPS4rm
+    2282773989U,	// VFMADDPS4rmY
+    2282773989U,	// VFMADDPS4rr
+    2282773989U,	// VFMADDPS4rrY
+    2282773989U,	// VFMADDPS4rrY_REV
+    2282773989U,	// VFMADDPS4rr_REV
+    2215664864U,	// VFMADDPSr132m
+    2215664864U,	// VFMADDPSr132mY
+    2215664864U,	// VFMADDPSr132r
+    2215664864U,	// VFMADDPSr132rY
+    2215665005U,	// VFMADDPSr213m
+    2215665005U,	// VFMADDPSr213mY
+    2215665005U,	// VFMADDPSr213r
+    2215665005U,	// VFMADDPSr213rY
+    2215664778U,	// VFMADDPSr231m
+    2215664778U,	// VFMADDPSr231mY
+    2215664778U,	// VFMADDPSr231r
+    2215664778U,	// VFMADDPSr231rY
     2282771359U,	// VFMADDSD4mr
     2282771359U,	// VFMADDSD4mr_Int
     2282771359U,	// VFMADDSD4rm
@@ -3324,21 +3348,21 @@
     2215662431U,	// VFMADDSDr213r
     2215662289U,	// VFMADDSDr231m
     2215662289U,	// VFMADDSDr231r
-    2282774571U,	// VFMADDSS4mr
-    2282774571U,	// VFMADDSS4mr_Int
-    2282774571U,	// VFMADDSS4rm
-    2282774571U,	// VFMADDSS4rm_Int
-    2282774571U,	// VFMADDSS4rr
-    2282774571U,	// VFMADDSS4rr_Int
-    2282774571U,	// VFMADDSS4rr_REV
+    2282774576U,	// VFMADDSS4mr
+    2282774576U,	// VFMADDSS4mr_Int
+    2282774576U,	// VFMADDSS4rm
+    2282774576U,	// VFMADDSS4rm_Int
+    2282774576U,	// VFMADDSS4rr
+    2282774576U,	// VFMADDSS4rr_Int
+    2282774576U,	// VFMADDSS4rr_REV
     2215659983U,	// VFMADDSSZm
     2215659983U,	// VFMADDSSZr
-    2215665563U,	// VFMADDSSr132m
-    2215665563U,	// VFMADDSSr132r
-    2215665651U,	// VFMADDSSr213m
-    2215665651U,	// VFMADDSSr213r
-    2215665509U,	// VFMADDSSr231m
-    2215665509U,	// VFMADDSSr231r
+    2215665568U,	// VFMADDSSr132m
+    2215665568U,	// VFMADDSSr132r
+    2215665656U,	// VFMADDSSr213m
+    2215665656U,	// VFMADDSSr213r
+    2215665514U,	// VFMADDSSr231m
+    2215665514U,	// VFMADDSSr231r
     2215657792U,	// VFMADDSUB132PDZm
     2215657792U,	// VFMADDSUB132PDZmb
     2215659441U,	// VFMADDSUB132PSZm
@@ -3369,26 +3393,26 @@
     2215661504U,	// VFMADDSUBPDr231mY
     2215661504U,	// VFMADDSUBPDr231r
     2215661504U,	// VFMADDSUBPDr231rY
-    2282773900U,	// VFMADDSUBPS4mr
-    2282773900U,	// VFMADDSUBPS4mrY
-    2282773900U,	// VFMADDSUBPS4rm
-    2282773900U,	// VFMADDSUBPS4rmY
-    2282773900U,	// VFMADDSUBPS4rr
-    2282773900U,	// VFMADDSUBPS4rrY
-    2282773900U,	// VFMADDSUBPS4rrY_REV
-    2282773900U,	// VFMADDSUBPS4rr_REV
-    2215664800U,	// VFMADDSUBPSr132m
-    2215664800U,	// VFMADDSUBPSr132mY
-    2215664800U,	// VFMADDSUBPSr132r
-    2215664800U,	// VFMADDSUBPSr132rY
-    2215664941U,	// VFMADDSUBPSr213m
-    2215664941U,	// VFMADDSUBPSr213mY
-    2215664941U,	// VFMADDSUBPSr213r
-    2215664941U,	// VFMADDSUBPSr213rY
-    2215664714U,	// VFMADDSUBPSr231m
-    2215664714U,	// VFMADDSUBPSr231mY
-    2215664714U,	// VFMADDSUBPSr231r
-    2215664714U,	// VFMADDSUBPSr231rY
+    2282773905U,	// VFMADDSUBPS4mr
+    2282773905U,	// VFMADDSUBPS4mrY
+    2282773905U,	// VFMADDSUBPS4rm
+    2282773905U,	// VFMADDSUBPS4rmY
+    2282773905U,	// VFMADDSUBPS4rr
+    2282773905U,	// VFMADDSUBPS4rrY
+    2282773905U,	// VFMADDSUBPS4rrY_REV
+    2282773905U,	// VFMADDSUBPS4rr_REV
+    2215664805U,	// VFMADDSUBPSr132m
+    2215664805U,	// VFMADDSUBPSr132mY
+    2215664805U,	// VFMADDSUBPSr132r
+    2215664805U,	// VFMADDSUBPSr132rY
+    2215664946U,	// VFMADDSUBPSr213m
+    2215664946U,	// VFMADDSUBPSr213mY
+    2215664946U,	// VFMADDSUBPSr213r
+    2215664946U,	// VFMADDSUBPSr213rY
+    2215664719U,	// VFMADDSUBPSr231m
+    2215664719U,	// VFMADDSUBPSr231mY
+    2215664719U,	// VFMADDSUBPSr231r
+    2215664719U,	// VFMADDSUBPSr231rY
     2215657809U,	// VFMSUB132PDZm
     2215657809U,	// VFMSUB132PDZmb
     2215659458U,	// VFMSUB132PSZm
@@ -3429,26 +3453,26 @@
     2215661547U,	// VFMSUBADDPDr231mY
     2215661547U,	// VFMSUBADDPDr231r
     2215661547U,	// VFMSUBADDPDr231rY
-    2282773962U,	// VFMSUBADDPS4mr
-    2282773962U,	// VFMSUBADDPS4mrY
-    2282773962U,	// VFMSUBADDPS4rm
-    2282773962U,	// VFMSUBADDPS4rmY
-    2282773962U,	// VFMSUBADDPS4rr
-    2282773962U,	// VFMSUBADDPS4rrY
-    2282773962U,	// VFMSUBADDPS4rrY_REV
-    2282773962U,	// VFMSUBADDPS4rr_REV
-    2215664843U,	// VFMSUBADDPSr132m
-    2215664843U,	// VFMSUBADDPSr132mY
-    2215664843U,	// VFMSUBADDPSr132r
-    2215664843U,	// VFMSUBADDPSr132rY
-    2215664984U,	// VFMSUBADDPSr213m
-    2215664984U,	// VFMSUBADDPSr213mY
-    2215664984U,	// VFMSUBADDPSr213r
-    2215664984U,	// VFMSUBADDPSr213rY
-    2215664757U,	// VFMSUBADDPSr231m
-    2215664757U,	// VFMSUBADDPSr231mY
-    2215664757U,	// VFMSUBADDPSr231r
-    2215664757U,	// VFMSUBADDPSr231rY
+    2282773967U,	// VFMSUBADDPS4mr
+    2282773967U,	// VFMSUBADDPS4mrY
+    2282773967U,	// VFMSUBADDPS4rm
+    2282773967U,	// VFMSUBADDPS4rmY
+    2282773967U,	// VFMSUBADDPS4rr
+    2282773967U,	// VFMSUBADDPS4rrY
+    2282773967U,	// VFMSUBADDPS4rrY_REV
+    2282773967U,	// VFMSUBADDPS4rr_REV
+    2215664848U,	// VFMSUBADDPSr132m
+    2215664848U,	// VFMSUBADDPSr132mY
+    2215664848U,	// VFMSUBADDPSr132r
+    2215664848U,	// VFMSUBADDPSr132rY
+    2215664989U,	// VFMSUBADDPSr213m
+    2215664989U,	// VFMSUBADDPSr213mY
+    2215664989U,	// VFMSUBADDPSr213r
+    2215664989U,	// VFMSUBADDPSr213rY
+    2215664762U,	// VFMSUBADDPSr231m
+    2215664762U,	// VFMSUBADDPSr231mY
+    2215664762U,	// VFMSUBADDPSr231r
+    2215664762U,	// VFMSUBADDPSr231rY
     2282770720U,	// VFMSUBPD4mr
     2282770720U,	// VFMSUBPD4mrY
     2282770720U,	// VFMSUBPD4rm
@@ -3469,26 +3493,26 @@
     2215661520U,	// VFMSUBPDr231mY
     2215661520U,	// VFMSUBPDr231r
     2215661520U,	// VFMSUBPDr231rY
-    2282773933U,	// VFMSUBPS4mr
-    2282773933U,	// VFMSUBPS4mrY
-    2282773933U,	// VFMSUBPS4rm
-    2282773933U,	// VFMSUBPS4rmY
-    2282773933U,	// VFMSUBPS4rr
-    2282773933U,	// VFMSUBPS4rrY
-    2282773933U,	// VFMSUBPS4rrY_REV
-    2282773933U,	// VFMSUBPS4rr_REV
-    2215664816U,	// VFMSUBPSr132m
-    2215664816U,	// VFMSUBPSr132mY
-    2215664816U,	// VFMSUBPSr132r
-    2215664816U,	// VFMSUBPSr132rY
-    2215664957U,	// VFMSUBPSr213m
-    2215664957U,	// VFMSUBPSr213mY
-    2215664957U,	// VFMSUBPSr213r
-    2215664957U,	// VFMSUBPSr213rY
-    2215664730U,	// VFMSUBPSr231m
-    2215664730U,	// VFMSUBPSr231mY
-    2215664730U,	// VFMSUBPSr231r
-    2215664730U,	// VFMSUBPSr231rY
+    2282773938U,	// VFMSUBPS4mr
+    2282773938U,	// VFMSUBPS4mrY
+    2282773938U,	// VFMSUBPS4rm
+    2282773938U,	// VFMSUBPS4rmY
+    2282773938U,	// VFMSUBPS4rr
+    2282773938U,	// VFMSUBPS4rrY
+    2282773938U,	// VFMSUBPS4rrY_REV
+    2282773938U,	// VFMSUBPS4rr_REV
+    2215664821U,	// VFMSUBPSr132m
+    2215664821U,	// VFMSUBPSr132mY
+    2215664821U,	// VFMSUBPSr132r
+    2215664821U,	// VFMSUBPSr132rY
+    2215664962U,	// VFMSUBPSr213m
+    2215664962U,	// VFMSUBPSr213mY
+    2215664962U,	// VFMSUBPSr213r
+    2215664962U,	// VFMSUBPSr213rY
+    2215664735U,	// VFMSUBPSr231m
+    2215664735U,	// VFMSUBPSr231mY
+    2215664735U,	// VFMSUBPSr231r
+    2215664735U,	// VFMSUBPSr231rY
     2282771330U,	// VFMSUBSD4mr
     2282771330U,	// VFMSUBSD4mr_Int
     2282771330U,	// VFMSUBSD4rm
@@ -3504,21 +3528,21 @@
     2215662404U,	// VFMSUBSDr213r
     2215662262U,	// VFMSUBSDr231m
     2215662262U,	// VFMSUBSDr231r
-    2282774542U,	// VFMSUBSS4mr
-    2282774542U,	// VFMSUBSS4mr_Int
-    2282774542U,	// VFMSUBSS4rm
-    2282774542U,	// VFMSUBSS4rm_Int
-    2282774542U,	// VFMSUBSS4rr
-    2282774542U,	// VFMSUBSS4rr_Int
-    2282774542U,	// VFMSUBSS4rr_REV
+    2282774547U,	// VFMSUBSS4mr
+    2282774547U,	// VFMSUBSS4mr_Int
+    2282774547U,	// VFMSUBSS4rm
+    2282774547U,	// VFMSUBSS4rm_Int
+    2282774547U,	// VFMSUBSS4rr
+    2282774547U,	// VFMSUBSS4rr_Int
+    2282774547U,	// VFMSUBSS4rr_REV
     2215659954U,	// VFMSUBSSZm
     2215659954U,	// VFMSUBSSZr
-    2215665536U,	// VFMSUBSSr132m
-    2215665536U,	// VFMSUBSSr132r
-    2215665624U,	// VFMSUBSSr213m
-    2215665624U,	// VFMSUBSSr213r
-    2215665482U,	// VFMSUBSSr231m
-    2215665482U,	// VFMSUBSSr231r
+    2215665541U,	// VFMSUBSSr132m
+    2215665541U,	// VFMSUBSSr132r
+    2215665629U,	// VFMSUBSSr213m
+    2215665629U,	// VFMSUBSSr213r
+    2215665487U,	// VFMSUBSSr231m
+    2215665487U,	// VFMSUBSSr231r
     2215657869U,	// VFNMADD132PDZm
     2215657869U,	// VFNMADD132PDZmb
     2215659518U,	// VFNMADD132PSZm
@@ -3549,26 +3573,26 @@
     2215661576U,	// VFNMADDPDr231mY
     2215661576U,	// VFNMADDPDr231r
     2215661576U,	// VFNMADDPDr231rY
-    2282773994U,	// VFNMADDPS4mr
-    2282773994U,	// VFNMADDPS4mrY
-    2282773994U,	// VFNMADDPS4rm
-    2282773994U,	// VFNMADDPS4rmY
-    2282773994U,	// VFNMADDPS4rr
-    2282773994U,	// VFNMADDPS4rrY
-    2282773994U,	// VFNMADDPS4rrY_REV
-    2282773994U,	// VFNMADDPS4rr_REV
-    2215664872U,	// VFNMADDPSr132m
-    2215664872U,	// VFNMADDPSr132mY
-    2215664872U,	// VFNMADDPSr132r
-    2215664872U,	// VFNMADDPSr132rY
-    2215665013U,	// VFNMADDPSr213m
-    2215665013U,	// VFNMADDPSr213mY
-    2215665013U,	// VFNMADDPSr213r
-    2215665013U,	// VFNMADDPSr213rY
-    2215664786U,	// VFNMADDPSr231m
-    2215664786U,	// VFNMADDPSr231mY
-    2215664786U,	// VFNMADDPSr231r
-    2215664786U,	// VFNMADDPSr231rY
+    2282773999U,	// VFNMADDPS4mr
+    2282773999U,	// VFNMADDPS4mrY
+    2282773999U,	// VFNMADDPS4rm
+    2282773999U,	// VFNMADDPS4rmY
+    2282773999U,	// VFNMADDPS4rr
+    2282773999U,	// VFNMADDPS4rrY
+    2282773999U,	// VFNMADDPS4rrY_REV
+    2282773999U,	// VFNMADDPS4rr_REV
+    2215664877U,	// VFNMADDPSr132m
+    2215664877U,	// VFNMADDPSr132mY
+    2215664877U,	// VFNMADDPSr132r
+    2215664877U,	// VFNMADDPSr132rY
+    2215665018U,	// VFNMADDPSr213m
+    2215665018U,	// VFNMADDPSr213mY
+    2215665018U,	// VFNMADDPSr213r
+    2215665018U,	// VFNMADDPSr213rY
+    2215664791U,	// VFNMADDPSr231m
+    2215664791U,	// VFNMADDPSr231mY
+    2215664791U,	// VFNMADDPSr231r
+    2215664791U,	// VFNMADDPSr231rY
     2282771369U,	// VFNMADDSD4mr
     2282771369U,	// VFNMADDSD4mr_Int
     2282771369U,	// VFNMADDSD4rm
@@ -3584,21 +3608,21 @@
     2215662444U,	// VFNMADDSDr213r
     2215662302U,	// VFNMADDSDr231m
     2215662302U,	// VFNMADDSDr231r
-    2282774581U,	// VFNMADDSS4mr
-    2282774581U,	// VFNMADDSS4mr_Int
-    2282774581U,	// VFNMADDSS4rm
-    2282774581U,	// VFNMADDSS4rm_Int
-    2282774581U,	// VFNMADDSS4rr
-    2282774581U,	// VFNMADDSS4rr_Int
-    2282774581U,	// VFNMADDSS4rr_REV
+    2282774586U,	// VFNMADDSS4mr
+    2282774586U,	// VFNMADDSS4mr_Int
+    2282774586U,	// VFNMADDSS4rm
+    2282774586U,	// VFNMADDSS4rm_Int
+    2282774586U,	// VFNMADDSS4rr
+    2282774586U,	// VFNMADDSS4rr_Int
+    2282774586U,	// VFNMADDSS4rr_REV
     2215659997U,	// VFNMADDSSZm
     2215659997U,	// VFNMADDSSZr
-    2215665576U,	// VFNMADDSSr132m
-    2215665576U,	// VFNMADDSSr132r
-    2215665664U,	// VFNMADDSSr213m
-    2215665664U,	// VFNMADDSSr213r
-    2215665522U,	// VFNMADDSSr231m
-    2215665522U,	// VFNMADDSSr231r
+    2215665581U,	// VFNMADDSSr132m
+    2215665581U,	// VFNMADDSSr132r
+    2215665669U,	// VFNMADDSSr213m
+    2215665669U,	// VFNMADDSSr213r
+    2215665527U,	// VFNMADDSSr231m
+    2215665527U,	// VFNMADDSSr231r
     2215657823U,	// VFNMSUB132PDZm
     2215657823U,	// VFNMSUB132PDZmb
     2215659472U,	// VFNMSUB132PSZm
@@ -3629,26 +3653,26 @@
     2215661533U,	// VFNMSUBPDr231mY
     2215661533U,	// VFNMSUBPDr231r
     2215661533U,	// VFNMSUBPDr231rY
-    2282773943U,	// VFNMSUBPS4mr
-    2282773943U,	// VFNMSUBPS4mrY
-    2282773943U,	// VFNMSUBPS4rm
-    2282773943U,	// VFNMSUBPS4rmY
-    2282773943U,	// VFNMSUBPS4rr
-    2282773943U,	// VFNMSUBPS4rrY
-    2282773943U,	// VFNMSUBPS4rrY_REV
-    2282773943U,	// VFNMSUBPS4rr_REV
-    2215664829U,	// VFNMSUBPSr132m
-    2215664829U,	// VFNMSUBPSr132mY
-    2215664829U,	// VFNMSUBPSr132r
-    2215664829U,	// VFNMSUBPSr132rY
-    2215664970U,	// VFNMSUBPSr213m
-    2215664970U,	// VFNMSUBPSr213mY
-    2215664970U,	// VFNMSUBPSr213r
-    2215664970U,	// VFNMSUBPSr213rY
-    2215664743U,	// VFNMSUBPSr231m
-    2215664743U,	// VFNMSUBPSr231mY
-    2215664743U,	// VFNMSUBPSr231r
-    2215664743U,	// VFNMSUBPSr231rY
+    2282773948U,	// VFNMSUBPS4mr
+    2282773948U,	// VFNMSUBPS4mrY
+    2282773948U,	// VFNMSUBPS4rm
+    2282773948U,	// VFNMSUBPS4rmY
+    2282773948U,	// VFNMSUBPS4rr
+    2282773948U,	// VFNMSUBPS4rrY
+    2282773948U,	// VFNMSUBPS4rrY_REV
+    2282773948U,	// VFNMSUBPS4rr_REV
+    2215664834U,	// VFNMSUBPSr132m
+    2215664834U,	// VFNMSUBPSr132mY
+    2215664834U,	// VFNMSUBPSr132r
+    2215664834U,	// VFNMSUBPSr132rY
+    2215664975U,	// VFNMSUBPSr213m
+    2215664975U,	// VFNMSUBPSr213mY
+    2215664975U,	// VFNMSUBPSr213r
+    2215664975U,	// VFNMSUBPSr213rY
+    2215664748U,	// VFNMSUBPSr231m
+    2215664748U,	// VFNMSUBPSr231mY
+    2215664748U,	// VFNMSUBPSr231r
+    2215664748U,	// VFNMSUBPSr231rY
     2282771340U,	// VFNMSUBSD4mr
     2282771340U,	// VFNMSUBSD4mr_Int
     2282771340U,	// VFNMSUBSD4rm
@@ -3664,77 +3688,77 @@
     2215662417U,	// VFNMSUBSDr213r
     2215662275U,	// VFNMSUBSDr231m
     2215662275U,	// VFNMSUBSDr231r
-    2282774552U,	// VFNMSUBSS4mr
-    2282774552U,	// VFNMSUBSS4mr_Int
-    2282774552U,	// VFNMSUBSS4rm
-    2282774552U,	// VFNMSUBSS4rm_Int
-    2282774552U,	// VFNMSUBSS4rr
-    2282774552U,	// VFNMSUBSS4rr_Int
-    2282774552U,	// VFNMSUBSS4rr_REV
+    2282774557U,	// VFNMSUBSS4mr
+    2282774557U,	// VFNMSUBSS4mr_Int
+    2282774557U,	// VFNMSUBSS4rm
+    2282774557U,	// VFNMSUBSS4rm_Int
+    2282774557U,	// VFNMSUBSS4rr
+    2282774557U,	// VFNMSUBSS4rr_Int
+    2282774557U,	// VFNMSUBSS4rr_REV
     2215659968U,	// VFNMSUBSSZm
     2215659968U,	// VFNMSUBSSZr
-    2215665549U,	// VFNMSUBSSr132m
-    2215665549U,	// VFNMSUBSSr132r
-    2215665637U,	// VFNMSUBSSr213m
-    2215665637U,	// VFNMSUBSSr213r
-    2215665495U,	// VFNMSUBSSr231m
-    2215665495U,	// VFNMSUBSSr231r
+    2215665554U,	// VFNMSUBSSr132m
+    2215665554U,	// VFNMSUBSSr132r
+    2215665642U,	// VFNMSUBSSr213m
+    2215665642U,	// VFNMSUBSSr213r
+    2215665500U,	// VFNMSUBSSr231m
+    2215665500U,	// VFNMSUBSSr231r
     537940607U,	// VFRCZPDrm
     974148223U,	// VFRCZPDrmY
     135287423U,	// VFRCZPDrr
     135287423U,	// VFRCZPDrrY
-    537943873U,	// VFRCZPSrm
-    974151489U,	// VFRCZPSrmY
-    135290689U,	// VFRCZPSrr
-    135290689U,	// VFRCZPSrrY
+    537943878U,	// VFRCZPSrm
+    974151494U,	// VFRCZPSrmY
+    135290694U,	// VFRCZPSrr
+    135290694U,	// VFRCZPSrrY
     571495490U,	// VFRCZSDrm
     135287874U,	// VFRCZSDrr
-    605053127U,	// VFRCZSSrm
-    135291079U,	// VFRCZSSrr
+    605053132U,	// VFRCZSSrm
+    135291084U,	// VFRCZSSrr
     2282770936U,	// VFsANDNPDrm
     2282770936U,	// VFsANDNPDrr
-    2282774161U,	// VFsANDNPSrm
-    2282774161U,	// VFsANDNPSrr
+    2282774166U,	// VFsANDNPSrm
+    2282774166U,	// VFsANDNPSrr
     2282770800U,	// VFsANDPDrm
     2282770800U,	// VFsANDPDrr
-    2282774013U,	// VFsANDPSrm
-    2282774013U,	// VFsANDPSrr
+    2282774018U,	// VFsANDPSrm
+    2282774018U,	// VFsANDPSrr
     2282770980U,	// VFsORPDrm
     2282770980U,	// VFsORPDrr
-    2282774213U,	// VFsORPSrm
-    2282774213U,	// VFsORPSrr
+    2282774218U,	// VFsORPSrm
+    2282774218U,	// VFsORPSrr
     2282770987U,	// VFsXORPDrm
     2282770987U,	// VFsXORPDrr
-    2282774220U,	// VFsXORPSrm
-    2282774220U,	// VFsXORPSrr
+    2282774225U,	// VFsXORPSrm
+    2282774225U,	// VFsXORPSrr
     1074811276U,	// VGATHERDPDYrm
     1128284801U,	// VGATHERDPDZrm
     1074811276U,	// VGATHERDPDrm
-    1141923353U,	// VGATHERDPSYrm
+    1141923358U,	// VGATHERDPSYrm
     3275770098U,	// VGATHERDPSZrm
-    1141923353U,	// VGATHERDPSrm
+    1141923358U,	// VGATHERDPSrm
     1074811416U,	// VGATHERQPDYrm
     1128284913U,	// VGATHERQPDZrm
     1074811416U,	// VGATHERQPDrm
-    1141923513U,	// VGATHERQPSYrm
+    1141923518U,	// VGATHERQPSYrm
     1128286562U,	// VGATHERQPSZrm
-    1141923513U,	// VGATHERQPSrm
+    1141923518U,	// VGATHERQPSrm
     2282770762U,	// VHADDPDYrm
     2282770762U,	// VHADDPDYrr
     2282770762U,	// VHADDPDrm
     2282770762U,	// VHADDPDrr
-    2282773975U,	// VHADDPSYrm
-    2282773975U,	// VHADDPSYrr
-    2282773975U,	// VHADDPSrm
-    2282773975U,	// VHADDPSrr
+    2282773980U,	// VHADDPSYrm
+    2282773980U,	// VHADDPSYrr
+    2282773980U,	// VHADDPSrm
+    2282773980U,	// VHADDPSrr
     2282770711U,	// VHSUBPDYrm
     2282770711U,	// VHSUBPDYrr
     2282770711U,	// VHSUBPDrm
     2282770711U,	// VHSUBPDrr
-    2282773924U,	// VHSUBPSYrm
-    2282773924U,	// VHSUBPSYrr
-    2282773924U,	// VHSUBPSrm
-    2282773924U,	// VHSUBPSrr
+    2282773929U,	// VHSUBPSYrm
+    2282773929U,	// VHSUBPSYrr
+    2282773929U,	// VHSUBPSrm
+    2282773929U,	// VHSUBPSrr
     2282769467U,	// VINSERTF128rm
     2282769467U,	// VINSERTF128rr
     2282769340U,	// VINSERTF32x4rm
@@ -3747,35 +3771,35 @@
     2282769369U,	// VINSERTI32x4rr
     2282769427U,	// VINSERTI64x4rm
     2282769427U,	// VINSERTI64x4rr
-    2282774250U,	// VINSERTPSrm
-    2282774250U,	// VINSERTPSrr
-    2282774250U,	// VINSERTPSzrm
-    2282774250U,	// VINSERTPSzrr
-    907043246U,	// VLDDQUYrm
-    336617902U,	// VLDDQUrm
-    72657U,	// VLDMXCSR
-    135291318U,	// VMASKMOVDQU
-    135291318U,	// VMASKMOVDQU64
+    2282774255U,	// VINSERTPSrm
+    2282774255U,	// VINSERTPSrr
+    2282774255U,	// VINSERTPSzrm
+    2282774255U,	// VINSERTPSzrr
+    907043251U,	// VLDDQUYrm
+    336617907U,	// VLDDQUrm
+    72662U,	// VLDMXCSR
+    135291323U,	// VMASKMOVDQU
+    135291323U,	// VMASKMOVDQU64
     1041863275U,	// VMASKMOVPDYmr
     2282771051U,	// VMASKMOVPDYrm
     1041830507U,	// VMASKMOVPDmr
     2282771051U,	// VMASKMOVPDrm
-    1041866541U,	// VMASKMOVPSYmr
-    2282774317U,	// VMASKMOVPSYrm
-    1041833773U,	// VMASKMOVPSmr
-    2282774317U,	// VMASKMOVPSrm
+    1041866546U,	// VMASKMOVPSYmr
+    2282774322U,	// VMASKMOVPSYrm
+    1041833778U,	// VMASKMOVPSmr
+    2282774322U,	// VMASKMOVPSrm
     2282771063U,	// VMAXCPDYrm
     2282771063U,	// VMAXCPDYrr
     2282771063U,	// VMAXCPDrm
     2282771063U,	// VMAXCPDrr
-    2282774329U,	// VMAXCPSYrm
-    2282774329U,	// VMAXCPSYrr
-    2282774329U,	// VMAXCPSrm
-    2282774329U,	// VMAXCPSrr
+    2282774334U,	// VMAXCPSYrm
+    2282774334U,	// VMAXCPSYrr
+    2282774334U,	// VMAXCPSrm
+    2282774334U,	// VMAXCPSrr
     2282771514U,	// VMAXCSDrm
     2282771514U,	// VMAXCSDrr
-    2282774719U,	// VMAXCSSrm
-    2282774719U,	// VMAXCSSrr
+    2282774724U,	// VMAXCSSrm
+    2282774724U,	// VMAXCSSrr
     2282771063U,	// VMAXPDYrm
     2282771063U,	// VMAXPDYrr
     2282767135U,	// VMAXPDZrm
@@ -3783,40 +3807,40 @@
     2282767135U,	// VMAXPDZrr
     2282771063U,	// VMAXPDrm
     2282771063U,	// VMAXPDrr
-    2282774329U,	// VMAXPSYrm
-    2282774329U,	// VMAXPSYrr
+    2282774334U,	// VMAXPSYrm
+    2282774334U,	// VMAXPSYrr
     2282768784U,	// VMAXPSZrm
     2282768784U,	// VMAXPSZrmb
     2282768784U,	// VMAXPSZrr
-    2282774329U,	// VMAXPSrm
-    2282774329U,	// VMAXPSrr
+    2282774334U,	// VMAXPSrm
+    2282774334U,	// VMAXPSrr
     2282771514U,	// VMAXSDZrm
     2282771514U,	// VMAXSDZrr
     2282771514U,	// VMAXSDrm
     2282771514U,	// VMAXSDrm_Int
     2282771514U,	// VMAXSDrr
     2282771514U,	// VMAXSDrr_Int
-    2282774719U,	// VMAXSSZrm
-    2282774719U,	// VMAXSSZrr
-    2282774719U,	// VMAXSSrm
-    2282774719U,	// VMAXSSrm_Int
-    2282774719U,	// VMAXSSrr
-    2282774719U,	// VMAXSSrr_Int
-    12149U,	// VMCALL
-    88933U,	// VMCLEARm
-    11848U,	// VMFUNC
+    2282774724U,	// VMAXSSZrm
+    2282774724U,	// VMAXSSZrr
+    2282774724U,	// VMAXSSrm
+    2282774724U,	// VMAXSSrm_Int
+    2282774724U,	// VMAXSSrr
+    2282774724U,	// VMAXSSrr_Int
+    12154U,	// VMCALL
+    88938U,	// VMCLEARm
+    11853U,	// VMFUNC
     2282770945U,	// VMINCPDYrm
     2282770945U,	// VMINCPDYrr
     2282770945U,	// VMINCPDrm
     2282770945U,	// VMINCPDrr
-    2282774170U,	// VMINCPSYrm
-    2282774170U,	// VMINCPSYrr
-    2282774170U,	// VMINCPSrm
-    2282774170U,	// VMINCPSrr
+    2282774175U,	// VMINCPSYrm
+    2282774175U,	// VMINCPSYrr
+    2282774175U,	// VMINCPSrm
+    2282774175U,	// VMINCPSrr
     2282771434U,	// VMINCSDrm
     2282771434U,	// VMINCSDrr
-    2282774637U,	// VMINCSSrm
-    2282774637U,	// VMINCSSrr
+    2282774642U,	// VMINCSSrm
+    2282774642U,	// VMINCSSrr
     2282770945U,	// VMINPDYrm
     2282770945U,	// VMINPDYrr
     2282767071U,	// VMINPDZrm
@@ -3824,34 +3848,34 @@
     2282767071U,	// VMINPDZrr
     2282770945U,	// VMINPDrm
     2282770945U,	// VMINPDrr
-    2282774170U,	// VMINPSYrm
-    2282774170U,	// VMINPSYrr
+    2282774175U,	// VMINPSYrm
+    2282774175U,	// VMINPSYrr
     2282768720U,	// VMINPSZrm
     2282768720U,	// VMINPSZrmb
     2282768720U,	// VMINPSZrr
-    2282774170U,	// VMINPSrm
-    2282774170U,	// VMINPSrr
+    2282774175U,	// VMINPSrm
+    2282774175U,	// VMINPSrr
     2282771434U,	// VMINSDZrm
     2282771434U,	// VMINSDZrr
     2282771434U,	// VMINSDrm
     2282771434U,	// VMINSDrm_Int
     2282771434U,	// VMINSDrr
     2282771434U,	// VMINSDrr_Int
-    2282774637U,	// VMINSSZrm
-    2282774637U,	// VMINSSZrr
-    2282774637U,	// VMINSSrm
-    2282774637U,	// VMINSSrm_Int
-    2282774637U,	// VMINSSrr
-    2282774637U,	// VMINSSrr_Int
-    12064U,	// VMLAUNCH
-    12746U,	// VMLOAD32
-    12801U,	// VMLOAD64
-    12141U,	// VMMCALL
-    135289651U,	// VMOV64toPQIZrr
-    135289651U,	// VMOV64toPQIrr
-    135289651U,	// VMOV64toSDZrr
-    168844083U,	// VMOV64toSDrm
-    135289651U,	// VMOV64toSDrr
+    2282774642U,	// VMINSSZrm
+    2282774642U,	// VMINSSZrr
+    2282774642U,	// VMINSSrm
+    2282774642U,	// VMINSSrm_Int
+    2282774642U,	// VMINSSrr
+    2282774642U,	// VMINSSrr_Int
+    12069U,	// VMLAUNCH
+    12751U,	// VMLOAD32
+    12806U,	// VMLOAD64
+    12146U,	// VMMCALL
+    135289656U,	// VMOV64toPQIZrr
+    135289656U,	// VMOV64toPQIrr
+    135289656U,	// VMOV64toSDZrr
+    168844088U,	// VMOV64toSDrm
+    135289656U,	// VMOV64toSDrr
     626926U,	// VMOVAPDYmr
     974147822U,	// VMOVAPDYrm
     135287022U,	// VMOVAPDYrr
@@ -3865,25 +3889,25 @@
     537940206U,	// VMOVAPDrm
     135287022U,	// VMOVAPDrr
     135287022U,	// VMOVAPDrr_REV
-    630147U,	// VMOVAPSYmr
-    974151043U,	// VMOVAPSYrm
-    135290243U,	// VMOVAPSYrr
-    135290243U,	// VMOVAPSYrr_REV
-    662915U,	// VMOVAPSZmr
+    630152U,	// VMOVAPSYmr
+    974151048U,	// VMOVAPSYrm
+    135290248U,	// VMOVAPSYrr
+    135290248U,	// VMOVAPSYrr_REV
+    662920U,	// VMOVAPSZmr
     1007700182U,	// VMOVAPSZrm
     88099030U,	// VMOVAPSZrmk
     135284950U,	// VMOVAPSZrr
     88099030U,	// VMOVAPSZrrk
-    597379U,	// VMOVAPSmr
-    537943427U,	// VMOVAPSrm
-    135290243U,	// VMOVAPSrr
-    135290243U,	// VMOVAPSrr_REV
-    974149862U,	// VMOVDDUPYrm
-    135289062U,	// VMOVDDUPYrr
+    597384U,	// VMOVAPSmr
+    537943432U,	// VMOVAPSrm
+    135290248U,	// VMOVAPSrr
+    135290248U,	// VMOVAPSrr_REV
+    974149867U,	// VMOVDDUPYrm
+    135289067U,	// VMOVDDUPYrr
     1007699244U,	// VMOVDDUPZrm
     135284012U,	// VMOVDDUPZrr
-    571496678U,	// VMOVDDUPrm
-    135289062U,	// VMOVDDUPrr
+    571496683U,	// VMOVDDUPrm
+    135289067U,	// VMOVDDUPrr
     101733558U,	// VMOVDI2PDIZrm
     135287990U,	// VMOVDI2PDIZrr
     101733558U,	// VMOVDI2PDIrm
@@ -3918,49 +3942,49 @@
     135282701U,	// VMOVDQU64rr
     88096781U,	// VMOVDQU64rrk
     155205645U,	// VMOVDQU64rrkz
-    647619U,	// VMOVDQUYmr
-    907043267U,	// VMOVDQUYrm
-    135291331U,	// VMOVDQUYrr
-    135291331U,	// VMOVDQUYrr_REV
-    1319363U,	// VMOVDQUmr
-    336617923U,	// VMOVDQUrm
-    135291331U,	// VMOVDQUrr
-    135291331U,	// VMOVDQUrr_REV
-    2282774103U,	// VMOVHLPSZrr
-    2282774103U,	// VMOVHLPSrr
+    647624U,	// VMOVDQUYmr
+    907043272U,	// VMOVDQUYrm
+    135291336U,	// VMOVDQUYrr
+    135291336U,	// VMOVDQUYrr_REV
+    1319368U,	// VMOVDQUmr
+    336617928U,	// VMOVDQUrm
+    135291336U,	// VMOVDQUrr
+    135291336U,	// VMOVDQUrr_REV
+    2282774108U,	// VMOVHLPSZrr
+    2282774108U,	// VMOVHLPSrr
     1184172U,	// VMOVHPDmr
     2282770860U,	// VMOVHPDrm
-    1187395U,	// VMOVHPSmr
-    2282774083U,	// VMOVHPSrm
-    2282774073U,	// VMOVLHPSZrr
-    2282774073U,	// VMOVLHPSrr
+    1187400U,	// VMOVHPSmr
+    2282774088U,	// VMOVHPSrm
+    2282774078U,	// VMOVLHPSZrr
+    2282774078U,	// VMOVLHPSrr
     1184222U,	// VMOVLPDmr
     2282770910U,	// VMOVLPDrm
-    1187455U,	// VMOVLPSmr
-    2282774143U,	// VMOVLPSrm
+    1187460U,	// VMOVLPSmr
+    2282774148U,	// VMOVLPSrm
     135287221U,	// VMOVMSKPDYrr
     135287221U,	// VMOVMSKPDrr
-    135290444U,	// VMOVMSKPSYrr
-    135290444U,	// VMOVMSKPSrr
+    135290449U,	// VMOVMSKPSYrr
+    135290449U,	// VMOVMSKPSrr
     907037848U,	// VMOVNTDQAYrm
     336612504U,	// VMOVNTDQArm
-    629280U,	// VMOVNTDQYmr
-    596512U,	// VMOVNTDQmr
+    629285U,	// VMOVNTDQYmr
+    596517U,	// VMOVNTDQmr
     627251U,	// VMOVNTPDYmr
     594483U,	// VMOVNTPDmr
-    630496U,	// VMOVNTPSYmr
-    597728U,	// VMOVNTPSmr
+    630501U,	// VMOVNTPSYmr
+    597733U,	// VMOVNTPSmr
     1119414U,	// VMOVPDI2DIZmr
     135287990U,	// VMOVPDI2DIZrr
     1119414U,	// VMOVPDI2DImr
     135287990U,	// VMOVPDI2DIrr
-    1137459U,	// VMOVPQI2QImr
-    135289651U,	// VMOVPQI2QIrr
-    1137459U,	// VMOVPQIto64Zmr
-    135289651U,	// VMOVPQIto64Zrr
-    135289651U,	// VMOVPQIto64rr
-    168844083U,	// VMOVQI2PQIZrm
-    168844083U,	// VMOVQI2PQIrm
+    1137464U,	// VMOVPQI2QImr
+    135289656U,	// VMOVPQI2QIrr
+    1137464U,	// VMOVPQIto64Zmr
+    135289656U,	// VMOVPQIto64Zrr
+    135289656U,	// VMOVPQIto64rr
+    168844088U,	// VMOVQI2PQIZrm
+    168844088U,	// VMOVQI2PQIrm
     1180723U,	// VMOVSDZmr
     571491379U,	// VMOVSDZrm
     2282767411U,	// VMOVSDZrr
@@ -3970,22 +3994,22 @@
     571495465U,	// VMOVSDrm
     2282771497U,	// VMOVSDrr
     2282771497U,	// VMOVSDrr_REV
-    1137459U,	// VMOVSDto64Zmr
-    135289651U,	// VMOVSDto64Zrr
-    1137459U,	// VMOVSDto64mr
-    135289651U,	// VMOVSDto64rr
-    974149872U,	// VMOVSHDUPYrm
-    135289072U,	// VMOVSHDUPYrr
+    1137464U,	// VMOVSDto64Zmr
+    135289656U,	// VMOVSDto64Zrr
+    1137464U,	// VMOVSDto64mr
+    135289656U,	// VMOVSDto64rr
+    974149877U,	// VMOVSHDUPYrm
+    135289077U,	// VMOVSHDUPYrr
     1007699255U,	// VMOVSHDUPZrm
     135284023U,	// VMOVSHDUPZrr
-    537942256U,	// VMOVSHDUPrm
-    135289072U,	// VMOVSHDUPrr
-    974149883U,	// VMOVSLDUPYrm
-    135289083U,	// VMOVSLDUPYrr
+    537942261U,	// VMOVSHDUPrm
+    135289077U,	// VMOVSHDUPrr
+    974149888U,	// VMOVSLDUPYrm
+    135289088U,	// VMOVSLDUPYrr
     1007699267U,	// VMOVSLDUPZrm
     135284035U,	// VMOVSLDUPZrr
-    537942267U,	// VMOVSLDUPrm
-    135289083U,	// VMOVSLDUPrr
+    537942272U,	// VMOVSLDUPrm
+    135289088U,	// VMOVSLDUPrr
     1119414U,	// VMOVSS2DIZmr
     135287990U,	// VMOVSS2DIZrr
     1119414U,	// VMOVSS2DImr
@@ -3993,12 +4017,12 @@
     1165881U,	// VMOVSSZmr
     605047353U,	// VMOVSSZrm
     2282768953U,	// VMOVSSZrr
-    2282774711U,	// VMOVSSZrr_REV
+    2282774716U,	// VMOVSSZrr_REV
     88099385U,	// VMOVSSZrrk
-    1171639U,	// VMOVSSmr
-    605053111U,	// VMOVSSrm
-    2282774711U,	// VMOVSSrr
-    2282774711U,	// VMOVSSrr_REV
+    1171644U,	// VMOVSSmr
+    605053116U,	// VMOVSSrm
+    2282774716U,	// VMOVSSrr
+    2282774716U,	// VMOVSSrr_REV
     627279U,	// VMOVUPDYmr
     974148175U,	// VMOVUPDYrm
     135287375U,	// VMOVUPDYrr
@@ -4012,40 +4036,40 @@
     537940559U,	// VMOVUPDrm
     135287375U,	// VMOVUPDrr
     135287375U,	// VMOVUPDrr_REV
-    630545U,	// VMOVUPSYmr
-    974151441U,	// VMOVUPSYrm
-    135290641U,	// VMOVUPSYrr
-    135290641U,	// VMOVUPSYrr_REV
-    663313U,	// VMOVUPSZmr
+    630550U,	// VMOVUPSYmr
+    974151446U,	// VMOVUPSYrm
+    135290646U,	// VMOVUPSYrr
+    135290646U,	// VMOVUPSYrr_REV
+    663318U,	// VMOVUPSZmr
     1007700349U,	// VMOVUPSZrm
     88099197U,	// VMOVUPSZrmk
     135285117U,	// VMOVUPSZrr
     88099197U,	// VMOVUPSZrrk
-    597777U,	// VMOVUPSmr
-    537943825U,	// VMOVUPSrm
-    135290641U,	// VMOVUPSrr
-    135290641U,	// VMOVUPSrr_REV
-    336616243U,	// VMOVZPQILo2PQIZrm
-    135289651U,	// VMOVZPQILo2PQIZrr
-    336616243U,	// VMOVZPQILo2PQIrm
-    135289651U,	// VMOVZPQILo2PQIrr
-    168844083U,	// VMOVZQI2PQIrm
-    135289651U,	// VMOVZQI2PQIrr
-    2282775052U,	// VMPSADBWYrmi
-    2282775052U,	// VMPSADBWYrri
-    2282775052U,	// VMPSADBWrmi
-    2282775052U,	// VMPSADBWrri
+    597782U,	// VMOVUPSmr
+    537943830U,	// VMOVUPSrm
+    135290646U,	// VMOVUPSrr
+    135290646U,	// VMOVUPSrr_REV
+    336616248U,	// VMOVZPQILo2PQIZrm
+    135289656U,	// VMOVZPQILo2PQIZrr
+    336616248U,	// VMOVZPQILo2PQIrm
+    135289656U,	// VMOVZPQILo2PQIrr
+    168844088U,	// VMOVZQI2PQIrm
+    135289656U,	// VMOVZQI2PQIrr
+    2282775057U,	// VMPSADBWYrmi
+    2282775057U,	// VMPSADBWYrri
+    2282775057U,	// VMPSADBWrmi
+    2282775057U,	// VMPSADBWrri
     85896U,	// VMPTRLDm
-    90522U,	// VMPTRSTm
+    90527U,	// VMPTRSTm
     1117825U,	// VMREAD32rm
     135286401U,	// VMREAD32rr
     1134209U,	// VMREAD64rm
     135286401U,	// VMREAD64rr
-    11974U,	// VMRESUME
-    12768U,	// VMRUN32
-    12823U,	// VMRUN64
-    12757U,	// VMSAVE32
-    12812U,	// VMSAVE64
+    11979U,	// VMRESUME
+    12773U,	// VMRUN32
+    12828U,	// VMRUN64
+    12762U,	// VMSAVE32
+    12817U,	// VMSAVE64
     2282770902U,	// VMULPDYrm
     2282770902U,	// VMULPDYrr
     2282767040U,	// VMULPDZrm
@@ -4053,39 +4077,39 @@
     2282767040U,	// VMULPDZrr
     2282770902U,	// VMULPDrm
     2282770902U,	// VMULPDrr
-    2282774135U,	// VMULPSYrm
-    2282774135U,	// VMULPSYrr
+    2282774140U,	// VMULPSYrm
+    2282774140U,	// VMULPSYrr
     2282768689U,	// VMULPSZrm
     2282768689U,	// VMULPSZrmb
     2282768689U,	// VMULPSZrr
-    2282774135U,	// VMULPSrm
-    2282774135U,	// VMULPSrr
+    2282774140U,	// VMULPSrm
+    2282774140U,	// VMULPSrr
     2282771417U,	// VMULSDZrm
     2282771417U,	// VMULSDZrr
     2282771417U,	// VMULSDrm
     2282771417U,	// VMULSDrm_Int
     2282771417U,	// VMULSDrr
     2282771417U,	// VMULSDrr_Int
-    2282774629U,	// VMULSSZrm
-    2282774629U,	// VMULSSZrr
-    2282774629U,	// VMULSSrm
-    2282774629U,	// VMULSSrm_Int
-    2282774629U,	// VMULSSrr
-    2282774629U,	// VMULSSrr_Int
+    2282774634U,	// VMULSSZrm
+    2282774634U,	// VMULSSZrr
+    2282774634U,	// VMULSSrm
+    2282774634U,	// VMULSSrm_Int
+    2282774634U,	// VMULSSrr
+    2282774634U,	// VMULSSrr_Int
     101733885U,	// VMWRITE32rm
     135288317U,	// VMWRITE32rr
     168842749U,	// VMWRITE64rm
     135288317U,	// VMWRITE64rr
-    12031U,	// VMXOFF
-    88085U,	// VMXON
+    12036U,	// VMXOFF
+    88090U,	// VMXON
     2282770980U,	// VORPDYrm
     2282770980U,	// VORPDYrr
     2282770980U,	// VORPDrm
     2282770980U,	// VORPDrr
-    2282774213U,	// VORPSYrm
-    2282774213U,	// VORPSYrr
-    2282774213U,	// VORPSrm
-    2282774213U,	// VORPSrr
+    2282774218U,	// VORPSYrm
+    2282774218U,	// VORPSYrr
+    2282774218U,	// VORPSrm
+    2282774218U,	// VORPSrr
     336612687U,	// VPABSBrm128
     907038031U,	// VPABSBrm256
     135286095U,	// VPABSBrr128
@@ -4098,22 +4122,22 @@
     135287674U,	// VPABSDrr256
     940590882U,	// VPABSQrm
     135284514U,	// VPABSQrr
-    336618326U,	// VPABSWrm128
-    907043670U,	// VPABSWrm256
-    135291734U,	// VPABSWrr128
-    135291734U,	// VPABSWrr256
-    2282775197U,	// VPACKSSDWYrm
-    2282775197U,	// VPACKSSDWYrr
-    2282775197U,	// VPACKSSDWrm
-    2282775197U,	// VPACKSSDWrr
+    336618331U,	// VPABSWrm128
+    907043675U,	// VPABSWrm256
+    135291739U,	// VPABSWrr128
+    135291739U,	// VPABSWrr256
+    2282775202U,	// VPACKSSDWYrm
+    2282775202U,	// VPACKSSDWYrr
+    2282775202U,	// VPACKSSDWrm
+    2282775202U,	// VPACKSSDWrr
     2282769934U,	// VPACKSSWBYrm
     2282769934U,	// VPACKSSWBYrr
     2282769934U,	// VPACKSSWBrm
     2282769934U,	// VPACKSSWBrr
-    2282775208U,	// VPACKUSDWYrm
-    2282775208U,	// VPACKUSDWYrr
-    2282775208U,	// VPACKUSDWrm
-    2282775208U,	// VPACKUSDWrr
+    2282775213U,	// VPACKUSDWYrm
+    2282775213U,	// VPACKUSDWYrr
+    2282775213U,	// VPACKUSDWrm
+    2282775213U,	// VPACKUSDWrr
     2282769945U,	// VPACKUSWBYrm
     2282769945U,	// VPACKUSWBYrr
     2282769945U,	// VPACKUSWBrm
@@ -4129,37 +4153,37 @@
     2282766509U,	// VPADDDZrr
     2282770162U,	// VPADDDrm
     2282770162U,	// VPADDDrr
-    2282772905U,	// VPADDQYrm
-    2282772905U,	// VPADDQYrr
+    2282772910U,	// VPADDQYrm
+    2282772910U,	// VPADDQYrr
     2282767827U,	// VPADDQZrm
     2282767827U,	// VPADDQZrmb
     2282767827U,	// VPADDQZrr
-    2282772905U,	// VPADDQrm
-    2282772905U,	// VPADDQrr
+    2282772910U,	// VPADDQrm
+    2282772910U,	// VPADDQrr
     2282769760U,	// VPADDSBYrm
     2282769760U,	// VPADDSBYrr
     2282769760U,	// VPADDSBrm
     2282769760U,	// VPADDSBrr
-    2282775431U,	// VPADDSWYrm
-    2282775431U,	// VPADDSWYrr
-    2282775431U,	// VPADDSWrm
-    2282775431U,	// VPADDSWrr
+    2282775436U,	// VPADDSWYrm
+    2282775436U,	// VPADDSWYrr
+    2282775436U,	// VPADDSWrm
+    2282775436U,	// VPADDSWrr
     2282769802U,	// VPADDUSBYrm
     2282769802U,	// VPADDUSBYrr
     2282769802U,	// VPADDUSBrm
     2282769802U,	// VPADDUSBrr
-    2282775504U,	// VPADDUSWYrm
-    2282775504U,	// VPADDUSWYrr
-    2282775504U,	// VPADDUSWrm
-    2282775504U,	// VPADDUSWrr
-    2282775179U,	// VPADDWYrm
-    2282775179U,	// VPADDWYrr
-    2282775179U,	// VPADDWrm
-    2282775179U,	// VPADDWrr
-    2282773401U,	// VPALIGNR128rm
-    2282773401U,	// VPALIGNR128rr
-    2282773401U,	// VPALIGNR256rm
-    2282773401U,	// VPALIGNR256rr
+    2282775509U,	// VPADDUSWYrm
+    2282775509U,	// VPADDUSWYrr
+    2282775509U,	// VPADDUSWrm
+    2282775509U,	// VPADDUSWrr
+    2282775184U,	// VPADDWYrm
+    2282775184U,	// VPADDWYrr
+    2282775184U,	// VPADDWrm
+    2282775184U,	// VPADDWrr
+    2282773406U,	// VPALIGNR128rm
+    2282773406U,	// VPALIGNR128rr
+    2282773406U,	// VPALIGNR256rm
+    2282773406U,	// VPALIGNR256rr
     2282766518U,	// VPANDDZrm
     2282766518U,	// VPANDDZrmb
     2282766518U,	// VPANDDZrr
@@ -4169,10 +4193,10 @@
     2282768087U,	// VPANDNQZrm
     2282768087U,	// VPANDNQZrmb
     2282768087U,	// VPANDNQZrr
-    2282772478U,	// VPANDNYrm
-    2282772478U,	// VPANDNYrr
-    2282772478U,	// VPANDNrm
-    2282772478U,	// VPANDNrr
+    2282772483U,	// VPANDNYrm
+    2282772483U,	// VPANDNYrr
+    2282772483U,	// VPANDNrm
+    2282772483U,	// VPANDNrr
     2282767872U,	// VPANDQZrm
     2282767872U,	// VPANDQZrmb
     2282767872U,	// VPANDQZrr
@@ -4184,10 +4208,10 @@
     2282769667U,	// VPAVGBYrr
     2282769667U,	// VPAVGBrm
     2282769667U,	// VPAVGBrr
-    2282775234U,	// VPAVGWYrm
-    2282775234U,	// VPAVGWYrr
-    2282775234U,	// VPAVGWrm
-    2282775234U,	// VPAVGWrr
+    2282775239U,	// VPAVGWYrm
+    2282775239U,	// VPAVGWYrr
+    2282775239U,	// VPAVGWrm
+    2282775239U,	// VPAVGWrr
     2282770170U,	// VPBLENDDYrmi
     2282770170U,	// VPBLENDDYrri
     2282770170U,	// VPBLENDDrmi
@@ -4200,10 +4224,10 @@
     2282769916U,	// VPBLENDVBYrr
     2282769916U,	// VPBLENDVBrm
     2282769916U,	// VPBLENDVBrr
-    2282775187U,	// VPBLENDWYrmi
-    2282775187U,	// VPBLENDWYrri
-    2282775187U,	// VPBLENDWrmi
-    2282775187U,	// VPBLENDWrri
+    2282775192U,	// VPBLENDWYrmi
+    2282775192U,	// VPBLENDWYrri
+    2282775192U,	// VPBLENDWrmi
+    2282775192U,	// VPBLENDWrri
     504384965U,	// VPBROADCASTBYrm
     135286213U,	// VPBROADCASTBYrr
     504384965U,	// VPBROADCASTBrm
@@ -4220,31 +4244,31 @@
     135287901U,	// VPBROADCASTDrr
     135284047U,	// VPBROADCASTMB2Qrr
     135282801U,	// VPBROADCASTMW2Drr
-    168844021U,	// VPBROADCASTQYrm
-    135289589U,	// VPBROADCASTQYrr
+    168844026U,	// VPBROADCASTQYrm
+    135289594U,	// VPBROADCASTQYrr
     155207512U,	// VPBROADCASTQZkrm
     155207512U,	// VPBROADCASTQZkrr
     168839000U,	// VPBROADCASTQZrm
     135284568U,	// VPBROADCASTQZrr
     155207512U,	// VPBROADCASTQrZkrr
     135284568U,	// VPBROADCASTQrZrr
-    168844021U,	// VPBROADCASTQrm
-    135289589U,	// VPBROADCASTQrr
-    370172924U,	// VPBROADCASTWYrm
-    135291900U,	// VPBROADCASTWYrr
-    370172924U,	// VPBROADCASTWrm
-    135291900U,	// VPBROADCASTWrr
-    2282773000U,	// VPCLMULQDQrm
-    2282773000U,	// VPCLMULQDQrr
-    2282775018U,	// VPCMOVmr
-    2282775018U,	// VPCMOVmrY
-    2282775018U,	// VPCMOVrm
-    2282775018U,	// VPCMOVrmY
-    2282775018U,	// VPCMOVrr
-    2282775018U,	// VPCMOVrrY
-    1198878700U,	// VPCMPDZrmi
+    168844026U,	// VPBROADCASTQrm
+    135289594U,	// VPBROADCASTQrr
+    370172929U,	// VPBROADCASTWYrm
+    135291905U,	// VPBROADCASTWYrr
+    370172929U,	// VPBROADCASTWrm
+    135291905U,	// VPBROADCASTWrr
+    2282773005U,	// VPCLMULQDQrm
+    2282773005U,	// VPCLMULQDQrr
+    2282775023U,	// VPCMOVmr
+    2282775023U,	// VPCMOVmrY
+    2282775023U,	// VPCMOVrm
+    2282775023U,	// VPCMOVrmY
+    2282775023U,	// VPCMOVrr
+    2282775023U,	// VPCMOVrrY
+    1198878705U,	// VPCMPDZrmi
     2282770919U,	// VPCMPDZrmi_alt
-    91598828U,	// VPCMPDZrri
+    91598833U,	// VPCMPDZrri
     2282770919U,	// VPCMPDZrri_alt
     2282769715U,	// VPCMPEQBYrm
     2282769715U,	// VPCMPEQBYrr
@@ -4256,24 +4280,24 @@
     2282767144U,	// VPCMPEQDZrr
     2282771080U,	// VPCMPEQDrm
     2282771080U,	// VPCMPEQDrr
-    2282773141U,	// VPCMPEQQYrm
-    2282773141U,	// VPCMPEQQYrr
+    2282773146U,	// VPCMPEQQYrm
+    2282773146U,	// VPCMPEQQYrr
     2282768107U,	// VPCMPEQQZrm
     2282768107U,	// VPCMPEQQZrr
-    2282773141U,	// VPCMPEQQrm
-    2282773141U,	// VPCMPEQQrr
-    2282775339U,	// VPCMPEQWYrm
-    2282775339U,	// VPCMPEQWYrr
-    2282775339U,	// VPCMPEQWrm
-    2282775339U,	// VPCMPEQWrr
+    2282773146U,	// VPCMPEQQrm
+    2282773146U,	// VPCMPEQQrr
+    2282775344U,	// VPCMPEQWYrm
+    2282775344U,	// VPCMPEQWYrr
+    2282775344U,	// VPCMPEQWrm
+    2282775344U,	// VPCMPEQWrr
     0U,	// VPCMPESTRIMEM
     0U,	// VPCMPESTRIREG
     2484098801U,	// VPCMPESTRIrm
     2282772209U,	// VPCMPESTRIrr
     0U,	// VPCMPESTRM128MEM
     0U,	// VPCMPESTRM128REG
-    2484099046U,	// VPCMPESTRM128rm
-    2282772454U,	// VPCMPESTRM128rr
+    2484099051U,	// VPCMPESTRM128rm
+    2282772459U,	// VPCMPESTRM128rr
     2282769843U,	// VPCMPGTBYrm
     2282769843U,	// VPCMPGTBYrr
     2282769843U,	// VPCMPGTBrm
@@ -4284,52 +4308,52 @@
     2282767444U,	// VPCMPGTDZrr
     2282771531U,	// VPCMPGTDrm
     2282771531U,	// VPCMPGTDrr
-    2282773202U,	// VPCMPGTQYrm
-    2282773202U,	// VPCMPGTQYrr
+    2282773207U,	// VPCMPGTQYrm
+    2282773207U,	// VPCMPGTQYrr
     2282768205U,	// VPCMPGTQZrm
     2282768205U,	// VPCMPGTQZrr
-    2282773202U,	// VPCMPGTQrm
-    2282773202U,	// VPCMPGTQrr
-    2282775530U,	// VPCMPGTWYrm
-    2282775530U,	// VPCMPGTWYrr
-    2282775530U,	// VPCMPGTWrm
-    2282775530U,	// VPCMPGTWrr
+    2282773207U,	// VPCMPGTQrm
+    2282773207U,	// VPCMPGTQrr
+    2282775535U,	// VPCMPGTWYrm
+    2282775535U,	// VPCMPGTWYrr
+    2282775535U,	// VPCMPGTWrm
+    2282775535U,	// VPCMPGTWrr
     0U,	// VPCMPISTRIMEM
     0U,	// VPCMPISTRIREG
     2484098813U,	// VPCMPISTRIrm
     2282772221U,	// VPCMPISTRIrr
     0U,	// VPCMPISTRM128MEM
     0U,	// VPCMPISTRM128REG
-    2484099058U,	// VPCMPISTRM128rm
-    2282772466U,	// VPCMPISTRM128rr
-    1199927276U,	// VPCMPQZrmi
-    2282773133U,	// VPCMPQZrmi_alt
-    92647404U,	// VPCMPQZrri
-    2282773133U,	// VPCMPQZrri_alt
-    1200975852U,	// VPCMPUDZrmi
+    2484099063U,	// VPCMPISTRM128rm
+    2282772471U,	// VPCMPISTRM128rr
+    1199927281U,	// VPCMPQZrmi
+    2282773138U,	// VPCMPQZrmi_alt
+    92647409U,	// VPCMPQZrri
+    2282773138U,	// VPCMPQZrri_alt
+    1200975857U,	// VPCMPUDZrmi
     2282771581U,	// VPCMPUDZrmi_alt
-    93695980U,	// VPCMPUDZrri
+    93695985U,	// VPCMPUDZrri
     2282771581U,	// VPCMPUDZrri_alt
-    1202024428U,	// VPCMPUQZrmi
-    2282773260U,	// VPCMPUQZrmi_alt
-    94744556U,	// VPCMPUQZrri
-    2282773260U,	// VPCMPUQZrri_alt
+    1202024433U,	// VPCMPUQZrmi
+    2282773265U,	// VPCMPUQZrmi_alt
+    94744561U,	// VPCMPUQZrri
+    2282773265U,	// VPCMPUQZrri_alt
     2282769698U,	// VPCOMBmi
     2282769698U,	// VPCOMBri
     2282770321U,	// VPCOMDmi
     2282770321U,	// VPCOMDri
-    2282773117U,	// VPCOMQmi
-    2282773117U,	// VPCOMQri
+    2282773122U,	// VPCOMQmi
+    2282773122U,	// VPCOMQri
     2282769875U,	// VPCOMUBmi
     2282769875U,	// VPCOMUBri
     2282771563U,	// VPCOMUDmi
     2282771563U,	// VPCOMUDri
-    2282773251U,	// VPCOMUQmi
-    2282773251U,	// VPCOMUQri
-    2282775572U,	// VPCOMUWmi
-    2282775572U,	// VPCOMUWri
-    2282775322U,	// VPCOMWmi
-    2282775322U,	// VPCOMWri
+    2282773256U,	// VPCOMUQmi
+    2282773256U,	// VPCOMUQri
+    2282775577U,	// VPCOMUWmi
+    2282775577U,	// VPCOMUWri
+    2282775327U,	// VPCOMWmi
+    2282775327U,	// VPCOMWri
     940590150U,	// VPCONFLICTDrm
     2249212998U,	// VPCONFLICTDrmb
     88097862U,	// VPCONFLICTDrmbk
@@ -4370,12 +4394,12 @@
     2282770550U,	// VPERMIL2PDrmY
     2282770550U,	// VPERMIL2PDrr
     2282770550U,	// VPERMIL2PDrrY
-    2282773782U,	// VPERMIL2PSmr
-    2282773782U,	// VPERMIL2PSmrY
-    2282773782U,	// VPERMIL2PSrm
-    2282773782U,	// VPERMIL2PSrmY
-    2282773782U,	// VPERMIL2PSrr
-    2282773782U,	// VPERMIL2PSrrY
+    2282773787U,	// VPERMIL2PSmr
+    2282773787U,	// VPERMIL2PSmrY
+    2282773787U,	// VPERMIL2PSrm
+    2282773787U,	// VPERMIL2PSrmY
+    2282773787U,	// VPERMIL2PSrr
+    2282773787U,	// VPERMIL2PSrrY
     3121631680U,	// VPERMILPDYmi
     2282770880U,	// VPERMILPDYri
     2282770880U,	// VPERMILPDYrm
@@ -4386,28 +4410,28 @@
     2282770880U,	// VPERMILPDri
     2282770880U,	// VPERMILPDrm
     2282770880U,	// VPERMILPDrr
-    3121634913U,	// VPERMILPSYmi
-    2282774113U,	// VPERMILPSYri
-    2282774113U,	// VPERMILPSYrm
-    2282774113U,	// VPERMILPSYrr
+    3121634918U,	// VPERMILPSYmi
+    2282774118U,	// VPERMILPSYri
+    2282774118U,	// VPERMILPSYrm
+    2282774118U,	// VPERMILPSYrr
     3088075045U,	// VPERMILPSZmi
     2282768677U,	// VPERMILPSZri
-    2685427297U,	// VPERMILPSmi
-    2282774113U,	// VPERMILPSri
-    2282774113U,	// VPERMILPSrm
-    2282774113U,	// VPERMILPSrr
+    2685427302U,	// VPERMILPSmi
+    2282774118U,	// VPERMILPSri
+    2282774118U,	// VPERMILPSrm
+    2282774118U,	// VPERMILPSrr
     3054522863U,	// VPERMPDYmi
     2282770927U,	// VPERMPDYri
     3155182293U,	// VPERMPDZmi
     2282767061U,	// VPERMPDZri
     2282767061U,	// VPERMPDZrm
     2282767061U,	// VPERMPDZrr
-    2282774152U,	// VPERMPSYrm
-    2282774152U,	// VPERMPSYrr
+    2282774157U,	// VPERMPSYrm
+    2282774157U,	// VPERMPSYrr
     2282768710U,	// VPERMPSZrm
     2282768710U,	// VPERMPSZrr
-    3054525061U,	// VPERMQYmi
-    2282773125U,	// VPERMQYri
+    3054525066U,	// VPERMQYmi
+    2282773130U,	// VPERMQYri
     3088074435U,	// VPERMQZmi
     2282768067U,	// VPERMQZri
     2282768067U,	// VPERMQZrm
@@ -4424,115 +4448,115 @@
     2282769734U,	// VPEXTRBrr
     2148602541U,	// VPEXTRDmr
     2282771117U,	// VPEXTRDrr
-    2148620980U,	// VPEXTRQmr
-    2282773172U,	// VPEXTRQrr
-    2148574029U,	// VPEXTRWmr
-    2282775373U,	// VPEXTRWri
-    2282775373U,	// VPEXTRWrr_REV
+    2148620985U,	// VPEXTRQmr
+    2282773177U,	// VPEXTRQrr
+    2148574034U,	// VPEXTRWmr
+    2282775378U,	// VPEXTRWri
+    2282775378U,	// VPEXTRWrr_REV
     1141919492U,	// VPGATHERDDYrm
     3275767999U,	// VPGATHERDDZrm
     1141919492U,	// VPGATHERDDrm
-    1074813460U,	// VPGATHERDQYrm
+    1074813465U,	// VPGATHERDQYrm
     1128285733U,	// VPGATHERDQZrm
-    1074813460U,	// VPGATHERDQrm
+    1074813465U,	// VPGATHERDQrm
     1141920402U,	// VPGATHERQDYrm
     1128284979U,	// VPGATHERQDZrm
     1141920402U,	// VPGATHERQDrm
-    1074813599U,	// VPGATHERQQYrm
+    1074813604U,	// VPGATHERQQYrm
     1128285942U,	// VPGATHERQQZrm
-    1074813599U,	// VPGATHERQQrm
+    1074813604U,	// VPGATHERQQrm
     336613017U,	// VPHADDBDrm
     135286425U,	// VPHADDBDrr
-    336615733U,	// VPHADDBQrm
-    135289141U,	// VPHADDBQrr
-    336618015U,	// VPHADDBWrm
-    135291423U,	// VPHADDBWrr
-    336615857U,	// VPHADDDQrm
-    135289265U,	// VPHADDDQrr
+    336615738U,	// VPHADDBQrm
+    135289146U,	// VPHADDBQrr
+    336618020U,	// VPHADDBWrm
+    135291428U,	// VPHADDBWrr
+    336615862U,	// VPHADDDQrm
+    135289270U,	// VPHADDDQrr
     2282770153U,	// VPHADDDYrm
     2282770153U,	// VPHADDDYrr
     2282770153U,	// VPHADDDrm
     2282770153U,	// VPHADDDrr
-    2282775421U,	// VPHADDSWrm128
-    2282775421U,	// VPHADDSWrm256
-    2282775421U,	// VPHADDSWrr128
-    2282775421U,	// VPHADDSWrr256
+    2282775426U,	// VPHADDSWrm128
+    2282775426U,	// VPHADDSWrm256
+    2282775426U,	// VPHADDSWrr128
+    2282775426U,	// VPHADDSWrr256
     336613027U,	// VPHADDUBDrm
     135286435U,	// VPHADDUBDrr
-    336615743U,	// VPHADDUBQrm
-    135289151U,	// VPHADDUBQrr
-    336618049U,	// VPHADDUBWrm
-    135291457U,	// VPHADDUBWrr
-    336615978U,	// VPHADDUDQrm
-    135289386U,	// VPHADDUDQrr
+    336615748U,	// VPHADDUBQrm
+    135289156U,	// VPHADDUBQrr
+    336618054U,	// VPHADDUBWrm
+    135291462U,	// VPHADDUBWrr
+    336615983U,	// VPHADDUDQrm
+    135289391U,	// VPHADDUDQrr
     336614687U,	// VPHADDUWDrm
     135288095U,	// VPHADDUWDrr
-    336616260U,	// VPHADDUWQrm
-    135289668U,	// VPHADDUWQrr
+    336616265U,	// VPHADDUWQrm
+    135289673U,	// VPHADDUWQrr
     336614599U,	// VPHADDWDrm
     135288007U,	// VPHADDWDrr
-    336616250U,	// VPHADDWQrm
-    135289658U,	// VPHADDWQrr
-    2282775170U,	// VPHADDWYrm
-    2282775170U,	// VPHADDWYrr
-    2282775170U,	// VPHADDWrm
-    2282775170U,	// VPHADDWrr
-    336618534U,	// VPHMINPOSUWrm128
-    135291942U,	// VPHMINPOSUWrr128
-    336617986U,	// VPHSUBBWrm
-    135291394U,	// VPHSUBBWrr
-    336615839U,	// VPHSUBDQrm
-    135289247U,	// VPHSUBDQrr
+    336616255U,	// VPHADDWQrm
+    135289663U,	// VPHADDWQrr
+    2282775175U,	// VPHADDWYrm
+    2282775175U,	// VPHADDWYrr
+    2282775175U,	// VPHADDWrm
+    2282775175U,	// VPHADDWrr
+    336618539U,	// VPHMINPOSUWrm128
+    135291947U,	// VPHMINPOSUWrr128
+    336617991U,	// VPHSUBBWrm
+    135291399U,	// VPHSUBBWrr
+    336615844U,	// VPHSUBDQrm
+    135289252U,	// VPHSUBDQrr
     2282770094U,	// VPHSUBDYrm
     2282770094U,	// VPHSUBDYrr
     2282770094U,	// VPHSUBDrm
     2282770094U,	// VPHSUBDrr
-    2282775402U,	// VPHSUBSWrm128
-    2282775402U,	// VPHSUBSWrm256
-    2282775402U,	// VPHSUBSWrr128
-    2282775402U,	// VPHSUBSWrr256
+    2282775407U,	// VPHSUBSWrm128
+    2282775407U,	// VPHSUBSWrm256
+    2282775407U,	// VPHSUBSWrr128
+    2282775407U,	// VPHSUBSWrr256
     336614589U,	// VPHSUBWDrm
     135287997U,	// VPHSUBWDrr
-    2282775116U,	// VPHSUBWYrm
-    2282775116U,	// VPHSUBWYrr
-    2282775116U,	// VPHSUBWrm
-    2282775116U,	// VPHSUBWrr
+    2282775121U,	// VPHSUBWYrm
+    2282775121U,	// VPHSUBWYrr
+    2282775121U,	// VPHSUBWrm
+    2282775121U,	// VPHSUBWrr
     2282769725U,	// VPINSRBrm
     2282769725U,	// VPINSRBrr
     2282771108U,	// VPINSRDrm
     2282771108U,	// VPINSRDrr
-    2282773163U,	// VPINSRQrm
-    2282773163U,	// VPINSRQrr
-    2282775364U,	// VPINSRWrmi
-    2282775364U,	// VPINSRWrri
+    2282773168U,	// VPINSRQrm
+    2282773168U,	// VPINSRQrr
+    2282775369U,	// VPINSRWrmi
+    2282775369U,	// VPINSRWrri
     2282770192U,	// VPMACSDDrm
     2282770192U,	// VPMACSDDrr
     2282772085U,	// VPMACSDQHrm
     2282772085U,	// VPMACSDQHrr
-    2282772366U,	// VPMACSDQLrm
-    2282772366U,	// VPMACSDQLrr
+    2282772371U,	// VPMACSDQLrm
+    2282772371U,	// VPMACSDQLrr
     2282770202U,	// VPMACSSDDrm
     2282770202U,	// VPMACSSDDrr
     2282772096U,	// VPMACSSDQHrm
     2282772096U,	// VPMACSSDQHrr
-    2282772377U,	// VPMACSSDQLrm
-    2282772377U,	// VPMACSSDQLrr
+    2282772382U,	// VPMACSSDQLrm
+    2282772382U,	// VPMACSSDQLrr
     2282771720U,	// VPMACSSWDrm
     2282771720U,	// VPMACSSWDrr
-    2282775622U,	// VPMACSSWWrm
-    2282775622U,	// VPMACSSWWrr
+    2282775627U,	// VPMACSSWWrm
+    2282775627U,	// VPMACSSWWrr
     2282771699U,	// VPMACSWDrm
     2282771699U,	// VPMACSWDrr
-    2282775612U,	// VPMACSWWrm
-    2282775612U,	// VPMACSWWrr
+    2282775617U,	// VPMACSWWrm
+    2282775617U,	// VPMACSWWrr
     2282771731U,	// VPMADCSSWDrm
     2282771731U,	// VPMADCSSWDrr
     2282771709U,	// VPMADCSWDrm
     2282771709U,	// VPMADCSWDrr
-    2282775390U,	// VPMADDUBSWrm128
-    2282775390U,	// VPMADDUBSWrm256
-    2282775390U,	// VPMADDUBSWrr128
-    2282775390U,	// VPMADDUBSWrr256
+    2282775395U,	// VPMADDUBSWrm128
+    2282775395U,	// VPMADDUBSWrm256
+    2282775395U,	// VPMADDUBSWrr128
+    2282775395U,	// VPMADDUBSWrr256
     2282771665U,	// VPMADDWDYrm
     2282771665U,	// VPMADDWDYrr
     2282771665U,	// VPMADDWDrm
@@ -4541,10 +4565,10 @@
     2282771626U,	// VPMASKMOVDYrm
     2148799658U,	// VPMASKMOVDmr
     2282771626U,	// VPMASKMOVDrm
-    1041881895U,	// VPMASKMOVQYmr
-    2282773287U,	// VPMASKMOVQYrm
-    2148801319U,	// VPMASKMOVQmr
-    2282773287U,	// VPMASKMOVQrm
+    1041881900U,	// VPMASKMOVQYmr
+    2282773292U,	// VPMASKMOVQYrm
+    2148801324U,	// VPMASKMOVQmr
+    2282773292U,	// VPMASKMOVQrm
     2282769828U,	// VPMAXSBYrm
     2282769828U,	// VPMAXSBYrr
     2282769828U,	// VPMAXSBrm
@@ -4559,10 +4583,10 @@
     2282768181U,	// VPMAXSQZrm
     2282768181U,	// VPMAXSQZrmb
     2282768181U,	// VPMAXSQZrr
-    2282775521U,	// VPMAXSWYrm
-    2282775521U,	// VPMAXSWYrr
-    2282775521U,	// VPMAXSWrm
-    2282775521U,	// VPMAXSWrr
+    2282775526U,	// VPMAXSWYrm
+    2282775526U,	// VPMAXSWYrr
+    2282775526U,	// VPMAXSWrm
+    2282775526U,	// VPMAXSWrr
     2282769907U,	// VPMAXUBYrm
     2282769907U,	// VPMAXUBYrr
     2282769907U,	// VPMAXUBrm
@@ -4577,10 +4601,10 @@
     2282768241U,	// VPMAXUQZrm
     2282768241U,	// VPMAXUQZrmb
     2282768241U,	// VPMAXUQZrr
-    2282775603U,	// VPMAXUWYrm
-    2282775603U,	// VPMAXUWYrr
-    2282775603U,	// VPMAXUWrm
-    2282775603U,	// VPMAXUWrr
+    2282775608U,	// VPMAXUWYrm
+    2282775608U,	// VPMAXUWYrr
+    2282775608U,	// VPMAXUWrm
+    2282775608U,	// VPMAXUWrr
     2282769769U,	// VPMINSBYrm
     2282769769U,	// VPMINSBYrr
     2282769769U,	// VPMINSBrm
@@ -4595,10 +4619,10 @@
     2282768171U,	// VPMINSQZrm
     2282768171U,	// VPMINSQZrmb
     2282768171U,	// VPMINSQZrr
-    2282775452U,	// VPMINSWYrm
-    2282775452U,	// VPMINSWYrr
-    2282775452U,	// VPMINSWrm
-    2282775452U,	// VPMINSWrr
+    2282775457U,	// VPMINSWYrm
+    2282775457U,	// VPMINSWYrr
+    2282775457U,	// VPMINSWrm
+    2282775457U,	// VPMINSWrr
     2282769884U,	// VPMINUBYrm
     2282769884U,	// VPMINUBYrr
     2282769884U,	// VPMINUBrm
@@ -4613,10 +4637,10 @@
     2282768231U,	// VPMINUQZrm
     2282768231U,	// VPMINUQZrmb
     2282768231U,	// VPMINUQZrr
-    2282775581U,	// VPMINUWYrm
-    2282775581U,	// VPMINUWYrr
-    2282775581U,	// VPMINUWrm
-    2282775581U,	// VPMINUWrr
+    2282775586U,	// VPMINUWYrm
+    2282775586U,	// VPMINUWYrr
+    2282775586U,	// VPMINUWrm
+    2282775586U,	// VPMINUWrr
     155205680U,	// VPMOVDBkrr
     1310768U,	// VPMOVDBmr
     135282736U,	// VPMOVDBrr
@@ -4655,34 +4679,34 @@
     135282837U,	// VPMOVSXBDZrr
     101732031U,	// VPMOVSXBDrm
     135286463U,	// VPMOVSXBDrr
-    370170194U,	// VPMOVSXBQYrm
-    135289170U,	// VPMOVSXBQYrr
+    370170199U,	// VPMOVSXBQYrm
+    135289175U,	// VPMOVSXBQYrr
     336610697U,	// VPMOVSXBQZrm
     135284105U,	// VPMOVSXBQZrr
-    370170194U,	// VPMOVSXBQrm
-    135289170U,	// VPMOVSXBQrr
-    336618077U,	// VPMOVSXBWYrm
-    135291485U,	// VPMOVSXBWYrr
-    168845917U,	// VPMOVSXBWrm
-    135291485U,	// VPMOVSXBWrr
-    336615999U,	// VPMOVSXDQYrm
-    135289407U,	// VPMOVSXDQYrr
+    370170199U,	// VPMOVSXBQrm
+    135289175U,	// VPMOVSXBQrr
+    336618082U,	// VPMOVSXBWYrm
+    135291490U,	// VPMOVSXBWYrr
+    168845922U,	// VPMOVSXBWrm
+    135291490U,	// VPMOVSXBWrr
+    336616004U,	// VPMOVSXDQYrm
+    135289412U,	// VPMOVSXDQYrr
     907036289U,	// VPMOVSXDQZrm
     135284353U,	// VPMOVSXDQZrr
-    168843839U,	// VPMOVSXDQrm
-    135289407U,	// VPMOVSXDQrr
+    168843844U,	// VPMOVSXDQrm
+    135289412U,	// VPMOVSXDQrr
     336614698U,	// VPMOVSXWDYrm
     135288106U,	// VPMOVSXWDYrr
     907035808U,	// VPMOVSXWDZrm
     135283872U,	// VPMOVSXWDZrr
     168842538U,	// VPMOVSXWDrm
     135288106U,	// VPMOVSXWDrr
-    101735247U,	// VPMOVSXWQYrm
-    135289679U,	// VPMOVSXWQYrr
+    101735252U,	// VPMOVSXWQYrm
+    135289684U,	// VPMOVSXWQYrr
     336611225U,	// VPMOVSXWQZrm
     135284633U,	// VPMOVSXWQZrr
-    101735247U,	// VPMOVSXWQrm
-    135289679U,	// VPMOVSXWQrr
+    101735252U,	// VPMOVSXWQrm
+    135289684U,	// VPMOVSXWQrr
     155205657U,	// VPMOVUSDBkrr
     1310745U,	// VPMOVUSDBmr
     135282713U,	// VPMOVUSDBrr
@@ -4704,52 +4728,52 @@
     135282849U,	// VPMOVZXBDZrr
     101732042U,	// VPMOVZXBDrm
     135286474U,	// VPMOVZXBDrr
-    370170205U,	// VPMOVZXBQYrm
-    135289181U,	// VPMOVZXBQYrr
+    370170210U,	// VPMOVZXBQYrm
+    135289186U,	// VPMOVZXBQYrr
     336610709U,	// VPMOVZXBQZrm
     135284117U,	// VPMOVZXBQZrr
-    370170205U,	// VPMOVZXBQrm
-    135289181U,	// VPMOVZXBQrr
-    336618088U,	// VPMOVZXBWYrm
-    135291496U,	// VPMOVZXBWYrr
-    168845928U,	// VPMOVZXBWrm
-    135291496U,	// VPMOVZXBWrr
-    336616010U,	// VPMOVZXDQYrm
-    135289418U,	// VPMOVZXDQYrr
+    370170210U,	// VPMOVZXBQrm
+    135289186U,	// VPMOVZXBQrr
+    336618093U,	// VPMOVZXBWYrm
+    135291501U,	// VPMOVZXBWYrr
+    168845933U,	// VPMOVZXBWrm
+    135291501U,	// VPMOVZXBWrr
+    336616015U,	// VPMOVZXDQYrm
+    135289423U,	// VPMOVZXDQYrr
     907036301U,	// VPMOVZXDQZrm
     135284365U,	// VPMOVZXDQZrr
-    168843850U,	// VPMOVZXDQrm
-    135289418U,	// VPMOVZXDQrr
+    168843855U,	// VPMOVZXDQrm
+    135289423U,	// VPMOVZXDQrr
     336614709U,	// VPMOVZXWDYrm
     135288117U,	// VPMOVZXWDYrr
     907035820U,	// VPMOVZXWDZrm
     135283884U,	// VPMOVZXWDZrr
     168842549U,	// VPMOVZXWDrm
     135288117U,	// VPMOVZXWDrr
-    101735258U,	// VPMOVZXWQYrm
-    135289690U,	// VPMOVZXWQYrr
+    101735263U,	// VPMOVZXWQYrm
+    135289695U,	// VPMOVZXWQYrr
     336611237U,	// VPMOVZXWQZrm
     135284645U,	// VPMOVZXWQZrr
-    101735258U,	// VPMOVZXWQrm
-    135289690U,	// VPMOVZXWQrr
-    2282772965U,	// VPMULDQYrm
-    2282772965U,	// VPMULDQYrr
+    101735263U,	// VPMOVZXWQrm
+    135289695U,	// VPMOVZXWQrr
+    2282772970U,	// VPMULDQYrm
+    2282772970U,	// VPMULDQYrr
     2282767862U,	// VPMULDQZrm
     2282767862U,	// VPMULDQZrr
-    2282772965U,	// VPMULDQrm
-    2282772965U,	// VPMULDQrr
-    2282775475U,	// VPMULHRSWrm128
-    2282775475U,	// VPMULHRSWrm256
-    2282775475U,	// VPMULHRSWrr128
-    2282775475U,	// VPMULHRSWrr256
-    2282775562U,	// VPMULHUWYrm
-    2282775562U,	// VPMULHUWYrr
-    2282775562U,	// VPMULHUWrm
-    2282775562U,	// VPMULHUWrr
-    2282775263U,	// VPMULHWYrm
-    2282775263U,	// VPMULHWYrr
-    2282775263U,	// VPMULHWrm
-    2282775263U,	// VPMULHWrr
+    2282772970U,	// VPMULDQrm
+    2282772970U,	// VPMULDQrr
+    2282775480U,	// VPMULHRSWrm128
+    2282775480U,	// VPMULHRSWrm256
+    2282775480U,	// VPMULHRSWrr128
+    2282775480U,	// VPMULHRSWrr256
+    2282775567U,	// VPMULHUWYrm
+    2282775567U,	// VPMULHUWYrr
+    2282775567U,	// VPMULHUWrm
+    2282775567U,	// VPMULHUWrr
+    2282775268U,	// VPMULHWYrm
+    2282775268U,	// VPMULHWYrr
+    2282775268U,	// VPMULHWrm
+    2282775268U,	// VPMULHWrr
     2282770295U,	// VPMULLDYrm
     2282770295U,	// VPMULLDYrr
     2282766573U,	// VPMULLDZrm
@@ -4757,29 +4781,29 @@
     2282766573U,	// VPMULLDZrr
     2282770295U,	// VPMULLDrm
     2282770295U,	// VPMULLDrr
-    2282775305U,	// VPMULLWYrm
-    2282775305U,	// VPMULLWYrr
-    2282775305U,	// VPMULLWrm
-    2282775305U,	// VPMULLWrr
-    2282773045U,	// VPMULUDQYrm
-    2282773045U,	// VPMULUDQYrr
+    2282775310U,	// VPMULLWYrm
+    2282775310U,	// VPMULLWYrr
+    2282775310U,	// VPMULLWrm
+    2282775310U,	// VPMULLWrr
+    2282773050U,	// VPMULUDQYrm
+    2282773050U,	// VPMULUDQYrr
     2282767990U,	// VPMULUDQZrm
     2282767990U,	// VPMULUDQZrr
-    2282773045U,	// VPMULUDQrm
-    2282773045U,	// VPMULUDQrr
+    2282773050U,	// VPMULUDQrm
+    2282773050U,	// VPMULUDQrr
     2282767215U,	// VPORDZrm
     2282767215U,	// VPORDZrmb
     2282767215U,	// VPORDZrr
     2282768145U,	// VPORQZrm
     2282768145U,	// VPORQZrmb
     2282768145U,	// VPORQZrr
-    2282773411U,	// VPORYrm
-    2282773411U,	// VPORYrr
-    2282773411U,	// VPORrm
-    2282773411U,	// VPORrr
-    2282772446U,	// VPPERMmr
-    2282772446U,	// VPPERMrm
-    2282772446U,	// VPPERMrr
+    2282773416U,	// VPORYrm
+    2282773416U,	// VPORYrr
+    2282773416U,	// VPORrm
+    2282773416U,	// VPORrr
+    2282772451U,	// VPPERMmr
+    2282772451U,	// VPPERMrm
+    2282772451U,	// VPPERMrr
     2484096445U,	// VPROTBmi
     2484096445U,	// VPROTBmr
     2282769853U,	// VPROTBri
@@ -4790,20 +4814,20 @@
     2282771541U,	// VPROTDri
     2282771541U,	// VPROTDrm
     2282771541U,	// VPROTDrr
-    2484099812U,	// VPROTQmi
-    2484099812U,	// VPROTQmr
-    2282773220U,	// VPROTQri
-    2282773220U,	// VPROTQrm
-    2282773220U,	// VPROTQrr
-    2484102132U,	// VPROTWmi
-    2484102132U,	// VPROTWmr
-    2282775540U,	// VPROTWri
-    2282775540U,	// VPROTWrm
-    2282775540U,	// VPROTWrr
-    2282775062U,	// VPSADBWYrm
-    2282775062U,	// VPSADBWYrr
-    2282775062U,	// VPSADBWrm
-    2282775062U,	// VPSADBWrr
+    2484099817U,	// VPROTQmi
+    2484099817U,	// VPROTQmr
+    2282773225U,	// VPROTQri
+    2282773225U,	// VPROTQrm
+    2282773225U,	// VPROTQrr
+    2484102137U,	// VPROTWmi
+    2484102137U,	// VPROTWmr
+    2282775545U,	// VPROTWri
+    2282775545U,	// VPROTWrm
+    2282775545U,	// VPROTWrr
+    2282775067U,	// VPSADBWYrm
+    2282775067U,	// VPSADBWYrr
+    2282775067U,	// VPSADBWrm
+    2282775067U,	// VPSADBWrr
     688332U,	// VPSCATTERDDZmr
     706098U,	// VPSCATTERDQZmr
     705344U,	// VPSCATTERQDZmr
@@ -4814,24 +4838,24 @@
     2484096649U,	// VPSHADmr
     2282770057U,	// VPSHADrm
     2282770057U,	// VPSHADrr
-    2484099373U,	// VPSHAQmr
-    2282772781U,	// VPSHAQrm
-    2282772781U,	// VPSHAQrr
-    2484101618U,	// VPSHAWmr
-    2282775026U,	// VPSHAWrm
-    2282775026U,	// VPSHAWrr
+    2484099378U,	// VPSHAQmr
+    2282772786U,	// VPSHAQrm
+    2282772786U,	// VPSHAQrr
+    2484101623U,	// VPSHAWmr
+    2282775031U,	// VPSHAWrm
+    2282775031U,	// VPSHAWrr
     2484096282U,	// VPSHLBmr
     2282769690U,	// VPSHLBrm
     2282769690U,	// VPSHLBrr
     2484096865U,	// VPSHLDmr
     2282770273U,	// VPSHLDrm
     2282770273U,	// VPSHLDrr
-    2484099685U,	// VPSHLQmr
-    2282773093U,	// VPSHLQrm
-    2282773093U,	// VPSHLQrr
-    2484101881U,	// VPSHLWmr
-    2282775289U,	// VPSHLWrm
-    2282775289U,	// VPSHLWrr
+    2484099690U,	// VPSHLQmr
+    2282773098U,	// VPSHLQrm
+    2282773098U,	// VPSHLQrr
+    2484101886U,	// VPSHLWmr
+    2282775294U,	// VPSHLWrm
+    2282775294U,	// VPSHLWrr
     2282769658U,	// VPSHUFBYrm
     2282769658U,	// VPSHUFBYrr
     2282769658U,	// VPSHUFBrm
@@ -4842,14 +4866,14 @@
     2282766554U,	// VPSHUFDZri
     2484096820U,	// VPSHUFDmi
     2282770228U,	// VPSHUFDri
-    3054527189U,	// VPSHUFHWYmi
-    2282775253U,	// VPSHUFHWYri
-    2484101845U,	// VPSHUFHWmi
-    2282775253U,	// VPSHUFHWri
-    3054527215U,	// VPSHUFLWYmi
-    2282775279U,	// VPSHUFLWYri
-    2484101871U,	// VPSHUFLWmi
-    2282775279U,	// VPSHUFLWri
+    3054527194U,	// VPSHUFHWYmi
+    2282775258U,	// VPSHUFHWYri
+    2484101850U,	// VPSHUFHWmi
+    2282775258U,	// VPSHUFHWri
+    3054527220U,	// VPSHUFLWYmi
+    2282775284U,	// VPSHUFLWYri
+    2484101876U,	// VPSHUFLWmi
+    2282775284U,	// VPSHUFLWri
     2282769706U,	// VPSIGNBYrm
     2282769706U,	// VPSIGNBYrr
     2282769706U,	// VPSIGNBrm
@@ -4858,12 +4882,12 @@
     2282770352U,	// VPSIGNDYrr
     2282770352U,	// VPSIGNDrm
     2282770352U,	// VPSIGNDrr
-    2282775330U,	// VPSIGNWYrm
-    2282775330U,	// VPSIGNWYrr
-    2282775330U,	// VPSIGNWrm
-    2282775330U,	// VPSIGNWrr
-    2282772947U,	// VPSLLDQYri
-    2282772947U,	// VPSLLDQri
+    2282775335U,	// VPSIGNWYrm
+    2282775335U,	// VPSIGNWYrr
+    2282775335U,	// VPSIGNWrm
+    2282775335U,	// VPSIGNWrr
+    2282772952U,	// VPSLLDQYri
+    2282772952U,	// VPSLLDQri
     2282770287U,	// VPSLLDYri
     2282770287U,	// VPSLLDYrm
     2282770287U,	// VPSLLDYrr
@@ -4878,9 +4902,9 @@
     2282770287U,	// VPSLLDri
     2282770287U,	// VPSLLDrm
     2282770287U,	// VPSLLDrr
-    2282773101U,	// VPSLLQYri
-    2282773101U,	// VPSLLQYrm
-    2282773101U,	// VPSLLQYrr
+    2282773106U,	// VPSLLQYri
+    2282773106U,	// VPSLLQYrm
+    2282773106U,	// VPSLLQYrr
     3088074393U,	// VPSLLQZmi
     155207321U,	// VPSLLQZmik
     2282768025U,	// VPSLLQZri
@@ -4889,27 +4913,27 @@
     155207321U,	// VPSLLQZrmk
     2282768025U,	// VPSLLQZrr
     155207321U,	// VPSLLQZrrk
-    2282773101U,	// VPSLLQri
-    2282773101U,	// VPSLLQrm
-    2282773101U,	// VPSLLQrr
+    2282773106U,	// VPSLLQri
+    2282773106U,	// VPSLLQrm
+    2282773106U,	// VPSLLQrr
     2282771608U,	// VPSLLVDYrm
     2282771608U,	// VPSLLVDYrr
     2282767500U,	// VPSLLVDZrm
     2282767500U,	// VPSLLVDZrr
     2282771608U,	// VPSLLVDrm
     2282771608U,	// VPSLLVDrr
-    2282773269U,	// VPSLLVQYrm
-    2282773269U,	// VPSLLVQYrr
+    2282773274U,	// VPSLLVQYrm
+    2282773274U,	// VPSLLVQYrr
     2282768261U,	// VPSLLVQZrm
     2282768261U,	// VPSLLVQZrr
-    2282773269U,	// VPSLLVQrm
-    2282773269U,	// VPSLLVQrr
-    2282775297U,	// VPSLLWYri
-    2282775297U,	// VPSLLWYrm
-    2282775297U,	// VPSLLWYrr
-    2282775297U,	// VPSLLWri
-    2282775297U,	// VPSLLWrm
-    2282775297U,	// VPSLLWrr
+    2282773274U,	// VPSLLVQrm
+    2282773274U,	// VPSLLVQrr
+    2282775302U,	// VPSLLWYri
+    2282775302U,	// VPSLLWYrm
+    2282775302U,	// VPSLLWYrr
+    2282775302U,	// VPSLLWri
+    2282775302U,	// VPSLLWrm
+    2282775302U,	// VPSLLWrr
     2282770065U,	// VPSRADYri
     2282770065U,	// VPSRADYrm
     2282770065U,	// VPSRADYrr
@@ -4940,14 +4964,14 @@
     2282771599U,	// VPSRAVDrr
     2282768251U,	// VPSRAVQZrm
     2282768251U,	// VPSRAVQZrr
-    2282775034U,	// VPSRAWYri
-    2282775034U,	// VPSRAWYrm
-    2282775034U,	// VPSRAWYrr
-    2282775034U,	// VPSRAWri
-    2282775034U,	// VPSRAWrm
-    2282775034U,	// VPSRAWrr
-    2282772956U,	// VPSRLDQYri
-    2282772956U,	// VPSRLDQri
+    2282775039U,	// VPSRAWYri
+    2282775039U,	// VPSRAWYrm
+    2282775039U,	// VPSRAWYrr
+    2282775039U,	// VPSRAWri
+    2282775039U,	// VPSRAWrm
+    2282775039U,	// VPSRAWrr
+    2282772961U,	// VPSRLDQYri
+    2282772961U,	// VPSRLDQri
     2282770304U,	// VPSRLDYri
     2282770304U,	// VPSRLDYrm
     2282770304U,	// VPSRLDYrr
@@ -4962,9 +4986,9 @@
     2282770304U,	// VPSRLDri
     2282770304U,	// VPSRLDrm
     2282770304U,	// VPSRLDrr
-    2282773109U,	// VPSRLQYri
-    2282773109U,	// VPSRLQYrm
-    2282773109U,	// VPSRLQYrr
+    2282773114U,	// VPSRLQYri
+    2282773114U,	// VPSRLQYrm
+    2282773114U,	// VPSRLQYrr
     3088074402U,	// VPSRLQZmi
     155207330U,	// VPSRLQZmik
     2282768034U,	// VPSRLQZri
@@ -4973,27 +4997,27 @@
     155207330U,	// VPSRLQZrmk
     2282768034U,	// VPSRLQZrr
     155207330U,	// VPSRLQZrrk
-    2282773109U,	// VPSRLQri
-    2282773109U,	// VPSRLQrm
-    2282773109U,	// VPSRLQrr
+    2282773114U,	// VPSRLQri
+    2282773114U,	// VPSRLQrm
+    2282773114U,	// VPSRLQrr
     2282771617U,	// VPSRLVDYrm
     2282771617U,	// VPSRLVDYrr
     2282767510U,	// VPSRLVDZrm
     2282767510U,	// VPSRLVDZrr
     2282771617U,	// VPSRLVDrm
     2282771617U,	// VPSRLVDrr
-    2282773278U,	// VPSRLVQYrm
-    2282773278U,	// VPSRLVQYrr
+    2282773283U,	// VPSRLVQYrm
+    2282773283U,	// VPSRLVQYrr
     2282768271U,	// VPSRLVQZrm
     2282768271U,	// VPSRLVQZrr
-    2282773278U,	// VPSRLVQrm
-    2282773278U,	// VPSRLVQrr
-    2282775314U,	// VPSRLWYri
-    2282775314U,	// VPSRLWYrm
-    2282775314U,	// VPSRLWYrr
-    2282775314U,	// VPSRLWri
-    2282775314U,	// VPSRLWrm
-    2282775314U,	// VPSRLWrr
+    2282773283U,	// VPSRLVQrm
+    2282773283U,	// VPSRLVQrr
+    2282775319U,	// VPSRLWYri
+    2282775319U,	// VPSRLWYrm
+    2282775319U,	// VPSRLWYrr
+    2282775319U,	// VPSRLWri
+    2282775319U,	// VPSRLWrm
+    2282775319U,	// VPSRLWrr
     2282769642U,	// VPSUBBYrm
     2282769642U,	// VPSUBBYrr
     2282769642U,	// VPSUBBrm
@@ -5005,33 +5029,33 @@
     2282766476U,	// VPSUBDZrr
     2282770103U,	// VPSUBDrm
     2282770103U,	// VPSUBDrr
-    2282772810U,	// VPSUBQYrm
-    2282772810U,	// VPSUBQYrr
+    2282772815U,	// VPSUBQYrm
+    2282772815U,	// VPSUBQYrr
     2282767744U,	// VPSUBQZrm
     2282767744U,	// VPSUBQZrmb
     2282767744U,	// VPSUBQZrr
-    2282772810U,	// VPSUBQrm
-    2282772810U,	// VPSUBQrr
+    2282772815U,	// VPSUBQrm
+    2282772815U,	// VPSUBQrr
     2282769751U,	// VPSUBSBYrm
     2282769751U,	// VPSUBSBYrr
     2282769751U,	// VPSUBSBrm
     2282769751U,	// VPSUBSBrr
-    2282775412U,	// VPSUBSWYrm
-    2282775412U,	// VPSUBSWYrr
-    2282775412U,	// VPSUBSWrm
-    2282775412U,	// VPSUBSWrr
+    2282775417U,	// VPSUBSWYrm
+    2282775417U,	// VPSUBSWYrr
+    2282775417U,	// VPSUBSWrm
+    2282775417U,	// VPSUBSWrr
     2282769792U,	// VPSUBUSBYrm
     2282769792U,	// VPSUBUSBYrr
     2282769792U,	// VPSUBUSBrm
     2282769792U,	// VPSUBUSBrr
-    2282775494U,	// VPSUBUSWYrm
-    2282775494U,	// VPSUBUSWYrr
-    2282775494U,	// VPSUBUSWrm
-    2282775494U,	// VPSUBUSWrr
-    2282775125U,	// VPSUBWYrm
-    2282775125U,	// VPSUBWYrr
-    2282775125U,	// VPSUBWrm
-    2282775125U,	// VPSUBWrr
+    2282775499U,	// VPSUBUSWYrm
+    2282775499U,	// VPSUBUSWYrr
+    2282775499U,	// VPSUBUSWrm
+    2282775499U,	// VPSUBUSWrr
+    2282775130U,	// VPSUBWYrm
+    2282775130U,	// VPSUBWYrr
+    2282775130U,	// VPSUBWrm
+    2282775130U,	// VPSUBWrr
     2282766625U,	// VPTESTMDZrm
     2282766625U,	// VPTESTMDZrr
     2282768076U,	// VPTESTMQZrm
@@ -5040,46 +5064,46 @@
     2282766604U,	// VPTESTNMDZrr
     2282768055U,	// VPTESTNMQZrm
     2282768055U,	// VPTESTNMQZrr
-    907043189U,	// VPTESTYrm
-    135291253U,	// VPTESTYrr
-    537944437U,	// VPTESTrm
-    135291253U,	// VPTESTrr
-    2282775081U,	// VPUNPCKHBWYrm
-    2282775081U,	// VPUNPCKHBWYrr
-    2282775081U,	// VPUNPCKHBWrm
-    2282775081U,	// VPUNPCKHBWrr
-    2282772923U,	// VPUNPCKHDQYrm
-    2282772923U,	// VPUNPCKHDQYrr
+    907043194U,	// VPTESTYrm
+    135291258U,	// VPTESTYrr
+    537944442U,	// VPTESTrm
+    135291258U,	// VPTESTrr
+    2282775086U,	// VPUNPCKHBWYrm
+    2282775086U,	// VPUNPCKHBWYrr
+    2282775086U,	// VPUNPCKHBWrm
+    2282775086U,	// VPUNPCKHBWrr
+    2282772928U,	// VPUNPCKHDQYrm
+    2282772928U,	// VPUNPCKHDQYrr
     2282767836U,	// VPUNPCKHDQZrm
     2282767836U,	// VPUNPCKHDQZrr
-    2282772923U,	// VPUNPCKHDQrm
-    2282772923U,	// VPUNPCKHDQrr
-    2282772974U,	// VPUNPCKHQDQYrm
-    2282772974U,	// VPUNPCKHQDQYrr
+    2282772928U,	// VPUNPCKHDQrm
+    2282772928U,	// VPUNPCKHDQrr
+    2282772979U,	// VPUNPCKHQDQYrm
+    2282772979U,	// VPUNPCKHQDQYrr
     2282767881U,	// VPUNPCKHQDQZrm
     2282767881U,	// VPUNPCKHQDQZrr
-    2282772974U,	// VPUNPCKHQDQrm
-    2282772974U,	// VPUNPCKHQDQrr
+    2282772979U,	// VPUNPCKHQDQrm
+    2282772979U,	// VPUNPCKHQDQrr
     2282771675U,	// VPUNPCKHWDYrm
     2282771675U,	// VPUNPCKHWDYrr
     2282771675U,	// VPUNPCKHWDrm
     2282771675U,	// VPUNPCKHWDrr
-    2282775093U,	// VPUNPCKLBWYrm
-    2282775093U,	// VPUNPCKLBWYrr
-    2282775093U,	// VPUNPCKLBWrm
-    2282775093U,	// VPUNPCKLBWrr
-    2282772935U,	// VPUNPCKLDQYrm
-    2282772935U,	// VPUNPCKLDQYrr
+    2282775098U,	// VPUNPCKLBWYrm
+    2282775098U,	// VPUNPCKLBWYrr
+    2282775098U,	// VPUNPCKLBWrm
+    2282775098U,	// VPUNPCKLBWrr
+    2282772940U,	// VPUNPCKLDQYrm
+    2282772940U,	// VPUNPCKLDQYrr
     2282767849U,	// VPUNPCKLDQZrm
     2282767849U,	// VPUNPCKLDQZrr
-    2282772935U,	// VPUNPCKLDQrm
-    2282772935U,	// VPUNPCKLDQrr
-    2282772987U,	// VPUNPCKLQDQYrm
-    2282772987U,	// VPUNPCKLQDQYrr
+    2282772940U,	// VPUNPCKLDQrm
+    2282772940U,	// VPUNPCKLDQrr
+    2282772992U,	// VPUNPCKLQDQYrm
+    2282772992U,	// VPUNPCKLQDQYrr
     2282767895U,	// VPUNPCKLQDQZrm
     2282767895U,	// VPUNPCKLQDQZrr
-    2282772987U,	// VPUNPCKLQDQrm
-    2282772987U,	// VPUNPCKLQDQrr
+    2282772992U,	// VPUNPCKLQDQrm
+    2282772992U,	// VPUNPCKLQDQrr
     2282771687U,	// VPUNPCKLWDYrm
     2282771687U,	// VPUNPCKLWDYrr
     2282771687U,	// VPUNPCKLWDrm
@@ -5090,10 +5114,10 @@
     2282768153U,	// VPXORQZrm
     2282768153U,	// VPXORQZrmb
     2282768153U,	// VPXORQZrr
-    2282773439U,	// VPXORYrm
-    2282773439U,	// VPXORYrr
-    2282773439U,	// VPXORrm
-    2282773439U,	// VPXORrr
+    2282773444U,	// VPXORYrm
+    2282773444U,	// VPXORYrr
+    2282773444U,	// VPXORrm
+    2282773444U,	// VPXORrr
     1007698485U,	// VRCP14PDZm
     135283253U,	// VRCP14PDZr
     1007700134U,	// VRCP14PSZm
@@ -5114,17 +5138,17 @@
     2282768900U,	// VRCP28SSrm
     2282768900U,	// VRCP28SSrr
     2282768900U,	// VRCP28SSrrb
-    974151330U,	// VRCPPSYm
-    974151330U,	// VRCPPSYm_Int
-    135290530U,	// VRCPPSYr
-    135290530U,	// VRCPPSYr_Int
-    537943714U,	// VRCPPSm
-    537943714U,	// VRCPPSm_Int
-    135290530U,	// VRCPPSr
-    135290530U,	// VRCPPSr_Int
-    2282774645U,	// VRCPSSm
-    2282774645U,	// VRCPSSm_Int
-    2282774645U,	// VRCPSSr
+    974151335U,	// VRCPPSYm
+    974151335U,	// VRCPPSYm_Int
+    135290535U,	// VRCPPSYr
+    135290535U,	// VRCPPSYr_Int
+    537943719U,	// VRCPPSm
+    537943719U,	// VRCPPSm_Int
+    135290535U,	// VRCPPSr
+    135290535U,	// VRCPPSr_Int
+    2282774650U,	// VRCPSSm
+    2282774650U,	// VRCPSSm_Int
+    2282774650U,	// VRCPSSr
     3155182236U,	// VRNDSCALEPDZm
     2282767004U,	// VRNDSCALEPDZr
     3155183885U,	// VRNDSCALEPSZm
@@ -5135,18 +5159,18 @@
     2282768924U,	// VRNDSCALESSr
     2685424002U,	// VROUNDPDm
     2282770818U,	// VROUNDPDr
-    2685427215U,	// VROUNDPSm
-    2282774031U,	// VROUNDPSr
+    2685427220U,	// VROUNDPSm
+    2282774036U,	// VROUNDPSr
     2282771388U,	// VROUNDSDm
     2282771388U,	// VROUNDSDr
     2282771388U,	// VROUNDSDr_Int
-    2282774600U,	// VROUNDSSm
-    2282774600U,	// VROUNDSSr
-    2282774600U,	// VROUNDSSr_Int
+    2282774605U,	// VROUNDSSm
+    2282774605U,	// VROUNDSSr
+    2282774605U,	// VROUNDSSr_Int
     3121631618U,	// VROUNDYPDm
     2282770818U,	// VROUNDYPDr
-    3121634831U,	// VROUNDYPSm
-    2282774031U,	// VROUNDYPSr
+    3121634836U,	// VROUNDYPSm
+    2282774036U,	// VROUNDYPSr
     1007698496U,	// VRSQRT14PDZm
     135283264U,	// VRSQRT14PDZr
     1007700145U,	// VRSQRT14PSZm
@@ -5167,17 +5191,17 @@
     2282768911U,	// VRSQRT28SSrm
     2282768911U,	// VRSQRT28SSrr
     2282768911U,	// VRSQRT28SSrrb
-    974151413U,	// VRSQRTPSYm
-    974151413U,	// VRSQRTPSYm_Int
-    135290613U,	// VRSQRTPSYr
-    135290613U,	// VRSQRTPSYr_Int
-    537943797U,	// VRSQRTPSm
-    537943797U,	// VRSQRTPSm_Int
-    135290613U,	// VRSQRTPSr
-    135290613U,	// VRSQRTPSr_Int
-    2282774670U,	// VRSQRTSSm
-    2282774670U,	// VRSQRTSSm_Int
-    2282774670U,	// VRSQRTSSr
+    974151418U,	// VRSQRTPSYm
+    974151418U,	// VRSQRTPSYm_Int
+    135290618U,	// VRSQRTPSYr
+    135290618U,	// VRSQRTPSYr_Int
+    537943802U,	// VRSQRTPSm
+    537943802U,	// VRSQRTPSm_Int
+    135290618U,	// VRSQRTPSr
+    135290618U,	// VRSQRTPSr_Int
+    2282774675U,	// VRSQRTSSm
+    2282774675U,	// VRSQRTSSm_Int
+    2282774675U,	// VRSQRTSSr
     705166U,	// VSCATTERDPDZmr
     690431U,	// VSCATTERDPSZmr
     705278U,	// VSCATTERQPDZmr
@@ -5188,12 +5212,12 @@
     2282767018U,	// VSHUFPDZrri
     2282770840U,	// VSHUFPDrmi
     2282770840U,	// VSHUFPDrri
-    2282774053U,	// VSHUFPSYrmi
-    2282774053U,	// VSHUFPSYrri
+    2282774058U,	// VSHUFPSYrmi
+    2282774058U,	// VSHUFPSYrri
     2282768667U,	// VSHUFPSZrmi
     2282768667U,	// VSHUFPSZrri
-    2282774053U,	// VSHUFPSrmi
-    2282774053U,	// VSHUFPSrri
+    2282774058U,	// VSHUFPSrmi
+    2282774058U,	// VSHUFPSrri
     974148157U,	// VSQRTPDYm
     135287357U,	// VSQRTPDYr
     1007702589U,	// VSQRTPDZm_Int
@@ -5202,14 +5226,14 @@
     135287357U,	// VSQRTPDZrr
     537940541U,	// VSQRTPDm
     135287357U,	// VSQRTPDr
-    974151423U,	// VSQRTPSYm
-    135290623U,	// VSQRTPSYr
-    1007705855U,	// VSQRTPSZm_Int
-    135290623U,	// VSQRTPSZr_Int
-    1007705855U,	// VSQRTPSZrm
-    135290623U,	// VSQRTPSZrr
-    537943807U,	// VSQRTPSm
-    135290623U,	// VSQRTPSr
+    974151428U,	// VSQRTPSYm
+    135290628U,	// VSQRTPSYr
+    1007705860U,	// VSQRTPSZm_Int
+    135290628U,	// VSQRTPSZr_Int
+    1007705860U,	// VSQRTPSZrm
+    135290628U,	// VSQRTPSZrr
+    537943812U,	// VSQRTPSm
+    135290628U,	// VSQRTPSr
     2282771466U,	// VSQRTSDZm
     2282771466U,	// VSQRTSDZm_Int
     2282771466U,	// VSQRTSDZr
@@ -5217,14 +5241,14 @@
     2282771466U,	// VSQRTSDm
     2282771466U,	// VSQRTSDm_Int
     2282771466U,	// VSQRTSDr
-    2282774680U,	// VSQRTSSZm
-    2282774680U,	// VSQRTSSZm_Int
-    2282774680U,	// VSQRTSSZr
-    2282774680U,	// VSQRTSSZr_Int
-    2282774680U,	// VSQRTSSm
-    2282774680U,	// VSQRTSSm_Int
-    2282774680U,	// VSQRTSSr
-    72667U,	// VSTMXCSR
+    2282774685U,	// VSQRTSSZm
+    2282774685U,	// VSQRTSSZm_Int
+    2282774685U,	// VSQRTSSZr
+    2282774685U,	// VSQRTSSZr_Int
+    2282774685U,	// VSQRTSSm
+    2282774685U,	// VSQRTSSm_Int
+    2282774685U,	// VSQRTSSr
+    72672U,	// VSTMXCSR
     2282770741U,	// VSUBPDYrm
     2282770741U,	// VSUBPDYrr
     2282766959U,	// VSUBPDZrm
@@ -5232,90 +5256,90 @@
     2282766959U,	// VSUBPDZrr
     2282770741U,	// VSUBPDrm
     2282770741U,	// VSUBPDrr
-    2282773954U,	// VSUBPSYrm
-    2282773954U,	// VSUBPSYrr
+    2282773959U,	// VSUBPSYrm
+    2282773959U,	// VSUBPSYrr
     2282768608U,	// VSUBPSZrm
     2282768608U,	// VSUBPSZrmb
     2282768608U,	// VSUBPSZrr
-    2282773954U,	// VSUBPSrm
-    2282773954U,	// VSUBPSrr
+    2282773959U,	// VSUBPSrm
+    2282773959U,	// VSUBPSrr
     2282771351U,	// VSUBSDZrm
     2282771351U,	// VSUBSDZrr
     2282771351U,	// VSUBSDrm
     2282771351U,	// VSUBSDrm_Int
     2282771351U,	// VSUBSDrr
     2282771351U,	// VSUBSDrr_Int
-    2282774563U,	// VSUBSSZrm
-    2282774563U,	// VSUBSSZrr
-    2282774563U,	// VSUBSSrm
-    2282774563U,	// VSUBSSrm_Int
-    2282774563U,	// VSUBSSrr
-    2282774563U,	// VSUBSSrr_Int
+    2282774568U,	// VSUBSSZrm
+    2282774568U,	// VSUBSSZrr
+    2282774568U,	// VSUBSSrm
+    2282774568U,	// VSUBSSrm_Int
+    2282774568U,	// VSUBSSrr
+    2282774568U,	// VSUBSSrr_Int
     974148166U,	// VTESTPDYrm
     135287366U,	// VTESTPDYrr
     537940550U,	// VTESTPDrm
     135287366U,	// VTESTPDrr
-    974151432U,	// VTESTPSYrm
-    135290632U,	// VTESTPSYrr
-    537943816U,	// VTESTPSrm
-    135290632U,	// VTESTPSrr
+    974151437U,	// VTESTPSYrm
+    135290637U,	// VTESTPSYrr
+    537943821U,	// VTESTPSrm
+    135290637U,	// VTESTPSrr
     571495366U,	// VUCOMISDZrm
     135287750U,	// VUCOMISDZrr
     571495366U,	// VUCOMISDrm
     135287750U,	// VUCOMISDrr
-    605053010U,	// VUCOMISSZrm
-    135290962U,	// VUCOMISSZrr
-    605053010U,	// VUCOMISSrm
-    135290962U,	// VUCOMISSrr
+    605053015U,	// VUCOMISSZrm
+    135290967U,	// VUCOMISSZrr
+    605053015U,	// VUCOMISSrm
+    135290967U,	// VUCOMISSrr
     2282770849U,	// VUNPCKHPDYrm
     2282770849U,	// VUNPCKHPDYrr
     2282770849U,	// VUNPCKHPDZrm
     2282770849U,	// VUNPCKHPDZrr
     2282770849U,	// VUNPCKHPDrm
     2282770849U,	// VUNPCKHPDrr
-    2282774062U,	// VUNPCKHPSYrm
-    2282774062U,	// VUNPCKHPSYrr
-    2282774062U,	// VUNPCKHPSZrm
-    2282774062U,	// VUNPCKHPSZrr
-    2282774062U,	// VUNPCKHPSrm
-    2282774062U,	// VUNPCKHPSrr
+    2282774067U,	// VUNPCKHPSYrm
+    2282774067U,	// VUNPCKHPSYrr
+    2282774067U,	// VUNPCKHPSZrm
+    2282774067U,	// VUNPCKHPSZrr
+    2282774067U,	// VUNPCKHPSrm
+    2282774067U,	// VUNPCKHPSrr
     2282770891U,	// VUNPCKLPDYrm
     2282770891U,	// VUNPCKLPDYrr
     2282770891U,	// VUNPCKLPDZrm
     2282770891U,	// VUNPCKLPDZrr
     2282770891U,	// VUNPCKLPDrm
     2282770891U,	// VUNPCKLPDrr
-    2282774124U,	// VUNPCKLPSYrm
-    2282774124U,	// VUNPCKLPSYrr
-    2282774124U,	// VUNPCKLPSZrm
-    2282774124U,	// VUNPCKLPSZrr
-    2282774124U,	// VUNPCKLPSrm
-    2282774124U,	// VUNPCKLPSrr
+    2282774129U,	// VUNPCKLPSYrm
+    2282774129U,	// VUNPCKLPSYrr
+    2282774129U,	// VUNPCKLPSZrm
+    2282774129U,	// VUNPCKLPSZrr
+    2282774129U,	// VUNPCKLPSrm
+    2282774129U,	// VUNPCKLPSrr
     2282770987U,	// VXORPDYrm
     2282770987U,	// VXORPDYrr
     2282770987U,	// VXORPDrm
     2282770987U,	// VXORPDrr
-    2282774220U,	// VXORPSYrm
-    2282774220U,	// VXORPSYrr
-    2282774220U,	// VXORPSrm
-    2282774220U,	// VXORPSrr
-    12164U,	// VZEROALL
-    12364U,	// VZEROUPPER
+    2282774225U,	// VXORPSYrm
+    2282774225U,	// VXORPSYrr
+    2282774225U,	// VXORPSrm
+    2282774225U,	// VXORPSrr
+    12169U,	// VZEROALL
+    12369U,	// VZEROUPPER
     0U,	// V_SET0
     0U,	// V_SETALLONES
-    153451U,	// W64ALLOCA
-    12619U,	// WAIT
-    11923U,	// WBINVD
-    12221U,	// WIN_ALLOCA
-    12097U,	// WIN_FTOL_32
-    12097U,	// WIN_FTOL_64
+    153456U,	// W64ALLOCA
+    12624U,	// WAIT
+    11928U,	// WBINVD
+    12226U,	// WIN_ALLOCA
+    12102U,	// WIN_FTOL_32
+    12102U,	// WIN_FTOL_64
     21977U,	// WRFSBASE
     21977U,	// WRFSBASE64
     21997U,	// WRGSBASE
     21997U,	// WRGSBASE64
-    12398U,	// WRMSR
-    24906U,	// XABORT
-    11994U,	// XACQUIRE_PREFIX
+    12403U,	// WRMSR
+    24911U,	// XABORT
+    11999U,	// XACQUIRE_PREFIX
     1085155U,	// XADD16rm
     135286499U,	// XADD16rr
     1117923U,	// XADD32rm
@@ -5324,79 +5348,79 @@
     135286499U,	// XADD64rr
     1150691U,	// XADD8rm
     135286499U,	// XADD8rr
-    11663U,	// XBEGIN
-    153606U,	// XBEGIN_4
-    26096U,	// XCHG16ar
+    11668U,	// XBEGIN
+    153611U,	// XBEGIN_4
+    26101U,	// XCHG16ar
     464443U,	// XCHG16rm
     726587U,	// XCHG16rr
-    26259U,	// XCHG32ar
-    26259U,	// XCHG32ar64
+    26264U,	// XCHG32ar
+    26264U,	// XCHG32ar64
     480827U,	// XCHG32rm
     726587U,	// XCHG32rr
-    26383U,	// XCHG64ar
+    26388U,	// XCHG64ar
     497211U,	// XCHG64rm
     726587U,	// XCHG64rr
     513595U,	// XCHG8rm
     726587U,	// XCHG8rr
     22116U,	// XCH_F
-    11812U,	// XCRYPTCBC
-    11756U,	// XCRYPTCFB
-    12404U,	// XCRYPTCTR
-    11746U,	// XCRYPTECB
-    11766U,	// XCRYPTOFB
-    11888U,	// XEND
-    12668U,	// XGETBV
-    11796U,	// XLAT
-    26123U,	// XOR16i16
-    1088449U,	// XOR16mi
-    1088449U,	// XOR16mi8
-    1088449U,	// XOR16mr
-    35707841U,	// XOR16ri
-    35707841U,	// XOR16ri8
-    3201985U,	// XOR16rm
-    35707841U,	// XOR16rr
-    68180929U,	// XOR16rr_REV
-    26289U,	// XOR32i32
-    1121217U,	// XOR32mi
-    1121217U,	// XOR32mi8
-    1121217U,	// XOR32mr
-    35707841U,	// XOR32ri
-    35707841U,	// XOR32ri8
-    4250561U,	// XOR32rm
-    35707841U,	// XOR32rr
-    68180929U,	// XOR32rr_REV
-    26428U,	// XOR64i32
-    1137601U,	// XOR64mi32
-    1137601U,	// XOR64mi8
-    1137601U,	// XOR64mr
-    35707841U,	// XOR64ri32
-    35707841U,	// XOR64ri8
-    5299137U,	// XOR64rm
-    35707841U,	// XOR64rr
-    68180929U,	// XOR64rr_REV
-    26011U,	// XOR8i8
-    1153985U,	// XOR8mi
-    1153985U,	// XOR8mr
-    35707841U,	// XOR8ri
-    6347713U,	// XOR8rm
-    35707841U,	// XOR8rr
-    68180929U,	// XOR8rr_REV
+    11817U,	// XCRYPTCBC
+    11761U,	// XCRYPTCFB
+    12409U,	// XCRYPTCTR
+    11751U,	// XCRYPTECB
+    11771U,	// XCRYPTOFB
+    11893U,	// XEND
+    12673U,	// XGETBV
+    11801U,	// XLAT
+    26128U,	// XOR16i16
+    1088454U,	// XOR16mi
+    1088454U,	// XOR16mi8
+    1088454U,	// XOR16mr
+    35707846U,	// XOR16ri
+    35707846U,	// XOR16ri8
+    3201990U,	// XOR16rm
+    35707846U,	// XOR16rr
+    68180934U,	// XOR16rr_REV
+    26294U,	// XOR32i32
+    1121222U,	// XOR32mi
+    1121222U,	// XOR32mi8
+    1121222U,	// XOR32mr
+    35707846U,	// XOR32ri
+    35707846U,	// XOR32ri8
+    4250566U,	// XOR32rm
+    35707846U,	// XOR32rr
+    68180934U,	// XOR32rr_REV
+    26433U,	// XOR64i32
+    1137606U,	// XOR64mi32
+    1137606U,	// XOR64mi8
+    1137606U,	// XOR64mr
+    35707846U,	// XOR64ri32
+    35707846U,	// XOR64ri8
+    5299142U,	// XOR64rm
+    35707846U,	// XOR64rr
+    68180934U,	// XOR64rr_REV
+    26016U,	// XOR8i8
+    1153990U,	// XOR8mi
+    1153990U,	// XOR8mr
+    35707846U,	// XOR8ri
+    6347718U,	// XOR8rm
+    35707846U,	// XOR8rr
+    68180934U,	// XOR8rr_REV
     202396204U,	// XORPDrm
     68178476U,	// XORPDrr
-    202399437U,	// XORPSrm
-    68181709U,	// XORPSrr
-    12010U,	// XRELEASE_PREFIX
-    285623U,	// XRSTOR
+    202399442U,	// XORPSrm
+    68181714U,	// XORPSrr
+    12015U,	// XRELEASE_PREFIX
+    285628U,	// XRSTOR
     281484U,	// XRSTOR64
     284186U,	// XSAVE
     281474U,	// XSAVE64
-    287040U,	// XSAVEOPT
+    287045U,	// XSAVEOPT
     281494U,	// XSAVEOPT64
-    12675U,	// XSETBV
-    11390U,	// XSHA1
-    11625U,	// XSHA256
-    12003U,	// XSTORE
-    12657U,	// XTEST
+    12680U,	// XSETBV
+    11395U,	// XSHA1
+    11630U,	// XSHA256
+    12008U,	// XSTORE
+    12662U,	// XTEST
     0U
   };
 
@@ -7838,6 +7862,30 @@
     0U,	// RSQRTSSr
     0U,	// RSQRTSSr_Int
     0U,	// SAHF
+    0U,	// SAL16m1
+    0U,	// SAL16mCL
+    0U,	// SAL16mi
+    0U,	// SAL16r1
+    0U,	// SAL16rCL
+    0U,	// SAL16ri
+    0U,	// SAL32m1
+    0U,	// SAL32mCL
+    0U,	// SAL32mi
+    0U,	// SAL32r1
+    0U,	// SAL32rCL
+    0U,	// SAL32ri
+    0U,	// SAL64m1
+    0U,	// SAL64mCL
+    0U,	// SAL64mi
+    0U,	// SAL64r1
+    0U,	// SAL64rCL
+    0U,	// SAL64ri
+    0U,	// SAL8m1
+    0U,	// SAL8mCL
+    0U,	// SAL8mi
+    0U,	// SAL8r1
+    0U,	// SAL8rCL
+    0U,	// SAL8ri
     0U,	// SALC
     0U,	// SAR16m1
     0U,	// SAR16mCL
@@ -11356,706 +11404,707 @@
   /* 5956 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
   /* 5964 */ 'b', 'l', 's', 'm', 's', 'k', 9, 0,
   /* 5972 */ 't', 'z', 'm', 's', 'k', 9, 0,
-  /* 5979 */ 'r', 'c', 'l', 9, 0,
-  /* 5984 */ 's', 'h', 'l', 9, 0,
-  /* 5989 */ 'j', 'l', 9, 0,
-  /* 5993 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
-  /* 6000 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
-  /* 6009 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
-  /* 6018 */ 'r', 'o', 'l', 9, 0,
-  /* 6023 */ 'a', 'r', 'p', 'l', 9, 0,
-  /* 6029 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
-  /* 6040 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
-  /* 6052 */ 'l', 's', 'l', 9, 0,
-  /* 6057 */ 's', 'e', 't', 'l', 9, 0,
-  /* 6063 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
-  /* 6070 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
-  /* 6077 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
-  /* 6084 */ 'a', 'a', 'm', 9, 0,
-  /* 6089 */ 'f', 'c', 'o', 'm', 9, 0,
-  /* 6095 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
-  /* 6102 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
-  /* 6109 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
-  /* 6117 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
-  /* 6129 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
-  /* 6141 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
-  /* 6149 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
-  /* 6157 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
-  /* 6164 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
-  /* 6171 */ 'j', 'o', 9, 0,
-  /* 6175 */ 'j', 'n', 'o', 9, 0,
-  /* 6180 */ 's', 'e', 't', 'n', 'o', 9, 0,
-  /* 6187 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
-  /* 6195 */ 's', 'e', 't', 'o', 9, 0,
-  /* 6201 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
-  /* 6208 */ 'b', 's', 'w', 'a', 'p', 9, 0,
-  /* 6215 */ 'f', 's', 'u', 'b', 'p', 9, 0,
-  /* 6222 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
-  /* 6229 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
-  /* 6236 */ 'p', 'd', 'e', 'p', 9, 0,
-  /* 6242 */ 'j', 'p', 9, 0,
-  /* 6246 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
-  /* 6253 */ 'c', 'm', 'p', 9, 0,
-  /* 6258 */ 'l', 'j', 'm', 'p', 9, 0,
-  /* 6264 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
-  /* 6271 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
-  /* 6279 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
-  /* 6287 */ 'j', 'n', 'p', 9, 0,
-  /* 6292 */ 's', 'e', 't', 'n', 'p', 9, 0,
-  /* 6299 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
-  /* 6307 */ 'n', 'o', 'p', 9, 0,
-  /* 6312 */ 'l', 'o', 'o', 'p', 9, 0,
-  /* 6318 */ 'p', 'o', 'p', 9, 0,
-  /* 6323 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
-  /* 6331 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
-  /* 6339 */ 's', 'e', 't', 'p', 9, 0,
-  /* 6345 */ 'f', 'b', 's', 't', 'p', 9, 0,
-  /* 6352 */ 'f', 's', 't', 'p', 9, 0,
-  /* 6358 */ 'f', 'i', 's', 't', 'p', 9, 0,
-  /* 6365 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
-  /* 6373 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
-  /* 6383 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
-  /* 6394 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
-  /* 6405 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
-  /* 6421 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
-  /* 6428 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
-  /* 6435 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
-  /* 6444 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
-  /* 6452 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
-  /* 6462 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
-  /* 6473 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
-  /* 6481 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
-  /* 6492 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
-  /* 6503 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 6515 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 6526 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
-  /* 6535 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 6547 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 6558 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
-  /* 6568 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
-  /* 6576 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
-  /* 6586 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
-  /* 6598 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
-  /* 6610 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
-  /* 6619 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
-  /* 6628 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
-  /* 6637 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
-  /* 6650 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
-  /* 6663 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
-  /* 6675 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
-  /* 6687 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
-  /* 6697 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
-  /* 6708 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
-  /* 6718 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
-  /* 6729 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
-  /* 6740 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
-  /* 6749 */ 'r', 'e', 't', 'f', 'q', 9, 0,
-  /* 6756 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
-  /* 6764 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
-  /* 6772 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
-  /* 6780 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
-  /* 6788 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
-  /* 6796 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
-  /* 6804 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
-  /* 6814 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
-  /* 6826 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
-  /* 6835 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
-  /* 6844 */ 's', 't', 'o', 's', 'q', 9, 0,
-  /* 6851 */ 'c', 'm', 'p', 's', 'q', 9, 0,
-  /* 6858 */ 'm', 'o', 'v', 's', 'q', 9, 0,
-  /* 6865 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
-  /* 6875 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
-  /* 6883 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
-  /* 6891 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
-  /* 6900 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
-  /* 6914 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
-  /* 6923 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
-  /* 6932 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
-  /* 6941 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
-  /* 6950 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
-  /* 6962 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
-  /* 6969 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
-  /* 6979 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
-  /* 6990 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
-  /* 7001 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
-  /* 7012 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
-  /* 7021 */ 'l', 'a', 'r', 9, 0,
-  /* 7026 */ 's', 'a', 'r', 9, 0,
-  /* 7031 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
-  /* 7039 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
-  /* 7047 */ 'r', 'c', 'r', 9, 0,
-  /* 7052 */ 'e', 'n', 't', 'e', 'r', 9, 0,
-  /* 7059 */ 's', 'h', 'r', 9, 0,
-  /* 7064 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
-  /* 7074 */ 'v', 'p', 'o', 'r', 9, 0,
-  /* 7080 */ 'r', 'o', 'r', 9, 0,
-  /* 7085 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 7093 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 7102 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
-  /* 7109 */ 'v', 'e', 'r', 'r', 9, 0,
-  /* 7115 */ 'b', 's', 'r', 9, 0,
-  /* 7120 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 7130 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 7140 */ 'b', 'l', 's', 'r', 9, 0,
-  /* 7146 */ 'b', 't', 'r', 9, 0,
-  /* 7151 */ 'l', 't', 'r', 9, 0,
-  /* 7156 */ 's', 't', 'r', 9, 0,
-  /* 7161 */ 'b', 'e', 'x', 't', 'r', 9, 0,
-  /* 7168 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
-  /* 7175 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
-  /* 7183 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
-  /* 7191 */ 'b', 'l', 'c', 's', 9, 0,
-  /* 7197 */ 'l', 'd', 's', 9, 0,
-  /* 7202 */ 'l', 'e', 's', 9, 0,
-  /* 7207 */ 'l', 'f', 's', 9, 0,
-  /* 7212 */ 'l', 'g', 's', 9, 0,
-  /* 7217 */ 'j', 's', 9, 0,
-  /* 7221 */ 'j', 'n', 's', 9, 0,
-  /* 7226 */ 's', 'e', 't', 'n', 's', 9, 0,
-  /* 7233 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
-  /* 7241 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7257 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7270 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7284 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7300 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7313 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7327 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7343 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7356 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7370 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7386 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7399 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7413 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
-  /* 7424 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
-  /* 7435 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
-  /* 7445 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
-  /* 7457 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
-  /* 7468 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7484 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7497 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7511 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7527 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7540 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7554 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
-  /* 7563 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7576 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7587 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7596 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7606 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7617 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7625 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7638 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7647 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7657 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7668 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7676 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
-  /* 7684 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
-  /* 7694 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
-  /* 7704 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
-  /* 7716 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
-  /* 7725 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
-  /* 7736 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
-  /* 7746 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
-  /* 7755 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
-  /* 7766 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
-  /* 7776 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
-  /* 7787 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
-  /* 7798 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
-  /* 7806 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
-  /* 7815 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
-  /* 7824 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
-  /* 7833 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
-  /* 7841 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
-  /* 7849 */ 'v', 'd', 'p', 'p', 's', 9, 0,
-  /* 7856 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
-  /* 7864 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
-  /* 7876 */ 'v', 'o', 'r', 'p', 's', 9, 0,
-  /* 7883 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
-  /* 7891 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
-  /* 7903 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
-  /* 7913 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
-  /* 7924 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 7934 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 7943 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
-  /* 7952 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
-  /* 7961 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
-  /* 7972 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
-  /* 7980 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
-  /* 7992 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
-  /* 8000 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
-  /* 8009 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 8022 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 8036 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 8049 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 8063 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 8076 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 8090 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 8103 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 8117 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
-  /* 8128 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
-  /* 8139 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 9, 0,
-  /* 8151 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 8164 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 8178 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 8191 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 8205 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 8215 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 8226 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 8234 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 8244 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 8255 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 8263 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
-  /* 8273 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 8283 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 8292 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
-  /* 8300 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
-  /* 8308 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
-  /* 8316 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
-  /* 8324 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
-  /* 8333 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 8343 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 8352 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
-  /* 8366 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
-  /* 8374 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
-  /* 8382 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
-  /* 8390 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
-  /* 8399 */ 'b', 't', 's', 9, 0,
-  /* 8404 */ 's', 'e', 't', 's', 9, 0,
-  /* 8410 */ 'c', 'm', 'o', 'v', 's', 9, 0,
-  /* 8417 */ 'b', 't', 9, 0,
-  /* 8421 */ 'l', 'g', 'd', 't', 9, 0,
-  /* 8427 */ 's', 'g', 'd', 't', 9, 0,
-  /* 8433 */ 'l', 'i', 'd', 't', 9, 0,
-  /* 8439 */ 's', 'i', 'd', 't', 9, 0,
-  /* 8445 */ 'l', 'l', 'd', 't', 9, 0,
-  /* 8451 */ 's', 'l', 'd', 't', 9, 0,
-  /* 8457 */ 'r', 'e', 't', 9, 0,
-  /* 8462 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
-  /* 8471 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
-  /* 8479 */ 'l', 'z', 'c', 'n', 't', 9, 0,
-  /* 8486 */ 't', 'z', 'c', 'n', 't', 9, 0,
-  /* 8493 */ 'i', 'n', 't', 9, 0,
-  /* 8498 */ 'n', 'o', 't', 9, 0,
-  /* 8503 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
-  /* 8511 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
-  /* 8521 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
-  /* 8529 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
-  /* 8538 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 8551 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 8564 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
-  /* 8572 */ 'f', 's', 't', 9, 0,
-  /* 8577 */ 'f', 'i', 's', 't', 9, 0,
-  /* 8583 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
-  /* 8601 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
-  /* 8610 */ 'o', 'u', 't', 9, 0,
-  /* 8615 */ 'p', 'e', 'x', 't', 9, 0,
-  /* 8621 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
-  /* 8629 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 8642 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 8651 */ 'f', 'd', 'i', 'v', 9, 0,
-  /* 8657 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
-  /* 8664 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
-  /* 8672 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
-  /* 8681 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
-  /* 8689 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
-  /* 8697 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
-  /* 8705 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
-  /* 8715 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 8725 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 8734 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
-  /* 8744 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
-  /* 8756 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
-  /* 8768 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
-  /* 8779 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
-  /* 8788 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
-  /* 8796 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
-  /* 8807 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
-  /* 8818 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
-  /* 8825 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
-  /* 8833 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
-  /* 8842 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
-  /* 8850 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
-  /* 8860 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
-  /* 8871 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
-  /* 8882 */ 'p', 'i', '2', 'f', 'w', 9, 0,
-  /* 8889 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
-  /* 8897 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
-  /* 8905 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
-  /* 8916 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
-  /* 8926 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
-  /* 8935 */ 'p', 'f', '2', 'i', 'w', 9, 0,
-  /* 8942 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
-  /* 8952 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
-  /* 8960 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
-  /* 8968 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
-  /* 8977 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
-  /* 8985 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
-  /* 8993 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
-  /* 9002 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
-  /* 9012 */ 'v', 'e', 'r', 'w', 9, 0,
-  /* 9018 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
-  /* 9027 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
-  /* 9036 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
-  /* 9045 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
-  /* 9053 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
-  /* 9065 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 9075 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 9084 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 9094 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 9103 */ 'l', 'm', 's', 'w', 9, 0,
-  /* 9109 */ 's', 'm', 's', 'w', 9, 0,
-  /* 9115 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
-  /* 9124 */ 's', 't', 'o', 's', 'w', 9, 0,
-  /* 9131 */ 'c', 'm', 'p', 's', 'w', 9, 0,
-  /* 9138 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
-  /* 9149 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
-  /* 9157 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
-  /* 9167 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
-  /* 9177 */ 'm', 'o', 'v', 's', 'w', 9, 0,
-  /* 9184 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
-  /* 9193 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
-  /* 9203 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
-  /* 9211 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
-  /* 9225 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
-  /* 9235 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
-  /* 9244 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
-  /* 9253 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
-  /* 9266 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
-  /* 9275 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
-  /* 9285 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
-  /* 9296 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
-  /* 9303 */ 'a', 'd', 'c', 'x', 9, 0,
-  /* 9309 */ 's', 'h', 'l', 'x', 9, 0,
-  /* 9315 */ 'm', 'u', 'l', 'x', 9, 0,
-  /* 9321 */ 'a', 'd', 'o', 'x', 9, 0,
-  /* 9327 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 9340 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 9352 */ 's', 'a', 'r', 'x', 9, 0,
-  /* 9358 */ 's', 'h', 'r', 'x', 9, 0,
-  /* 9364 */ 'r', 'o', 'r', 'x', 9, 0,
-  /* 9370 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
-  /* 9382 */ 'm', 'o', 'v', 's', 'x', 9, 0,
-  /* 9389 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
-  /* 9396 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
-  /* 9403 */ 'j', 'c', 'x', 'z', 9, 0,
-  /* 9409 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
-  /* 9416 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9432 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9447 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9464 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9480 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9496 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9511 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9527 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9542 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9551 */ 's', 'c', 'a', 's', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9562 */ 'l', 'o', 'd', 's', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9573 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9582 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
-  /* 9591 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
-  /* 9600 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
-  /* 9609 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
-  /* 9617 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
-  /* 9626 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
-  /* 9635 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
-  /* 9647 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
-  /* 9657 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
-  /* 9666 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
-  /* 9675 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
-  /* 9684 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
-  /* 9693 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
-  /* 9702 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
-  /* 9711 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
-  /* 9721 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
-  /* 9729 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
-  /* 9738 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
-  /* 9747 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
-  /* 9759 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
-  /* 9769 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
-  /* 9778 */ 's', 'c', 'a', 's', 'w', 9, 'a', 'x', ',', 32, 0,
-  /* 9789 */ 'l', 'o', 'd', 's', 'w', 9, 'a', 'x', ',', 32, 0,
-  /* 9800 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9810 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9820 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9830 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9840 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9850 */ 's', 'c', 'a', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9862 */ 'l', 'o', 'd', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9874 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9885 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9894 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9904 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9914 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9927 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9938 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9948 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9958 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9968 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9978 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9988 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9998 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10009 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10019 */ 's', 'c', 'a', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10031 */ 'l', 'o', 'd', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10043 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10053 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10066 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10077 */ 'o', 'u', 't', 's', 'b', 9, 'd', 'x', ',', 32, 0,
-  /* 10088 */ 'o', 'u', 't', 's', 'd', 9, 'd', 'x', ',', 32, 0,
-  /* 10099 */ 'o', 'u', 't', 's', 'w', 9, 'd', 'x', ',', 32, 0,
-  /* 10110 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
-  /* 10121 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
-  /* 10144 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
-  /* 10168 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10188 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10208 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10228 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10249 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10270 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10291 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10313 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10334 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10356 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10378 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10398 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10419 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10440 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10462 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10481 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10501 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10521 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10542 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10562 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10581 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10601 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10621 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10640 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10658 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10677 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10696 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10716 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10735 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10755 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10775 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10795 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10815 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10835 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10855 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10875 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10894 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10914 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10934 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10953 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10971 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10990 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11009 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11029 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11048 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11068 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11087 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11107 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11125 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11144 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11163 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11183 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11201 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11220 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11238 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11257 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11275 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11292 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11310 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11328 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11347 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11368 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11389 */ 'x', 's', 'h', 'a', '1', 0,
-  /* 11395 */ 'f', 'l', 'd', '1', 0,
-  /* 11400 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
-  /* 11407 */ 'f', '2', 'x', 'm', '1', 0,
-  /* 11413 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
-  /* 11421 */ 'i', 'n', 't', '1', 0,
-  /* 11426 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
-  /* 11445 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
-  /* 11463 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 11476 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 11489 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 11507 */ 'u', 'd', '2', 0,
-  /* 11511 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
-  /* 11518 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
-  /* 11525 */ 'i', 'n', 't', '3', 0,
-  /* 11530 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
-  /* 11549 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
-  /* 11567 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 11580 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 11593 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 11611 */ 'r', 'e', 'x', '6', '4', 0,
-  /* 11617 */ 'd', 'a', 't', 'a', '1', '6', 0,
-  /* 11624 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
-  /* 11632 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 11645 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 11652 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 11662 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
-  /* 11671 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
-  /* 11689 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
-  /* 11705 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
-  /* 11717 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 11732 */ 'a', 'a', 'a', 0,
-  /* 11736 */ 'd', 'a', 'a', 0,
-  /* 11740 */ 'u', 'd', '2', 'b', 0,
-  /* 11745 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
-  /* 11755 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
-  /* 11765 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
-  /* 11775 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
-  /* 11785 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
-  /* 11795 */ 'x', 'l', 'a', 't', 'b', 0,
-  /* 11801 */ 'c', 'l', 'a', 'c', 0,
-  /* 11806 */ 's', 't', 'a', 'c', 0,
-  /* 11811 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
-  /* 11821 */ 'g', 'e', 't', 's', 'e', 'c', 0,
-  /* 11828 */ 's', 'a', 'l', 'c', 0,
-  /* 11833 */ 'c', 'l', 'c', 0,
-  /* 11837 */ 'c', 'm', 'c', 0,
-  /* 11841 */ 'r', 'd', 'p', 'm', 'c', 0,
-  /* 11847 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
-  /* 11854 */ 'r', 'd', 't', 's', 'c', 0,
-  /* 11860 */ 's', 't', 'c', 0,
-  /* 11864 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
-  /* 11871 */ 'p', 'o', 'p', 'f', 'd', 0,
-  /* 11877 */ 'c', 'p', 'u', 'i', 'd', 0,
-  /* 11883 */ 'c', 'l', 'd', 0,
-  /* 11887 */ 'x', 'e', 'n', 'd', 0,
-  /* 11892 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
-  /* 11902 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
-  /* 11912 */ 'i', 'r', 'e', 't', 'd', 0,
-  /* 11918 */ 's', 't', 'd', 0,
-  /* 11922 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
-  /* 11929 */ 'c', 'w', 'd', 0,
-  /* 11933 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
-  /* 11940 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 11947 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 11954 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 11961 */ 'c', 'w', 'd', 'e', 0,
-  /* 11966 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
-  /* 11973 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
-  /* 11982 */ 'r', 'e', 'p', 'n', 'e', 0,
-  /* 11988 */ 'c', 'd', 'q', 'e', 0,
-  /* 11993 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
-  /* 12002 */ 'x', 's', 't', 'o', 'r', 'e', 0,
-  /* 12009 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
-  /* 12018 */ 'p', 'a', 'u', 's', 'e', 0,
-  /* 12024 */ 'l', 'e', 'a', 'v', 'e', 0,
-  /* 12030 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
-  /* 12037 */ 'l', 'a', 'h', 'f', 0,
-  /* 12042 */ 's', 'a', 'h', 'f', 0,
-  /* 12047 */ 'p', 'u', 's', 'h', 'f', 0,
-  /* 12053 */ 'p', 'o', 'p', 'f', 0,
-  /* 12058 */ 'r', 'e', 't', 'f', 0,
-  /* 12063 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
-  /* 12072 */ 'c', 'l', 'g', 'i', 0,
-  /* 12077 */ 's', 't', 'g', 'i', 0,
-  /* 12082 */ 'c', 'l', 'i', 0,
-  /* 12086 */ 'f', 'l', 'd', 'p', 'i', 0,
-  /* 12092 */ 's', 't', 'i', 0,
-  /* 12096 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
-  /* 12111 */ 'l', 'o', 'c', 'k', 0,
-  /* 12116 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
-  /* 12127 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
-  /* 12134 */ 'p', 'o', 'p', 'a', 'l', 0,
-  /* 12140 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 12148 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 12155 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
-  /* 12163 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
-  /* 12172 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
-  /* 12180 */ 'f', 'x', 'a', 'm', 0,
-  /* 12185 */ 'f', 'p', 'r', 'e', 'm', 0,
-  /* 12191 */ 'f', 's', 'e', 't', 'p', 'm', 0,
-  /* 12198 */ 'r', 's', 'm', 0,
-  /* 12202 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
-  /* 12209 */ 'f', 'p', 't', 'a', 'n', 0,
-  /* 12215 */ 'f', 's', 'i', 'n', 0,
-  /* 12220 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
-  /* 12247 */ 'c', 'q', 'o', 0,
-  /* 12251 */ 'i', 'n', 't', 'o', 0,
-  /* 12256 */ 'r', 'd', 't', 's', 'c', 'p', 0,
-  /* 12263 */ 'r', 'e', 'p', 0,
-  /* 12267 */ 'v', 'p', 'c', 'm', 'p', 0,
-  /* 12273 */ 'v', 'c', 'm', 'p', 0,
-  /* 12278 */ 'f', 'n', 'o', 'p', 0,
-  /* 12283 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 12290 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 12298 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
-  /* 12306 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
-  /* 12314 */ 'c', 'd', 'q', 0,
-  /* 12318 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
-  /* 12325 */ 'p', 'o', 'p', 'f', 'q', 0,
-  /* 12331 */ 'r', 'e', 't', 'f', 'q', 0,
-  /* 12337 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
-  /* 12347 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
-  /* 12357 */ 'i', 'r', 'e', 't', 'q', 0,
-  /* 12363 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
-  /* 12374 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
-  /* 12383 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
-  /* 12391 */ 'r', 'd', 'm', 's', 'r', 0,
-  /* 12397 */ 'w', 'r', 'm', 's', 'r', 0,
-  /* 12403 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
-  /* 12413 */ 'a', 'a', 's', 0,
-  /* 12417 */ 'd', 'a', 's', 0,
-  /* 12421 */ 'f', 'a', 'b', 's', 0,
-  /* 12426 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
-  /* 12434 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
-  /* 12442 */ 'p', 'o', 'p', 9, 'd', 's', 0,
-  /* 12449 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
-  /* 12457 */ 'p', 'o', 'p', 9, 'e', 's', 0,
-  /* 12464 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
-  /* 12472 */ 'p', 'o', 'p', 9, 'f', 's', 0,
-  /* 12479 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
-  /* 12487 */ 'p', 'o', 'p', 9, 'g', 's', 0,
-  /* 12494 */ 's', 'w', 'a', 'p', 'g', 's', 0,
-  /* 12501 */ 'f', 'c', 'h', 's', 0,
-  /* 12506 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
-  /* 12551 */ 'f', 'e', 'm', 'm', 's', 0,
-  /* 12557 */ 'f', 'c', 'o', 's', 0,
-  /* 12562 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
-  /* 12570 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
-  /* 12578 */ 'p', 'o', 'p', 9, 's', 's', 0,
-  /* 12585 */ 'c', 'l', 't', 's', 0,
-  /* 12590 */ 'f', 'l', 'd', 'l', '2', 't', 0,
-  /* 12597 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
-  /* 12605 */ 'i', 'r', 'e', 't', 0,
-  /* 12610 */ 's', 'y', 's', 'r', 'e', 't', 0,
-  /* 12617 */ 'm', 'w', 'a', 'i', 't', 0,
-  /* 12623 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
-  /* 12630 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
-  /* 12638 */ 'h', 'l', 't', 0,
-  /* 12642 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
-  /* 12650 */ 'f', 's', 'q', 'r', 't', 0,
-  /* 12656 */ 'x', 't', 'e', 's', 't', 0,
-  /* 12662 */ 'f', 't', 's', 't', 0,
-  /* 12667 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
-  /* 12674 */ 'x', 's', 'e', 't', 'b', 'v', 0,
-  /* 12681 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
-  /* 12688 */ 'p', 'o', 'p', 'a', 'w', 0,
-  /* 12694 */ 'c', 'b', 'w', 0,
-  /* 12698 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
-  /* 12708 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
-  /* 12718 */ 'f', 'y', 'l', '2', 'x', 0,
-  /* 12724 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
-  /* 12734 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
-  /* 12745 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
-  /* 12756 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
-  /* 12767 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
-  /* 12777 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
-  /* 12788 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
-  /* 12800 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
-  /* 12811 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
-  /* 12822 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
-  /* 12832 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 12849 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 12866 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
-  /* 12876 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
-  /* 12886 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
-  /* 12897 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
-  /* 12904 */ 'f', 'l', 'd', 'z', 0,
+  /* 5979 */ 's', 'a', 'l', 9, 0,
+  /* 5984 */ 'r', 'c', 'l', 9, 0,
+  /* 5989 */ 's', 'h', 'l', 9, 0,
+  /* 5994 */ 'j', 'l', 9, 0,
+  /* 5998 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
+  /* 6005 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6014 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6023 */ 'r', 'o', 'l', 9, 0,
+  /* 6028 */ 'a', 'r', 'p', 'l', 9, 0,
+  /* 6034 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
+  /* 6045 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
+  /* 6057 */ 'l', 's', 'l', 9, 0,
+  /* 6062 */ 's', 'e', 't', 'l', 9, 0,
+  /* 6068 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
+  /* 6075 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
+  /* 6082 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
+  /* 6089 */ 'a', 'a', 'm', 9, 0,
+  /* 6094 */ 'f', 'c', 'o', 'm', 9, 0,
+  /* 6100 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
+  /* 6107 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
+  /* 6114 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
+  /* 6122 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
+  /* 6134 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
+  /* 6146 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
+  /* 6154 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
+  /* 6162 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
+  /* 6169 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
+  /* 6176 */ 'j', 'o', 9, 0,
+  /* 6180 */ 'j', 'n', 'o', 9, 0,
+  /* 6185 */ 's', 'e', 't', 'n', 'o', 9, 0,
+  /* 6192 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
+  /* 6200 */ 's', 'e', 't', 'o', 9, 0,
+  /* 6206 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
+  /* 6213 */ 'b', 's', 'w', 'a', 'p', 9, 0,
+  /* 6220 */ 'f', 's', 'u', 'b', 'p', 9, 0,
+  /* 6227 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
+  /* 6234 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
+  /* 6241 */ 'p', 'd', 'e', 'p', 9, 0,
+  /* 6247 */ 'j', 'p', 9, 0,
+  /* 6251 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
+  /* 6258 */ 'c', 'm', 'p', 9, 0,
+  /* 6263 */ 'l', 'j', 'm', 'p', 9, 0,
+  /* 6269 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6276 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6284 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6292 */ 'j', 'n', 'p', 9, 0,
+  /* 6297 */ 's', 'e', 't', 'n', 'p', 9, 0,
+  /* 6304 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
+  /* 6312 */ 'n', 'o', 'p', 9, 0,
+  /* 6317 */ 'l', 'o', 'o', 'p', 9, 0,
+  /* 6323 */ 'p', 'o', 'p', 9, 0,
+  /* 6328 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
+  /* 6336 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
+  /* 6344 */ 's', 'e', 't', 'p', 9, 0,
+  /* 6350 */ 'f', 'b', 's', 't', 'p', 9, 0,
+  /* 6357 */ 'f', 's', 't', 'p', 9, 0,
+  /* 6363 */ 'f', 'i', 's', 't', 'p', 9, 0,
+  /* 6370 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
+  /* 6378 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
+  /* 6388 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
+  /* 6399 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
+  /* 6410 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
+  /* 6426 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
+  /* 6433 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
+  /* 6440 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
+  /* 6449 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
+  /* 6457 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
+  /* 6467 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
+  /* 6478 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
+  /* 6486 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
+  /* 6497 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
+  /* 6508 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 6520 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 6531 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
+  /* 6540 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 6552 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 6563 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
+  /* 6573 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
+  /* 6581 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
+  /* 6591 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
+  /* 6603 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
+  /* 6615 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
+  /* 6624 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
+  /* 6633 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
+  /* 6642 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
+  /* 6655 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
+  /* 6668 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
+  /* 6680 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
+  /* 6692 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
+  /* 6702 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
+  /* 6713 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
+  /* 6723 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
+  /* 6734 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
+  /* 6745 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
+  /* 6754 */ 'r', 'e', 't', 'f', 'q', 9, 0,
+  /* 6761 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
+  /* 6769 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
+  /* 6777 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
+  /* 6785 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
+  /* 6793 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
+  /* 6801 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
+  /* 6809 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
+  /* 6819 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
+  /* 6831 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
+  /* 6840 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
+  /* 6849 */ 's', 't', 'o', 's', 'q', 9, 0,
+  /* 6856 */ 'c', 'm', 'p', 's', 'q', 9, 0,
+  /* 6863 */ 'm', 'o', 'v', 's', 'q', 9, 0,
+  /* 6870 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
+  /* 6880 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
+  /* 6888 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
+  /* 6896 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
+  /* 6905 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
+  /* 6919 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
+  /* 6928 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
+  /* 6937 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
+  /* 6946 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
+  /* 6955 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
+  /* 6967 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
+  /* 6974 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
+  /* 6984 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
+  /* 6995 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
+  /* 7006 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
+  /* 7017 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
+  /* 7026 */ 'l', 'a', 'r', 9, 0,
+  /* 7031 */ 's', 'a', 'r', 9, 0,
+  /* 7036 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
+  /* 7044 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
+  /* 7052 */ 'r', 'c', 'r', 9, 0,
+  /* 7057 */ 'e', 'n', 't', 'e', 'r', 9, 0,
+  /* 7064 */ 's', 'h', 'r', 9, 0,
+  /* 7069 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
+  /* 7079 */ 'v', 'p', 'o', 'r', 9, 0,
+  /* 7085 */ 'r', 'o', 'r', 9, 0,
+  /* 7090 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 7098 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 7107 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
+  /* 7114 */ 'v', 'e', 'r', 'r', 9, 0,
+  /* 7120 */ 'b', 's', 'r', 9, 0,
+  /* 7125 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 7135 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 7145 */ 'b', 'l', 's', 'r', 9, 0,
+  /* 7151 */ 'b', 't', 'r', 9, 0,
+  /* 7156 */ 'l', 't', 'r', 9, 0,
+  /* 7161 */ 's', 't', 'r', 9, 0,
+  /* 7166 */ 'b', 'e', 'x', 't', 'r', 9, 0,
+  /* 7173 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
+  /* 7180 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
+  /* 7188 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
+  /* 7196 */ 'b', 'l', 'c', 's', 9, 0,
+  /* 7202 */ 'l', 'd', 's', 9, 0,
+  /* 7207 */ 'l', 'e', 's', 9, 0,
+  /* 7212 */ 'l', 'f', 's', 9, 0,
+  /* 7217 */ 'l', 'g', 's', 9, 0,
+  /* 7222 */ 'j', 's', 9, 0,
+  /* 7226 */ 'j', 'n', 's', 9, 0,
+  /* 7231 */ 's', 'e', 't', 'n', 's', 9, 0,
+  /* 7238 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
+  /* 7246 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7262 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7275 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7289 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7305 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7318 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7332 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7348 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7361 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7375 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7391 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7404 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7418 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
+  /* 7429 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
+  /* 7440 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
+  /* 7450 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
+  /* 7462 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
+  /* 7473 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7489 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7502 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7516 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7532 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7545 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7559 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
+  /* 7568 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7581 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7592 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7601 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7611 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7622 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7630 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7643 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7652 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7662 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7673 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7681 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
+  /* 7689 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
+  /* 7699 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
+  /* 7709 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
+  /* 7721 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
+  /* 7730 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
+  /* 7741 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
+  /* 7751 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
+  /* 7760 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
+  /* 7771 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
+  /* 7781 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
+  /* 7792 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
+  /* 7803 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
+  /* 7811 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
+  /* 7820 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
+  /* 7829 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
+  /* 7838 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
+  /* 7846 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
+  /* 7854 */ 'v', 'd', 'p', 'p', 's', 9, 0,
+  /* 7861 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
+  /* 7869 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
+  /* 7881 */ 'v', 'o', 'r', 'p', 's', 9, 0,
+  /* 7888 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
+  /* 7896 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
+  /* 7908 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
+  /* 7918 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
+  /* 7929 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 7939 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 7948 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
+  /* 7957 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
+  /* 7966 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
+  /* 7977 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
+  /* 7985 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
+  /* 7997 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
+  /* 8005 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
+  /* 8014 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 8027 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 8041 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 8054 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 8068 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 8081 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 8095 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 8108 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 8122 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
+  /* 8133 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
+  /* 8144 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 9, 0,
+  /* 8156 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 8169 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 8183 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 8196 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 8210 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8220 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8231 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8239 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8249 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8260 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8268 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
+  /* 8278 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 8288 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 8297 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
+  /* 8305 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
+  /* 8313 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
+  /* 8321 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
+  /* 8329 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
+  /* 8338 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 8348 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 8357 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
+  /* 8371 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
+  /* 8379 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
+  /* 8387 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
+  /* 8395 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
+  /* 8404 */ 'b', 't', 's', 9, 0,
+  /* 8409 */ 's', 'e', 't', 's', 9, 0,
+  /* 8415 */ 'c', 'm', 'o', 'v', 's', 9, 0,
+  /* 8422 */ 'b', 't', 9, 0,
+  /* 8426 */ 'l', 'g', 'd', 't', 9, 0,
+  /* 8432 */ 's', 'g', 'd', 't', 9, 0,
+  /* 8438 */ 'l', 'i', 'd', 't', 9, 0,
+  /* 8444 */ 's', 'i', 'd', 't', 9, 0,
+  /* 8450 */ 'l', 'l', 'd', 't', 9, 0,
+  /* 8456 */ 's', 'l', 'd', 't', 9, 0,
+  /* 8462 */ 'r', 'e', 't', 9, 0,
+  /* 8467 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
+  /* 8476 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
+  /* 8484 */ 'l', 'z', 'c', 'n', 't', 9, 0,
+  /* 8491 */ 't', 'z', 'c', 'n', 't', 9, 0,
+  /* 8498 */ 'i', 'n', 't', 9, 0,
+  /* 8503 */ 'n', 'o', 't', 9, 0,
+  /* 8508 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
+  /* 8516 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
+  /* 8526 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
+  /* 8534 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
+  /* 8543 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 8556 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 8569 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
+  /* 8577 */ 'f', 's', 't', 9, 0,
+  /* 8582 */ 'f', 'i', 's', 't', 9, 0,
+  /* 8588 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
+  /* 8606 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
+  /* 8615 */ 'o', 'u', 't', 9, 0,
+  /* 8620 */ 'p', 'e', 'x', 't', 9, 0,
+  /* 8626 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
+  /* 8634 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 8647 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 8656 */ 'f', 'd', 'i', 'v', 9, 0,
+  /* 8662 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
+  /* 8669 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
+  /* 8677 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
+  /* 8686 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
+  /* 8694 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
+  /* 8702 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
+  /* 8710 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
+  /* 8720 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 8730 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 8739 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
+  /* 8749 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
+  /* 8761 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
+  /* 8773 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
+  /* 8784 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
+  /* 8793 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
+  /* 8801 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
+  /* 8812 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
+  /* 8823 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
+  /* 8830 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
+  /* 8838 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
+  /* 8847 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
+  /* 8855 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
+  /* 8865 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
+  /* 8876 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
+  /* 8887 */ 'p', 'i', '2', 'f', 'w', 9, 0,
+  /* 8894 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
+  /* 8902 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
+  /* 8910 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
+  /* 8921 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
+  /* 8931 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
+  /* 8940 */ 'p', 'f', '2', 'i', 'w', 9, 0,
+  /* 8947 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
+  /* 8957 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
+  /* 8965 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
+  /* 8973 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
+  /* 8982 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
+  /* 8990 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
+  /* 8998 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
+  /* 9007 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
+  /* 9017 */ 'v', 'e', 'r', 'w', 9, 0,
+  /* 9023 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
+  /* 9032 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
+  /* 9041 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
+  /* 9050 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
+  /* 9058 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
+  /* 9070 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 9080 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 9089 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 9099 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 9108 */ 'l', 'm', 's', 'w', 9, 0,
+  /* 9114 */ 's', 'm', 's', 'w', 9, 0,
+  /* 9120 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
+  /* 9129 */ 's', 't', 'o', 's', 'w', 9, 0,
+  /* 9136 */ 'c', 'm', 'p', 's', 'w', 9, 0,
+  /* 9143 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
+  /* 9154 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
+  /* 9162 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
+  /* 9172 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
+  /* 9182 */ 'm', 'o', 'v', 's', 'w', 9, 0,
+  /* 9189 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
+  /* 9198 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
+  /* 9208 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
+  /* 9216 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
+  /* 9230 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
+  /* 9240 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
+  /* 9249 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
+  /* 9258 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
+  /* 9271 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
+  /* 9280 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
+  /* 9290 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
+  /* 9301 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
+  /* 9308 */ 'a', 'd', 'c', 'x', 9, 0,
+  /* 9314 */ 's', 'h', 'l', 'x', 9, 0,
+  /* 9320 */ 'm', 'u', 'l', 'x', 9, 0,
+  /* 9326 */ 'a', 'd', 'o', 'x', 9, 0,
+  /* 9332 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 9345 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 9357 */ 's', 'a', 'r', 'x', 9, 0,
+  /* 9363 */ 's', 'h', 'r', 'x', 9, 0,
+  /* 9369 */ 'r', 'o', 'r', 'x', 9, 0,
+  /* 9375 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
+  /* 9387 */ 'm', 'o', 'v', 's', 'x', 9, 0,
+  /* 9394 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
+  /* 9401 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
+  /* 9408 */ 'j', 'c', 'x', 'z', 9, 0,
+  /* 9414 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
+  /* 9421 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9437 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9452 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9469 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9485 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9501 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9516 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9532 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9547 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9556 */ 's', 'c', 'a', 's', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9567 */ 'l', 'o', 'd', 's', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9578 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9587 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
+  /* 9596 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
+  /* 9605 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
+  /* 9614 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
+  /* 9622 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
+  /* 9631 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
+  /* 9640 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
+  /* 9652 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
+  /* 9662 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
+  /* 9671 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
+  /* 9680 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
+  /* 9689 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
+  /* 9698 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
+  /* 9707 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
+  /* 9716 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
+  /* 9726 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
+  /* 9734 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
+  /* 9743 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
+  /* 9752 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
+  /* 9764 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
+  /* 9774 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
+  /* 9783 */ 's', 'c', 'a', 's', 'w', 9, 'a', 'x', ',', 32, 0,
+  /* 9794 */ 'l', 'o', 'd', 's', 'w', 9, 'a', 'x', ',', 32, 0,
+  /* 9805 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9815 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9825 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9835 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9845 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9855 */ 's', 'c', 'a', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9867 */ 'l', 'o', 'd', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9879 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9890 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9899 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9909 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9919 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9932 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9943 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9953 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 9963 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 9973 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 9983 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 9993 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10003 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10014 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10024 */ 's', 'c', 'a', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10036 */ 'l', 'o', 'd', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10048 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10058 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10071 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10082 */ 'o', 'u', 't', 's', 'b', 9, 'd', 'x', ',', 32, 0,
+  /* 10093 */ 'o', 'u', 't', 's', 'd', 9, 'd', 'x', ',', 32, 0,
+  /* 10104 */ 'o', 'u', 't', 's', 'w', 9, 'd', 'x', ',', 32, 0,
+  /* 10115 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
+  /* 10126 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
+  /* 10149 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
+  /* 10173 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10193 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10213 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10233 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10254 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10275 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10296 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10318 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10339 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10361 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10383 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10403 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10424 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10445 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10467 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10486 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10506 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10526 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10547 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10567 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10586 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10606 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10626 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10645 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10663 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10682 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10701 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10721 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10740 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10760 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10780 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10800 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10820 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10840 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10860 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10880 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10899 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10919 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10939 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10958 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10976 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10995 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11014 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11034 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11053 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11073 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11092 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11112 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11130 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11149 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11168 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11188 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11206 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11225 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11243 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11262 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11280 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11297 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11315 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11333 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11352 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11373 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11394 */ 'x', 's', 'h', 'a', '1', 0,
+  /* 11400 */ 'f', 'l', 'd', '1', 0,
+  /* 11405 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
+  /* 11412 */ 'f', '2', 'x', 'm', '1', 0,
+  /* 11418 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
+  /* 11426 */ 'i', 'n', 't', '1', 0,
+  /* 11431 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
+  /* 11450 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
+  /* 11468 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 11481 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 11494 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 11512 */ 'u', 'd', '2', 0,
+  /* 11516 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
+  /* 11523 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
+  /* 11530 */ 'i', 'n', 't', '3', 0,
+  /* 11535 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
+  /* 11554 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
+  /* 11572 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 11585 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 11598 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 11616 */ 'r', 'e', 'x', '6', '4', 0,
+  /* 11622 */ 'd', 'a', 't', 'a', '1', '6', 0,
+  /* 11629 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
+  /* 11637 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 11650 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 11657 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 11667 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
+  /* 11676 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
+  /* 11694 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
+  /* 11710 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
+  /* 11722 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 11737 */ 'a', 'a', 'a', 0,
+  /* 11741 */ 'd', 'a', 'a', 0,
+  /* 11745 */ 'u', 'd', '2', 'b', 0,
+  /* 11750 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
+  /* 11760 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
+  /* 11770 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
+  /* 11780 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
+  /* 11790 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
+  /* 11800 */ 'x', 'l', 'a', 't', 'b', 0,
+  /* 11806 */ 'c', 'l', 'a', 'c', 0,
+  /* 11811 */ 's', 't', 'a', 'c', 0,
+  /* 11816 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
+  /* 11826 */ 'g', 'e', 't', 's', 'e', 'c', 0,
+  /* 11833 */ 's', 'a', 'l', 'c', 0,
+  /* 11838 */ 'c', 'l', 'c', 0,
+  /* 11842 */ 'c', 'm', 'c', 0,
+  /* 11846 */ 'r', 'd', 'p', 'm', 'c', 0,
+  /* 11852 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
+  /* 11859 */ 'r', 'd', 't', 's', 'c', 0,
+  /* 11865 */ 's', 't', 'c', 0,
+  /* 11869 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
+  /* 11876 */ 'p', 'o', 'p', 'f', 'd', 0,
+  /* 11882 */ 'c', 'p', 'u', 'i', 'd', 0,
+  /* 11888 */ 'c', 'l', 'd', 0,
+  /* 11892 */ 'x', 'e', 'n', 'd', 0,
+  /* 11897 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
+  /* 11907 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
+  /* 11917 */ 'i', 'r', 'e', 't', 'd', 0,
+  /* 11923 */ 's', 't', 'd', 0,
+  /* 11927 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
+  /* 11934 */ 'c', 'w', 'd', 0,
+  /* 11938 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
+  /* 11945 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11952 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11959 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11966 */ 'c', 'w', 'd', 'e', 0,
+  /* 11971 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
+  /* 11978 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
+  /* 11987 */ 'r', 'e', 'p', 'n', 'e', 0,
+  /* 11993 */ 'c', 'd', 'q', 'e', 0,
+  /* 11998 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
+  /* 12007 */ 'x', 's', 't', 'o', 'r', 'e', 0,
+  /* 12014 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
+  /* 12023 */ 'p', 'a', 'u', 's', 'e', 0,
+  /* 12029 */ 'l', 'e', 'a', 'v', 'e', 0,
+  /* 12035 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
+  /* 12042 */ 'l', 'a', 'h', 'f', 0,
+  /* 12047 */ 's', 'a', 'h', 'f', 0,
+  /* 12052 */ 'p', 'u', 's', 'h', 'f', 0,
+  /* 12058 */ 'p', 'o', 'p', 'f', 0,
+  /* 12063 */ 'r', 'e', 't', 'f', 0,
+  /* 12068 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
+  /* 12077 */ 'c', 'l', 'g', 'i', 0,
+  /* 12082 */ 's', 't', 'g', 'i', 0,
+  /* 12087 */ 'c', 'l', 'i', 0,
+  /* 12091 */ 'f', 'l', 'd', 'p', 'i', 0,
+  /* 12097 */ 's', 't', 'i', 0,
+  /* 12101 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
+  /* 12116 */ 'l', 'o', 'c', 'k', 0,
+  /* 12121 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
+  /* 12132 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
+  /* 12139 */ 'p', 'o', 'p', 'a', 'l', 0,
+  /* 12145 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 12153 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 12160 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
+  /* 12168 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
+  /* 12177 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
+  /* 12185 */ 'f', 'x', 'a', 'm', 0,
+  /* 12190 */ 'f', 'p', 'r', 'e', 'm', 0,
+  /* 12196 */ 'f', 's', 'e', 't', 'p', 'm', 0,
+  /* 12203 */ 'r', 's', 'm', 0,
+  /* 12207 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
+  /* 12214 */ 'f', 'p', 't', 'a', 'n', 0,
+  /* 12220 */ 'f', 's', 'i', 'n', 0,
+  /* 12225 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
+  /* 12252 */ 'c', 'q', 'o', 0,
+  /* 12256 */ 'i', 'n', 't', 'o', 0,
+  /* 12261 */ 'r', 'd', 't', 's', 'c', 'p', 0,
+  /* 12268 */ 'r', 'e', 'p', 0,
+  /* 12272 */ 'v', 'p', 'c', 'm', 'p', 0,
+  /* 12278 */ 'v', 'c', 'm', 'p', 0,
+  /* 12283 */ 'f', 'n', 'o', 'p', 0,
+  /* 12288 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 12295 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 12303 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
+  /* 12311 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
+  /* 12319 */ 'c', 'd', 'q', 0,
+  /* 12323 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
+  /* 12330 */ 'p', 'o', 'p', 'f', 'q', 0,
+  /* 12336 */ 'r', 'e', 't', 'f', 'q', 0,
+  /* 12342 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
+  /* 12352 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
+  /* 12362 */ 'i', 'r', 'e', 't', 'q', 0,
+  /* 12368 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
+  /* 12379 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
+  /* 12388 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
+  /* 12396 */ 'r', 'd', 'm', 's', 'r', 0,
+  /* 12402 */ 'w', 'r', 'm', 's', 'r', 0,
+  /* 12408 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
+  /* 12418 */ 'a', 'a', 's', 0,
+  /* 12422 */ 'd', 'a', 's', 0,
+  /* 12426 */ 'f', 'a', 'b', 's', 0,
+  /* 12431 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
+  /* 12439 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
+  /* 12447 */ 'p', 'o', 'p', 9, 'd', 's', 0,
+  /* 12454 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
+  /* 12462 */ 'p', 'o', 'p', 9, 'e', 's', 0,
+  /* 12469 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
+  /* 12477 */ 'p', 'o', 'p', 9, 'f', 's', 0,
+  /* 12484 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
+  /* 12492 */ 'p', 'o', 'p', 9, 'g', 's', 0,
+  /* 12499 */ 's', 'w', 'a', 'p', 'g', 's', 0,
+  /* 12506 */ 'f', 'c', 'h', 's', 0,
+  /* 12511 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
+  /* 12556 */ 'f', 'e', 'm', 'm', 's', 0,
+  /* 12562 */ 'f', 'c', 'o', 's', 0,
+  /* 12567 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
+  /* 12575 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
+  /* 12583 */ 'p', 'o', 'p', 9, 's', 's', 0,
+  /* 12590 */ 'c', 'l', 't', 's', 0,
+  /* 12595 */ 'f', 'l', 'd', 'l', '2', 't', 0,
+  /* 12602 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
+  /* 12610 */ 'i', 'r', 'e', 't', 0,
+  /* 12615 */ 's', 'y', 's', 'r', 'e', 't', 0,
+  /* 12622 */ 'm', 'w', 'a', 'i', 't', 0,
+  /* 12628 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
+  /* 12635 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
+  /* 12643 */ 'h', 'l', 't', 0,
+  /* 12647 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
+  /* 12655 */ 'f', 's', 'q', 'r', 't', 0,
+  /* 12661 */ 'x', 't', 'e', 's', 't', 0,
+  /* 12667 */ 'f', 't', 's', 't', 0,
+  /* 12672 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
+  /* 12679 */ 'x', 's', 'e', 't', 'b', 'v', 0,
+  /* 12686 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
+  /* 12693 */ 'p', 'o', 'p', 'a', 'w', 0,
+  /* 12699 */ 'c', 'b', 'w', 0,
+  /* 12703 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
+  /* 12713 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
+  /* 12723 */ 'f', 'y', 'l', '2', 'x', 0,
+  /* 12729 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
+  /* 12739 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
+  /* 12750 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
+  /* 12761 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
+  /* 12772 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
+  /* 12782 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
+  /* 12793 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
+  /* 12805 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
+  /* 12816 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
+  /* 12827 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
+  /* 12837 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 12854 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 12871 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
+  /* 12881 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 12891 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 12902 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
+  /* 12909 */ 'f', 'l', 'd', 'z', 0,
   };
 #endif
 
@@ -12068,7 +12117,6 @@
   SStream_concat(O, "%s", AsmStrs+(Bits & 16383)-1);
 #endif
 
-
   // Fragment 0 encoded into 6 bits for 45 unique commands.
   //printf("Frag-0: %"PRIu64"\n", (Bits >> 14) & 63);
   switch ((Bits >> 14) & 63) {