x86: more update to the core
diff --git a/arch/X86/X86GenAsmWriter.inc b/arch/X86/X86GenAsmWriter.inc
index eb4fddd..db3d704 100644
--- a/arch/X86/X86GenAsmWriter.inc
+++ b/arch/X86/X86GenAsmWriter.inc
@@ -25,131 +25,131 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    13758U,	// DBG_VALUE
+    13714U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    13751U,	// BUNDLE
-    13823U,	// LIFETIME_START
-    13738U,	// LIFETIME_END
+    13707U,	// BUNDLE
+    13779U,	// LIFETIME_START
+    13694U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
     0U,	// LOAD_STACK_GUARD
-    13838U,	// AAA
-    20723U,	// AAD8i8
-    23860U,	// AAM8i8
-    14579U,	// AAS
-    14587U,	// ABS_F
+    13794U,	// AAA
+    20679U,	// AAD8i8
+    23816U,	// AAM8i8
+    14535U,	// AAS
+    14543U,	// ABS_F
     0U,	// ABS_Fp32
     0U,	// ABS_Fp64
     0U,	// ABS_Fp80
-    13359U,	// ACQUIRE_MOV16rm
-    13359U,	// ACQUIRE_MOV32rm
-    13359U,	// ACQUIRE_MOV64rm
-    13359U,	// ACQUIRE_MOV8rm
-    2124460U,	// ADC16i16
-    4237996U,	// ADC16mi
-    4237996U,	// ADC16mi8
-    4237996U,	// ADC16mr
-    6351532U,	// ADC16ri
-    6351532U,	// ADC16ri8
-    6367916U,	// ADC16rm
-    6351532U,	// ADC16rr
-    8448684U,	// ADC16rr_REV
-    10508609U,	// ADC32i32
-    12622145U,	// ADC32mi
-    12622145U,	// ADC32mi8
-    12622145U,	// ADC32mr
-    6347073U,	// ADC32ri
-    6347073U,	// ADC32ri8
-    283203905U,	// ADC32rm
-    6347073U,	// ADC32rr
-    8444225U,	// ADC32rr_REV
-    16801458U,	// ADC64i32
-    18914994U,	// ADC64mi32
-    18914994U,	// ADC64mi8
-    18914994U,	// ADC64mr
-    6348466U,	// ADC64ri32
-    6348466U,	// ADC64ri8
-    283221682U,	// ADC64rm
-    6348466U,	// ADC64rr
-    8445618U,	// ADC64rr_REV
-    20991705U,	// ADC8i8
-    23105241U,	// ADC8mi
-    23105241U,	// ADC8mr
-    6344409U,	// ADC8ri
-    118489U,	// ADC8rm
-    6344409U,	// ADC8rr
-    8441561U,	// ADC8rr_REV
-    551689475U,	// ADCX32rm
-    8543491U,	// ADCX32rr
-    551723861U,	// ADCX64rm
-    8545109U,	// ADCX64rr
-    2124502U,	// ADD16i16
-    4238038U,	// ADD16mi
-    4238038U,	// ADD16mi8
-    4238038U,	// ADD16mr
-    6351574U,	// ADD16ri
-    6351574U,	// ADD16ri8
+    13315U,	// ACQUIRE_MOV16rm
+    13315U,	// ACQUIRE_MOV32rm
+    13315U,	// ACQUIRE_MOV64rm
+    13315U,	// ACQUIRE_MOV8rm
+    2124416U,	// ADC16i16
+    4237952U,	// ADC16mi
+    4237952U,	// ADC16mi8
+    4237952U,	// ADC16mr
+    6351488U,	// ADC16ri
+    6351488U,	// ADC16ri8
+    6367872U,	// ADC16rm
+    6351488U,	// ADC16rr
+    8448640U,	// ADC16rr_REV
+    10508565U,	// ADC32i32
+    12622101U,	// ADC32mi
+    12622101U,	// ADC32mi8
+    12622101U,	// ADC32mr
+    6347029U,	// ADC32ri
+    6347029U,	// ADC32ri8
+    283203861U,	// ADC32rm
+    6347029U,	// ADC32rr
+    8444181U,	// ADC32rr_REV
+    16801414U,	// ADC64i32
+    18914950U,	// ADC64mi32
+    18914950U,	// ADC64mi8
+    18914950U,	// ADC64mr
+    6348422U,	// ADC64ri32
+    6348422U,	// ADC64ri8
+    283221638U,	// ADC64rm
+    6348422U,	// ADC64rr
+    8445574U,	// ADC64rr_REV
+    20991661U,	// ADC8i8
+    23105197U,	// ADC8mi
+    23105197U,	// ADC8mr
+    6344365U,	// ADC8ri
+    118445U,	// ADC8rm
+    6344365U,	// ADC8rr
+    8441517U,	// ADC8rr_REV
+    551640279U,	// ADCX32rm
+    8445143U,	// ADCX32rr
+    551658281U,	// ADCX64rm
+    8446761U,	// ADCX64rr
+    2124458U,	// ADD16i16
+    4237994U,	// ADD16mi
+    4237994U,	// ADD16mi8
+    4237994U,	// ADD16mr
+    6351530U,	// ADD16ri
+    6351530U,	// ADD16ri8
     0U,	// ADD16ri8_DB
     0U,	// ADD16ri_DB
-    6367958U,	// ADD16rm
-    6351574U,	// ADD16rr
+    6367914U,	// ADD16rm
+    6351530U,	// ADD16rr
     0U,	// ADD16rr_DB
-    8448726U,	// ADD16rr_REV
-    10508643U,	// ADD32i32
-    12622179U,	// ADD32mi
-    12622179U,	// ADD32mi8
-    12622179U,	// ADD32mr
-    6347107U,	// ADD32ri
-    6347107U,	// ADD32ri8
+    8448682U,	// ADD16rr_REV
+    10508599U,	// ADD32i32
+    12622135U,	// ADD32mi
+    12622135U,	// ADD32mi8
+    12622135U,	// ADD32mr
+    6347063U,	// ADD32ri
+    6347063U,	// ADD32ri8
     0U,	// ADD32ri8_DB
     0U,	// ADD32ri_DB
-    283203939U,	// ADD32rm
-    6347107U,	// ADD32rr
+    283203895U,	// ADD32rm
+    6347063U,	// ADD32rr
     0U,	// ADD32rr_DB
-    8444259U,	// ADD32rr_REV
-    16801558U,	// ADD64i32
-    18915094U,	// ADD64mi32
-    18915094U,	// ADD64mi8
-    18915094U,	// ADD64mr
-    6348566U,	// ADD64ri32
+    8444215U,	// ADD32rr_REV
+    16801514U,	// ADD64i32
+    18915050U,	// ADD64mi32
+    18915050U,	// ADD64mi8
+    18915050U,	// ADD64mr
+    6348522U,	// ADD64ri32
     0U,	// ADD64ri32_DB
-    6348566U,	// ADD64ri8
+    6348522U,	// ADD64ri8
     0U,	// ADD64ri8_DB
-    283221782U,	// ADD64rm
-    6348566U,	// ADD64rr
+    283221738U,	// ADD64rm
+    6348522U,	// ADD64rr
     0U,	// ADD64rr_DB
-    8445718U,	// ADD64rr_REV
-    20991725U,	// ADD8i8
-    23105261U,	// ADD8mi
-    23105261U,	// ADD8mr
-    6344429U,	// ADD8ri
-    6344429U,	// ADD8ri8
-    118509U,	// ADD8rm
-    6344429U,	// ADD8rr
-    8441581U,	// ADD8rr_REV
-    8573839U,	// ADDPDrm
-    8442767U,	// ADDPDrr
-    8578515U,	// ADDPSrm
-    8447443U,	// ADDPSrr
-    551753169U,	// ADDSDrm
-    551753169U,	// ADDSDrm_Int
-    8443345U,	// ADDSDrr
-    8443345U,	// ADDSDrr_Int
-    551774309U,	// ADDSSrm
-    551774309U,	// ADDSSrm_Int
-    8448101U,	// ADDSSrr
-    8448101U,	// ADDSSrr_Int
-    8573774U,	// ADDSUBPDrm
-    8442702U,	// ADDSUBPDrr
-    8578450U,	// ADDSUBPSrm
-    8447378U,	// ADDSUBPSrr
-    238595U,	// ADD_F32m
-    252258U,	// ADD_F64m
-    271370U,	// ADD_FI16m
-    285033U,	// ADD_FI32m
-    23976U,	// ADD_FPrST0
-    20805U,	// ADD_FST0r
+    8445674U,	// ADD64rr_REV
+    20991681U,	// ADD8i8
+    23105217U,	// ADD8mi
+    23105217U,	// ADD8mr
+    6344385U,	// ADD8ri
+    6344385U,	// ADD8ri8
+    118465U,	// ADD8rm
+    6344385U,	// ADD8rr
+    8441537U,	// ADD8rr_REV
+    8524643U,	// ADDPDrm
+    8442723U,	// ADDPDrr
+    8529319U,	// ADDPSrm
+    8447399U,	// ADDPSrr
+    551703973U,	// ADDSDrm
+    551703973U,	// ADDSDrm_Int
+    8443301U,	// ADDSDrr
+    8443301U,	// ADDSDrr_Int
+    551725113U,	// ADDSSrm
+    551725113U,	// ADDSSrm_Int
+    8448057U,	// ADDSSrr
+    8448057U,	// ADDSSrr_Int
+    8524578U,	// ADDSUBPDrm
+    8442658U,	// ADDSUBPDrr
+    8529254U,	// ADDSUBPSrm
+    8447334U,	// ADDSUBPSrr
+    189399U,	// ADD_F32m
+    203062U,	// ADD_F64m
+    222174U,	// ADD_FI16m
+    235837U,	// ADD_FI32m
+    23932U,	// ADD_FPrST0
+    20761U,	// ADD_FST0r
     0U,	// ADD_Fp32
     0U,	// ADD_Fp32m
     0U,	// ADD_Fp64
@@ -164,543 +164,542 @@
     0U,	// ADD_FpI32m32
     0U,	// ADD_FpI32m64
     0U,	// ADD_FpI32m80
-    28401U,	// ADD_FrST0
-    13777U,	// ADJCALLSTACKDOWN32
-    13777U,	// ADJCALLSTACKDOWN64
-    13795U,	// ADJCALLSTACKUP32
-    13795U,	// ADJCALLSTACKUP64
-    551689496U,	// ADOX32rm
-    8543512U,	// ADOX32rr
-    551723882U,	// ADOX64rm
-    8545130U,	// ADOX64rr
-    8694120U,	// AESDECLASTrm
-    8448360U,	// AESDECLASTrr
-    8687811U,	// AESDECrm
-    8442051U,	// AESDECrr
-    8694133U,	// AESENCLASTrm
-    8448373U,	// AESENCLASTrr
-    8687851U,	// AESENCrm
-    8442091U,	// AESENCrr
-    315618U,	// AESIMCrm
-    8540386U,	// AESIMCrr
-    25504143U,	// AESKEYGENASSIST128rm
-    811657615U,	// AESKEYGENASSIST128rr
-    2124542U,	// AND16i16
-    4238078U,	// AND16mi
-    4238078U,	// AND16mi8
-    4238078U,	// AND16mr
-    6351614U,	// AND16ri
-    6351614U,	// AND16ri8
-    6367998U,	// AND16rm
-    6351614U,	// AND16rr
-    8448766U,	// AND16rr_REV
-    10508696U,	// AND32i32
-    12622232U,	// AND32mi
-    12622232U,	// AND32mi8
-    12622232U,	// AND32mr
-    6347160U,	// AND32ri
-    6347160U,	// AND32ri8
-    283203992U,	// AND32rm
-    6347160U,	// AND32rr
-    8444312U,	// AND32rr_REV
-    16801651U,	// AND64i32
-    18915187U,	// AND64mi32
-    18915187U,	// AND64mi8
-    18915187U,	// AND64mr
-    6348659U,	// AND64ri32
-    6348659U,	// AND64ri8
-    283221875U,	// AND64rm
-    6348659U,	// AND64rr
-    8445811U,	// AND64rr_REV
-    20991738U,	// AND8i8
-    23105274U,	// AND8mi
-    23105274U,	// AND8mr
-    6344442U,	// AND8ri
-    6344442U,	// AND8ri8
-    118522U,	// AND8rm
-    6344442U,	// AND8rr
-    8441594U,	// AND8rr_REV
-    283204331U,	// ANDN32rm
-    811653867U,	// ANDN32rr
-    283222304U,	// ANDN64rm
-    811655456U,	// ANDN64rr
-    8574021U,	// ANDNPDrm
-    8442949U,	// ANDNPDrr
-    8578726U,	// ANDNPSrm
-    8447654U,	// ANDNPSrr
-    8573885U,	// ANDPDrm
-    8442813U,	// ANDPDrr
-    8578561U,	// ANDPSrm
-    8447489U,	// ANDPSrr
-    4234058U,	// ARPL16mr
-    8543050U,	// ARPL16rr
+    28357U,	// ADD_FrST0
+    13733U,	// ADJCALLSTACKDOWN32
+    13733U,	// ADJCALLSTACKDOWN64
+    13751U,	// ADJCALLSTACKUP32
+    13751U,	// ADJCALLSTACKUP64
+    551804140U,	// ADOX32rm
+    8658156U,	// ADOX32rr
+    551838526U,	// ADOX64rm
+    8659774U,	// ADOX64rr
+    8694076U,	// AESDECLASTrm
+    8448316U,	// AESDECLASTrr
+    8687767U,	// AESDECrm
+    8442007U,	// AESDECrr
+    8694089U,	// AESENCLASTrm
+    8448329U,	// AESENCLASTrr
+    8687807U,	// AESENCrm
+    8442047U,	// AESENCrr
+    315574U,	// AESIMCrm
+    8655030U,	// AESIMCrr
+    25504099U,	// AESKEYGENASSIST128rm
+    811657571U,	// AESKEYGENASSIST128rr
+    2124498U,	// AND16i16
+    4238034U,	// AND16mi
+    4238034U,	// AND16mi8
+    4238034U,	// AND16mr
+    6351570U,	// AND16ri
+    6351570U,	// AND16ri8
+    6367954U,	// AND16rm
+    6351570U,	// AND16rr
+    8448722U,	// AND16rr_REV
+    10508652U,	// AND32i32
+    12622188U,	// AND32mi
+    12622188U,	// AND32mi8
+    12622188U,	// AND32mr
+    6347116U,	// AND32ri
+    6347116U,	// AND32ri8
+    283203948U,	// AND32rm
+    6347116U,	// AND32rr
+    8444268U,	// AND32rr_REV
+    16801607U,	// AND64i32
+    18915143U,	// AND64mi32
+    18915143U,	// AND64mi8
+    18915143U,	// AND64mr
+    6348615U,	// AND64ri32
+    6348615U,	// AND64ri8
+    283221831U,	// AND64rm
+    6348615U,	// AND64rr
+    8445767U,	// AND64rr_REV
+    20991694U,	// AND8i8
+    23105230U,	// AND8mi
+    23105230U,	// AND8mr
+    6344398U,	// AND8ri
+    6344398U,	// AND8ri8
+    118478U,	// AND8rm
+    6344398U,	// AND8rr
+    8441550U,	// AND8rr_REV
+    283204287U,	// ANDN32rm
+    811653823U,	// ANDN32rr
+    283222260U,	// ANDN64rm
+    811655412U,	// ANDN64rr
+    8524825U,	// ANDNPDrm
+    8442905U,	// ANDNPDrr
+    8529530U,	// ANDNPSrm
+    8447610U,	// ANDNPSrr
+    8524689U,	// ANDPDrm
+    8442769U,	// ANDPDrr
+    8529365U,	// ANDPSrm
+    8447445U,	// ANDPSrr
+    4234014U,	// ARPL16mr
+    8657694U,	// ARPL16rr
     0U,	// AVX2_SETALLONES
     0U,	// AVX512_512_SET0
     0U,	// AVX_SET0
-    832904149U,	// BEXTR32rm
-    811654101U,	// BEXTR32rr
-    835002828U,	// BEXTR64rm
-    811655628U,	// BEXTR64rr
-    832906208U,	// BEXTRI32mi
-    811656160U,	// BEXTRI32ri
-    835003360U,	// BEXTRI64mi
-    811656160U,	// BEXTRI64ri
-    551688844U,	// BLCFILL32rm
-    8542860U,	// BLCFILL32rr
-    551721612U,	// BLCFILL64rm
-    8542860U,	// BLCFILL64rr
-    551688281U,	// BLCI32rm
-    8542297U,	// BLCI32rr
-    551721049U,	// BLCI64rm
-    8542297U,	// BLCI64rr
-    551686347U,	// BLCIC32rm
-    8540363U,	// BLCIC32rr
-    551719115U,	// BLCIC64rm
-    8540363U,	// BLCIC64rr
-    551688431U,	// BLCMSK32rm
-    8542447U,	// BLCMSK32rr
-    551721199U,	// BLCMSK64rm
-    8542447U,	// BLCMSK64rr
-    551691261U,	// BLCS32rm
-    8545277U,	// BLCS32rr
-    551724029U,	// BLCS64rm
-    8545277U,	// BLCS64rr
-    568677317U,	// BLENDPDrmi
-    839226309U,	// BLENDPDrri
-    568681993U,	// BLENDPSrmi
-    839230985U,	// BLENDPSrri
-    8574117U,	// BLENDVPDrm0
-    8443045U,	// BLENDVPDrr0
-    8578887U,	// BLENDVPSrm0
-    8447815U,	// BLENDVPSrr0
-    551688853U,	// BLSFILL32rm
-    8542869U,	// BLSFILL32rr
-    551721621U,	// BLSFILL64rm
-    8542869U,	// BLSFILL64rr
-    551688789U,	// BLSI32rm
-    8542805U,	// BLSI32rr
-    551723177U,	// BLSI64rm
-    8544425U,	// BLSI64rr
-    551686354U,	// BLSIC32rm
-    8540370U,	// BLSIC32rr
-    551719122U,	// BLSIC64rm
-    8540370U,	// BLSIC64rr
-    551688809U,	// BLSMSK32rm
-    8542825U,	// BLSMSK32rr
-    551723193U,	// BLSMSK64rm
-    8544441U,	// BLSMSK64rr
-    551689154U,	// BLSR32rm
-    8543170U,	// BLSR32rr
-    551723440U,	// BLSR64rm
-    8544688U,	// BLSR64rr
-    381445U,	// BOUNDS16rm
-    551686661U,	// BOUNDS32rm
-    387943U,	// BSF16rm
-    8547175U,	// BSF16rr
-    551688745U,	// BSF32rm
-    8542761U,	// BSF32rr
-    551723133U,	// BSF64rm
-    8544381U,	// BSF64rr
-    388265U,	// BSR16rm
-    8547497U,	// BSR16rr
-    551689148U,	// BSR32rm
-    8543164U,	// BSR32rr
-    551723434U,	// BSR64rm
-    8544682U,	// BSR64rr
-    23304U,	// BSWAP32r
-    24888U,	// BSWAP64r
-    4238752U,	// BT16mi8
-    4238752U,	// BT16mr
-    8547744U,	// BT16ri8
-    8547744U,	// BT16rr
-    12622945U,	// BT32mi8
-    12622945U,	// BT32mr
-    8543329U,	// BT32ri8
-    8543329U,	// BT32rr
-    18915901U,	// BT64mi8
-    18915901U,	// BT64mr
-    8544829U,	// BT64ri8
-    8544829U,	// BT64rr
-    4238021U,	// BTC16mi8
-    4238021U,	// BTC16mr
-    8547013U,	// BTC16ri8
-    8547013U,	// BTC16rr
-    12622163U,	// BTC32mi8
-    12622163U,	// BTC32mr
-    8542547U,	// BTC32ri8
-    8542547U,	// BTC32rr
-    18915012U,	// BTC64mi8
-    18915012U,	// BTC64mr
-    8543940U,	// BTC64ri8
-    8543940U,	// BTC64rr
-    4238520U,	// BTR16mi8
-    4238520U,	// BTR16mr
-    8547512U,	// BTR16ri8
-    8547512U,	// BTR16rr
-    12622793U,	// BTR32mi8
-    12622793U,	// BTR32mr
-    8543177U,	// BTR32ri8
-    8543177U,	// BTR32rr
-    18915776U,	// BTR64mi8
-    18915776U,	// BTR64mr
-    8544704U,	// BTR64ri8
-    8544704U,	// BTR64rr
-    4238694U,	// BTS16mi8
-    4238694U,	// BTS16mr
-    8547686U,	// BTS16ri8
-    8547686U,	// BTS16rr
-    12622924U,	// BTS32mi8
-    12622924U,	// BTS32mr
-    8543308U,	// BTS32ri8
-    8543308U,	// BTS32rr
-    18915887U,	// BTS64mi8
-    18915887U,	// BTS64mr
-    8544815U,	// BTS64ri8
-    8544815U,	// BTS64rr
-    832903758U,	// BZHI32rm
-    811653710U,	// BZHI32rr
-    835002530U,	// BZHI64rm
-    811655330U,	// BZHI64rr
-    275623U,	// CALL16m
-    29863U,	// CALL16r
-    291973U,	// CALL32m
-    29829U,	// CALL32r
-    406678U,	// CALL64m
-    418006U,	// CALL64pcrel32
-    29846U,	// CALL64r
-    420832U,	// CALLpcrel16
-    416415U,	// CALLpcrel32
-    15050U,	// CBW
-    13985U,	// CDQ
-    14524U,	// CDQE
-    14809U,	// CHS_F
+    832904105U,	// BEXTR32rm
+    811654057U,	// BEXTR32rr
+    835002784U,	// BEXTR64rm
+    811655584U,	// BEXTR64rr
+    832906164U,	// BEXTRI32mi
+    811656116U,	// BEXTRI32ri
+    835003316U,	// BEXTRI64mi
+    811656116U,	// BEXTRI64ri
+    551803488U,	// BLCFILL32rm
+    8657504U,	// BLCFILL32rr
+    551836256U,	// BLCFILL64rm
+    8657504U,	// BLCFILL64rr
+    551802925U,	// BLCI32rm
+    8656941U,	// BLCI32rr
+    551835693U,	// BLCI64rm
+    8656941U,	// BLCI64rr
+    551800991U,	// BLCIC32rm
+    8655007U,	// BLCIC32rr
+    551833759U,	// BLCIC64rm
+    8655007U,	// BLCIC64rr
+    551803075U,	// BLCMSK32rm
+    8657091U,	// BLCMSK32rr
+    551835843U,	// BLCMSK64rm
+    8657091U,	// BLCMSK64rr
+    551805905U,	// BLCS32rm
+    8659921U,	// BLCS32rr
+    551838673U,	// BLCS64rm
+    8659921U,	// BLCS64rr
+    568677273U,	// BLENDPDrmi
+    839226265U,	// BLENDPDrri
+    568681949U,	// BLENDPSrmi
+    839230941U,	// BLENDPSrri
+    8524921U,	// BLENDVPDrm0
+    8443001U,	// BLENDVPDrr0
+    8529691U,	// BLENDVPSrm0
+    8447771U,	// BLENDVPSrr0
+    551803497U,	// BLSFILL32rm
+    8657513U,	// BLSFILL32rr
+    551836265U,	// BLSFILL64rm
+    8657513U,	// BLSFILL64rr
+    551803433U,	// BLSI32rm
+    8657449U,	// BLSI32rr
+    551837821U,	// BLSI64rm
+    8659069U,	// BLSI64rr
+    551800998U,	// BLSIC32rm
+    8655014U,	// BLSIC32rr
+    551833766U,	// BLSIC64rm
+    8655014U,	// BLSIC64rr
+    551803453U,	// BLSMSK32rm
+    8657469U,	// BLSMSK32rr
+    551837837U,	// BLSMSK64rm
+    8659085U,	// BLSMSK64rr
+    551803798U,	// BLSR32rm
+    8657814U,	// BLSR32rr
+    551838084U,	// BLSR64rm
+    8659332U,	// BLSR64rr
+    381401U,	// BOUNDS16rm
+    551801305U,	// BOUNDS32rm
+    387899U,	// BSF16rm
+    8661819U,	// BSF16rr
+    551803389U,	// BSF32rm
+    8657405U,	// BSF32rr
+    551837777U,	// BSF64rm
+    8659025U,	// BSF64rr
+    388221U,	// BSR16rm
+    8662141U,	// BSR16rr
+    551803792U,	// BSR32rm
+    8657808U,	// BSR32rr
+    551838078U,	// BSR64rm
+    8659326U,	// BSR64rr
+    23260U,	// BSWAP32r
+    24844U,	// BSWAP64r
+    4238708U,	// BT16mi8
+    4238708U,	// BT16mr
+    8662388U,	// BT16ri8
+    8662388U,	// BT16rr
+    12622901U,	// BT32mi8
+    12622901U,	// BT32mr
+    8657973U,	// BT32ri8
+    8657973U,	// BT32rr
+    18915857U,	// BT64mi8
+    18915857U,	// BT64mr
+    8659473U,	// BT64ri8
+    8659473U,	// BT64rr
+    4237977U,	// BTC16mi8
+    4237977U,	// BTC16mr
+    8661657U,	// BTC16ri8
+    8661657U,	// BTC16rr
+    12622119U,	// BTC32mi8
+    12622119U,	// BTC32mr
+    8657191U,	// BTC32ri8
+    8657191U,	// BTC32rr
+    18914968U,	// BTC64mi8
+    18914968U,	// BTC64mr
+    8658584U,	// BTC64ri8
+    8658584U,	// BTC64rr
+    4238476U,	// BTR16mi8
+    4238476U,	// BTR16mr
+    8662156U,	// BTR16ri8
+    8662156U,	// BTR16rr
+    12622749U,	// BTR32mi8
+    12622749U,	// BTR32mr
+    8657821U,	// BTR32ri8
+    8657821U,	// BTR32rr
+    18915732U,	// BTR64mi8
+    18915732U,	// BTR64mr
+    8659348U,	// BTR64ri8
+    8659348U,	// BTR64rr
+    4238650U,	// BTS16mi8
+    4238650U,	// BTS16mr
+    8662330U,	// BTS16ri8
+    8662330U,	// BTS16rr
+    12622880U,	// BTS32mi8
+    12622880U,	// BTS32mr
+    8657952U,	// BTS32ri8
+    8657952U,	// BTS32rr
+    18915843U,	// BTS64mi8
+    18915843U,	// BTS64mr
+    8659459U,	// BTS64ri8
+    8659459U,	// BTS64rr
+    832903714U,	// BZHI32rm
+    811653666U,	// BZHI32rr
+    835002486U,	// BZHI64rm
+    811655286U,	// BZHI64rr
+    226427U,	// CALL16m
+    29819U,	// CALL16r
+    242777U,	// CALL32m
+    29785U,	// CALL32r
+    406634U,	// CALL64m
+    417962U,	// CALL64pcrel32
+    29802U,	// CALL64r
+    420788U,	// CALLpcrel16
+    416371U,	// CALLpcrel32
+    15006U,	// CBW
+    13941U,	// CDQ
+    14480U,	// CDQE
+    14765U,	// CHS_F
     0U,	// CHS_Fp32
     0U,	// CHS_Fp64
     0U,	// CHS_Fp80
-    13907U,	// CLAC
-    13939U,	// CLC
-    13976U,	// CLD
-    432208U,	// CLFLUSH
-    14150U,	// CLGI
-    14160U,	// CLI
-    14922U,	// CLTS
-    13943U,	// CMC
-    8464917U,	// CMOVA16rm
-    8448533U,	// CMOVA16rr
-    551639308U,	// CMOVA32rm
-    8444172U,	// CMOVA32rr
-    551657049U,	// CMOVA64rm
-    8445529U,	// CMOVA64rr
-    8465195U,	// CMOVAE16rm
-    8448811U,	// CMOVAE16rr
-    551639486U,	// CMOVAE32rm
-    8444350U,	// CMOVAE32rr
-    551657472U,	// CMOVAE64rm
-    8445952U,	// CMOVAE64rr
-    8465030U,	// CMOVB16rm
-    8448646U,	// CMOVB16rr
-    551639345U,	// CMOVB32rm
-    8444209U,	// CMOVB32rr
-    551657100U,	// CMOVB64rm
-    8445580U,	// CMOVB64rr
-    8465204U,	// CMOVBE16rm
-    8448820U,	// CMOVBE16rr
-    551639495U,	// CMOVBE32rm
-    8444359U,	// CMOVBE32rr
-    551657481U,	// CMOVBE64rm
-    8445961U,	// CMOVBE64rr
-    35673994U,	// CMOVBE_F
+    13863U,	// CLAC
+    13895U,	// CLC
+    13932U,	// CLD
+    432164U,	// CLFLUSH
+    14106U,	// CLGI
+    14116U,	// CLI
+    14878U,	// CLTS
+    13899U,	// CMC
+    8464873U,	// CMOVA16rm
+    8448489U,	// CMOVA16rr
+    551639264U,	// CMOVA32rm
+    8444128U,	// CMOVA32rr
+    551657005U,	// CMOVA64rm
+    8445485U,	// CMOVA64rr
+    8465151U,	// CMOVAE16rm
+    8448767U,	// CMOVAE16rr
+    551639442U,	// CMOVAE32rm
+    8444306U,	// CMOVAE32rr
+    551657428U,	// CMOVAE64rm
+    8445908U,	// CMOVAE64rr
+    8464986U,	// CMOVB16rm
+    8448602U,	// CMOVB16rr
+    551639301U,	// CMOVB32rm
+    8444165U,	// CMOVB32rr
+    551657056U,	// CMOVB64rm
+    8445536U,	// CMOVB64rr
+    8465160U,	// CMOVBE16rm
+    8448776U,	// CMOVBE16rr
+    551639451U,	// CMOVBE32rm
+    8444315U,	// CMOVBE32rr
+    551657437U,	// CMOVBE64rm
+    8445917U,	// CMOVBE64rr
+    35673950U,	// CMOVBE_F
     0U,	// CMOVBE_Fp32
     0U,	// CMOVBE_Fp64
     0U,	// CMOVBE_Fp80
-    35672204U,	// CMOVB_F
+    35672160U,	// CMOVB_F
     0U,	// CMOVB_Fp32
     0U,	// CMOVB_Fp64
     0U,	// CMOVB_Fp80
-    8465240U,	// CMOVE16rm
-    8448856U,	// CMOVE16rr
-    551639585U,	// CMOVE32rm
-    8444449U,	// CMOVE32rr
-    551657589U,	// CMOVE64rm
-    8446069U,	// CMOVE64rr
-    35674116U,	// CMOVE_F
+    8465196U,	// CMOVE16rm
+    8448812U,	// CMOVE16rr
+    551639541U,	// CMOVE32rm
+    8444405U,	// CMOVE32rr
+    551657545U,	// CMOVE64rm
+    8446025U,	// CMOVE64rr
+    35674072U,	// CMOVE_F
     0U,	// CMOVE_Fp32
     0U,	// CMOVE_Fp64
     0U,	// CMOVE_Fp80
-    8465293U,	// CMOVG16rm
-    8448909U,	// CMOVG16rr
-    551639615U,	// CMOVG32rm
-    8444479U,	// CMOVG32rr
-    551657619U,	// CMOVG64rm
-    8446099U,	// CMOVG64rr
-    8465213U,	// CMOVGE16rm
-    8448829U,	// CMOVGE16rr
-    551639504U,	// CMOVGE32rm
-    8444368U,	// CMOVGE32rr
-    551657490U,	// CMOVGE64rm
-    8445970U,	// CMOVGE64rr
-    8465427U,	// CMOVL16rm
-    8449043U,	// CMOVL16rr
-    551639764U,	// CMOVL32rm
-    8444628U,	// CMOVL32rr
-    551657736U,	// CMOVL64rm
-    8446216U,	// CMOVL64rr
-    8465222U,	// CMOVLE16rm
-    8448838U,	// CMOVLE16rr
-    551639513U,	// CMOVLE32rm
-    8444377U,	// CMOVLE32rr
-    551657499U,	// CMOVLE64rm
-    8445979U,	// CMOVLE64rr
-    35673977U,	// CMOVNBE_F
+    8465249U,	// CMOVG16rm
+    8448865U,	// CMOVG16rr
+    551639571U,	// CMOVG32rm
+    8444435U,	// CMOVG32rr
+    551657575U,	// CMOVG64rm
+    8446055U,	// CMOVG64rr
+    8465169U,	// CMOVGE16rm
+    8448785U,	// CMOVGE16rr
+    551639460U,	// CMOVGE32rm
+    8444324U,	// CMOVGE32rr
+    551657446U,	// CMOVGE64rm
+    8445926U,	// CMOVGE64rr
+    8465383U,	// CMOVL16rm
+    8448999U,	// CMOVL16rr
+    551639720U,	// CMOVL32rm
+    8444584U,	// CMOVL32rr
+    551657692U,	// CMOVL64rm
+    8446172U,	// CMOVL64rr
+    8465178U,	// CMOVLE16rm
+    8448794U,	// CMOVLE16rr
+    551639469U,	// CMOVLE32rm
+    8444333U,	// CMOVLE32rr
+    551657455U,	// CMOVLE64rm
+    8445935U,	// CMOVLE64rr
+    35673933U,	// CMOVNBE_F
     0U,	// CMOVNBE_Fp32
     0U,	// CMOVNBE_Fp64
     0U,	// CMOVNBE_Fp80
-    35671911U,	// CMOVNB_F
+    35671867U,	// CMOVNB_F
     0U,	// CMOVNB_Fp32
     0U,	// CMOVNB_Fp64
     0U,	// CMOVNB_Fp80
-    8465231U,	// CMOVNE16rm
-    8448847U,	// CMOVNE16rr
-    551639522U,	// CMOVNE32rm
-    8444386U,	// CMOVNE32rr
-    551657508U,	// CMOVNE64rm
-    8445988U,	// CMOVNE64rr
-    35674067U,	// CMOVNE_F
+    8465187U,	// CMOVNE16rm
+    8448803U,	// CMOVNE16rr
+    551639478U,	// CMOVNE32rm
+    8444342U,	// CMOVNE32rr
+    551657464U,	// CMOVNE64rm
+    8445944U,	// CMOVNE64rr
+    35674023U,	// CMOVNE_F
     0U,	// CMOVNE_Fp32
     0U,	// CMOVNE_Fp64
     0U,	// CMOVNE_Fp80
-    8465457U,	// CMOVNO16rm
-    8449073U,	// CMOVNO16rr
-    551639799U,	// CMOVNO32rm
-    8444663U,	// CMOVNO32rr
-    551657767U,	// CMOVNO64rm
-    8446247U,	// CMOVNO64rr
-    8465487U,	// CMOVNP16rm
-    8449103U,	// CMOVNP16rr
-    551639861U,	// CMOVNP32rm
-    8444725U,	// CMOVNP32rr
-    551657807U,	// CMOVNP64rm
-    8446287U,	// CMOVNP64rr
-    35678633U,	// CMOVNP_F
+    8465413U,	// CMOVNO16rm
+    8449029U,	// CMOVNO16rr
+    551639755U,	// CMOVNO32rm
+    8444619U,	// CMOVNO32rr
+    551657723U,	// CMOVNO64rm
+    8446203U,	// CMOVNO64rr
+    8465443U,	// CMOVNP16rm
+    8449059U,	// CMOVNP16rr
+    551639817U,	// CMOVNP32rm
+    8444681U,	// CMOVNP32rr
+    551657763U,	// CMOVNP64rm
+    8446243U,	// CMOVNP64rr
+    35678589U,	// CMOVNP_F
     0U,	// CMOVNP_Fp32
     0U,	// CMOVNP_Fp64
     0U,	// CMOVNP_Fp80
-    8465733U,	// CMOVNS16rm
-    8449349U,	// CMOVNS16rr
-    551640093U,	// CMOVNS32rm
-    8444957U,	// CMOVNS32rr
-    551657984U,	// CMOVNS64rm
-    8446464U,	// CMOVNS64rr
-    8465466U,	// CMOVO16rm
-    8449082U,	// CMOVO16rr
-    551639808U,	// CMOVO32rm
-    8444672U,	// CMOVO32rr
-    551657776U,	// CMOVO64rm
-    8446256U,	// CMOVO64rr
-    8465508U,	// CMOVP16rm
-    8449124U,	// CMOVP16rr
-    551639912U,	// CMOVP32rm
-    8444776U,	// CMOVP32rr
-    551657822U,	// CMOVP64rm
-    8446302U,	// CMOVP64rr
-    35678672U,	// CMOVP_F
+    8465689U,	// CMOVNS16rm
+    8449305U,	// CMOVNS16rr
+    551640049U,	// CMOVNS32rm
+    8444913U,	// CMOVNS32rr
+    551657940U,	// CMOVNS64rm
+    8446420U,	// CMOVNS64rr
+    8465422U,	// CMOVO16rm
+    8449038U,	// CMOVO16rr
+    551639764U,	// CMOVO32rm
+    8444628U,	// CMOVO32rr
+    551657732U,	// CMOVO64rm
+    8446212U,	// CMOVO64rr
+    8465464U,	// CMOVP16rm
+    8449080U,	// CMOVP16rr
+    551639868U,	// CMOVP32rm
+    8444732U,	// CMOVP32rr
+    551657778U,	// CMOVP64rm
+    8446258U,	// CMOVP64rr
+    35678628U,	// CMOVP_F
     0U,	// CMOVP_Fp32
     0U,	// CMOVP_Fp64
     0U,	// CMOVP_Fp80
-    8465807U,	// CMOVS16rm
-    8449423U,	// CMOVS16rr
-    551640153U,	// CMOVS32rm
-    8445017U,	// CMOVS32rr
-    551658037U,	// CMOVS64rm
-    8446517U,	// CMOVS64rr
-    13163U,	// CMOV_FR32
-    13322U,	// CMOV_FR64
-    13042U,	// CMOV_GR16
-    13022U,	// CMOV_GR32
-    13341U,	// CMOV_GR8
-    13143U,	// CMOV_RFP32
-    13302U,	// CMOV_RFP64
-    13062U,	// CMOV_RFP80
-    13102U,	// CMOV_V16F32
-    13182U,	// CMOV_V2F64
-    13242U,	// CMOV_V2I64
-    13082U,	// CMOV_V4F32
-    13202U,	// CMOV_V4F64
-    13262U,	// CMOV_V4I64
-    13123U,	// CMOV_V8F32
-    13222U,	// CMOV_V8F64
-    13282U,	// CMOV_V8I64
-    2124866U,	// CMP16i16
-    4238402U,	// CMP16mi
-    4238402U,	// CMP16mi8
-    4238402U,	// CMP16mr
-    8547394U,	// CMP16ri
-    8547394U,	// CMP16ri8
-    388162U,	// CMP16rm
-    8547394U,	// CMP16rr
-    8547394U,	// CMP16rr_REV
-    10509079U,	// CMP32i32
-    12622615U,	// CMP32mi
-    12622615U,	// CMP32mi8
-    12622615U,	// CMP32mr
-    8542999U,	// CMP32ri
-    8542999U,	// CMP32ri8
-    551688983U,	// CMP32rm
-    8542999U,	// CMP32rr
-    8542999U,	// CMP32rr_REV
-    16802121U,	// CMP64i32
-    18915657U,	// CMP64mi32
-    18915657U,	// CMP64mi8
-    18915657U,	// CMP64mr
-    8544585U,	// CMP64ri32
-    8544585U,	// CMP64ri8
-    551723337U,	// CMP64rm
-    8544585U,	// CMP64rr
-    8544585U,	// CMP64rr_REV
-    20991856U,	// CMP8i8
-    23105392U,	// CMP8mi
-    23105392U,	// CMP8mr
-    8540016U,	// CMP8ri
-    446320U,	// CMP8rm
-    8540016U,	// CMP8rr
-    8540016U,	// CMP8rr_REV
-    1111963729U,	// CMPPDrmi
-    568677469U,	// CMPPDrmi_alt
-    1380415569U,	// CMPPDrri
-    839226461U,	// CMPPDrri_alt
-    1114060881U,	// CMPPSrmi
-    568682182U,	// CMPPSrmi_alt
-    1382512721U,	// CMPPSrri
-    839231174U,	// CMPPSrri_alt
-    1625788394U,	// CMPSB
-    1921464401U,	// CMPSDrm
-    581260834U,	// CMPSDrm_alt
-    1384609873U,	// CMPSDrr
-    839226914U,	// CMPSDrr_alt
-    2162678822U,	// CMPSL
-    2431132169U,	// CMPSQ
-    2730965073U,	// CMPSSrm
-    585459893U,	// CMPSSrm_alt
-    1388804177U,	// CMPSSrr
-    839231669U,	// CMPSSrr_alt
-    2968022350U,	// CMPSW
-    560812U,	// CMPXCHG16B
-    4238203U,	// CMPXCHG16rm
-    8547195U,	// CMPXCHG16rr
-    12622389U,	// CMPXCHG32rm
-    8542773U,	// CMPXCHG32rr
-    18915465U,	// CMPXCHG64rm
-    8544393U,	// CMPXCHG64rr
-    396984U,	// CMPXCHG8B
-    23105295U,	// CMPXCHG8rm
-    8539919U,	// CMPXCHG8rr
-    579063U,	// COMISDrm
-    8541687U,	// COMISDrr
-    583819U,	// COMISSrm
-    8546443U,	// COMISSrr
-    23999U,	// COMP_FST0r
-    22680U,	// COM_FIPr
-    22623U,	// COM_FIr
-    23865U,	// COM_FST0r
-    14871U,	// COS_F
+    8465763U,	// CMOVS16rm
+    8449379U,	// CMOVS16rr
+    551640109U,	// CMOVS32rm
+    8444973U,	// CMOVS32rr
+    551657993U,	// CMOVS64rm
+    8446473U,	// CMOVS64rr
+    13119U,	// CMOV_FR32
+    13278U,	// CMOV_FR64
+    12998U,	// CMOV_GR16
+    12978U,	// CMOV_GR32
+    13297U,	// CMOV_GR8
+    13099U,	// CMOV_RFP32
+    13258U,	// CMOV_RFP64
+    13018U,	// CMOV_RFP80
+    13058U,	// CMOV_V16F32
+    13138U,	// CMOV_V2F64
+    13198U,	// CMOV_V2I64
+    13038U,	// CMOV_V4F32
+    13158U,	// CMOV_V4F64
+    13218U,	// CMOV_V4I64
+    13079U,	// CMOV_V8F32
+    13178U,	// CMOV_V8F64
+    13238U,	// CMOV_V8I64
+    2124822U,	// CMP16i16
+    4238358U,	// CMP16mi
+    4238358U,	// CMP16mi8
+    4238358U,	// CMP16mr
+    8662038U,	// CMP16ri
+    8662038U,	// CMP16ri8
+    388118U,	// CMP16rm
+    8662038U,	// CMP16rr
+    8662038U,	// CMP16rr_REV
+    10509035U,	// CMP32i32
+    12622571U,	// CMP32mi
+    12622571U,	// CMP32mi8
+    12622571U,	// CMP32mr
+    8657643U,	// CMP32ri
+    8657643U,	// CMP32ri8
+    551803627U,	// CMP32rm
+    8657643U,	// CMP32rr
+    8657643U,	// CMP32rr_REV
+    16802077U,	// CMP64i32
+    18915613U,	// CMP64mi32
+    18915613U,	// CMP64mi8
+    18915613U,	// CMP64mr
+    8659229U,	// CMP64ri32
+    8659229U,	// CMP64ri8
+    551837981U,	// CMP64rm
+    8659229U,	// CMP64rr
+    8659229U,	// CMP64rr_REV
+    20991812U,	// CMP8i8
+    23105348U,	// CMP8mi
+    23105348U,	// CMP8mr
+    8654660U,	// CMP8ri
+    446276U,	// CMP8rm
+    8654660U,	// CMP8rr
+    8654660U,	// CMP8rr_REV
+    1111963685U,	// CMPPDrmi
+    568677425U,	// CMPPDrmi_alt
+    1380415525U,	// CMPPDrri
+    839226417U,	// CMPPDrri_alt
+    1114060837U,	// CMPPSrmi
+    568682138U,	// CMPPSrmi_alt
+    1382512677U,	// CMPPSrri
+    839231130U,	// CMPPSrri_alt
+    1625788350U,	// CMPSB
+    1921464357U,	// CMPSDrm
+    581260790U,	// CMPSDrm_alt
+    1384609829U,	// CMPSDrr
+    839226870U,	// CMPSDrr_alt
+    2162678778U,	// CMPSL
+    2431132125U,	// CMPSQ
+    2730965029U,	// CMPSSrm
+    585459849U,	// CMPSSrm_alt
+    1388804133U,	// CMPSSrr
+    839231625U,	// CMPSSrr_alt
+    2968022306U,	// CMPSW
+    560768U,	// CMPXCHG16B
+    4238159U,	// CMPXCHG16rm
+    8661839U,	// CMPXCHG16rr
+    12622345U,	// CMPXCHG32rm
+    8657417U,	// CMPXCHG32rr
+    18915421U,	// CMPXCHG64rm
+    8659037U,	// CMPXCHG64rr
+    396940U,	// CMPXCHG8B
+    23105251U,	// CMPXCHG8rm
+    8654563U,	// CMPXCHG8rr
+    579019U,	// COMISDrm
+    8656331U,	// COMISDrr
+    583775U,	// COMISSrm
+    8661087U,	// COMISSrr
+    23955U,	// COMP_FST0r
+    22636U,	// COM_FIPr
+    22579U,	// COM_FIr
+    23821U,	// COM_FST0r
+    14827U,	// COS_F
     0U,	// COS_Fp32
     0U,	// COS_Fp64
     0U,	// COS_Fp80
-    13970U,	// CPUID32
-    13970U,	// CPUID64
-    14399U,	// CQO
-    6367735U,	// CRC32r32m16
-    283203838U,	// CRC32r32m32
-    118436U,	// CRC32r32m8
-    6351351U,	// CRC32r32r16
-    6347006U,	// CRC32r32r32
-    6344356U,	// CRC32r32r8
-    283221562U,	// CRC32r64m64
-    118436U,	// CRC32r64m8
-    6348346U,	// CRC32r64r64
-    6344356U,	// CRC32r64r8
-    14599U,	// CS_PREFIX
-    551719631U,	// CVTDQ2PDrm
-    8540879U,	// CVTDQ2PDrr
-    320806U,	// CVTDQ2PSrm
-    8545574U,	// CVTDQ2PSrr
-    581335U,	// CVTPD2DQrm
-    8543959U,	// CVTPD2DQrr
-    582906U,	// CVTPD2PSrm
-    8545530U,	// CVTPD2PSrr
-    581367U,	// CVTPS2DQrm
-    8543991U,	// CVTPS2DQrr
-    594650U,	// CVTPS2PDrm
-    8540890U,	// CVTPS2PDrr
-    596174U,	// CVTSD2SI64rm
-    8542414U,	// CVTSD2SI64rr
-    596174U,	// CVTSD2SIrm
-    8542414U,	// CVTSD2SIrr
-    600069U,	// CVTSD2SSrm
-    8546309U,	// CVTSD2SSrr
-    551722931U,	// CVTSI2SD64rm
-    8544179U,	// CVTSI2SD64rr
-    551688614U,	// CVTSI2SDrm
-    8542630U,	// CVTSI2SDrr
-    551723537U,	// CVTSI2SS64rm
-    8544785U,	// CVTSI2SS64rr
-    551689262U,	// CVTSI2SSrm
-    8543278U,	// CVTSI2SSrr
-    611689U,	// CVTSS2SDrm
-    8541545U,	// CVTSS2SDrr
-    612581U,	// CVTSS2SI64rm
-    8542437U,	// CVTSS2SI64rr
-    612581U,	// CVTSS2SIrm
-    8542437U,	// CVTSS2SIrr
-    581323U,	// CVTTPD2DQrm
-    8543947U,	// CVTTPD2DQrr
-    581355U,	// CVTTPS2DQrm
-    8543979U,	// CVTTPS2DQrr
-    596162U,	// CVTTSD2SI64rm
-    8542402U,	// CVTTSD2SI64rr
-    596162U,	// CVTTSD2SIrm
-    8542402U,	// CVTTSD2SIrr
-    612569U,	// CVTTSS2SI64rm
-    8542425U,	// CVTTSS2SI64rr
-    612569U,	// CVTTSS2SIrm
-    8542425U,	// CVTTSS2SIrr
-    13994U,	// CWD
-    14314U,	// CWDE
-    13842U,	// DAA
-    14583U,	// DAS
-    13723U,	// DATA16_PREFIX
-    273081U,	// DEC16m
-    27321U,	// DEC16r
-    27321U,	// DEC32_16r
-    22855U,	// DEC32_32r
-    284999U,	// DEC32m
-    22855U,	// DEC32r
-    273081U,	// DEC64_16m
-    27321U,	// DEC64_16r
-    284999U,	// DEC64_32m
-    22855U,	// DEC64_32r
-    401080U,	// DEC64m
-    24248U,	// DEC64r
-    429791U,	// DEC8m
-    20191U,	// DEC8r
-    273999U,	// DIV16m
-    28239U,	// DIV16r
-    285912U,	// DIV32m
-    23768U,	// DIV32r
-    402152U,	// DIV64m
-    25320U,	// DIV64r
-    430214U,	// DIV8m
-    20614U,	// DIV8r
-    8574128U,	// DIVPDrm
-    8443056U,	// DIVPDrr
-    8578898U,	// DIVPSrm
-    8447826U,	// DIVPSrr
-    239495U,	// DIVR_F32m
-    252893U,	// DIVR_F64m
-    272271U,	// DIVR_FI16m
-    285669U,	// DIVR_FI32m
-    24115U,	// DIVR_FPrST0
-    25575U,	// DIVR_FST0r
+    13926U,	// CPUID32
+    13926U,	// CPUID64
+    14355U,	// CQO
+    6367691U,	// CRC32r32m16
+    283203794U,	// CRC32r32m32
+    118392U,	// CRC32r32m8
+    6351307U,	// CRC32r32r16
+    6346962U,	// CRC32r32r32
+    6344312U,	// CRC32r32r8
+    283221518U,	// CRC32r64m64
+    118392U,	// CRC32r64m8
+    6348302U,	// CRC32r64r64
+    6344312U,	// CRC32r64r8
+    551834275U,	// CVTDQ2PDrm
+    8655523U,	// CVTDQ2PDrr
+    320762U,	// CVTDQ2PSrm
+    8660218U,	// CVTDQ2PSrr
+    581291U,	// CVTPD2DQrm
+    8658603U,	// CVTPD2DQrr
+    582862U,	// CVTPD2PSrm
+    8660174U,	// CVTPD2PSrr
+    581323U,	// CVTPS2DQrm
+    8658635U,	// CVTPS2DQrr
+    594606U,	// CVTPS2PDrm
+    8655534U,	// CVTPS2PDrr
+    596130U,	// CVTSD2SI64rm
+    8657058U,	// CVTSD2SI64rr
+    596130U,	// CVTSD2SIrm
+    8657058U,	// CVTSD2SIrr
+    600025U,	// CVTSD2SSrm
+    8660953U,	// CVTSD2SSrr
+    551837575U,	// CVTSI2SD64rm
+    8658823U,	// CVTSI2SD64rr
+    551803258U,	// CVTSI2SDrm
+    8657274U,	// CVTSI2SDrr
+    551838181U,	// CVTSI2SS64rm
+    8659429U,	// CVTSI2SS64rr
+    551803906U,	// CVTSI2SSrm
+    8657922U,	// CVTSI2SSrr
+    611645U,	// CVTSS2SDrm
+    8656189U,	// CVTSS2SDrr
+    612537U,	// CVTSS2SI64rm
+    8657081U,	// CVTSS2SI64rr
+    612537U,	// CVTSS2SIrm
+    8657081U,	// CVTSS2SIrr
+    581279U,	// CVTTPD2DQrm
+    8658591U,	// CVTTPD2DQrr
+    581311U,	// CVTTPS2DQrm
+    8658623U,	// CVTTPS2DQrr
+    596118U,	// CVTTSD2SI64rm
+    8657046U,	// CVTTSD2SI64rr
+    596118U,	// CVTTSD2SIrm
+    8657046U,	// CVTTSD2SIrr
+    612525U,	// CVTTSS2SI64rm
+    8657069U,	// CVTTSS2SI64rr
+    612525U,	// CVTTSS2SIrm
+    8657069U,	// CVTTSS2SIrr
+    13950U,	// CWD
+    14270U,	// CWDE
+    13798U,	// DAA
+    14539U,	// DAS
+    13679U,	// DATA16_PREFIX
+    223885U,	// DEC16m
+    27277U,	// DEC16r
+    27277U,	// DEC32_16r
+    22811U,	// DEC32_32r
+    235803U,	// DEC32m
+    22811U,	// DEC32r
+    223885U,	// DEC64_16m
+    27277U,	// DEC64_16r
+    235803U,	// DEC64_32m
+    22811U,	// DEC64_32r
+    401036U,	// DEC64m
+    24204U,	// DEC64r
+    429747U,	// DEC8m
+    20147U,	// DEC8r
+    224803U,	// DIV16m
+    28195U,	// DIV16r
+    236716U,	// DIV32m
+    23724U,	// DIV32r
+    402108U,	// DIV64m
+    25276U,	// DIV64r
+    430170U,	// DIV8m
+    20570U,	// DIV8r
+    8524932U,	// DIVPDrm
+    8443012U,	// DIVPDrr
+    8529702U,	// DIVPSrm
+    8447782U,	// DIVPSrr
+    190299U,	// DIVR_F32m
+    203697U,	// DIVR_F64m
+    223075U,	// DIVR_FI16m
+    236473U,	// DIVR_FI32m
+    24071U,	// DIVR_FPrST0
+    25531U,	// DIVR_FST0r
     0U,	// DIVR_Fp32m
     0U,	// DIVR_Fp64m
     0U,	// DIVR_Fp64m32
@@ -712,21 +711,21 @@
     0U,	// DIVR_FpI32m32
     0U,	// DIVR_FpI32m64
     0U,	// DIVR_FpI32m80
-    28459U,	// DIVR_FrST0
-    551753290U,	// DIVSDrm
-    551753290U,	// DIVSDrm_Int
-    8443466U,	// DIVSDrr
-    8443466U,	// DIVSDrr_Int
-    551774439U,	// DIVSSrm
-    551774439U,	// DIVSSrm_Int
-    8448231U,	// DIVSSrr
-    8448231U,	// DIVSSrr_Int
-    239898U,	// DIV_F32m
-    253143U,	// DIV_F64m
-    272673U,	// DIV_FI16m
-    285918U,	// DIV_FI32m
-    24040U,	// DIV_FPrST0
-    27096U,	// DIV_FST0r
+    28415U,	// DIVR_FrST0
+    551704094U,	// DIVSDrm
+    551704094U,	// DIVSDrm_Int
+    8443422U,	// DIVSDrr
+    8443422U,	// DIVSDrr_Int
+    551725243U,	// DIVSSrm
+    551725243U,	// DIVSSrm_Int
+    8448187U,	// DIVSSrr
+    8448187U,	// DIVSSrr_Int
+    190702U,	// DIV_F32m
+    203947U,	// DIV_F64m
+    223477U,	// DIV_FI16m
+    236722U,	// DIV_FI32m
+    23996U,	// DIV_FPrST0
+    27052U,	// DIV_FST0r
     0U,	// DIV_Fp32
     0U,	// DIV_Fp32m
     0U,	// DIV_Fp64
@@ -741,66 +740,64 @@
     0U,	// DIV_FpI32m32
     0U,	// DIV_FpI32m64
     0U,	// DIV_FpI32m80
-    28444U,	// DIV_FrST0
-    568677462U,	// DPPDrmi
-    839226454U,	// DPPDrri
-    568682175U,	// DPPSrmi
-    839231167U,	// DPPSrri
-    14619U,	// DS_PREFIX
-    29269U,	// EH_RETURN
-    29269U,	// EH_RETURN64
-    13532U,	// EH_SjLj_LongJmp32
-    13636U,	// EH_SjLj_LongJmp64
-    13551U,	// EH_SjLj_SetJmp32
-    13655U,	// EH_SjLj_SetJmp64
-    417315U,	// EH_SjLj_Setup
-    14859U,	// ENCLS
-    14984U,	// ENCLU
-    283141015U,	// ENTER
-    14657U,	// ES_PREFIX
-    3271894761U,	// EXTRACTPSmr
-    811656937U,	// EXTRACTPSrr
-    6349261U,	// EXTRQ
-    839229901U,	// EXTRQI
-    13513U,	// F2XM1
-    8547295U,	// FARCALL16i
-    636070U,	// FARCALL16m
-    8542878U,	// FARCALL32i
-    636036U,	// FARCALL32m
-    636053U,	// FARCALL64
-    8547400U,	// FARJMP16i
-    636079U,	// FARJMP16m
-    8543005U,	// FARJMP32i
-    636045U,	// FARJMP32m
-    636062U,	// FARJMP64
-    233904U,	// FBLDm
-    237046U,	// FBSTPm
-    238642U,	// FCOM32m
-    252636U,	// FCOM64m
-    239243U,	// FCOMP32m
-    252708U,	// FCOMP64m
-    14431U,	// FCOMPP
-    14446U,	// FDECSTP
-    14865U,	// FEMMS
-    22419U,	// FFREE
-    271417U,	// FICOM16m
-    285411U,	// FICOM32m
-    272019U,	// FICOMP16m
-    285484U,	// FICOMP32m
-    14454U,	// FINCSTP
-    273074U,	// FLDCW16m
-    240094U,	// FLDENVm
-    14006U,	// FLDL2E
-    14927U,	// FLDL2T
-    13617U,	// FLDLG2
-    13624U,	// FLDLN2
-    14164U,	// FLDPI
-    15274U,	// FNCLEX
-    14948U,	// FNINIT
-    14426U,	// FNOP
-    273099U,	// FNSTCW16m
-    15073U,	// FNSTSW16r
-    241004U,	// FNSTSWm
+    28400U,	// DIV_FrST0
+    568677418U,	// DPPDrmi
+    839226410U,	// DPPDrri
+    568682131U,	// DPPSrmi
+    839231123U,	// DPPSrri
+    29225U,	// EH_RETURN
+    29225U,	// EH_RETURN64
+    13488U,	// EH_SjLj_LongJmp32
+    13592U,	// EH_SjLj_LongJmp64
+    13507U,	// EH_SjLj_SetJmp32
+    13611U,	// EH_SjLj_SetJmp64
+    417271U,	// EH_SjLj_Setup
+    14815U,	// ENCLS
+    14940U,	// ENCLU
+    283140971U,	// ENTER
+    3271894717U,	// EXTRACTPSmr
+    811656893U,	// EXTRACTPSrr
+    6349217U,	// EXTRQ
+    839229857U,	// EXTRQI
+    13469U,	// F2XM1
+    8661939U,	// FARCALL16i
+    636026U,	// FARCALL16m
+    8657522U,	// FARCALL32i
+    635992U,	// FARCALL32m
+    636009U,	// FARCALL64
+    8662044U,	// FARJMP16i
+    636035U,	// FARJMP16m
+    8657649U,	// FARJMP32i
+    636001U,	// FARJMP32m
+    636018U,	// FARJMP64
+    184708U,	// FBLDm
+    187850U,	// FBSTPm
+    189446U,	// FCOM32m
+    203440U,	// FCOM64m
+    190047U,	// FCOMP32m
+    203512U,	// FCOMP64m
+    14387U,	// FCOMPP
+    14402U,	// FDECSTP
+    14821U,	// FEMMS
+    22375U,	// FFREE
+    222221U,	// FICOM16m
+    236215U,	// FICOM32m
+    222823U,	// FICOMP16m
+    236288U,	// FICOMP32m
+    14410U,	// FINCSTP
+    223878U,	// FLDCW16m
+    190898U,	// FLDENVm
+    13962U,	// FLDL2E
+    14883U,	// FLDL2T
+    13573U,	// FLDLG2
+    13580U,	// FLDLN2
+    14120U,	// FLDPI
+    15230U,	// FNCLEX
+    14904U,	// FNINIT
+    14382U,	// FNOP
+    223903U,	// FNSTCW16m
+    15029U,	// FNSTSW16r
+    191808U,	// FNSTSWm
     0U,	// FP32_TO_INT16_IN_MEM
     0U,	// FP32_TO_INT32_IN_MEM
     0U,	// FP32_TO_INT64_IN_MEM
@@ -810,70 +807,68 @@
     0U,	// FP80_TO_INT16_IN_MEM
     0U,	// FP80_TO_INT32_IN_MEM
     0U,	// FP80_TO_INT64_IN_MEM
-    14349U,	// FPATAN
-    14332U,	// FPREM
-    13506U,	// FPREM1
-    14356U,	// FPTAN
-    14959U,	// FRNDINT
-    238510U,	// FRSTORm
-    235508U,	// FSAVEm
-    14034U,	// FSCALE
-    14338U,	// FSETPM
-    14876U,	// FSINCOS
-    240102U,	// FSTENVm
-    14695U,	// FS_PREFIX
-    14327U,	// FXAM
-    631734U,	// FXRSTOR
-    631194U,	// FXRSTOR64
-    628732U,	// FXSAVE
-    630892U,	// FXSAVE64
-    14934U,	// FXTRACT
-    15067U,	// FYL2X
-    13519U,	// FYL2XP1
-    8574021U,	// FsANDNPDrm
-    8442949U,	// FsANDNPDrr
-    8578726U,	// FsANDNPSrm
-    8447654U,	// FsANDNPSrr
-    8573885U,	// FsANDPDrm
-    8442813U,	// FsANDPDrr
-    8578561U,	// FsANDPSrm
-    8447489U,	// FsANDPSrr
+    14305U,	// FPATAN
+    14288U,	// FPREM
+    13462U,	// FPREM1
+    14312U,	// FPTAN
+    14915U,	// FRNDINT
+    189314U,	// FRSTORm
+    186312U,	// FSAVEm
+    13990U,	// FSCALE
+    14294U,	// FSETPM
+    14832U,	// FSINCOS
+    190906U,	// FSTENVm
+    14283U,	// FXAM
+    631690U,	// FXRSTOR
+    631150U,	// FXRSTOR64
+    628688U,	// FXSAVE
+    630848U,	// FXSAVE64
+    14890U,	// FXTRACT
+    15023U,	// FYL2X
+    13475U,	// FYL2XP1
+    8524825U,	// FsANDNPDrm
+    8442905U,	// FsANDNPDrr
+    8529530U,	// FsANDNPSrm
+    8447610U,	// FsANDNPSrr
+    8524689U,	// FsANDPDrm
+    8442769U,	// FsANDPDrr
+    8529365U,	// FsANDPSrm
+    8447445U,	// FsANDPSrr
     0U,	// FsFLD0SD
     0U,	// FsFLD0SS
-    578363U,	// FsMOVAPDrm
-    583047U,	// FsMOVAPSrm
-    8574065U,	// FsORPDrm
-    8442993U,	// FsORPDrr
-    8578778U,	// FsORPSrm
-    8447706U,	// FsORPSrr
-    578362U,	// FsVMOVAPDrm
-    583046U,	// FsVMOVAPSrm
-    8574072U,	// FsXORPDrm
-    8443000U,	// FsXORPDrr
-    8578785U,	// FsXORPSrm
-    8447713U,	// FsXORPSrr
-    13927U,	// GETSEC
-    14752U,	// GS_PREFIX
-    8573847U,	// HADDPDrm
-    8442775U,	// HADDPDrr
-    8578523U,	// HADDPSrm
-    8447451U,	// HADDPSrr
-    14955U,	// HLT
-    8573796U,	// HSUBPDrm
-    8442724U,	// HSUBPDrr
-    8578472U,	// HSUBPSrm
-    8447400U,	// HSUBPSrr
-    273998U,	// IDIV16m
-    28238U,	// IDIV16r
-    285919U,	// IDIV32m
-    23775U,	// IDIV32r
-    402151U,	// IDIV64m
-    25319U,	// IDIV64r
-    430213U,	// IDIV8m
-    20613U,	// IDIV8r
-    271384U,	// ILD_F16m
-    285070U,	// ILD_F32m
-    399998U,	// ILD_F64m
+    578319U,	// FsMOVAPDrm
+    583003U,	// FsMOVAPSrm
+    8524869U,	// FsORPDrm
+    8442949U,	// FsORPDrr
+    8529582U,	// FsORPSrm
+    8447662U,	// FsORPSrr
+    578318U,	// FsVMOVAPDrm
+    583002U,	// FsVMOVAPSrm
+    8524876U,	// FsXORPDrm
+    8442956U,	// FsXORPDrr
+    8529589U,	// FsXORPSrm
+    8447669U,	// FsXORPSrr
+    13883U,	// GETSEC
+    8524651U,	// HADDPDrm
+    8442731U,	// HADDPDrr
+    8529327U,	// HADDPSrm
+    8447407U,	// HADDPSrr
+    14911U,	// HLT
+    8524600U,	// HSUBPDrm
+    8442680U,	// HSUBPDrr
+    8529276U,	// HSUBPSrm
+    8447356U,	// HSUBPSrr
+    224802U,	// IDIV16m
+    28194U,	// IDIV16r
+    236723U,	// IDIV32m
+    23731U,	// IDIV32r
+    402107U,	// IDIV64m
+    25275U,	// IDIV64r
+    430169U,	// IDIV8m
+    20569U,	// IDIV8r
+    222188U,	// ILD_F16m
+    235874U,	// ILD_F32m
+    399954U,	// ILD_F64m
     0U,	// ILD_Fp16m32
     0U,	// ILD_Fp16m64
     0U,	// ILD_Fp16m80
@@ -883,79 +878,79 @@
     0U,	// ILD_Fp64m32
     0U,	// ILD_Fp64m64
     0U,	// ILD_Fp64m80
-    273420U,	// IMUL16m
-    27660U,	// IMUL16r
-    8465420U,	// IMUL16rm
-    52767756U,	// IMUL16rmi
-    52767756U,	// IMUL16rmi8
-    8449036U,	// IMUL16rr
-    811658252U,	// IMUL16rri
-    811658252U,	// IMUL16rri8
-    285389U,	// IMUL32m
-    23245U,	// IMUL32r
-    551639757U,	// IMUL32rm
-    832903885U,	// IMUL32rmi
-    832903885U,	// IMUL32rmi8
-    8444621U,	// IMUL32rr
-    811653837U,	// IMUL32rri
-    811653837U,	// IMUL32rri8
-    401665U,	// IMUL64m
-    24833U,	// IMUL64r
-    551657729U,	// IMUL64rm
-    835002625U,	// IMUL64rmi32
-    835002625U,	// IMUL64rmi8
-    8446209U,	// IMUL64rr
-    811655425U,	// IMUL64rri32
-    811655425U,	// IMUL64rri8
-    429898U,	// IMUL8m
-    20298U,	// IMUL8r
-    2124844U,	// IN16ri
-    15084U,	// IN16rr
-    10509042U,	// IN32ri
-    15163U,	// IN32rr
-    20991842U,	// IN8ri
-    14194U,	// IN8rr
-    273087U,	// INC16m
-    27327U,	// INC16r
-    27327U,	// INC32_16r
-    22861U,	// INC32_32r
-    285005U,	// INC32m
-    22861U,	// INC32r
-    273087U,	// INC64_16m
-    27327U,	// INC64_16r
-    285005U,	// INC64_32m
-    22861U,	// INC64_32r
-    401086U,	// INC64m
-    24254U,	// INC64r
-    429797U,	// INC8m
-    20197U,	// INC8r
-    504209U,	// INSB
-    585459455U,	// INSERTPSrm
-    839231231U,	// INSERTPSrr
-    6349488U,	// INSERTQ
-    839508656U,	// INSERTQI
-    520604U,	// INSL
-    553383U,	// INSW
-    26936U,	// INT
-    13527U,	// INT1
-    13631U,	// INT3
-    14394U,	// INTO
-    14001U,	// INVD
-    321853U,	// INVEPT32
-    321853U,	// INVEPT64
-    432144U,	// INVLPG
-    15144U,	// INVLPGA32
-    15212U,	// INVLPGA64
-    315806U,	// INVPCID32
-    315806U,	// INVPCID64
-    315815U,	// INVVPID32
-    315815U,	// INVVPID64
-    15055U,	// IRET16
-    14285U,	// IRET32
-    14495U,	// IRET64
-    272180U,	// ISTT_FP16m
-    285535U,	// ISTT_FP32m
-    400053U,	// ISTT_FP64m
+    224224U,	// IMUL16m
+    27616U,	// IMUL16r
+    8465376U,	// IMUL16rm
+    52767712U,	// IMUL16rmi
+    52767712U,	// IMUL16rmi8
+    8448992U,	// IMUL16rr
+    811658208U,	// IMUL16rri
+    811658208U,	// IMUL16rri8
+    236193U,	// IMUL32m
+    23201U,	// IMUL32r
+    551639713U,	// IMUL32rm
+    832903841U,	// IMUL32rmi
+    832903841U,	// IMUL32rmi8
+    8444577U,	// IMUL32rr
+    811653793U,	// IMUL32rri
+    811653793U,	// IMUL32rri8
+    401621U,	// IMUL64m
+    24789U,	// IMUL64r
+    551657685U,	// IMUL64rm
+    835002581U,	// IMUL64rmi32
+    835002581U,	// IMUL64rmi8
+    8446165U,	// IMUL64rr
+    811655381U,	// IMUL64rri32
+    811655381U,	// IMUL64rri8
+    429854U,	// IMUL8m
+    20254U,	// IMUL8r
+    2124800U,	// IN16ri
+    15040U,	// IN16rr
+    10508998U,	// IN32ri
+    15119U,	// IN32rr
+    20991798U,	// IN8ri
+    14150U,	// IN8rr
+    223891U,	// INC16m
+    27283U,	// INC16r
+    27283U,	// INC32_16r
+    22817U,	// INC32_32r
+    235809U,	// INC32m
+    22817U,	// INC32r
+    223891U,	// INC64_16m
+    27283U,	// INC64_16r
+    235809U,	// INC64_32m
+    22817U,	// INC64_32r
+    401042U,	// INC64m
+    24210U,	// INC64r
+    429753U,	// INC8m
+    20153U,	// INC8r
+    504165U,	// INSB
+    585459411U,	// INSERTPSrm
+    839231187U,	// INSERTPSrr
+    6349444U,	// INSERTQ
+    839508612U,	// INSERTQI
+    520560U,	// INSL
+    553339U,	// INSW
+    26892U,	// INT
+    13483U,	// INT1
+    13587U,	// INT3
+    14350U,	// INTO
+    13957U,	// INVD
+    321809U,	// INVEPT32
+    321809U,	// INVEPT64
+    432100U,	// INVLPG
+    15100U,	// INVLPGA32
+    15168U,	// INVLPGA64
+    315762U,	// INVPCID32
+    315762U,	// INVPCID64
+    315771U,	// INVVPID32
+    315771U,	// INVVPID64
+    15011U,	// IRET16
+    14241U,	// IRET32
+    14451U,	// IRET64
+    222984U,	// ISTT_FP16m
+    236339U,	// ISTT_FP32m
+    400009U,	// ISTT_FP64m
     0U,	// ISTT_Fp16m32
     0U,	// ISTT_Fp16m64
     0U,	// ISTT_Fp16m80
@@ -965,11 +960,11 @@
     0U,	// ISTT_Fp64m32
     0U,	// ISTT_Fp64m64
     0U,	// ISTT_Fp64m80
-    272659U,	// IST_F16m
-    285890U,	// IST_F32m
-    272172U,	// IST_FP16m
-    285527U,	// IST_FP32m
-    400044U,	// IST_FP64m
+    223463U,	// IST_F16m
+    236694U,	// IST_F32m
+    222976U,	// IST_FP16m
+    236331U,	// IST_FP32m
+    400000U,	// IST_FP64m
     0U,	// IST_Fp16m32
     0U,	// IST_Fp16m64
     0U,	// IST_Fp16m80
@@ -979,246 +974,246 @@
     0U,	// IST_Fp64m32
     0U,	// IST_Fp64m64
     0U,	// IST_Fp64m80
-    1921464401U,	// Int_CMPSDrm
-    1384609873U,	// Int_CMPSDrr
-    2730965073U,	// Int_CMPSSrm
-    1388804177U,	// Int_CMPSSrr
-    579063U,	// Int_COMISDrm
-    8541687U,	// Int_COMISDrr
-    583819U,	// Int_COMISSrm
-    8546443U,	// Int_COMISSrr
-    551757829U,	// Int_CVTSD2SSrm
-    8448005U,	// Int_CVTSD2SSrr
-    551657395U,	// Int_CVTSI2SD64rm
-    8445875U,	// Int_CVTSI2SD64rr
-    551639462U,	// Int_CVTSI2SDrm
-    8444326U,	// Int_CVTSI2SDrr
-    551658001U,	// Int_CVTSI2SS64rm
-    8446481U,	// Int_CVTSI2SS64rr
-    551640110U,	// Int_CVTSI2SSrm
-    8444974U,	// Int_CVTSI2SSrr
-    551769449U,	// Int_CVTSS2SDrm
-    8443241U,	// Int_CVTSS2SDrr
-    596162U,	// Int_CVTTSD2SI64rm
-    8542402U,	// Int_CVTTSD2SI64rr
-    596162U,	// Int_CVTTSD2SIrm
-    8542402U,	// Int_CVTTSD2SIrr
-    612569U,	// Int_CVTTSS2SI64rm
-    8542425U,	// Int_CVTTSS2SI64rr
-    612569U,	// Int_CVTTSS2SIrm
-    8542425U,	// Int_CVTTSS2SIrr
-    13811U,	// Int_MemBarrier
-    579062U,	// Int_UCOMISDrm
-    8541686U,	// Int_UCOMISDrr
-    583818U,	// Int_UCOMISSrm
-    8546442U,	// Int_UCOMISSrr
-    1921661013U,	// Int_VCMPSDrm
-    1384806485U,	// Int_VCMPSDrr
-    2731161685U,	// Int_VCMPSSrm
-    1389000789U,	// Int_VCMPSSrr
-    579071U,	// Int_VCOMISDZrm
-    8541695U,	// Int_VCOMISDZrr
-    579071U,	// Int_VCOMISDrm
-    8541695U,	// Int_VCOMISDrr
-    583827U,	// Int_VCOMISSZrm
-    8546451U,	// Int_VCOMISSZrr
-    583827U,	// Int_VCOMISSrm
-    8546451U,	// Int_VCOMISSrr
-    283322372U,	// Int_VCVTSD2SSrm
-    811657220U,	// Int_VCVTSD2SSrr
-    283221938U,	// Int_VCVTSI2SD64Zrm
-    811655090U,	// Int_VCVTSI2SD64Zrr
-    283221938U,	// Int_VCVTSI2SD64rm
-    811655090U,	// Int_VCVTSI2SD64rr
-    283204005U,	// Int_VCVTSI2SDZrm
-    811653541U,	// Int_VCVTSI2SDZrr
-    283204005U,	// Int_VCVTSI2SDrm
-    811653541U,	// Int_VCVTSI2SDrr
-    283222544U,	// Int_VCVTSI2SS64Zrm
-    811655696U,	// Int_VCVTSI2SS64Zrr
-    283222544U,	// Int_VCVTSI2SS64rm
-    811655696U,	// Int_VCVTSI2SS64rr
-    283204653U,	// Int_VCVTSI2SSZrm
-    811654189U,	// Int_VCVTSI2SSZrr
-    283204653U,	// Int_VCVTSI2SSrm
-    811654189U,	// Int_VCVTSI2SSrr
-    283333992U,	// Int_VCVTSS2SDrm
-    811652456U,	// Int_VCVTSS2SDrr
-    591268U,	// Int_VCVTTSD2SI64Zrm
-    8537508U,	// Int_VCVTTSD2SI64Zrr
-    596161U,	// Int_VCVTTSD2SI64rm
-    8542401U,	// Int_VCVTTSD2SI64rr
-    591268U,	// Int_VCVTTSD2SIZrm
-    8537508U,	// Int_VCVTTSD2SIZrr
-    596161U,	// Int_VCVTTSD2SIrm
-    8542401U,	// Int_VCVTTSD2SIrr
-    591318U,	// Int_VCVTTSD2USI64Zrm
-    8537558U,	// Int_VCVTTSD2USI64Zrr
-    591318U,	// Int_VCVTTSD2USIZrm
-    8537558U,	// Int_VCVTTSD2USIZrr
-    607677U,	// Int_VCVTTSS2SI64Zrm
-    8537533U,	// Int_VCVTTSS2SI64Zrr
-    612568U,	// Int_VCVTTSS2SI64rm
-    8542424U,	// Int_VCVTTSS2SI64rr
-    607677U,	// Int_VCVTTSS2SIZrm
-    8537533U,	// Int_VCVTTSS2SIZrr
-    612568U,	// Int_VCVTTSS2SIrm
-    8542424U,	// Int_VCVTTSS2SIrr
-    607729U,	// Int_VCVTTSS2USI64Zrm
-    8537585U,	// Int_VCVTTSS2USI64Zrr
-    607729U,	// Int_VCVTTSS2USIZrm
-    8537585U,	// Int_VCVTTSS2USIZrr
-    283221950U,	// Int_VCVTUSI2SD64Zrm
-    811655102U,	// Int_VCVTUSI2SD64Zrr
-    283204017U,	// Int_VCVTUSI2SDZrm
-    811653553U,	// Int_VCVTUSI2SDZrr
-    283222556U,	// Int_VCVTUSI2SS64Zrm
-    811655708U,	// Int_VCVTUSI2SS64Zrr
-    283204665U,	// Int_VCVTUSI2SSZrm
-    811654201U,	// Int_VCVTUSI2SSZrr
-    579061U,	// Int_VUCOMISDZrm
-    8541685U,	// Int_VUCOMISDZrr
-    579061U,	// Int_VUCOMISDrm
-    8541685U,	// Int_VUCOMISDrr
-    583817U,	// Int_VUCOMISSZrm
-    8546441U,	// Int_VUCOMISSZrr
-    583817U,	// Int_VUCOMISSrm
-    8546441U,	// Int_VUCOMISSrr
-    415592U,	// JAE_1
-    415592U,	// JAE_2
-    415592U,	// JAE_4
-    413305U,	// JA_1
-    413305U,	// JA_2
-    413305U,	// JA_4
-    415604U,	// JBE_1
-    415604U,	// JBE_2
-    415604U,	// JBE_4
-    413473U,	// JB_1
-    413473U,	// JB_2
-    413473U,	// JB_4
-    421590U,	// JCXZ
-    421583U,	// JECXZ_32
-    421583U,	// JECXZ_64
-    415663U,	// JE_1
-    415663U,	// JE_2
-    415663U,	// JE_4
-    415642U,	// JGE_1
-    415642U,	// JGE_2
-    415642U,	// JGE_4
-    415756U,	// JG_1
-    415756U,	// JG_2
-    415756U,	// JG_4
-    415667U,	// JLE_1
-    415667U,	// JLE_2
-    415667U,	// JLE_4
-    416357U,	// JL_1
-    416357U,	// JL_2
-    416357U,	// JL_4
-    275632U,	// JMP16m
-    29872U,	// JMP16r
-    291982U,	// JMP32m
-    29838U,	// JMP32r
-    406687U,	// JMP64m
-    29855U,	// JMP64r
-    417210U,	// JMP_1
-    417210U,	// JMP_2
-    417210U,	// JMP_4
-    415679U,	// JNE_1
-    415679U,	// JNE_2
-    415679U,	// JNE_4
-    417160U,	// JNO_1
-    417160U,	// JNO_2
-    417160U,	// JNO_4
-    417230U,	// JNP_1
-    417230U,	// JNP_2
-    417230U,	// JNP_4
-    418881U,	// JNS_1
-    418881U,	// JNS_2
-    418881U,	// JNS_4
-    417156U,	// JO_1
-    417156U,	// JO_2
-    417156U,	// JO_4
-    417199U,	// JP_1
-    417199U,	// JP_2
-    417199U,	// JP_4
-    421596U,	// JRCXZ
-    418847U,	// JS_1
-    418847U,	// JS_2
-    418847U,	// JS_4
+    1921464357U,	// Int_CMPSDrm
+    1384609829U,	// Int_CMPSDrr
+    2730965029U,	// Int_CMPSSrm
+    1388804133U,	// Int_CMPSSrr
+    579019U,	// Int_COMISDrm
+    8656331U,	// Int_COMISDrr
+    583775U,	// Int_COMISSrm
+    8661087U,	// Int_COMISSrr
+    551708633U,	// Int_CVTSD2SSrm
+    8447961U,	// Int_CVTSD2SSrr
+    551657351U,	// Int_CVTSI2SD64rm
+    8445831U,	// Int_CVTSI2SD64rr
+    551639418U,	// Int_CVTSI2SDrm
+    8444282U,	// Int_CVTSI2SDrr
+    551657957U,	// Int_CVTSI2SS64rm
+    8446437U,	// Int_CVTSI2SS64rr
+    551640066U,	// Int_CVTSI2SSrm
+    8444930U,	// Int_CVTSI2SSrr
+    551720253U,	// Int_CVTSS2SDrm
+    8443197U,	// Int_CVTSS2SDrr
+    596118U,	// Int_CVTTSD2SI64rm
+    8657046U,	// Int_CVTTSD2SI64rr
+    596118U,	// Int_CVTTSD2SIrm
+    8657046U,	// Int_CVTTSD2SIrr
+    612525U,	// Int_CVTTSS2SI64rm
+    8657069U,	// Int_CVTTSS2SI64rr
+    612525U,	// Int_CVTTSS2SIrm
+    8657069U,	// Int_CVTTSS2SIrr
+    13767U,	// Int_MemBarrier
+    579018U,	// Int_UCOMISDrm
+    8656330U,	// Int_UCOMISDrr
+    583774U,	// Int_UCOMISSrm
+    8661086U,	// Int_UCOMISSrr
+    1921660969U,	// Int_VCMPSDrm
+    1384806441U,	// Int_VCMPSDrr
+    2731161641U,	// Int_VCMPSSrm
+    1389000745U,	// Int_VCMPSSrr
+    579027U,	// Int_VCOMISDZrm
+    8656339U,	// Int_VCOMISDZrr
+    579027U,	// Int_VCOMISDrm
+    8656339U,	// Int_VCOMISDrr
+    583783U,	// Int_VCOMISSZrm
+    8661095U,	// Int_VCOMISSZrr
+    583783U,	// Int_VCOMISSrm
+    8661095U,	// Int_VCOMISSrr
+    283273176U,	// Int_VCVTSD2SSrm
+    811657176U,	// Int_VCVTSD2SSrr
+    283221894U,	// Int_VCVTSI2SD64Zrm
+    811655046U,	// Int_VCVTSI2SD64Zrr
+    283221894U,	// Int_VCVTSI2SD64rm
+    811655046U,	// Int_VCVTSI2SD64rr
+    283203961U,	// Int_VCVTSI2SDZrm
+    811653497U,	// Int_VCVTSI2SDZrr
+    283203961U,	// Int_VCVTSI2SDrm
+    811653497U,	// Int_VCVTSI2SDrr
+    283222500U,	// Int_VCVTSI2SS64Zrm
+    811655652U,	// Int_VCVTSI2SS64Zrr
+    283222500U,	// Int_VCVTSI2SS64rm
+    811655652U,	// Int_VCVTSI2SS64rr
+    283204609U,	// Int_VCVTSI2SSZrm
+    811654145U,	// Int_VCVTSI2SSZrr
+    283204609U,	// Int_VCVTSI2SSrm
+    811654145U,	// Int_VCVTSI2SSrr
+    283284796U,	// Int_VCVTSS2SDrm
+    811652412U,	// Int_VCVTSS2SDrr
+    591246U,	// Int_VCVTTSD2SI64Zrm
+    8652174U,	// Int_VCVTTSD2SI64Zrr
+    596117U,	// Int_VCVTTSD2SI64rm
+    8657045U,	// Int_VCVTTSD2SI64rr
+    591246U,	// Int_VCVTTSD2SIZrm
+    8652174U,	// Int_VCVTTSD2SIZrr
+    596117U,	// Int_VCVTTSD2SIrm
+    8657045U,	// Int_VCVTTSD2SIrr
+    591296U,	// Int_VCVTTSD2USI64Zrm
+    8652224U,	// Int_VCVTTSD2USI64Zrr
+    591296U,	// Int_VCVTTSD2USIZrm
+    8652224U,	// Int_VCVTTSD2USIZrr
+    607655U,	// Int_VCVTTSS2SI64Zrm
+    8652199U,	// Int_VCVTTSS2SI64Zrr
+    612524U,	// Int_VCVTTSS2SI64rm
+    8657068U,	// Int_VCVTTSS2SI64rr
+    607655U,	// Int_VCVTTSS2SIZrm
+    8652199U,	// Int_VCVTTSS2SIZrr
+    612524U,	// Int_VCVTTSS2SIrm
+    8657068U,	// Int_VCVTTSS2SIrr
+    607707U,	// Int_VCVTTSS2USI64Zrm
+    8652251U,	// Int_VCVTTSS2USI64Zrr
+    607707U,	// Int_VCVTTSS2USIZrm
+    8652251U,	// Int_VCVTTSS2USIZrr
+    283221906U,	// Int_VCVTUSI2SD64Zrm
+    811655058U,	// Int_VCVTUSI2SD64Zrr
+    283203973U,	// Int_VCVTUSI2SDZrm
+    811653509U,	// Int_VCVTUSI2SDZrr
+    283222512U,	// Int_VCVTUSI2SS64Zrm
+    811655664U,	// Int_VCVTUSI2SS64Zrr
+    283204621U,	// Int_VCVTUSI2SSZrm
+    811654157U,	// Int_VCVTUSI2SSZrr
+    579017U,	// Int_VUCOMISDZrm
+    8656329U,	// Int_VUCOMISDZrr
+    579017U,	// Int_VUCOMISDrm
+    8656329U,	// Int_VUCOMISDrr
+    583773U,	// Int_VUCOMISSZrm
+    8661085U,	// Int_VUCOMISSZrr
+    583773U,	// Int_VUCOMISSrm
+    8661085U,	// Int_VUCOMISSrr
+    415548U,	// JAE_1
+    415548U,	// JAE_2
+    415548U,	// JAE_4
+    413261U,	// JA_1
+    413261U,	// JA_2
+    413261U,	// JA_4
+    415560U,	// JBE_1
+    415560U,	// JBE_2
+    415560U,	// JBE_4
+    413429U,	// JB_1
+    413429U,	// JB_2
+    413429U,	// JB_4
+    421546U,	// JCXZ
+    421539U,	// JECXZ_32
+    421539U,	// JECXZ_64
+    415619U,	// JE_1
+    415619U,	// JE_2
+    415619U,	// JE_4
+    415598U,	// JGE_1
+    415598U,	// JGE_2
+    415598U,	// JGE_4
+    415712U,	// JG_1
+    415712U,	// JG_2
+    415712U,	// JG_4
+    415623U,	// JLE_1
+    415623U,	// JLE_2
+    415623U,	// JLE_4
+    416313U,	// JL_1
+    416313U,	// JL_2
+    416313U,	// JL_4
+    226436U,	// JMP16m
+    29828U,	// JMP16r
+    242786U,	// JMP32m
+    29794U,	// JMP32r
+    406643U,	// JMP64m
+    29811U,	// JMP64r
+    417166U,	// JMP_1
+    417166U,	// JMP_2
+    417166U,	// JMP_4
+    415635U,	// JNE_1
+    415635U,	// JNE_2
+    415635U,	// JNE_4
+    417116U,	// JNO_1
+    417116U,	// JNO_2
+    417116U,	// JNO_4
+    417186U,	// JNP_1
+    417186U,	// JNP_2
+    417186U,	// JNP_4
+    418837U,	// JNS_1
+    418837U,	// JNS_2
+    418837U,	// JNS_4
+    417112U,	// JO_1
+    417112U,	// JO_2
+    417112U,	// JO_4
+    417155U,	// JP_1
+    417155U,	// JP_2
+    417155U,	// JP_4
+    421552U,	// JRCXZ
+    418803U,	// JS_1
+    418803U,	// JS_2
+    418803U,	// JS_4
     811647013U,	// KANDBrr
     811647227U,	// KANDDrr
     811647054U,	// KANDNBrr
     811647353U,	// KANDNDrr
-    811649040U,	// KANDNQrr
-    811650152U,	// KANDNWrr
-    811648790U,	// KANDQrr
-    811650100U,	// KANDWrr
-    8536216U,	// KMOVBkk
+    811649018U,	// KANDNQrr
+    811650108U,	// KANDNWrr
+    811648768U,	// KANDQrr
+    811650056U,	// KANDWrr
+    8650904U,	// KMOVBkk
     442520U,	// KMOVBkm
-    8536216U,	// KMOVBkr
+    8650904U,	// KMOVBkr
     23101592U,	// KMOVBmk
-    8536216U,	// KMOVBrk
-    8537464U,	// KMOVDkk
-    551683448U,	// KMOVDkm
-    8537464U,	// KMOVDkr
-    12617080U,	// KMOVDmk
-    8537464U,	// KMOVDrk
-    8538401U,	// KMOVQkk
-    551717153U,	// KMOVQkm
-    8538401U,	// KMOVQkr
-    18909473U,	// KMOVQmk
-    8538401U,	// KMOVQrk
-    8539336U,	// KMOVWkk
-    380104U,	// KMOVWkm
-    8539336U,	// KMOVWkr
-    4230344U,	// KMOVWmk
-    8539336U,	// KMOVWrk
-    8536208U,	// KNOTBrr
-    8537391U,	// KNOTDrr
-    8538328U,	// KNOTQrr
-    8539317U,	// KNOTWrr
+    8650904U,	// KMOVBrk
+    8652130U,	// KMOVDkk
+    551798114U,	// KMOVDkm
+    8652130U,	// KMOVDkr
+    12617058U,	// KMOVDmk
+    8652130U,	// KMOVDrk
+    8653045U,	// KMOVQkk
+    551831797U,	// KMOVQkm
+    8653045U,	// KMOVQkr
+    18909429U,	// KMOVQmk
+    8653045U,	// KMOVQrk
+    8653980U,	// KMOVWkk
+    380060U,	// KMOVWkm
+    8653980U,	// KMOVWkr
+    4230300U,	// KMOVWmk
+    8653980U,	// KMOVWrk
+    8650896U,	// KNOTBrr
+    8652057U,	// KNOTDrr
+    8652972U,	// KNOTQrr
+    8653961U,	// KNOTWrr
     811647096U,	// KORBrr
-    811648053U,	// KORDrr
-    811649107U,	// KORQrr
-    8539325U,	// KORTESTWrr
-    811650194U,	// KORWrr
+    811648042U,	// KORDrr
+    811649074U,	// KORQrr
+    8653969U,	// KORTESTWrr
+    811650150U,	// KORWrr
     0U,	// KSET0B
     0U,	// KSET0W
     0U,	// KSET1B
     0U,	// KSET1W
-    811650141U,	// KSHIFTLWri
-    811650218U,	// KSHIFTRWri
-    811650089U,	// KUNPCKBWrr
+    811650097U,	// KSHIFTLWri
+    811650174U,	// KSHIFTRWri
+    811650045U,	// KUNPCKBWrr
     811647103U,	// KXNORBrr
-    811648060U,	// KXNORDrr
-    811649114U,	// KXNORQrr
-    811650201U,	// KXNORWrr
+    811648049U,	// KXNORDrr
+    811649081U,	// KXNORQrr
+    811650157U,	// KXNORWrr
     811647112U,	// KXORBrr
-    811648077U,	// KXORDrr
-    811649131U,	// KXORQrr
-    811650210U,	// KXORWrr
-    14131U,	// LAHF
-    388214U,	// LAR16rm
-    8547446U,	// LAR16rr
-    383879U,	// LAR32rm
-    8543111U,	// LAR32rr
-    385404U,	// LAR64rm
-    8544636U,	// LAR64rr
-    4238203U,	// LCMPXCHG16
-    560812U,	// LCMPXCHG16B
-    12622389U,	// LCMPXCHG32
-    18915465U,	// LCMPXCHG64
-    23105295U,	// LCMPXCHG8
-    396984U,	// LCMPXCHG8B
-    321971U,	// LDDQUrm
-    287693U,	// LDMXCSR
-    699677U,	// LDS16rm
-    695294U,	// LDS32rm
-    15281U,	// LD_F0
-    13501U,	// LD_F1
-    238610U,	// LD_F32m
-    252289U,	// LD_F64m
-    715049U,	// LD_F80m
+    811648066U,	// KXORDrr
+    811649098U,	// KXORQrr
+    811650166U,	// KXORWrr
+    14087U,	// LAHF
+    388170U,	// LAR16rm
+    8662090U,	// LAR16rr
+    383835U,	// LAR32rm
+    8657755U,	// LAR32rr
+    385360U,	// LAR64rm
+    8659280U,	// LAR64rr
+    4238159U,	// LCMPXCHG16
+    560768U,	// LCMPXCHG16B
+    12622345U,	// LCMPXCHG32
+    18915421U,	// LCMPXCHG64
+    23105251U,	// LCMPXCHG8
+    396940U,	// LCMPXCHG8B
+    321927U,	// LDDQUrm
+    238497U,	// LDMXCSR
+    699633U,	// LDS16rm
+    695250U,	// LDS32rm
+    15237U,	// LD_F0
+    13457U,	// LD_F1
+    189414U,	// LD_F32m
+    203093U,	// LD_F64m
+    715005U,	// LD_F80m
     0U,	// LD_Fp032
     0U,	// LD_Fp064
     0U,	// LD_Fp080
@@ -1231,583 +1226,583 @@
     0U,	// LD_Fp64m
     0U,	// LD_Fp64m80
     0U,	// LD_Fp80m
-    20918U,	// LD_Frr
-    387583U,	// LEA16r
-    551688454U,	// LEA32r
-    551688454U,	// LEA64_32r
-    551722571U,	// LEA64r
-    14118U,	// LEAVE
-    14118U,	// LEAVE64
-    699690U,	// LES16rm
-    695307U,	// LES32rm
-    14013U,	// LFENCE
-    699696U,	// LFS16rm
-    695313U,	// LFS32rm
-    696820U,	// LFS64rm
-    634277U,	// LGDT16m
-    629862U,	// LGDT32m
-    631362U,	// LGDT64m
-    699702U,	// LGS16rm
-    695319U,	// LGS32rm
-    696826U,	// LGS64rm
-    634291U,	// LIDT16m
-    629876U,	// LIDT32m
-    631376U,	// LIDT64m
-    273857U,	// LLDT16m
-    28097U,	// LLDT16r
-    274021U,	// LMSW16m
-    28261U,	// LMSW16r
-    4238038U,	// LOCK_ADD16mi
-    4238038U,	// LOCK_ADD16mi8
-    4238038U,	// LOCK_ADD16mr
-    12622179U,	// LOCK_ADD32mi
-    12622179U,	// LOCK_ADD32mi8
-    12622179U,	// LOCK_ADD32mr
-    18915094U,	// LOCK_ADD64mi32
-    18915094U,	// LOCK_ADD64mi8
-    18915094U,	// LOCK_ADD64mr
-    23105261U,	// LOCK_ADD8mi
-    23105261U,	// LOCK_ADD8mr
-    4238078U,	// LOCK_AND16mi
-    4238078U,	// LOCK_AND16mi8
-    4238078U,	// LOCK_AND16mr
-    12622232U,	// LOCK_AND32mi
-    12622232U,	// LOCK_AND32mi8
-    12622232U,	// LOCK_AND32mr
-    18915187U,	// LOCK_AND64mi32
-    18915187U,	// LOCK_AND64mi8
-    18915187U,	// LOCK_AND64mr
-    23105274U,	// LOCK_AND8mi
-    23105274U,	// LOCK_AND8mr
-    273081U,	// LOCK_DEC16m
-    284999U,	// LOCK_DEC32m
-    401080U,	// LOCK_DEC64m
-    429791U,	// LOCK_DEC8m
-    273087U,	// LOCK_INC16m
-    285005U,	// LOCK_INC32m
-    401086U,	// LOCK_INC64m
-    429797U,	// LOCK_INC8m
-    4238494U,	// LOCK_OR16mi
-    4238494U,	// LOCK_OR16mi8
-    4238494U,	// LOCK_OR16mr
-    12622769U,	// LOCK_OR32mi
-    12622769U,	// LOCK_OR32mi8
-    12622769U,	// LOCK_OR32mr
-    18915733U,	// LOCK_OR64mi32
-    18915733U,	// LOCK_OR64mi8
-    18915733U,	// LOCK_OR64mr
-    23105427U,	// LOCK_OR8mi
-    23105427U,	// LOCK_OR8mr
-    14189U,	// LOCK_PREFIX
-    4237944U,	// LOCK_SUB16mi
-    4237944U,	// LOCK_SUB16mi8
-    4237944U,	// LOCK_SUB16mr
-    12622115U,	// LOCK_SUB32mi
-    12622115U,	// LOCK_SUB32mi8
-    12622115U,	// LOCK_SUB32mr
-    18914950U,	// LOCK_SUB64mi32
-    18914950U,	// LOCK_SUB64mi8
-    18914950U,	// LOCK_SUB64mr
-    23105235U,	// LOCK_SUB8mi
-    23105235U,	// LOCK_SUB8mr
-    4238499U,	// LOCK_XOR16mi
-    4238499U,	// LOCK_XOR16mi8
-    4238499U,	// LOCK_XOR16mr
-    12622774U,	// LOCK_XOR32mi
-    12622774U,	// LOCK_XOR32mi8
-    12622774U,	// LOCK_XOR32mr
-    18915748U,	// LOCK_XOR64mi32
-    18915748U,	// LOCK_XOR64mi8
-    18915748U,	// LOCK_XOR64mr
-    23105432U,	// LOCK_XOR8mi
-    23105432U,	// LOCK_XOR8mr
-    21696474U,	// LODSB
-    11230212U,	// LODSL
-    762349U,	// LODSQ
-    2878755U,	// LODSW
-    417242U,	// LOOP
-    415708U,	// LOOPE
-    415684U,	// LOOPNE
-    23689U,	// LRETIL
-    25189U,	// LRETIQ
-    28111U,	// LRETIW
-    14291U,	// LRETL
-    14501U,	// LRETQ
-    15061U,	// LRETW
-    388102U,	// LSL16rm
-    8547334U,	// LSL16rr
-    551688895U,	// LSL32rm
-    8542911U,	// LSL32rr
-    551723251U,	// LSL64rm
-    8544499U,	// LSL64rr
-    699744U,	// LSS16rm
-    695366U,	// LSS32rm
-    696873U,	// LSS64rm
-    273598U,	// LTRm
-    27838U,	// LTRr
-    54684388U,	// LXADD16
-    56777073U,	// LXADD32
-    58875676U,	// LXADD64
-    60968691U,	// LXADD8
-    388585U,	// LZCNT16rm
-    8547817U,	// LZCNT16rr
-    551689375U,	// LZCNT32rm
-    8543391U,	// LZCNT32rr
-    551723647U,	// LZCNT64rm
-    8544895U,	// LZCNT64rr
-    8546747U,	// MASKMOVDQU
-    8546747U,	// MASKMOVDQU64
-    8574148U,	// MAXCPDrm
-    8443076U,	// MAXCPDrr
-    8578918U,	// MAXCPSrm
-    8447846U,	// MAXCPSrr
-    551753307U,	// MAXCSDrm
-    8443483U,	// MAXCSDrr
-    551774455U,	// MAXCSSrm
-    8448247U,	// MAXCSSrr
-    8574148U,	// MAXPDrm
-    8443076U,	// MAXPDrr
-    8578918U,	// MAXPSrm
-    8447846U,	// MAXPSrr
-    551753307U,	// MAXSDrm
-    551753307U,	// MAXSDrm_Int
-    8443483U,	// MAXSDrr
-    8443483U,	// MAXSDrr_Int
-    551774455U,	// MAXSSrm
-    551774455U,	// MAXSSrm_Int
-    8448247U,	// MAXSSrr
-    8448247U,	// MAXSSrr_Int
-    14020U,	// MFENCE
-    8574030U,	// MINCPDrm
-    8442958U,	// MINCPDrr
-    8578735U,	// MINCPSrm
-    8447663U,	// MINCPSrr
-    551753234U,	// MINCSDrm
-    8443410U,	// MINCSDrr
-    551774373U,	// MINCSSrm
-    8448165U,	// MINCSSrr
-    8574030U,	// MINPDrm
-    8442958U,	// MINPDrr
-    8578735U,	// MINPSrm
-    8447663U,	// MINPSrr
-    551753234U,	// MINSDrm
-    551753234U,	// MINSDrm_Int
-    8443410U,	// MINSDrr
-    8443410U,	// MINSDrr_Int
-    551774373U,	// MINSSrm
-    551774373U,	// MINSSrm_Int
-    8448165U,	// MINSSrr
-    8448165U,	// MINSSrr_Int
-    579705U,	// MMX_CVTPD2PIirm
-    8542329U,	// MMX_CVTPD2PIirr
-    551719608U,	// MMX_CVTPI2PDirm
-    8540856U,	// MMX_CVTPI2PDirr
-    551658767U,	// MMX_CVTPI2PSirm
-    8447247U,	// MMX_CVTPI2PSirr
-    596110U,	// MMX_CVTPS2PIirm
-    8542350U,	// MMX_CVTPS2PIirr
-    579694U,	// MMX_CVTTPD2PIirm
-    8542318U,	// MMX_CVTTPD2PIirr
-    596099U,	// MMX_CVTTPS2PIirm
-    8542339U,	// MMX_CVTTPS2PIirr
-    14866U,	// MMX_EMMS
-    8545026U,	// MMX_MASKMOVQ
-    8545026U,	// MMX_MASKMOVQ64
-    8541912U,	// MMX_MOVD64from64rr
-    8541912U,	// MMX_MOVD64grr
-    12621528U,	// MMX_MOVD64mr
-    551687896U,	// MMX_MOVD64rm
-    8541912U,	// MMX_MOVD64rr
-    8541912U,	// MMX_MOVD64to64rr
-    8543810U,	// MMX_MOVDQ2Qrr
-    8543810U,	// MMX_MOVFR642Qrr
-    18915983U,	// MMX_MOVNTQmr
-    8543969U,	// MMX_MOVQ2DQrr
-    8543969U,	// MMX_MOVQ2FR64rr
-    18916102U,	// MMX_MOVQ64mr
-    551723782U,	// MMX_MOVQ64rm
-    8545030U,	// MMX_MOVQ64rr
-    8545030U,	// MMX_MOVQ64rr_REV
-    551718840U,	// MMX_PABSBrm64
-    8540088U,	// MMX_PABSBrr64
-    551720362U,	// MMX_PABSDrm64
-    8541610U,	// MMX_PABSDrr64
-    551726299U,	// MMX_PABSWrm64
-    8547547U,	// MMX_PABSWrr64
-    551660310U,	// MMX_PACKSSDWirm
-    8448790U,	// MMX_PACKSSDWirr
-    551653525U,	// MMX_PACKSSWBirm
-    8442005U,	// MMX_PACKSSWBirr
-    551653536U,	// MMX_PACKUSWBirm
-    8442016U,	// MMX_PACKUSWBirr
-    551653100U,	// MMX_PADDBirm
-    8441580U,	// MMX_PADDBirr
-    551653717U,	// MMX_PADDDirm
-    8442197U,	// MMX_PADDDirr
-    551657237U,	// MMX_PADDQirm
-    8445717U,	// MMX_PADDQirr
-    551653330U,	// MMX_PADDSBirm
-    8441810U,	// MMX_PADDSBirr
-    551660821U,	// MMX_PADDSWirm
-    8449301U,	// MMX_PADDSWirr
-    551653379U,	// MMX_PADDUSBirm
-    8441859U,	// MMX_PADDUSBirr
-    551660934U,	// MMX_PADDUSWirm
-    8449414U,	// MMX_PADDUSWirr
-    551660253U,	// MMX_PADDWirm
-    8448733U,	// MMX_PADDWirr
-    864379807U,	// MMX_PALIGNR64irm
-    839230367U,	// MMX_PALIGNR64irr
-    551656807U,	// MMX_PANDNirm
-    8445287U,	// MMX_PANDNirr
-    551653878U,	// MMX_PANDirm
-    8442358U,	// MMX_PANDirr
-    551653146U,	// MMX_PAVGBirm
-    8441626U,	// MMX_PAVGBirr
-    551660422U,	// MMX_PAVGWirm
-    8448902U,	// MMX_PAVGWirr
-    551653239U,	// MMX_PCMPEQBirm
-    8441719U,	// MMX_PCMPEQBirr
-    551654613U,	// MMX_PCMPEQDirm
-    8443093U,	// MMX_PCMPEQDirr
-    551660653U,	// MMX_PCMPEQWirm
-    8449133U,	// MMX_PCMPEQWirr
-    551653420U,	// MMX_PCMPGTBirm
-    8441900U,	// MMX_PCMPGTBirr
-    551655028U,	// MMX_PCMPGTDirm
-    8443508U,	// MMX_PCMPGTDirr
-    551661015U,	// MMX_PCMPGTWirm
-    8449495U,	// MMX_PCMPGTWirr
-    811658443U,	// MMX_PEXTRWirri
-    551660811U,	// MMX_PHADDSWrm64
-    8449291U,	// MMX_PHADDSWrr64
-    551660244U,	// MMX_PHADDWrm64
-    8448724U,	// MMX_PHADDWrr64
-    551653708U,	// MMX_PHADDrm64
-    8442188U,	// MMX_PHADDrr64
-    551653662U,	// MMX_PHSUBDrm64
-    8442142U,	// MMX_PHSUBDrr64
-    551660792U,	// MMX_PHSUBSWrm64
-    8449272U,	// MMX_PHSUBSWrr64
-    551660150U,	// MMX_PHSUBWrm64
-    8448630U,	// MMX_PHSUBWrr64
-    860187824U,	// MMX_PINSRWirmi
-    839232688U,	// MMX_PINSRWirri
-    551660780U,	// MMX_PMADDUBSWrm64
-    8449260U,	// MMX_PMADDUBSWrr64
-    551655162U,	// MMX_PMADDWDirm
-    8443642U,	// MMX_PMADDWDirr
-    551660952U,	// MMX_PMAXSWirm
-    8449432U,	// MMX_PMAXSWirr
-    551653490U,	// MMX_PMAXUBirm
-    8441970U,	// MMX_PMAXUBirr
-    551660861U,	// MMX_PMINSWirm
-    8449341U,	// MMX_PMINSWirr
-    551653474U,	// MMX_PMINUBirm
-    8441954U,	// MMX_PMINUBirr
-    8539942U,	// MMX_PMOVMSKBrr
-    551660886U,	// MMX_PMULHRSWrm64
-    8449366U,	// MMX_PMULHRSWrr64
-    551661085U,	// MMX_PMULHUWirm
-    8449565U,	// MMX_PMULHUWirr
-    551660459U,	// MMX_PMULHWirm
-    8448939U,	// MMX_PMULHWirr
-    551660528U,	// MMX_PMULLWirm
-    8449008U,	// MMX_PMULLWirr
-    551657441U,	// MMX_PMULUDQirm
-    8445921U,	// MMX_PMULUDQirr
-    551658409U,	// MMX_PORirm
-    8446889U,	// MMX_PORirr
-    551660079U,	// MMX_PSADBWirm
-    8448559U,	// MMX_PSADBWirr
-    551653121U,	// MMX_PSHUFBrm64
-    8441601U,	// MMX_PSHUFBrr64
-    835005293U,	// MMX_PSHUFWmi
-    811658093U,	// MMX_PSHUFWri
-    551653210U,	// MMX_PSIGNBrm64
-    8441690U,	// MMX_PSIGNBrr64
-    551653885U,	// MMX_PSIGNDrm64
-    8442365U,	// MMX_PSIGNDrr64
-    551660580U,	// MMX_PSIGNWrm64
-    8449060U,	// MMX_PSIGNWrr64
-    8442308U,	// MMX_PSLLDri
-    551653828U,	// MMX_PSLLDrm
-    8442308U,	// MMX_PSLLDrr
-    8446174U,	// MMX_PSLLQri
-    551657694U,	// MMX_PSLLQrm
-    8446174U,	// MMX_PSLLQrr
-    8449000U,	// MMX_PSLLWri
-    551660520U,	// MMX_PSLLWrm
-    8449000U,	// MMX_PSLLWrr
-    8442113U,	// MMX_PSRADri
-    551653633U,	// MMX_PSRADrm
-    8442113U,	// MMX_PSRADrr
-    8448526U,	// MMX_PSRAWri
-    551660046U,	// MMX_PSRAWrm
-    8448526U,	// MMX_PSRAWrr
-    8442325U,	// MMX_PSRLDri
-    551653845U,	// MMX_PSRLDrm
-    8442325U,	// MMX_PSRLDrr
-    8446188U,	// MMX_PSRLQri
-    551657708U,	// MMX_PSRLQrm
-    8446188U,	// MMX_PSRLQrr
-    8449023U,	// MMX_PSRLWri
-    551660543U,	// MMX_PSRLWrm
-    8449023U,	// MMX_PSRLWrr
-    551653074U,	// MMX_PSUBBirm
-    8441554U,	// MMX_PSUBBirr
-    551653671U,	// MMX_PSUBDirm
-    8442151U,	// MMX_PSUBDirr
-    551657093U,	// MMX_PSUBQirm
-    8445573U,	// MMX_PSUBQirr
-    551653321U,	// MMX_PSUBSBirm
-    8441801U,	// MMX_PSUBSBirr
-    551660802U,	// MMX_PSUBSWirm
-    8449282U,	// MMX_PSUBSWirr
-    551653369U,	// MMX_PSUBUSBirm
-    8441849U,	// MMX_PSUBUSBirr
-    551660924U,	// MMX_PSUBUSWirm
-    8449404U,	// MMX_PSUBUSWirr
-    551660159U,	// MMX_PSUBWirm
-    8448639U,	// MMX_PSUBWirr
-    551660107U,	// MMX_PUNPCKHBWirm
-    8448587U,	// MMX_PUNPCKHBWirr
-    551657271U,	// MMX_PUNPCKHDQirm
-    8445751U,	// MMX_PUNPCKHDQirr
-    551655172U,	// MMX_PUNPCKHWDirm
-    8443652U,	// MMX_PUNPCKHWDirr
-    551660119U,	// MMX_PUNPCKLBWirm
-    8448599U,	// MMX_PUNPCKLBWirr
-    551657290U,	// MMX_PUNPCKLDQirm
-    8445770U,	// MMX_PUNPCKLDQirr
-    551655184U,	// MMX_PUNPCKLWDirm
-    8443664U,	// MMX_PUNPCKLWDirr
-    551658432U,	// MMX_PXORirm
-    8446912U,	// MMX_PXORirr
+    20874U,	// LD_Frr
+    387539U,	// LEA16r
+    551803098U,	// LEA32r
+    551803098U,	// LEA64_32r
+    551837215U,	// LEA64r
+    14074U,	// LEAVE
+    14074U,	// LEAVE64
+    699646U,	// LES16rm
+    695263U,	// LES32rm
+    13969U,	// LFENCE
+    699652U,	// LFS16rm
+    695269U,	// LFS32rm
+    696776U,	// LFS64rm
+    634233U,	// LGDT16m
+    629818U,	// LGDT32m
+    631318U,	// LGDT64m
+    699658U,	// LGS16rm
+    695275U,	// LGS32rm
+    696782U,	// LGS64rm
+    634247U,	// LIDT16m
+    629832U,	// LIDT32m
+    631332U,	// LIDT64m
+    224661U,	// LLDT16m
+    28053U,	// LLDT16r
+    224825U,	// LMSW16m
+    28217U,	// LMSW16r
+    4237994U,	// LOCK_ADD16mi
+    4237994U,	// LOCK_ADD16mi8
+    4237994U,	// LOCK_ADD16mr
+    12622135U,	// LOCK_ADD32mi
+    12622135U,	// LOCK_ADD32mi8
+    12622135U,	// LOCK_ADD32mr
+    18915050U,	// LOCK_ADD64mi32
+    18915050U,	// LOCK_ADD64mi8
+    18915050U,	// LOCK_ADD64mr
+    23105217U,	// LOCK_ADD8mi
+    23105217U,	// LOCK_ADD8mr
+    4238034U,	// LOCK_AND16mi
+    4238034U,	// LOCK_AND16mi8
+    4238034U,	// LOCK_AND16mr
+    12622188U,	// LOCK_AND32mi
+    12622188U,	// LOCK_AND32mi8
+    12622188U,	// LOCK_AND32mr
+    18915143U,	// LOCK_AND64mi32
+    18915143U,	// LOCK_AND64mi8
+    18915143U,	// LOCK_AND64mr
+    23105230U,	// LOCK_AND8mi
+    23105230U,	// LOCK_AND8mr
+    223885U,	// LOCK_DEC16m
+    235803U,	// LOCK_DEC32m
+    401036U,	// LOCK_DEC64m
+    429747U,	// LOCK_DEC8m
+    223891U,	// LOCK_INC16m
+    235809U,	// LOCK_INC32m
+    401042U,	// LOCK_INC64m
+    429753U,	// LOCK_INC8m
+    4238450U,	// LOCK_OR16mi
+    4238450U,	// LOCK_OR16mi8
+    4238450U,	// LOCK_OR16mr
+    12622725U,	// LOCK_OR32mi
+    12622725U,	// LOCK_OR32mi8
+    12622725U,	// LOCK_OR32mr
+    18915689U,	// LOCK_OR64mi32
+    18915689U,	// LOCK_OR64mi8
+    18915689U,	// LOCK_OR64mr
+    23105383U,	// LOCK_OR8mi
+    23105383U,	// LOCK_OR8mr
+    14145U,	// LOCK_PREFIX
+    4237900U,	// LOCK_SUB16mi
+    4237900U,	// LOCK_SUB16mi8
+    4237900U,	// LOCK_SUB16mr
+    12622071U,	// LOCK_SUB32mi
+    12622071U,	// LOCK_SUB32mi8
+    12622071U,	// LOCK_SUB32mr
+    18914906U,	// LOCK_SUB64mi32
+    18914906U,	// LOCK_SUB64mi8
+    18914906U,	// LOCK_SUB64mr
+    23105191U,	// LOCK_SUB8mi
+    23105191U,	// LOCK_SUB8mr
+    4238455U,	// LOCK_XOR16mi
+    4238455U,	// LOCK_XOR16mi8
+    4238455U,	// LOCK_XOR16mr
+    12622730U,	// LOCK_XOR32mi
+    12622730U,	// LOCK_XOR32mi8
+    12622730U,	// LOCK_XOR32mr
+    18915704U,	// LOCK_XOR64mi32
+    18915704U,	// LOCK_XOR64mi8
+    18915704U,	// LOCK_XOR64mr
+    23105388U,	// LOCK_XOR8mi
+    23105388U,	// LOCK_XOR8mr
+    21696430U,	// LODSB
+    11230168U,	// LODSL
+    762305U,	// LODSQ
+    2878711U,	// LODSW
+    417198U,	// LOOP
+    415664U,	// LOOPE
+    415640U,	// LOOPNE
+    23645U,	// LRETIL
+    25145U,	// LRETIQ
+    28067U,	// LRETIW
+    14247U,	// LRETL
+    14457U,	// LRETQ
+    15017U,	// LRETW
+    388058U,	// LSL16rm
+    8661978U,	// LSL16rr
+    551803539U,	// LSL32rm
+    8657555U,	// LSL32rr
+    551837895U,	// LSL64rm
+    8659143U,	// LSL64rr
+    699700U,	// LSS16rm
+    695322U,	// LSS32rm
+    696829U,	// LSS64rm
+    224402U,	// LTRm
+    27794U,	// LTRr
+    54799032U,	// LXADD16
+    56891717U,	// LXADD32
+    58990320U,	// LXADD64
+    61083335U,	// LXADD8
+    388541U,	// LZCNT16rm
+    8662461U,	// LZCNT16rr
+    551804019U,	// LZCNT32rm
+    8658035U,	// LZCNT32rr
+    551838291U,	// LZCNT64rm
+    8659539U,	// LZCNT64rr
+    8661391U,	// MASKMOVDQU
+    8661391U,	// MASKMOVDQU64
+    8524952U,	// MAXCPDrm
+    8443032U,	// MAXCPDrr
+    8529722U,	// MAXCPSrm
+    8447802U,	// MAXCPSrr
+    551704111U,	// MAXCSDrm
+    8443439U,	// MAXCSDrr
+    551725259U,	// MAXCSSrm
+    8448203U,	// MAXCSSrr
+    8524952U,	// MAXPDrm
+    8443032U,	// MAXPDrr
+    8529722U,	// MAXPSrm
+    8447802U,	// MAXPSrr
+    551704111U,	// MAXSDrm
+    551704111U,	// MAXSDrm_Int
+    8443439U,	// MAXSDrr
+    8443439U,	// MAXSDrr_Int
+    551725259U,	// MAXSSrm
+    551725259U,	// MAXSSrm_Int
+    8448203U,	// MAXSSrr
+    8448203U,	// MAXSSrr_Int
+    13976U,	// MFENCE
+    8524834U,	// MINCPDrm
+    8442914U,	// MINCPDrr
+    8529539U,	// MINCPSrm
+    8447619U,	// MINCPSrr
+    551704038U,	// MINCSDrm
+    8443366U,	// MINCSDrr
+    551725177U,	// MINCSSrm
+    8448121U,	// MINCSSrr
+    8524834U,	// MINPDrm
+    8442914U,	// MINPDrr
+    8529539U,	// MINPSrm
+    8447619U,	// MINPSrr
+    551704038U,	// MINSDrm
+    551704038U,	// MINSDrm_Int
+    8443366U,	// MINSDrr
+    8443366U,	// MINSDrr_Int
+    551725177U,	// MINSSrm
+    551725177U,	// MINSSrm_Int
+    8448121U,	// MINSSrr
+    8448121U,	// MINSSrr_Int
+    579661U,	// MMX_CVTPD2PIirm
+    8656973U,	// MMX_CVTPD2PIirr
+    551834252U,	// MMX_CVTPI2PDirm
+    8655500U,	// MMX_CVTPI2PDirr
+    551658723U,	// MMX_CVTPI2PSirm
+    8447203U,	// MMX_CVTPI2PSirr
+    596066U,	// MMX_CVTPS2PIirm
+    8656994U,	// MMX_CVTPS2PIirr
+    579650U,	// MMX_CVTTPD2PIirm
+    8656962U,	// MMX_CVTTPD2PIirr
+    596055U,	// MMX_CVTTPS2PIirm
+    8656983U,	// MMX_CVTTPS2PIirr
+    14822U,	// MMX_EMMS
+    8659670U,	// MMX_MASKMOVQ
+    8659670U,	// MMX_MASKMOVQ64
+    8656556U,	// MMX_MOVD64from64rr
+    8656556U,	// MMX_MOVD64grr
+    12621484U,	// MMX_MOVD64mr
+    551802540U,	// MMX_MOVD64rm
+    8656556U,	// MMX_MOVD64rr
+    8656556U,	// MMX_MOVD64to64rr
+    8658454U,	// MMX_MOVDQ2Qrr
+    8658454U,	// MMX_MOVFR642Qrr
+    18915939U,	// MMX_MOVNTQmr
+    8658613U,	// MMX_MOVQ2DQrr
+    8658613U,	// MMX_MOVQ2FR64rr
+    18916058U,	// MMX_MOVQ64mr
+    551838426U,	// MMX_MOVQ64rm
+    8659674U,	// MMX_MOVQ64rr
+    8659674U,	// MMX_MOVQ64rr_REV
+    551833484U,	// MMX_PABSBrm64
+    8654732U,	// MMX_PABSBrr64
+    551835006U,	// MMX_PABSDrm64
+    8656254U,	// MMX_PABSDrr64
+    551840943U,	// MMX_PABSWrm64
+    8662191U,	// MMX_PABSWrr64
+    551660266U,	// MMX_PACKSSDWirm
+    8448746U,	// MMX_PACKSSDWirr
+    551653481U,	// MMX_PACKSSWBirm
+    8441961U,	// MMX_PACKSSWBirr
+    551653492U,	// MMX_PACKUSWBirm
+    8441972U,	// MMX_PACKUSWBirr
+    551653056U,	// MMX_PADDBirm
+    8441536U,	// MMX_PADDBirr
+    551653673U,	// MMX_PADDDirm
+    8442153U,	// MMX_PADDDirr
+    551657193U,	// MMX_PADDQirm
+    8445673U,	// MMX_PADDQirr
+    551653286U,	// MMX_PADDSBirm
+    8441766U,	// MMX_PADDSBirr
+    551660777U,	// MMX_PADDSWirm
+    8449257U,	// MMX_PADDSWirr
+    551653335U,	// MMX_PADDUSBirm
+    8441815U,	// MMX_PADDUSBirr
+    551660890U,	// MMX_PADDUSWirm
+    8449370U,	// MMX_PADDUSWirr
+    551660209U,	// MMX_PADDWirm
+    8448689U,	// MMX_PADDWirr
+    864379763U,	// MMX_PALIGNR64irm
+    839230323U,	// MMX_PALIGNR64irr
+    551656763U,	// MMX_PANDNirm
+    8445243U,	// MMX_PANDNirr
+    551653834U,	// MMX_PANDirm
+    8442314U,	// MMX_PANDirr
+    551653102U,	// MMX_PAVGBirm
+    8441582U,	// MMX_PAVGBirr
+    551660378U,	// MMX_PAVGWirm
+    8448858U,	// MMX_PAVGWirr
+    551653195U,	// MMX_PCMPEQBirm
+    8441675U,	// MMX_PCMPEQBirr
+    551654569U,	// MMX_PCMPEQDirm
+    8443049U,	// MMX_PCMPEQDirr
+    551660609U,	// MMX_PCMPEQWirm
+    8449089U,	// MMX_PCMPEQWirr
+    551653376U,	// MMX_PCMPGTBirm
+    8441856U,	// MMX_PCMPGTBirr
+    551654984U,	// MMX_PCMPGTDirm
+    8443464U,	// MMX_PCMPGTDirr
+    551660971U,	// MMX_PCMPGTWirm
+    8449451U,	// MMX_PCMPGTWirr
+    811658399U,	// MMX_PEXTRWirri
+    551660767U,	// MMX_PHADDSWrm64
+    8449247U,	// MMX_PHADDSWrr64
+    551660200U,	// MMX_PHADDWrm64
+    8448680U,	// MMX_PHADDWrr64
+    551653664U,	// MMX_PHADDrm64
+    8442144U,	// MMX_PHADDrr64
+    551653618U,	// MMX_PHSUBDrm64
+    8442098U,	// MMX_PHSUBDrr64
+    551660748U,	// MMX_PHSUBSWrm64
+    8449228U,	// MMX_PHSUBSWrr64
+    551660106U,	// MMX_PHSUBWrm64
+    8448586U,	// MMX_PHSUBWrr64
+    860187780U,	// MMX_PINSRWirmi
+    839232644U,	// MMX_PINSRWirri
+    551660736U,	// MMX_PMADDUBSWrm64
+    8449216U,	// MMX_PMADDUBSWrr64
+    551655118U,	// MMX_PMADDWDirm
+    8443598U,	// MMX_PMADDWDirr
+    551660908U,	// MMX_PMAXSWirm
+    8449388U,	// MMX_PMAXSWirr
+    551653446U,	// MMX_PMAXUBirm
+    8441926U,	// MMX_PMAXUBirr
+    551660817U,	// MMX_PMINSWirm
+    8449297U,	// MMX_PMINSWirr
+    551653430U,	// MMX_PMINUBirm
+    8441910U,	// MMX_PMINUBirr
+    8654586U,	// MMX_PMOVMSKBrr
+    551660842U,	// MMX_PMULHRSWrm64
+    8449322U,	// MMX_PMULHRSWrr64
+    551661041U,	// MMX_PMULHUWirm
+    8449521U,	// MMX_PMULHUWirr
+    551660415U,	// MMX_PMULHWirm
+    8448895U,	// MMX_PMULHWirr
+    551660484U,	// MMX_PMULLWirm
+    8448964U,	// MMX_PMULLWirr
+    551657397U,	// MMX_PMULUDQirm
+    8445877U,	// MMX_PMULUDQirr
+    551658365U,	// MMX_PORirm
+    8446845U,	// MMX_PORirr
+    551660035U,	// MMX_PSADBWirm
+    8448515U,	// MMX_PSADBWirr
+    551653077U,	// MMX_PSHUFBrm64
+    8441557U,	// MMX_PSHUFBrr64
+    835005249U,	// MMX_PSHUFWmi
+    811658049U,	// MMX_PSHUFWri
+    551653166U,	// MMX_PSIGNBrm64
+    8441646U,	// MMX_PSIGNBrr64
+    551653841U,	// MMX_PSIGNDrm64
+    8442321U,	// MMX_PSIGNDrr64
+    551660536U,	// MMX_PSIGNWrm64
+    8449016U,	// MMX_PSIGNWrr64
+    8442264U,	// MMX_PSLLDri
+    551653784U,	// MMX_PSLLDrm
+    8442264U,	// MMX_PSLLDrr
+    8446130U,	// MMX_PSLLQri
+    551657650U,	// MMX_PSLLQrm
+    8446130U,	// MMX_PSLLQrr
+    8448956U,	// MMX_PSLLWri
+    551660476U,	// MMX_PSLLWrm
+    8448956U,	// MMX_PSLLWrr
+    8442069U,	// MMX_PSRADri
+    551653589U,	// MMX_PSRADrm
+    8442069U,	// MMX_PSRADrr
+    8448482U,	// MMX_PSRAWri
+    551660002U,	// MMX_PSRAWrm
+    8448482U,	// MMX_PSRAWrr
+    8442281U,	// MMX_PSRLDri
+    551653801U,	// MMX_PSRLDrm
+    8442281U,	// MMX_PSRLDrr
+    8446144U,	// MMX_PSRLQri
+    551657664U,	// MMX_PSRLQrm
+    8446144U,	// MMX_PSRLQrr
+    8448979U,	// MMX_PSRLWri
+    551660499U,	// MMX_PSRLWrm
+    8448979U,	// MMX_PSRLWrr
+    551653030U,	// MMX_PSUBBirm
+    8441510U,	// MMX_PSUBBirr
+    551653627U,	// MMX_PSUBDirm
+    8442107U,	// MMX_PSUBDirr
+    551657049U,	// MMX_PSUBQirm
+    8445529U,	// MMX_PSUBQirr
+    551653277U,	// MMX_PSUBSBirm
+    8441757U,	// MMX_PSUBSBirr
+    551660758U,	// MMX_PSUBSWirm
+    8449238U,	// MMX_PSUBSWirr
+    551653325U,	// MMX_PSUBUSBirm
+    8441805U,	// MMX_PSUBUSBirr
+    551660880U,	// MMX_PSUBUSWirm
+    8449360U,	// MMX_PSUBUSWirr
+    551660115U,	// MMX_PSUBWirm
+    8448595U,	// MMX_PSUBWirr
+    551660063U,	// MMX_PUNPCKHBWirm
+    8448543U,	// MMX_PUNPCKHBWirr
+    551657227U,	// MMX_PUNPCKHDQirm
+    8445707U,	// MMX_PUNPCKHDQirr
+    551655128U,	// MMX_PUNPCKHWDirm
+    8443608U,	// MMX_PUNPCKHWDirr
+    551660075U,	// MMX_PUNPCKLBWirm
+    8448555U,	// MMX_PUNPCKLBWirr
+    551657246U,	// MMX_PUNPCKLDQirm
+    8445726U,	// MMX_PUNPCKLDQirr
+    551655140U,	// MMX_PUNPCKLWDirm
+    8443620U,	// MMX_PUNPCKLWDirr
+    551658388U,	// MMX_PXORirm
+    8446868U,	// MMX_PXORirr
     0U,	// MONITOR
-    14549U,	// MONITORrrr
-    14319U,	// MONTMUL
+    14505U,	// MONITORrrr
+    14275U,	// MONTMUL
     0U,	// MORESTACK_RET
     0U,	// MORESTACK_RET_RESTORE_R10
-    799030U,	// MOV16ao16
-    799030U,	// MOV16ao16_16
-    4238933U,	// MOV16mi
-    4238933U,	// MOV16mr
-    4238933U,	// MOV16ms
-    2895445U,	// MOV16o16a
-    2895445U,	// MOV16o16a_16
-    8547925U,	// MOV16ri
-    8547925U,	// MOV16ri_alt
-    388693U,	// MOV16rm
-    8547925U,	// MOV16rr
-    8547925U,	// MOV16rr_REV
-    8547925U,	// MOV16rs
-    388693U,	// MOV16sm
-    8547925U,	// MOV16sr
-    815465U,	// MOV32ao32
-    815465U,	// MOV32ao32_16
-    8543462U,	// MOV32cr
-    8543462U,	// MOV32dr
-    12623078U,	// MOV32mi
-    12623078U,	// MOV32mr
-    12623078U,	// MOV32ms
-    11295974U,	// MOV32o32a
-    11295974U,	// MOV32o32a_16
+    798986U,	// MOV16ao16
+    798986U,	// MOV16ao16_16
+    4238889U,	// MOV16mi
+    4238889U,	// MOV16mr
+    4238889U,	// MOV16ms
+    2895401U,	// MOV16o16a
+    2895401U,	// MOV16o16a_16
+    8662569U,	// MOV16ri
+    8662569U,	// MOV16ri_alt
+    388649U,	// MOV16rm
+    8662569U,	// MOV16rr
+    8662569U,	// MOV16rr_REV
+    8662569U,	// MOV16rs
+    388649U,	// MOV16sm
+    8662569U,	// MOV16sr
+    815421U,	// MOV32ao32
+    815421U,	// MOV32ao32_16
+    8658106U,	// MOV32cr
+    8658106U,	// MOV32dr
+    12623034U,	// MOV32mi
+    12623034U,	// MOV32mr
+    12623034U,	// MOV32ms
+    11295930U,	// MOV32o32a
+    11295930U,	// MOV32o32a_16
     0U,	// MOV32r0
-    8543462U,	// MOV32rc
-    8543462U,	// MOV32rd
-    8543462U,	// MOV32ri
+    8658106U,	// MOV32rc
+    8658106U,	// MOV32rd
+    8658106U,	// MOV32ri
     0U,	// MOV32ri64
-    8543462U,	// MOV32ri_alt
-    551689446U,	// MOV32rm
-    8543462U,	// MOV32rr
-    8543462U,	// MOV32rr_REV
-    8543462U,	// MOV32rs
-    551689446U,	// MOV32sm
-    8543462U,	// MOV32sr
-    798993U,	// MOV64ao16
-    815425U,	// MOV64ao32
-    831861U,	// MOV64ao64
-    847673U,	// MOV64ao8
-    8545030U,	// MOV64cr
-    8545030U,	// MOV64dr
-    18916102U,	// MOV64mi32
-    18916102U,	// MOV64mr
-    18916102U,	// MOV64ms
-    2895074U,	// MOV64o16a
-    11295733U,	// MOV64o32a
-    17605092U,	// MOV64o64a
-    21811135U,	// MOV64o8a
-    8545030U,	// MOV64rc
-    8545030U,	// MOV64rd
-    8544740U,	// MOV64ri
-    8545030U,	// MOV64ri32
-    551723782U,	// MOV64rm
-    8545030U,	// MOV64rr
-    8545030U,	// MOV64rr_REV
-    8545030U,	// MOV64rs
-    551723782U,	// MOV64sm
-    8545030U,	// MOV64sr
-    8541912U,	// MOV64toPQIrr
-    551723782U,	// MOV64toSDrm
-    8541912U,	// MOV64toSDrr
-    847710U,	// MOV8ao8
-    847710U,	// MOV8ao8_16
-    23105678U,	// MOV8mi
-    23105678U,	// MOV8mr
-    3512766606U,	// MOV8mr_NOREX
-    21811342U,	// MOV8o8a
-    21811342U,	// MOV8o8a_16
-    8540302U,	// MOV8ri
-    8540302U,	// MOV8ri_alt
-    446606U,	// MOV8rm
-    63361166U,	// MOV8rm_NOREX
-    8540302U,	// MOV8rr
-    3498201230U,	// MOV8rr_NOREX
-    8540302U,	// MOV8rr_REV
-    65049403U,	// MOVAPDmr
-    578363U,	// MOVAPDrm
-    8540987U,	// MOVAPDrr
-    8540987U,	// MOVAPDrr_REV
-    65054087U,	// MOVAPSmr
-    583047U,	// MOVAPSrm
-    8545671U,	// MOVAPSrr
-    8545671U,	// MOVAPSrr_REV
-    4238133U,	// MOVBE16mr
-    387893U,	// MOVBE16rm
-    12622280U,	// MOVBE32mr
-    551688648U,	// MOVBE32rm
-    18915338U,	// MOVBE64mr
-    551723018U,	// MOVBE64rm
-    597508U,	// MOVDDUPrm
-    8543748U,	// MOVDDUPrr
-    551687896U,	// MOVDI2PDIrm
-    8541912U,	// MOVDI2PDIrr
-    551687896U,	// MOVDI2SSrm
-    8541912U,	// MOVDI2SSrr
-    67145353U,	// MOVDQAmr
-    315017U,	// MOVDQArm
-    8539785U,	// MOVDQArr
-    8539785U,	// MOVDQArr_REV
-    67152319U,	// MOVDQUmr
-    321983U,	// MOVDQUrm
-    8546751U,	// MOVDQUrr
-    8546751U,	// MOVDQUrr_REV
-    8447579U,	// MOVHLPSrr
-    69243897U,	// MOVHPDmr
-    551752697U,	// MOVHPDrm
-    69248583U,	// MOVHPSmr
-    551757383U,	// MOVHPSrm
-    8447549U,	// MOVLHPSrr
-    69243947U,	// MOVLPDmr
-    551752747U,	// MOVLPDrm
-    69248643U,	// MOVLPSmr
-    551757443U,	// MOVLPSrm
-    8541186U,	// MOVMSKPDrr
-    8545872U,	// MOVMSKPSrr
-    315006U,	// MOVNTDQArm
-    65052620U,	// MOVNTDQmr
-    18915504U,	// MOVNTI_64mr
-    12622428U,	// MOVNTImr
-    65049728U,	// MOVNTPDmr
-    65054453U,	// MOVNTPSmr
-    69244457U,	// MOVNTSD
-    71346364U,	// MOVNTSS
+    8658106U,	// MOV32ri_alt
+    551804090U,	// MOV32rm
+    8658106U,	// MOV32rr
+    8658106U,	// MOV32rr_REV
+    8658106U,	// MOV32rs
+    551804090U,	// MOV32sm
+    8658106U,	// MOV32sr
+    798949U,	// MOV64ao16
+    815381U,	// MOV64ao32
+    831817U,	// MOV64ao64
+    847629U,	// MOV64ao8
+    8659674U,	// MOV64cr
+    8659674U,	// MOV64dr
+    18916058U,	// MOV64mi32
+    18916058U,	// MOV64mr
+    18916058U,	// MOV64ms
+    2895030U,	// MOV64o16a
+    11295689U,	// MOV64o32a
+    17605048U,	// MOV64o64a
+    21811091U,	// MOV64o8a
+    8659674U,	// MOV64rc
+    8659674U,	// MOV64rd
+    8659384U,	// MOV64ri
+    8659674U,	// MOV64ri32
+    551838426U,	// MOV64rm
+    8659674U,	// MOV64rr
+    8659674U,	// MOV64rr_REV
+    8659674U,	// MOV64rs
+    551838426U,	// MOV64sm
+    8659674U,	// MOV64sr
+    8656556U,	// MOV64toPQIrr
+    551838426U,	// MOV64toSDrm
+    8656556U,	// MOV64toSDrr
+    847666U,	// MOV8ao8
+    847666U,	// MOV8ao8_16
+    23105634U,	// MOV8mi
+    23105634U,	// MOV8mr
+    3512766562U,	// MOV8mr_NOREX
+    21811298U,	// MOV8o8a
+    21811298U,	// MOV8o8a_16
+    8654946U,	// MOV8ri
+    8654946U,	// MOV8ri_alt
+    446562U,	// MOV8rm
+    63361122U,	// MOV8rm_NOREX
+    8654946U,	// MOV8rr
+    3498315874U,	// MOV8rr_NOREX
+    8654946U,	// MOV8rr_REV
+    65049359U,	// MOVAPDmr
+    578319U,	// MOVAPDrm
+    8655631U,	// MOVAPDrr
+    8655631U,	// MOVAPDrr_REV
+    65054043U,	// MOVAPSmr
+    583003U,	// MOVAPSrm
+    8660315U,	// MOVAPSrr
+    8660315U,	// MOVAPSrr_REV
+    4238089U,	// MOVBE16mr
+    387849U,	// MOVBE16rm
+    12622236U,	// MOVBE32mr
+    551803292U,	// MOVBE32rm
+    18915294U,	// MOVBE64mr
+    551837662U,	// MOVBE64rm
+    597464U,	// MOVDDUPrm
+    8658392U,	// MOVDDUPrr
+    551802540U,	// MOVDI2PDIrm
+    8656556U,	// MOVDI2PDIrr
+    551802540U,	// MOVDI2SSrm
+    8656556U,	// MOVDI2SSrr
+    67145309U,	// MOVDQAmr
+    314973U,	// MOVDQArm
+    8654429U,	// MOVDQArr
+    8654429U,	// MOVDQArr_REV
+    67152275U,	// MOVDQUmr
+    321939U,	// MOVDQUrm
+    8661395U,	// MOVDQUrr
+    8661395U,	// MOVDQUrr_REV
+    8447535U,	// MOVHLPSrr
+    69243853U,	// MOVHPDmr
+    551703501U,	// MOVHPDrm
+    69248539U,	// MOVHPSmr
+    551708187U,	// MOVHPSrm
+    8447505U,	// MOVLHPSrr
+    69243903U,	// MOVLPDmr
+    551703551U,	// MOVLPDrm
+    69248599U,	// MOVLPSmr
+    551708247U,	// MOVLPSrm
+    8655830U,	// MOVMSKPDrr
+    8660516U,	// MOVMSKPSrr
+    314962U,	// MOVNTDQArm
+    65052576U,	// MOVNTDQmr
+    18915460U,	// MOVNTI_64mr
+    12622384U,	// MOVNTImr
+    65049684U,	// MOVNTPDmr
+    65054409U,	// MOVNTPSmr
+    69244413U,	// MOVNTSD
+    71346320U,	// MOVNTSS
     0U,	// MOVPC32r
-    12621528U,	// MOVPDI2DImr
-    8541912U,	// MOVPDI2DIrr
-    18916102U,	// MOVPQI2QImr
-    8545030U,	// MOVPQI2QIrr
-    8541912U,	// MOVPQIto64rr
-    551723782U,	// MOVQI2PQIrm
-    856085U,	// MOVSB
-    69244498U,	// MOVSDmr
-    595538U,	// MOVSDrm
-    8443474U,	// MOVSDrr
-    8443474U,	// MOVSDrr_REV
-    18916102U,	// MOVSDto64mr
-    8541912U,	// MOVSDto64rr
-    581134U,	// MOVSHDUPrm
-    8543758U,	// MOVSHDUPrr
-    875610U,	// MOVSL
-    581145U,	// MOVSLDUPrm
-    8543769U,	// MOVSLDUPrr
-    893494U,	// MOVSQ
-    12621528U,	// MOVSS2DImr
-    8541912U,	// MOVSS2DIrr
-    71346415U,	// MOVSSmr
-    616687U,	// MOVSSrm
-    8448239U,	// MOVSSrr
-    8448239U,	// MOVSSrr_REV
-    912784U,	// MOVSW
-    453218U,	// MOVSX16rm8
-    8546914U,	// MOVSX16rr8
-    384243U,	// MOVSX32rm16
-    448794U,	// MOVSX32rm8
-    8543475U,	// MOVSX32rr16
-    8542490U,	// MOVSX32rr8
-    385828U,	// MOVSX64rm16
-    551690489U,	// MOVSX64rm32
-    450161U,	// MOVSX64rm8
-    8545060U,	// MOVSX64rr16
-    8544505U,	// MOVSX64rr32
-    8543857U,	// MOVSX64rr8
-    65049756U,	// MOVUPDmr
-    578716U,	// MOVUPDrm
-    8541340U,	// MOVUPDrr
-    8541340U,	// MOVUPDrr_REV
-    65054526U,	// MOVUPSmr
-    583486U,	// MOVUPSrm
-    8546110U,	// MOVUPSrr
-    8546110U,	// MOVUPSrr_REV
-    320262U,	// MOVZPQILo2PQIrm
-    8545030U,	// MOVZPQILo2PQIrr
-    551723782U,	// MOVZQI2PQIrm
-    8541912U,	// MOVZQI2PQIrr
-    453284U,	// MOVZX16rm8
-    8546980U,	// MOVZX16rr8
-    448825U,	// MOVZX32_NOREXrm8
-    8542521U,	// MOVZX32_NOREXrr8
-    384251U,	// MOVZX32rm16
-    448825U,	// MOVZX32rm8
-    8543483U,	// MOVZX32rr16
-    8542521U,	// MOVZX32rr8
-    385869U,	// MOVZX64rm16_Q
-    450218U,	// MOVZX64rm8_Q
-    8545101U,	// MOVZX64rr16_Q
-    8543914U,	// MOVZX64rr8_Q
-    610626094U,	// MPSADBWrmi
-    839232046U,	// MPSADBWrri
-    273421U,	// MUL16m
-    27661U,	// MUL16r
-    285382U,	// MUL32m
-    23238U,	// MUL32r
-    401666U,	// MUL64m
-    24834U,	// MUL64r
-    429899U,	// MUL8m
-    20299U,	// MUL8r
-    8573987U,	// MULPDrm
-    8442915U,	// MULPDrr
-    8578683U,	// MULPSrm
-    8447611U,	// MULPSrr
-    551753225U,	// MULSDrm
-    551753225U,	// MULSDrm_Int
-    8443401U,	// MULSDrr
-    8443401U,	// MULSDrr_Int
-    551774365U,	// MULSSrm
-    551774365U,	// MULSSrm_Int
-    8448157U,	// MULSSrr
-    8448157U,	// MULSSrr_Int
-    283204881U,	// MULX32rm
-    811654417U,	// MULX32rr
-    283222883U,	// MULX64rm
-    811656035U,	// MULX64rr
-    238627U,	// MUL_F32m
-    252613U,	// MUL_F64m
-    271402U,	// MUL_FI16m
-    285388U,	// MUL_FI32m
-    23987U,	// MUL_FPrST0
-    23761U,	// MUL_FST0r
+    12621484U,	// MOVPDI2DImr
+    8656556U,	// MOVPDI2DIrr
+    18916058U,	// MOVPQI2QImr
+    8659674U,	// MOVPQI2QIrr
+    8656556U,	// MOVPQIto64rr
+    551838426U,	// MOVQI2PQIrm
+    856041U,	// MOVSB
+    69244454U,	// MOVSDmr
+    595494U,	// MOVSDrm
+    8443430U,	// MOVSDrr
+    8443430U,	// MOVSDrr_REV
+    18916058U,	// MOVSDto64mr
+    8656556U,	// MOVSDto64rr
+    581090U,	// MOVSHDUPrm
+    8658402U,	// MOVSHDUPrr
+    875566U,	// MOVSL
+    581101U,	// MOVSLDUPrm
+    8658413U,	// MOVSLDUPrr
+    893450U,	// MOVSQ
+    12621484U,	// MOVSS2DImr
+    8656556U,	// MOVSS2DIrr
+    71346371U,	// MOVSSmr
+    616643U,	// MOVSSrm
+    8448195U,	// MOVSSrr
+    8448195U,	// MOVSSrr_REV
+    912740U,	// MOVSW
+    453174U,	// MOVSX16rm8
+    8661558U,	// MOVSX16rr8
+    384199U,	// MOVSX32rm16
+    448750U,	// MOVSX32rm8
+    8658119U,	// MOVSX32rr16
+    8657134U,	// MOVSX32rr8
+    385784U,	// MOVSX64rm16
+    551805133U,	// MOVSX64rm32
+    450117U,	// MOVSX64rm8
+    8659704U,	// MOVSX64rr16
+    8659149U,	// MOVSX64rr32
+    8658501U,	// MOVSX64rr8
+    65049712U,	// MOVUPDmr
+    578672U,	// MOVUPDrm
+    8655984U,	// MOVUPDrr
+    8655984U,	// MOVUPDrr_REV
+    65054482U,	// MOVUPSmr
+    583442U,	// MOVUPSrm
+    8660754U,	// MOVUPSrr
+    8660754U,	// MOVUPSrr_REV
+    320218U,	// MOVZPQILo2PQIrm
+    8659674U,	// MOVZPQILo2PQIrr
+    551838426U,	// MOVZQI2PQIrm
+    8656556U,	// MOVZQI2PQIrr
+    453240U,	// MOVZX16rm8
+    8661624U,	// MOVZX16rr8
+    448781U,	// MOVZX32_NOREXrm8
+    8657165U,	// MOVZX32_NOREXrr8
+    384207U,	// MOVZX32rm16
+    448781U,	// MOVZX32rm8
+    8658127U,	// MOVZX32rr16
+    8657165U,	// MOVZX32rr8
+    385825U,	// MOVZX64rm16_Q
+    450174U,	// MOVZX64rm8_Q
+    8659745U,	// MOVZX64rr16_Q
+    8658558U,	// MOVZX64rr8_Q
+    610626050U,	// MPSADBWrmi
+    839232002U,	// MPSADBWrri
+    224225U,	// MUL16m
+    27617U,	// MUL16r
+    236186U,	// MUL32m
+    23194U,	// MUL32r
+    401622U,	// MUL64m
+    24790U,	// MUL64r
+    429855U,	// MUL8m
+    20255U,	// MUL8r
+    8524791U,	// MULPDrm
+    8442871U,	// MULPDrr
+    8529487U,	// MULPSrm
+    8447567U,	// MULPSrr
+    551704029U,	// MULSDrm
+    551704029U,	// MULSDrm_Int
+    8443357U,	// MULSDrr
+    8443357U,	// MULSDrr_Int
+    551725169U,	// MULSSrm
+    551725169U,	// MULSSrm_Int
+    8448113U,	// MULSSrr
+    8448113U,	// MULSSrr_Int
+    283204837U,	// MULX32rm
+    811654373U,	// MULX32rr
+    283222839U,	// MULX64rm
+    811655991U,	// MULX64rr
+    189431U,	// MUL_F32m
+    203417U,	// MUL_F64m
+    222206U,	// MUL_FI16m
+    236192U,	// MUL_FI32m
+    23943U,	// MUL_FPrST0
+    23717U,	// MUL_FST0r
     0U,	// MUL_Fp32
     0U,	// MUL_Fp32m
     0U,	// MUL_Fp64
@@ -1822,954 +1817,953 @@
     0U,	// MUL_FpI32m32
     0U,	// MUL_FpI32m64
     0U,	// MUL_FpI32m80
-    28415U,	// MUL_FrST0
-    14942U,	// MWAITrr
-    273269U,	// NEG16m
-    27509U,	// NEG16r
-    285231U,	// NEG32m
-    23087U,	// NEG32r
-    401539U,	// NEG64m
-    24707U,	// NEG64r
-    429833U,	// NEG8m
-    20233U,	// NEG8r
-    14427U,	// NOOP
-    273496U,	// NOOP18_16m4
-    273496U,	// NOOP18_16m5
-    273496U,	// NOOP18_16m6
-    273496U,	// NOOP18_16m7
-    27736U,	// NOOP18_16r4
-    27736U,	// NOOP18_16r5
-    27736U,	// NOOP18_16r6
-    27736U,	// NOOP18_16r7
-    285502U,	// NOOP18_m4
-    285502U,	// NOOP18_m5
-    285502U,	// NOOP18_m6
-    285502U,	// NOOP18_m7
-    23358U,	// NOOP18_r4
-    23358U,	// NOOP18_r5
-    23358U,	// NOOP18_r6
-    23358U,	// NOOP18_r7
-    285502U,	// NOOPL
-    285502U,	// NOOPL_19
-    285502U,	// NOOPL_1a
-    285502U,	// NOOPL_1b
-    285502U,	// NOOPL_1c
-    285502U,	// NOOPL_1d
-    285502U,	// NOOPL_1e
-    273496U,	// NOOPW
-    273496U,	// NOOPW_19
-    273496U,	// NOOPW_1a
-    273496U,	// NOOPW_1b
-    273496U,	// NOOPW_1c
-    273496U,	// NOOPW_1d
-    273496U,	// NOOPW_1e
-    273913U,	// NOT16m
-    28153U,	// NOT16r
-    285871U,	// NOT32m
-    23727U,	// NOT32r
-    402071U,	// NOT64m
-    25239U,	// NOT64r
-    430133U,	// NOT8m
-    20533U,	// NOT8r
-    2124958U,	// OR16i16
-    4238494U,	// OR16mi
-    4238494U,	// OR16mi8
-    4238494U,	// OR16mr
-    6352030U,	// OR16ri
-    6352030U,	// OR16ri8
-    6368414U,	// OR16rm
-    6352030U,	// OR16rr
-    8449182U,	// OR16rr_REV
-    10509233U,	// OR32i32
-    12622769U,	// OR32mi
-    12622769U,	// OR32mi8
-    12622769U,	// OR32mr
-    12622769U,	// OR32mrLocked
-    6347697U,	// OR32ri
-    6347697U,	// OR32ri8
-    283204529U,	// OR32rm
-    6347697U,	// OR32rr
-    8444849U,	// OR32rr_REV
-    16802197U,	// OR64i32
-    18915733U,	// OR64mi32
-    18915733U,	// OR64mi8
-    18915733U,	// OR64mr
-    6349205U,	// OR64ri32
-    6349205U,	// OR64ri8
-    283222421U,	// OR64rm
-    6349205U,	// OR64rr
-    8446357U,	// OR64rr_REV
-    20991891U,	// OR8i8
-    23105427U,	// OR8mi
-    23105427U,	// OR8mr
-    6344595U,	// OR8ri
-    6344595U,	// OR8ri8
-    118675U,	// OR8rm
-    6344595U,	// OR8rr
-    8441747U,	// OR8rr_REV
-    8574065U,	// ORPDrm
-    8442993U,	// ORPDrr
-    8578778U,	// ORPSrm
-    8447706U,	// ORPSrr
-    28971U,	// OUT16ir
-    15245U,	// OUT16rr
-    29021U,	// OUT32ir
-    15259U,	// OUT32rr
-    28499U,	// OUT8ir
-    15231U,	// OUT8rr
-    76222449U,	// OUTSB
-    76242002U,	// OUTSL
-    76279156U,	// OUTSW
-    315320U,	// PABSBrm128
-    8540088U,	// PABSBrr128
-    316842U,	// PABSDrm128
-    8541610U,	// PABSDrr128
-    322779U,	// PABSWrm128
-    8547547U,	// PABSWrr128
-    8694550U,	// PACKSSDWrm
-    8448790U,	// PACKSSDWrr
-    8687765U,	// PACKSSWBrm
-    8442005U,	// PACKSSWBrr
-    8694561U,	// PACKUSDWrm
-    8448801U,	// PACKUSDWrr
-    8687776U,	// PACKUSWBrm
-    8442016U,	// PACKUSWBrr
-    8687340U,	// PADDBrm
-    8441580U,	// PADDBrr
-    8687957U,	// PADDDrm
-    8442197U,	// PADDDrr
-    8691477U,	// PADDQrm
-    8445717U,	// PADDQrr
-    8687570U,	// PADDSBrm
-    8441810U,	// PADDSBrr
-    8695061U,	// PADDSWrm
-    8449301U,	// PADDSWrr
-    8687619U,	// PADDUSBrm
-    8441859U,	// PADDUSBrr
-    8695174U,	// PADDUSWrm
-    8449414U,	// PADDUSWrr
-    8694493U,	// PADDWrm
-    8448733U,	// PADDWrr
-    610624415U,	// PALIGNR128rm
-    839230367U,	// PALIGNR128rr
-    8691047U,	// PANDNrm
-    8445287U,	// PANDNrr
-    8688118U,	// PANDrm
-    8442358U,	// PANDrr
-    14081U,	// PAUSE
-    8687386U,	// PAVGBrm
-    8441626U,	// PAVGBrr
-    551653388U,	// PAVGUSBrm
-    8441868U,	// PAVGUSBrr
-    8694662U,	// PAVGWrm
-    8448902U,	// PAVGWrr
-    8687739U,	// PBLENDVBrm0
-    8441979U,	// PBLENDVBrr0
-    610626309U,	// PBLENDWrmi
-    839232261U,	// PBLENDWrri
-    610623380U,	// PCLMULQDQrm
-    839229332U,	// PCLMULQDQrr
-    8687479U,	// PCMPEQBrm
-    8441719U,	// PCMPEQBrr
-    8688853U,	// PCMPEQDrm
-    8443093U,	// PCMPEQDrr
-    8692071U,	// PCMPEQQrm
-    8446311U,	// PCMPEQQrr
-    8694893U,	// PCMPEQWrm
-    8449133U,	// PCMPEQWrr
+    28371U,	// MUL_FrST0
+    14898U,	// MWAITrr
+    224073U,	// NEG16m
+    27465U,	// NEG16r
+    236035U,	// NEG32m
+    23043U,	// NEG32r
+    401495U,	// NEG64m
+    24663U,	// NEG64r
+    429789U,	// NEG8m
+    20189U,	// NEG8r
+    14383U,	// NOOP
+    224300U,	// NOOP18_16m4
+    224300U,	// NOOP18_16m5
+    224300U,	// NOOP18_16m6
+    224300U,	// NOOP18_16m7
+    27692U,	// NOOP18_16r4
+    27692U,	// NOOP18_16r5
+    27692U,	// NOOP18_16r6
+    27692U,	// NOOP18_16r7
+    236306U,	// NOOP18_m4
+    236306U,	// NOOP18_m5
+    236306U,	// NOOP18_m6
+    236306U,	// NOOP18_m7
+    23314U,	// NOOP18_r4
+    23314U,	// NOOP18_r5
+    23314U,	// NOOP18_r6
+    23314U,	// NOOP18_r7
+    236306U,	// NOOPL
+    236306U,	// NOOPL_19
+    236306U,	// NOOPL_1a
+    236306U,	// NOOPL_1b
+    236306U,	// NOOPL_1c
+    236306U,	// NOOPL_1d
+    236306U,	// NOOPL_1e
+    224300U,	// NOOPW
+    224300U,	// NOOPW_19
+    224300U,	// NOOPW_1a
+    224300U,	// NOOPW_1b
+    224300U,	// NOOPW_1c
+    224300U,	// NOOPW_1d
+    224300U,	// NOOPW_1e
+    224717U,	// NOT16m
+    28109U,	// NOT16r
+    236675U,	// NOT32m
+    23683U,	// NOT32r
+    402027U,	// NOT64m
+    25195U,	// NOT64r
+    430089U,	// NOT8m
+    20489U,	// NOT8r
+    2124914U,	// OR16i16
+    4238450U,	// OR16mi
+    4238450U,	// OR16mi8
+    4238450U,	// OR16mr
+    6351986U,	// OR16ri
+    6351986U,	// OR16ri8
+    6368370U,	// OR16rm
+    6351986U,	// OR16rr
+    8449138U,	// OR16rr_REV
+    10509189U,	// OR32i32
+    12622725U,	// OR32mi
+    12622725U,	// OR32mi8
+    12622725U,	// OR32mr
+    12622725U,	// OR32mrLocked
+    6347653U,	// OR32ri
+    6347653U,	// OR32ri8
+    283204485U,	// OR32rm
+    6347653U,	// OR32rr
+    8444805U,	// OR32rr_REV
+    16802153U,	// OR64i32
+    18915689U,	// OR64mi32
+    18915689U,	// OR64mi8
+    18915689U,	// OR64mr
+    6349161U,	// OR64ri32
+    6349161U,	// OR64ri8
+    283222377U,	// OR64rm
+    6349161U,	// OR64rr
+    8446313U,	// OR64rr_REV
+    20991847U,	// OR8i8
+    23105383U,	// OR8mi
+    23105383U,	// OR8mr
+    6344551U,	// OR8ri
+    6344551U,	// OR8ri8
+    118631U,	// OR8rm
+    6344551U,	// OR8rr
+    8441703U,	// OR8rr_REV
+    8524869U,	// ORPDrm
+    8442949U,	// ORPDrr
+    8529582U,	// ORPSrm
+    8447662U,	// ORPSrr
+    28927U,	// OUT16ir
+    15201U,	// OUT16rr
+    28977U,	// OUT32ir
+    15215U,	// OUT32rr
+    28455U,	// OUT8ir
+    15187U,	// OUT8rr
+    76222405U,	// OUTSB
+    76241958U,	// OUTSL
+    76279112U,	// OUTSW
+    315276U,	// PABSBrm128
+    8654732U,	// PABSBrr128
+    316798U,	// PABSDrm128
+    8656254U,	// PABSDrr128
+    322735U,	// PABSWrm128
+    8662191U,	// PABSWrr128
+    8694506U,	// PACKSSDWrm
+    8448746U,	// PACKSSDWrr
+    8687721U,	// PACKSSWBrm
+    8441961U,	// PACKSSWBrr
+    8694517U,	// PACKUSDWrm
+    8448757U,	// PACKUSDWrr
+    8687732U,	// PACKUSWBrm
+    8441972U,	// PACKUSWBrr
+    8687296U,	// PADDBrm
+    8441536U,	// PADDBrr
+    8687913U,	// PADDDrm
+    8442153U,	// PADDDrr
+    8691433U,	// PADDQrm
+    8445673U,	// PADDQrr
+    8687526U,	// PADDSBrm
+    8441766U,	// PADDSBrr
+    8695017U,	// PADDSWrm
+    8449257U,	// PADDSWrr
+    8687575U,	// PADDUSBrm
+    8441815U,	// PADDUSBrr
+    8695130U,	// PADDUSWrm
+    8449370U,	// PADDUSWrr
+    8694449U,	// PADDWrm
+    8448689U,	// PADDWrr
+    610624371U,	// PALIGNR128rm
+    839230323U,	// PALIGNR128rr
+    8691003U,	// PANDNrm
+    8445243U,	// PANDNrr
+    8688074U,	// PANDrm
+    8442314U,	// PANDrr
+    14037U,	// PAUSE
+    8687342U,	// PAVGBrm
+    8441582U,	// PAVGBrr
+    551653344U,	// PAVGUSBrm
+    8441824U,	// PAVGUSBrr
+    8694618U,	// PAVGWrm
+    8448858U,	// PAVGWrr
+    8687695U,	// PBLENDVBrm0
+    8441935U,	// PBLENDVBrr0
+    610626265U,	// PBLENDWrmi
+    839232217U,	// PBLENDWrri
+    610623336U,	// PCLMULQDQrm
+    839229288U,	// PCLMULQDQrr
+    8687435U,	// PCMPEQBrm
+    8441675U,	// PCMPEQBrr
+    8688809U,	// PCMPEQDrm
+    8443049U,	// PCMPEQDrr
+    8692027U,	// PCMPEQQrm
+    8446267U,	// PCMPEQQrr
+    8694849U,	// PCMPEQWrm
+    8449089U,	// PCMPEQWrr
     0U,	// PCMPESTRIMEM
     0U,	// PCMPESTRIREG
-    25499818U,	// PCMPESTRIrm
-    811653290U,	// PCMPESTRIrr
+    25499774U,	// PCMPESTRIrm
+    811653246U,	// PCMPESTRIrr
     0U,	// PCMPESTRM128MEM
     0U,	// PCMPESTRM128REG
-    25501007U,	// PCMPESTRM128rm
-    811654479U,	// PCMPESTRM128rr
-    8687660U,	// PCMPGTBrm
-    8441900U,	// PCMPGTBrr
-    8689268U,	// PCMPGTDrm
-    8443508U,	// PCMPGTDrr
-    8692333U,	// PCMPGTQrm
-    8446573U,	// PCMPGTQrr
-    8695255U,	// PCMPGTWrm
-    8449495U,	// PCMPGTWrr
+    25500963U,	// PCMPESTRM128rm
+    811654435U,	// PCMPESTRM128rr
+    8687616U,	// PCMPGTBrm
+    8441856U,	// PCMPGTBrr
+    8689224U,	// PCMPGTDrm
+    8443464U,	// PCMPGTDrr
+    8692289U,	// PCMPGTQrm
+    8446529U,	// PCMPGTQrr
+    8695211U,	// PCMPGTWrm
+    8449451U,	// PCMPGTWrr
     0U,	// PCMPISTRIMEM
     0U,	// PCMPISTRIREG
-    25499830U,	// PCMPISTRIrm
-    811653302U,	// PCMPISTRIrr
+    25499786U,	// PCMPISTRIrm
+    811653258U,	// PCMPISTRIrr
     0U,	// PCMPISTRM128MEM
     0U,	// PCMPISTRM128REG
-    25501019U,	// PCMPISTRM128rm
-    811654491U,	// PCMPISTRM128rr
-    283204368U,	// PDEP32rm
-    811653904U,	// PDEP32rr
-    283222336U,	// PDEP64rm
-    811655488U,	// PDEP64rr
-    283204809U,	// PEXT32rm
-    811654345U,	// PEXT32rr
-    283222734U,	// PEXT64rm
-    811655886U,	// PEXT64rr
-    3808759720U,	// PEXTRBmr
-    811650984U,	// PEXTRBrr
-    4077196532U,	// PEXTRDmr
-    811652340U,	// PEXTRDrr
-    50667989U,	// PEXTRQmr
-    811655637U,	// PEXTRQrr
-    319106251U,	// PEXTRWmr
-    811658443U,	// PEXTRWri
-    811658443U,	// PEXTRWrr_REV
-    551719319U,	// PF2IDrm
-    8540567U,	// PF2IDrr
-    551726010U,	// PF2IWrm
-    8547258U,	// PF2IWrr
-    551653546U,	// PFACCrm
-    8442026U,	// PFACCrr
-    551653700U,	// PFADDrm
-    8442180U,	// PFADDrr
-    551657517U,	// PFCMPEQrm
-    8445997U,	// PFCMPEQrr
-    551655327U,	// PFCMPGErm
-    8443807U,	// PFCMPGErr
-    551659823U,	// PFCMPGTrm
-    8448303U,	// PFCMPGTrr
-    551661182U,	// PFMAXrm
-    8449662U,	// PFMAXrr
-    551656822U,	// PFMINrm
-    8445302U,	// PFMINrr
-    551656656U,	// PFMULrm
-    8445136U,	// PFMULrr
-    551653553U,	// PFNACCrm
-    8442033U,	// PFNACCrr
-    551653561U,	// PFPNACCrm
-    8442041U,	// PFPNACCrr
-    551652606U,	// PFRCPIT1rm
-    8441086U,	// PFRCPIT1rr
-    551652695U,	// PFRCPIT2rm
-    8441175U,	// PFRCPIT2rr
-    551722401U,	// PFRCPrm
-    8543649U,	// PFRCPrr
-    551652616U,	// PFRSQIT1rm
-    8441096U,	// PFRSQIT1rr
-    551725406U,	// PFRSQRTrm
-    8546654U,	// PFRSQRTrr
-    551658383U,	// PFSUBRrm
-    8446863U,	// PFSUBRrr
-    551653482U,	// PFSUBrm
-    8441962U,	// PFSUBrr
-    8687948U,	// PHADDDrm
-    8442188U,	// PHADDDrr
-    8695051U,	// PHADDSWrm128
-    8449291U,	// PHADDSWrr128
-    8694484U,	// PHADDWrm
-    8448724U,	// PHADDWrr
-    323129U,	// PHMINPOSUWrm128
-    8547897U,	// PHMINPOSUWrr128
-    8687902U,	// PHSUBDrm
-    8442142U,	// PHSUBDrr
-    8695032U,	// PHSUBSWrm128
-    8449272U,	// PHSUBSWrr128
-    8694390U,	// PHSUBWrm
-    8448630U,	// PHSUBWrr
-    551719303U,	// PI2FDrm
-    8540551U,	// PI2FDrr
-    551725920U,	// PI2FWrm
-    8547168U,	// PI2FWrr
-    866471839U,	// PINSRBrm
-    839225247U,	// PINSRBrr
-    862278891U,	// PINSRDrm
-    839226603U,	// PINSRDrr
-    864379320U,	// PINSRQrm
-    839229880U,	// PINSRQrr
-    860187824U,	// PINSRWrmi
-    839232688U,	// PINSRWrri
-    8695020U,	// PMADDUBSWrm128
-    8449260U,	// PMADDUBSWrr128
-    8689402U,	// PMADDWDrm
-    8443642U,	// PMADDWDrr
-    8687645U,	// PMAXSBrm
-    8441885U,	// PMAXSBrr
-    8689242U,	// PMAXSDrm
-    8443482U,	// PMAXSDrr
-    8695192U,	// PMAXSWrm
-    8449432U,	// PMAXSWrr
-    8687730U,	// PMAXUBrm
-    8441970U,	// PMAXUBrr
-    8689327U,	// PMAXUDrm
-    8443567U,	// PMAXUDrr
-    8695366U,	// PMAXUWrm
-    8449606U,	// PMAXUWrr
-    8687586U,	// PMINSBrm
-    8441826U,	// PMINSBrr
-    8689169U,	// PMINSDrm
-    8443409U,	// PMINSDrr
-    8695101U,	// PMINSWrm
-    8449341U,	// PMINSWrr
-    8687714U,	// PMINUBrm
-    8441954U,	// PMINUBrr
-    8689309U,	// PMINUDrm
-    8443549U,	// PMINUDrr
-    8695344U,	// PMINUWrm
-    8449584U,	// PMINUWrr
-    8539942U,	// PMOVMSKBrr
-    551686447U,	// PMOVSXBDrm
-    8540463U,	// PMOVSXBDrr
-    384661U,	// PMOVSXBQrm
-    8543893U,	// PMOVSXBQrr
-    551725711U,	// PMOVSXBWrm
-    8546959U,	// PMOVSXBWrr
-    551722987U,	// PMOVSXDQrm
-    8544235U,	// PMOVSXDQrr
-    551720787U,	// PMOVSXWDrm
-    8542035U,	// PMOVSXWDrr
-    551691064U,	// PMOVSXWQrm
-    8545080U,	// PMOVSXWQrr
-    551686458U,	// PMOVZXBDrm
-    8540474U,	// PMOVZXBDrr
-    384672U,	// PMOVZXBQrm
-    8543904U,	// PMOVZXBQrr
-    551725722U,	// PMOVZXBWrm
-    8546970U,	// PMOVZXBWrr
-    551722998U,	// PMOVZXDQrm
-    8544246U,	// PMOVZXDQrr
-    551720798U,	// PMOVZXWDrm
-    8542046U,	// PMOVZXWDrr
-    551691075U,	// PMOVZXWQrm
-    8545091U,	// PMOVZXWQrr
-    8691560U,	// PMULDQrm
-    8445800U,	// PMULDQrr
-    8695126U,	// PMULHRSWrm128
-    8449366U,	// PMULHRSWrr128
-    551660686U,	// PMULHRWrm
-    8449166U,	// PMULHRWrr
-    8695325U,	// PMULHUWrm
-    8449565U,	// PMULHUWrr
-    8694699U,	// PMULHWrm
-    8448939U,	// PMULHWrr
-    8688076U,	// PMULLDrm
-    8442316U,	// PMULLDrr
-    8694768U,	// PMULLWrm
-    8449008U,	// PMULLWrr
-    8691681U,	// PMULUDQrm
-    8445921U,	// PMULUDQrr
-    27742U,	// POP16r
-    273502U,	// POP16rmm
-    27742U,	// POP16rmr
-    23364U,	// POP32r
-    285508U,	// POP32rmm
-    23364U,	// POP32rmr
-    24920U,	// POP64r
-    401752U,	// POP64rmm
-    24920U,	// POP64rmr
-    15011U,	// POPA16
-    14214U,	// POPA32
-    388576U,	// POPCNT16rm
-    8547808U,	// POPCNT16rr
-    551689366U,	// POPCNT32rm
-    8543382U,	// POPCNT32rr
-    551723638U,	// POPCNT64rm
-    8544886U,	// POPCNT64rr
-    14641U,	// POPDS16
-    14622U,	// POPDS32
-    14679U,	// POPES16
-    14660U,	// POPES32
-    15024U,	// POPF16
-    14227U,	// POPF32
-    14469U,	// POPF64
-    14736U,	// POPFS16
-    14698U,	// POPFS32
-    14717U,	// POPFS64
-    14793U,	// POPGS16
-    14755U,	// POPGS32
-    14774U,	// POPGS64
-    14913U,	// POPSS16
-    14894U,	// POPSS32
-    8692649U,	// PORrm
-    8446889U,	// PORrr
-    432158U,	// PREFETCH
-    429719U,	// PREFETCHNTA
-    429264U,	// PREFETCHT0
-    429298U,	// PREFETCHT1
-    429387U,	// PREFETCHT2
-    437141U,	// PREFETCHW
-    8694319U,	// PSADBWrm
-    8448559U,	// PSADBWrr
-    8687361U,	// PSHUFBrm
-    8441601U,	// PSHUFBrr
-    25497999U,	// PSHUFDmi
-    811651471U,	// PSHUFDri
-    25504673U,	// PSHUFHWmi
-    811658145U,	// PSHUFHWri
-    25504718U,	// PSHUFLWmi
-    811658190U,	// PSHUFLWri
-    8687450U,	// PSIGNBrm
-    8441690U,	// PSIGNBrr
-    8688125U,	// PSIGNDrm
-    8442365U,	// PSIGNDrr
-    8694820U,	// PSIGNWrm
-    8449060U,	// PSIGNWrr
-    8445782U,	// PSLLDQri
-    8442308U,	// PSLLDri
-    8688068U,	// PSLLDrm
-    8442308U,	// PSLLDrr
-    8446174U,	// PSLLQri
-    8691934U,	// PSLLQrm
-    8446174U,	// PSLLQrr
-    8449000U,	// PSLLWri
-    8694760U,	// PSLLWrm
-    8449000U,	// PSLLWrr
-    8442113U,	// PSRADri
-    8687873U,	// PSRADrm
-    8442113U,	// PSRADrr
-    8448526U,	// PSRAWri
-    8694286U,	// PSRAWrm
-    8448526U,	// PSRAWrr
-    8445791U,	// PSRLDQri
-    8442325U,	// PSRLDri
-    8688085U,	// PSRLDrm
-    8442325U,	// PSRLDrr
-    8446188U,	// PSRLQri
-    8691948U,	// PSRLQrm
-    8446188U,	// PSRLQrr
-    8449023U,	// PSRLWri
-    8694783U,	// PSRLWrm
-    8449023U,	// PSRLWrr
-    8687314U,	// PSUBBrm
-    8441554U,	// PSUBBrr
-    8687911U,	// PSUBDrm
-    8442151U,	// PSUBDrr
-    8691333U,	// PSUBQrm
-    8445573U,	// PSUBQrr
-    8687561U,	// PSUBSBrm
-    8441801U,	// PSUBSBrr
-    8695042U,	// PSUBSWrm
-    8449282U,	// PSUBSWrr
-    8687609U,	// PSUBUSBrm
-    8441849U,	// PSUBUSBrr
-    8695164U,	// PSUBUSWrm
-    8449404U,	// PSUBUSWrr
-    8694399U,	// PSUBWrm
-    8448639U,	// PSUBWrr
-    551719747U,	// PSWAPDrm
-    8540995U,	// PSWAPDrr
-    584066U,	// PTESTrm
-    8546690U,	// PTESTrr
-    8694347U,	// PUNPCKHBWrm
-    8448587U,	// PUNPCKHBWrr
-    8691511U,	// PUNPCKHDQrm
-    8445751U,	// PUNPCKHDQrr
-    8691578U,	// PUNPCKHQDQrm
-    8445818U,	// PUNPCKHQDQrr
-    8689412U,	// PUNPCKHWDrm
-    8443652U,	// PUNPCKHWDrr
-    8694359U,	// PUNPCKLBWrm
-    8448599U,	// PUNPCKLBWrr
-    8691530U,	// PUNPCKLDQrm
-    8445770U,	// PUNPCKLDQrr
-    8691591U,	// PUNPCKLQDQrm
-    8445831U,	// PUNPCKLQDQrr
-    8689424U,	// PUNPCKLWDrm
-    8443664U,	// PUNPCKLWDrr
-    27571U,	// PUSH16i8
-    27571U,	// PUSH16r
-    273331U,	// PUSH16rmm
-    27571U,	// PUSH16rmr
-    23111U,	// PUSH32i8
-    23111U,	// PUSH32r
-    285255U,	// PUSH32rmm
-    23111U,	// PUSH32rmr
-    27571U,	// PUSH64i16
-    24731U,	// PUSH64i32
-    24731U,	// PUSH64i8
-    24731U,	// PUSH64r
-    401563U,	// PUSH64rmm
-    24731U,	// PUSH64rmr
-    15004U,	// PUSHA16
-    14207U,	// PUSHA32
-    14602U,	// PUSHCS16
-    14592U,	// PUSHCS32
-    14631U,	// PUSHDS16
-    14612U,	// PUSHDS32
-    14669U,	// PUSHES16
-    14650U,	// PUSHES32
-    15017U,	// PUSHF16
-    14220U,	// PUSHF32
-    14462U,	// PUSHF64
-    14726U,	// PUSHFS16
-    14688U,	// PUSHFS32
-    14707U,	// PUSHFS64
-    14783U,	// PUSHGS16
-    14745U,	// PUSHGS32
-    14764U,	// PUSHGS64
-    14903U,	// PUSHSS16
-    14884U,	// PUSHSS32
-    27571U,	// PUSHi16
-    23111U,	// PUSHi32
-    8692672U,	// PXORrm
-    8446912U,	// PXORrr
-    273351U,	// RCL16m1
-    274628U,	// RCL16mCL
-    4238279U,	// RCL16mi
-    27591U,	// RCL16r1
-    28868U,	// RCL16rCL
-    8448967U,	// RCL16ri
-    285304U,	// RCL32m1
-    290788U,	// RCL32mCL
-    12622456U,	// RCL32mi
-    23160U,	// RCL32r1
-    28644U,	// RCL32rCL
-    8444536U,	// RCL32ri
-    401608U,	// RCL64m1
-    405588U,	// RCL64mCL
-    18915528U,	// RCL64mi
-    24776U,	// RCL64r1
-    28756U,	// RCL64rCL
-    8446152U,	// RCL64ri
-    429878U,	// RCL8m1
-    438132U,	// RCL8mCL
-    23105334U,	// RCL8mi
-    20278U,	// RCL8r1
-    28532U,	// RCL8rCL
-    8441654U,	// RCL8ri
-    583351U,	// RCPPSm
-    583351U,	// RCPPSm_Int
-    8545975U,	// RCPPSr
-    8545975U,	// RCPPSr_Int
-    616621U,	// RCPSSm
-    551774381U,	// RCPSSm_Int
-    8546477U,	// RCPSSr
-    8448173U,	// RCPSSr_Int
-    273538U,	// RCR16m1
-    274672U,	// RCR16mCL
-    4238466U,	// RCR16mi
-    27778U,	// RCR16r1
-    28912U,	// RCR16rCL
-    8449154U,	// RCR16ri
-    285604U,	// RCR32m1
-    290832U,	// RCR32mCL
-    12622756U,	// RCR32mi
-    23460U,	// RCR32r1
-    28688U,	// RCR32rCL
-    8444836U,	// RCR32ri
-    401800U,	// RCR64m1
-    405632U,	// RCR64mCL
-    18915720U,	// RCR64mi
-    24968U,	// RCR64r1
-    28800U,	// RCR64rCL
-    8446344U,	// RCR64ri
-    429958U,	// RCR8m1
-    438176U,	// RCR8mCL
-    23105414U,	// RCR8mi
-    20358U,	// RCR8r1
-    28576U,	// RCR8rCL
-    8441734U,	// RCR8ri
-    23019U,	// RDFSBASE
-    24630U,	// RDFSBASE64
-    23041U,	// RDGSBASE
-    24652U,	// RDGSBASE64
-    14557U,	// RDMSR
-    13947U,	// RDPMC
-    27387U,	// RDRAND16r
-    22933U,	// RDRAND32r
-    24432U,	// RDRAND64r
-    27371U,	// RDSEED16r
-    22904U,	// RDSEED32r
-    24365U,	// RDSEED64r
-    13960U,	// RDTSC
-    14404U,	// RDTSCP
-    13380U,	// RELEASE_MOV16mr
-    13380U,	// RELEASE_MOV32mr
-    13380U,	// RELEASE_MOV64mr
-    13380U,	// RELEASE_MOV8mr
-    14050U,	// REPNE_PREFIX
-    13891U,	// REP_MOVSB_32
-    13891U,	// REP_MOVSB_64
-    14275U,	// REP_MOVSD_32
-    14275U,	// REP_MOVSD_64
-    14485U,	// REP_MOVSQ_64
-    15040U,	// REP_MOVSW_32
-    15040U,	// REP_MOVSW_64
-    14411U,	// REP_PREFIX
-    13881U,	// REP_STOSB_32
-    13881U,	// REP_STOSB_64
-    14265U,	// REP_STOSD_32
-    14265U,	// REP_STOSD_64
-    14475U,	// REP_STOSQ_64
-    15030U,	// REP_STOSW_32
-    15030U,	// REP_STOSW_64
-    23690U,	// RETIL
-    25190U,	// RETIQ
-    28112U,	// RETIW
-    14286U,	// RETL
-    14496U,	// RETQ
-    15056U,	// RETW
-    13717U,	// REX64_PREFIX
-    273400U,	// ROL16m1
-    274650U,	// ROL16mCL
-    4238328U,	// ROL16mi
-    27640U,	// ROL16r1
-    28890U,	// ROL16rCL
-    8449016U,	// ROL16ri
-    285350U,	// ROL32m1
-    290810U,	// ROL32mCL
-    12622502U,	// ROL32mi
-    23206U,	// ROL32r1
-    28666U,	// ROL32rCL
-    8444582U,	// ROL32ri
-    401637U,	// ROL64m1
-    405610U,	// ROL64mCL
-    18915557U,	// ROL64mi
-    24805U,	// ROL64r1
-    28778U,	// ROL64rCL
-    8446181U,	// ROL64ri
-    429892U,	// ROL8m1
-    438154U,	// ROL8mCL
-    23105348U,	// ROL8mi
-    20292U,	// ROL8r1
-    28554U,	// ROL8rCL
-    8441668U,	// ROL8ri
-    273565U,	// ROR16m1
-    274694U,	// ROR16mCL
-    4238493U,	// ROR16mi
-    27805U,	// ROR16r1
-    28934U,	// ROR16rCL
-    8449181U,	// ROR16ri
-    285616U,	// ROR32m1
-    290854U,	// ROR32mCL
-    12622768U,	// ROR32mi
-    23472U,	// ROR32r1
-    28710U,	// ROR32rCL
-    8444848U,	// ROR32ri
-    401812U,	// ROR64m1
-    405654U,	// ROR64mCL
-    18915732U,	// ROR64mi
-    24980U,	// ROR64r1
-    28822U,	// ROR64rCL
-    8446356U,	// ROR64ri
-    429970U,	// ROR8m1
-    438198U,	// ROR8mCL
-    23105426U,	// ROR8mi
-    20370U,	// ROR8r1
-    28598U,	// ROR8rCL
-    8441746U,	// ROR8ri
-    832904493U,	// RORX32mi
-    811654445U,	// RORX32ri
-    835003263U,	// RORX64mi
-    811656063U,	// RORX64ri
-    77927375U,	// ROUNDPDm
-    811652047U,	// ROUNDPDr
-    77932051U,	// ROUNDPSm
-    811656723U,	// ROUNDPSr
-    581260780U,	// ROUNDSDm
-    839226860U,	// ROUNDSDr
-    839226860U,	// ROUNDSDr_Int
-    585459840U,	// ROUNDSSm
-    839231616U,	// ROUNDSSr
-    839231616U,	// ROUNDSSr_Int
-    14345U,	// RSM
-    583434U,	// RSQRTPSm
-    583434U,	// RSQRTPSm_Int
-    8546058U,	// RSQRTPSr
-    8546058U,	// RSQRTPSr_Int
-    616646U,	// RSQRTSSm
-    551774406U,	// RSQRTSSm_Int
-    8546502U,	// RSQRTSSr
-    8448198U,	// RSQRTSSr_Int
-    14136U,	// SAHF
-    273345U,	// SAL16m1
-    274617U,	// SAL16mCL
-    4238273U,	// SAL16mi
-    27585U,	// SAL16r1
-    28857U,	// SAL16rCL
-    8448961U,	// SAL16ri
-    285298U,	// SAL32m1
-    290777U,	// SAL32mCL
-    12622450U,	// SAL32mi
-    23154U,	// SAL32r1
-    28633U,	// SAL32rCL
-    8444530U,	// SAL32ri
-    401602U,	// SAL64m1
-    405577U,	// SAL64mCL
-    18915522U,	// SAL64mi
-    24770U,	// SAL64r1
-    28745U,	// SAL64rCL
-    8446146U,	// SAL64ri
-    429872U,	// SAL8m1
-    438121U,	// SAL8mCL
-    23105328U,	// SAL8mi
-    20272U,	// SAL8r1
-    28521U,	// SAL8rCL
-    8441648U,	// SAL8ri
-    13934U,	// SALC
-    273532U,	// SAR16m1
-    274661U,	// SAR16mCL
-    4238460U,	// SAR16mi
-    27772U,	// SAR16r1
-    28901U,	// SAR16rCL
-    8449148U,	// SAR16ri
-    285581U,	// SAR32m1
-    290821U,	// SAR32mCL
-    12622733U,	// SAR32mi
-    23437U,	// SAR32r1
-    28677U,	// SAR32rCL
-    8444813U,	// SAR32ri
-    401794U,	// SAR64m1
-    405621U,	// SAR64mCL
-    18915714U,	// SAR64mi
-    24962U,	// SAR64r1
-    28789U,	// SAR64rCL
-    8446338U,	// SAR64ri
-    429952U,	// SAR8m1
-    438165U,	// SAR8mCL
-    23105408U,	// SAR8mi
-    20352U,	// SAR8r1
-    28565U,	// SAR8rCL
-    8441728U,	// SAR8ri
-    832904479U,	// SARX32rm
-    811654431U,	// SARX32rr
-    835003249U,	// SARX64rm
-    811656049U,	// SARX64rr
-    2124317U,	// SBB16i16
-    4237853U,	// SBB16mi
-    4237853U,	// SBB16mi8
-    4237853U,	// SBB16mr
-    6351389U,	// SBB16ri
-    6351389U,	// SBB16ri8
-    6367773U,	// SBB16rm
-    6351389U,	// SBB16rr
-    8448541U,	// SBB16rr_REV
-    10508564U,	// SBB32i32
-    12622100U,	// SBB32mi
-    12622100U,	// SBB32mi8
-    12622100U,	// SBB32mr
-    6347028U,	// SBB32ri
-    6347028U,	// SBB32ri8
-    283203860U,	// SBB32rm
-    6347028U,	// SBB32rr
-    8444180U,	// SBB32rr_REV
-    16801377U,	// SBB64i32
-    18914913U,	// SBB64mi32
-    18914913U,	// SBB64mi8
-    18914913U,	// SBB64mr
-    6348385U,	// SBB64ri32
-    6348385U,	// SBB64ri8
-    283221601U,	// SBB64rm
-    6348385U,	// SBB64rr
-    8445537U,	// SBB64rr_REV
-    20991691U,	// SBB8i8
-    23105227U,	// SBB8mi
-    23105227U,	// SBB8mr
-    6344395U,	// SBB8ri
-    118475U,	// SBB8rm
-    6344395U,	// SBB8rr
-    8441547U,	// SBB8rr_REV
-    21467056U,	// SCASB
-    11000814U,	// SCASL
-    17310173U,	// SCASQ
-    2649299U,	// SCASW
-    14814U,	// SEG_ALLOCA_32
-    14814U,	// SEG_ALLOCA_64
-    14101U,	// SEH_EndPrologue
-    14087U,	// SEH_Epilogue
-    29347U,	// SEH_PushFrame
-    29392U,	// SEH_PushReg
-    283144898U,	// SEH_SaveReg
-    283144812U,	// SEH_SaveXMM
-    283144883U,	// SEH_SetFrame
-    29330U,	// SEH_StackAlloc
-    431981U,	// SETAEm
-    22381U,	// SETAEr
-    429713U,	// SETAm
-    20113U,	// SETAr
-    432003U,	// SETBEm
-    22403U,	// SETBEr
+    25500975U,	// PCMPISTRM128rm
+    811654447U,	// PCMPISTRM128rr
+    283204324U,	// PDEP32rm
+    811653860U,	// PDEP32rr
+    283222292U,	// PDEP64rm
+    811655444U,	// PDEP64rr
+    283204765U,	// PEXT32rm
+    811654301U,	// PEXT32rr
+    283222690U,	// PEXT64rm
+    811655842U,	// PEXT64rr
+    3808759676U,	// PEXTRBmr
+    811650940U,	// PEXTRBrr
+    4077196488U,	// PEXTRDmr
+    811652296U,	// PEXTRDrr
+    50667945U,	// PEXTRQmr
+    811655593U,	// PEXTRQrr
+    319106207U,	// PEXTRWmr
+    811658399U,	// PEXTRWri
+    811658399U,	// PEXTRWrr_REV
+    551833963U,	// PF2IDrm
+    8655211U,	// PF2IDrr
+    551840654U,	// PF2IWrm
+    8661902U,	// PF2IWrr
+    551653502U,	// PFACCrm
+    8441982U,	// PFACCrr
+    551653656U,	// PFADDrm
+    8442136U,	// PFADDrr
+    551657473U,	// PFCMPEQrm
+    8445953U,	// PFCMPEQrr
+    551655283U,	// PFCMPGErm
+    8443763U,	// PFCMPGErr
+    551659779U,	// PFCMPGTrm
+    8448259U,	// PFCMPGTrr
+    551661138U,	// PFMAXrm
+    8449618U,	// PFMAXrr
+    551656778U,	// PFMINrm
+    8445258U,	// PFMINrr
+    551656612U,	// PFMULrm
+    8445092U,	// PFMULrr
+    551653509U,	// PFNACCrm
+    8441989U,	// PFNACCrr
+    551653517U,	// PFPNACCrm
+    8441997U,	// PFPNACCrr
+    551652562U,	// PFRCPIT1rm
+    8441042U,	// PFRCPIT1rr
+    551652651U,	// PFRCPIT2rm
+    8441131U,	// PFRCPIT2rr
+    551837045U,	// PFRCPrm
+    8658293U,	// PFRCPrr
+    551652572U,	// PFRSQIT1rm
+    8441052U,	// PFRSQIT1rr
+    551840050U,	// PFRSQRTrm
+    8661298U,	// PFRSQRTrr
+    551658339U,	// PFSUBRrm
+    8446819U,	// PFSUBRrr
+    551653438U,	// PFSUBrm
+    8441918U,	// PFSUBrr
+    8687904U,	// PHADDDrm
+    8442144U,	// PHADDDrr
+    8695007U,	// PHADDSWrm128
+    8449247U,	// PHADDSWrr128
+    8694440U,	// PHADDWrm
+    8448680U,	// PHADDWrr
+    323085U,	// PHMINPOSUWrm128
+    8662541U,	// PHMINPOSUWrr128
+    8687858U,	// PHSUBDrm
+    8442098U,	// PHSUBDrr
+    8694988U,	// PHSUBSWrm128
+    8449228U,	// PHSUBSWrr128
+    8694346U,	// PHSUBWrm
+    8448586U,	// PHSUBWrr
+    551833947U,	// PI2FDrm
+    8655195U,	// PI2FDrr
+    551840564U,	// PI2FWrm
+    8661812U,	// PI2FWrr
+    866471795U,	// PINSRBrm
+    839225203U,	// PINSRBrr
+    862278847U,	// PINSRDrm
+    839226559U,	// PINSRDrr
+    864379276U,	// PINSRQrm
+    839229836U,	// PINSRQrr
+    860187780U,	// PINSRWrmi
+    839232644U,	// PINSRWrri
+    8694976U,	// PMADDUBSWrm128
+    8449216U,	// PMADDUBSWrr128
+    8689358U,	// PMADDWDrm
+    8443598U,	// PMADDWDrr
+    8687601U,	// PMAXSBrm
+    8441841U,	// PMAXSBrr
+    8689198U,	// PMAXSDrm
+    8443438U,	// PMAXSDrr
+    8695148U,	// PMAXSWrm
+    8449388U,	// PMAXSWrr
+    8687686U,	// PMAXUBrm
+    8441926U,	// PMAXUBrr
+    8689283U,	// PMAXUDrm
+    8443523U,	// PMAXUDrr
+    8695322U,	// PMAXUWrm
+    8449562U,	// PMAXUWrr
+    8687542U,	// PMINSBrm
+    8441782U,	// PMINSBrr
+    8689125U,	// PMINSDrm
+    8443365U,	// PMINSDrr
+    8695057U,	// PMINSWrm
+    8449297U,	// PMINSWrr
+    8687670U,	// PMINUBrm
+    8441910U,	// PMINUBrr
+    8689265U,	// PMINUDrm
+    8443505U,	// PMINUDrr
+    8695300U,	// PMINUWrm
+    8449540U,	// PMINUWrr
+    8654586U,	// PMOVMSKBrr
+    551801091U,	// PMOVSXBDrm
+    8655107U,	// PMOVSXBDrr
+    384617U,	// PMOVSXBQrm
+    8658537U,	// PMOVSXBQrr
+    551840355U,	// PMOVSXBWrm
+    8661603U,	// PMOVSXBWrr
+    551837631U,	// PMOVSXDQrm
+    8658879U,	// PMOVSXDQrr
+    551835431U,	// PMOVSXWDrm
+    8656679U,	// PMOVSXWDrr
+    551805708U,	// PMOVSXWQrm
+    8659724U,	// PMOVSXWQrr
+    551801102U,	// PMOVZXBDrm
+    8655118U,	// PMOVZXBDrr
+    384628U,	// PMOVZXBQrm
+    8658548U,	// PMOVZXBQrr
+    551840366U,	// PMOVZXBWrm
+    8661614U,	// PMOVZXBWrr
+    551837642U,	// PMOVZXDQrm
+    8658890U,	// PMOVZXDQrr
+    551835442U,	// PMOVZXWDrm
+    8656690U,	// PMOVZXWDrr
+    551805719U,	// PMOVZXWQrm
+    8659735U,	// PMOVZXWQrr
+    8691516U,	// PMULDQrm
+    8445756U,	// PMULDQrr
+    8695082U,	// PMULHRSWrm128
+    8449322U,	// PMULHRSWrr128
+    551660642U,	// PMULHRWrm
+    8449122U,	// PMULHRWrr
+    8695281U,	// PMULHUWrm
+    8449521U,	// PMULHUWrr
+    8694655U,	// PMULHWrm
+    8448895U,	// PMULHWrr
+    8688032U,	// PMULLDrm
+    8442272U,	// PMULLDrr
+    8694724U,	// PMULLWrm
+    8448964U,	// PMULLWrr
+    8691637U,	// PMULUDQrm
+    8445877U,	// PMULUDQrr
+    27698U,	// POP16r
+    224306U,	// POP16rmm
+    27698U,	// POP16rmr
+    23320U,	// POP32r
+    236312U,	// POP32rmm
+    23320U,	// POP32rmr
+    24876U,	// POP64r
+    401708U,	// POP64rmm
+    24876U,	// POP64rmr
+    14967U,	// POPA16
+    14170U,	// POPA32
+    388532U,	// POPCNT16rm
+    8662452U,	// POPCNT16rr
+    551804010U,	// POPCNT32rm
+    8658026U,	// POPCNT32rr
+    551838282U,	// POPCNT64rm
+    8659530U,	// POPCNT64rr
+    14597U,	// POPDS16
+    14578U,	// POPDS32
+    14635U,	// POPES16
+    14616U,	// POPES32
+    14980U,	// POPF16
+    14183U,	// POPF32
+    14425U,	// POPF64
+    14692U,	// POPFS16
+    14654U,	// POPFS32
+    14673U,	// POPFS64
+    14749U,	// POPGS16
+    14711U,	// POPGS32
+    14730U,	// POPGS64
+    14869U,	// POPSS16
+    14850U,	// POPSS32
+    8692605U,	// PORrm
+    8446845U,	// PORrr
+    432114U,	// PREFETCH
+    429675U,	// PREFETCHNTA
+    429220U,	// PREFETCHT0
+    429254U,	// PREFETCHT1
+    429343U,	// PREFETCHT2
+    437097U,	// PREFETCHW
+    8694275U,	// PSADBWrm
+    8448515U,	// PSADBWrr
+    8687317U,	// PSHUFBrm
+    8441557U,	// PSHUFBrr
+    25497955U,	// PSHUFDmi
+    811651427U,	// PSHUFDri
+    25504629U,	// PSHUFHWmi
+    811658101U,	// PSHUFHWri
+    25504674U,	// PSHUFLWmi
+    811658146U,	// PSHUFLWri
+    8687406U,	// PSIGNBrm
+    8441646U,	// PSIGNBrr
+    8688081U,	// PSIGNDrm
+    8442321U,	// PSIGNDrr
+    8694776U,	// PSIGNWrm
+    8449016U,	// PSIGNWrr
+    8445738U,	// PSLLDQri
+    8442264U,	// PSLLDri
+    8688024U,	// PSLLDrm
+    8442264U,	// PSLLDrr
+    8446130U,	// PSLLQri
+    8691890U,	// PSLLQrm
+    8446130U,	// PSLLQrr
+    8448956U,	// PSLLWri
+    8694716U,	// PSLLWrm
+    8448956U,	// PSLLWrr
+    8442069U,	// PSRADri
+    8687829U,	// PSRADrm
+    8442069U,	// PSRADrr
+    8448482U,	// PSRAWri
+    8694242U,	// PSRAWrm
+    8448482U,	// PSRAWrr
+    8445747U,	// PSRLDQri
+    8442281U,	// PSRLDri
+    8688041U,	// PSRLDrm
+    8442281U,	// PSRLDrr
+    8446144U,	// PSRLQri
+    8691904U,	// PSRLQrm
+    8446144U,	// PSRLQrr
+    8448979U,	// PSRLWri
+    8694739U,	// PSRLWrm
+    8448979U,	// PSRLWrr
+    8687270U,	// PSUBBrm
+    8441510U,	// PSUBBrr
+    8687867U,	// PSUBDrm
+    8442107U,	// PSUBDrr
+    8691289U,	// PSUBQrm
+    8445529U,	// PSUBQrr
+    8687517U,	// PSUBSBrm
+    8441757U,	// PSUBSBrr
+    8694998U,	// PSUBSWrm
+    8449238U,	// PSUBSWrr
+    8687565U,	// PSUBUSBrm
+    8441805U,	// PSUBUSBrr
+    8695120U,	// PSUBUSWrm
+    8449360U,	// PSUBUSWrr
+    8694355U,	// PSUBWrm
+    8448595U,	// PSUBWrr
+    551834391U,	// PSWAPDrm
+    8655639U,	// PSWAPDrr
+    584022U,	// PTESTrm
+    8661334U,	// PTESTrr
+    8694303U,	// PUNPCKHBWrm
+    8448543U,	// PUNPCKHBWrr
+    8691467U,	// PUNPCKHDQrm
+    8445707U,	// PUNPCKHDQrr
+    8691534U,	// PUNPCKHQDQrm
+    8445774U,	// PUNPCKHQDQrr
+    8689368U,	// PUNPCKHWDrm
+    8443608U,	// PUNPCKHWDrr
+    8694315U,	// PUNPCKLBWrm
+    8448555U,	// PUNPCKLBWrr
+    8691486U,	// PUNPCKLDQrm
+    8445726U,	// PUNPCKLDQrr
+    8691547U,	// PUNPCKLQDQrm
+    8445787U,	// PUNPCKLQDQrr
+    8689380U,	// PUNPCKLWDrm
+    8443620U,	// PUNPCKLWDrr
+    27527U,	// PUSH16i8
+    27527U,	// PUSH16r
+    224135U,	// PUSH16rmm
+    27527U,	// PUSH16rmr
+    23067U,	// PUSH32i8
+    23067U,	// PUSH32r
+    236059U,	// PUSH32rmm
+    23067U,	// PUSH32rmr
+    27527U,	// PUSH64i16
+    24687U,	// PUSH64i32
+    24687U,	// PUSH64i8
+    24687U,	// PUSH64r
+    401519U,	// PUSH64rmm
+    24687U,	// PUSH64rmr
+    14960U,	// PUSHA16
+    14163U,	// PUSHA32
+    14558U,	// PUSHCS16
+    14548U,	// PUSHCS32
+    14587U,	// PUSHDS16
+    14568U,	// PUSHDS32
+    14625U,	// PUSHES16
+    14606U,	// PUSHES32
+    14973U,	// PUSHF16
+    14176U,	// PUSHF32
+    14418U,	// PUSHF64
+    14682U,	// PUSHFS16
+    14644U,	// PUSHFS32
+    14663U,	// PUSHFS64
+    14739U,	// PUSHGS16
+    14701U,	// PUSHGS32
+    14720U,	// PUSHGS64
+    14859U,	// PUSHSS16
+    14840U,	// PUSHSS32
+    27527U,	// PUSHi16
+    23067U,	// PUSHi32
+    8692628U,	// PXORrm
+    8446868U,	// PXORrr
+    224155U,	// RCL16m1
+    225432U,	// RCL16mCL
+    4238235U,	// RCL16mi
+    27547U,	// RCL16r1
+    28824U,	// RCL16rCL
+    8448923U,	// RCL16ri
+    236108U,	// RCL32m1
+    241592U,	// RCL32mCL
+    12622412U,	// RCL32mi
+    23116U,	// RCL32r1
+    28600U,	// RCL32rCL
+    8444492U,	// RCL32ri
+    401564U,	// RCL64m1
+    405544U,	// RCL64mCL
+    18915484U,	// RCL64mi
+    24732U,	// RCL64r1
+    28712U,	// RCL64rCL
+    8446108U,	// RCL64ri
+    429834U,	// RCL8m1
+    438088U,	// RCL8mCL
+    23105290U,	// RCL8mi
+    20234U,	// RCL8r1
+    28488U,	// RCL8rCL
+    8441610U,	// RCL8ri
+    583307U,	// RCPPSm
+    583307U,	// RCPPSm_Int
+    8660619U,	// RCPPSr
+    8660619U,	// RCPPSr_Int
+    616577U,	// RCPSSm
+    551725185U,	// RCPSSm_Int
+    8661121U,	// RCPSSr
+    8448129U,	// RCPSSr_Int
+    224342U,	// RCR16m1
+    225476U,	// RCR16mCL
+    4238422U,	// RCR16mi
+    27734U,	// RCR16r1
+    28868U,	// RCR16rCL
+    8449110U,	// RCR16ri
+    236408U,	// RCR32m1
+    241636U,	// RCR32mCL
+    12622712U,	// RCR32mi
+    23416U,	// RCR32r1
+    28644U,	// RCR32rCL
+    8444792U,	// RCR32ri
+    401756U,	// RCR64m1
+    405588U,	// RCR64mCL
+    18915676U,	// RCR64mi
+    24924U,	// RCR64r1
+    28756U,	// RCR64rCL
+    8446300U,	// RCR64ri
+    429914U,	// RCR8m1
+    438132U,	// RCR8mCL
+    23105370U,	// RCR8mi
+    20314U,	// RCR8r1
+    28532U,	// RCR8rCL
+    8441690U,	// RCR8ri
+    22975U,	// RDFSBASE
+    24586U,	// RDFSBASE64
+    22997U,	// RDGSBASE
+    24608U,	// RDGSBASE64
+    14513U,	// RDMSR
+    13903U,	// RDPMC
+    27343U,	// RDRAND16r
+    22889U,	// RDRAND32r
+    24388U,	// RDRAND64r
+    27327U,	// RDSEED16r
+    22860U,	// RDSEED32r
+    24321U,	// RDSEED64r
+    13916U,	// RDTSC
+    14360U,	// RDTSCP
+    13336U,	// RELEASE_MOV16mr
+    13336U,	// RELEASE_MOV32mr
+    13336U,	// RELEASE_MOV64mr
+    13336U,	// RELEASE_MOV8mr
+    14006U,	// REPNE_PREFIX
+    13847U,	// REP_MOVSB_32
+    13847U,	// REP_MOVSB_64
+    14231U,	// REP_MOVSD_32
+    14231U,	// REP_MOVSD_64
+    14441U,	// REP_MOVSQ_64
+    14996U,	// REP_MOVSW_32
+    14996U,	// REP_MOVSW_64
+    14367U,	// REP_PREFIX
+    13837U,	// REP_STOSB_32
+    13837U,	// REP_STOSB_64
+    14221U,	// REP_STOSD_32
+    14221U,	// REP_STOSD_64
+    14431U,	// REP_STOSQ_64
+    14986U,	// REP_STOSW_32
+    14986U,	// REP_STOSW_64
+    23646U,	// RETIL
+    25146U,	// RETIQ
+    28068U,	// RETIW
+    14242U,	// RETL
+    14452U,	// RETQ
+    15012U,	// RETW
+    13673U,	// REX64_PREFIX
+    224204U,	// ROL16m1
+    225454U,	// ROL16mCL
+    4238284U,	// ROL16mi
+    27596U,	// ROL16r1
+    28846U,	// ROL16rCL
+    8448972U,	// ROL16ri
+    236154U,	// ROL32m1
+    241614U,	// ROL32mCL
+    12622458U,	// ROL32mi
+    23162U,	// ROL32r1
+    28622U,	// ROL32rCL
+    8444538U,	// ROL32ri
+    401593U,	// ROL64m1
+    405566U,	// ROL64mCL
+    18915513U,	// ROL64mi
+    24761U,	// ROL64r1
+    28734U,	// ROL64rCL
+    8446137U,	// ROL64ri
+    429848U,	// ROL8m1
+    438110U,	// ROL8mCL
+    23105304U,	// ROL8mi
+    20248U,	// ROL8r1
+    28510U,	// ROL8rCL
+    8441624U,	// ROL8ri
+    224369U,	// ROR16m1
+    225498U,	// ROR16mCL
+    4238449U,	// ROR16mi
+    27761U,	// ROR16r1
+    28890U,	// ROR16rCL
+    8449137U,	// ROR16ri
+    236420U,	// ROR32m1
+    241658U,	// ROR32mCL
+    12622724U,	// ROR32mi
+    23428U,	// ROR32r1
+    28666U,	// ROR32rCL
+    8444804U,	// ROR32ri
+    401768U,	// ROR64m1
+    405610U,	// ROR64mCL
+    18915688U,	// ROR64mi
+    24936U,	// ROR64r1
+    28778U,	// ROR64rCL
+    8446312U,	// ROR64ri
+    429926U,	// ROR8m1
+    438154U,	// ROR8mCL
+    23105382U,	// ROR8mi
+    20326U,	// ROR8r1
+    28554U,	// ROR8rCL
+    8441702U,	// ROR8ri
+    832904449U,	// RORX32mi
+    811654401U,	// RORX32ri
+    835003219U,	// RORX64mi
+    811656019U,	// RORX64ri
+    77927331U,	// ROUNDPDm
+    811652003U,	// ROUNDPDr
+    77932007U,	// ROUNDPSm
+    811656679U,	// ROUNDPSr
+    581260736U,	// ROUNDSDm
+    839226816U,	// ROUNDSDr
+    839226816U,	// ROUNDSDr_Int
+    585459796U,	// ROUNDSSm
+    839231572U,	// ROUNDSSr
+    839231572U,	// ROUNDSSr_Int
+    14301U,	// RSM
+    583390U,	// RSQRTPSm
+    583390U,	// RSQRTPSm_Int
+    8660702U,	// RSQRTPSr
+    8660702U,	// RSQRTPSr_Int
+    616602U,	// RSQRTSSm
+    551725210U,	// RSQRTSSm_Int
+    8661146U,	// RSQRTSSr
+    8448154U,	// RSQRTSSr_Int
+    14092U,	// SAHF
+    224149U,	// SAL16m1
+    225421U,	// SAL16mCL
+    4238229U,	// SAL16mi
+    27541U,	// SAL16r1
+    28813U,	// SAL16rCL
+    8448917U,	// SAL16ri
+    236102U,	// SAL32m1
+    241581U,	// SAL32mCL
+    12622406U,	// SAL32mi
+    23110U,	// SAL32r1
+    28589U,	// SAL32rCL
+    8444486U,	// SAL32ri
+    401558U,	// SAL64m1
+    405533U,	// SAL64mCL
+    18915478U,	// SAL64mi
+    24726U,	// SAL64r1
+    28701U,	// SAL64rCL
+    8446102U,	// SAL64ri
+    429828U,	// SAL8m1
+    438077U,	// SAL8mCL
+    23105284U,	// SAL8mi
+    20228U,	// SAL8r1
+    28477U,	// SAL8rCL
+    8441604U,	// SAL8ri
+    13890U,	// SALC
+    224336U,	// SAR16m1
+    225465U,	// SAR16mCL
+    4238416U,	// SAR16mi
+    27728U,	// SAR16r1
+    28857U,	// SAR16rCL
+    8449104U,	// SAR16ri
+    236385U,	// SAR32m1
+    241625U,	// SAR32mCL
+    12622689U,	// SAR32mi
+    23393U,	// SAR32r1
+    28633U,	// SAR32rCL
+    8444769U,	// SAR32ri
+    401750U,	// SAR64m1
+    405577U,	// SAR64mCL
+    18915670U,	// SAR64mi
+    24918U,	// SAR64r1
+    28745U,	// SAR64rCL
+    8446294U,	// SAR64ri
+    429908U,	// SAR8m1
+    438121U,	// SAR8mCL
+    23105364U,	// SAR8mi
+    20308U,	// SAR8r1
+    28521U,	// SAR8rCL
+    8441684U,	// SAR8ri
+    832904435U,	// SARX32rm
+    811654387U,	// SARX32rr
+    835003205U,	// SARX64rm
+    811656005U,	// SARX64rr
+    2124273U,	// SBB16i16
+    4237809U,	// SBB16mi
+    4237809U,	// SBB16mi8
+    4237809U,	// SBB16mr
+    6351345U,	// SBB16ri
+    6351345U,	// SBB16ri8
+    6367729U,	// SBB16rm
+    6351345U,	// SBB16rr
+    8448497U,	// SBB16rr_REV
+    10508520U,	// SBB32i32
+    12622056U,	// SBB32mi
+    12622056U,	// SBB32mi8
+    12622056U,	// SBB32mr
+    6346984U,	// SBB32ri
+    6346984U,	// SBB32ri8
+    283203816U,	// SBB32rm
+    6346984U,	// SBB32rr
+    8444136U,	// SBB32rr_REV
+    16801333U,	// SBB64i32
+    18914869U,	// SBB64mi32
+    18914869U,	// SBB64mi8
+    18914869U,	// SBB64mr
+    6348341U,	// SBB64ri32
+    6348341U,	// SBB64ri8
+    283221557U,	// SBB64rm
+    6348341U,	// SBB64rr
+    8445493U,	// SBB64rr_REV
+    20991647U,	// SBB8i8
+    23105183U,	// SBB8mi
+    23105183U,	// SBB8mr
+    6344351U,	// SBB8ri
+    118431U,	// SBB8rm
+    6344351U,	// SBB8rr
+    8441503U,	// SBB8rr_REV
+    21467012U,	// SCASB
+    11000770U,	// SCASL
+    17310129U,	// SCASQ
+    2649255U,	// SCASW
+    14770U,	// SEG_ALLOCA_32
+    14770U,	// SEG_ALLOCA_64
+    14057U,	// SEH_EndPrologue
+    14043U,	// SEH_Epilogue
+    29303U,	// SEH_PushFrame
+    29348U,	// SEH_PushReg
+    283144854U,	// SEH_SaveReg
+    283144768U,	// SEH_SaveXMM
+    283144839U,	// SEH_SetFrame
+    29286U,	// SEH_StackAlloc
+    431937U,	// SETAEm
+    22337U,	// SETAEr
+    429669U,	// SETAm
+    20069U,	// SETAr
+    431959U,	// SETBEm
+    22359U,	// SETBEr
     0U,	// SETB_C16r
     0U,	// SETB_C32r
     0U,	// SETB_C64r
     0U,	// SETB_C8r
-    430117U,	// SETBm
-    20517U,	// SETBr
-    432099U,	// SETEm
-    22499U,	// SETEr
-    432040U,	// SETGEm
-    22440U,	// SETGEr
-    432152U,	// SETGm
-    22552U,	// SETGr
-    432056U,	// SETLEm
-    22456U,	// SETLEr
-    433296U,	// SETLm
-    23696U,	// SETLr
-    432076U,	// SETNEm
-    22476U,	// SETNEr
-    433549U,	// SETNOm
-    23949U,	// SETNOr
-    433619U,	// SETNPm
-    24019U,	// SETNPr
-    435270U,	// SETNSm
-    25670U,	// SETNSr
-    433556U,	// SETOm
-    23956U,	// SETOr
-    433648U,	// SETPm
-    24048U,	// SETPr
-    436487U,	// SETSm
-    26887U,	// SETSr
-    14027U,	// SFENCE
-    634284U,	// SGDT16m
-    629869U,	// SGDT32m
-    631369U,	// SGDT64m
-    8686812U,	// SHA1MSG1rm
-    8441052U,	// SHA1MSG1rr
-    8686888U,	// SHA1MSG2rm
-    8441128U,	// SHA1MSG2rr
-    8689641U,	// SHA1NEXTErm
-    8443881U,	// SHA1NEXTErr
-    610618743U,	// SHA1RNDS4rmi
-    839224695U,	// SHA1RNDS4rri
-    8686822U,	// SHA256MSG1rm
-    8441062U,	// SHA256MSG1rr
-    8686898U,	// SHA256MSG2rm
-    8441138U,	// SHA256MSG2rr
-    8686910U,	// SHA256RNDS2rm
-    8441150U,	// SHA256RNDS2rr
-    273369U,	// SHL16m1
-    274639U,	// SHL16mCL
-    4238297U,	// SHL16mi
-    27609U,	// SHL16r1
-    28879U,	// SHL16rCL
-    8448985U,	// SHL16ri
-    285318U,	// SHL32m1
-    290799U,	// SHL32mCL
-    12622470U,	// SHL32mi
-    23174U,	// SHL32r1
-    28655U,	// SHL32rCL
-    8444550U,	// SHL32ri
-    401616U,	// SHL64m1
-    405599U,	// SHL64mCL
-    18915536U,	// SHL64mi
-    24784U,	// SHL64r1
-    28767U,	// SHL64rCL
-    8446160U,	// SHL64ri
-    429886U,	// SHL8m1
-    438143U,	// SHL8mCL
-    23105342U,	// SHL8mi
-    20286U,	// SHL8r1
-    28543U,	// SHL8rCL
-    8441662U,	// SHL8ri
-    4239521U,	// SHLD16mrCL
-    319105780U,	// SHLD16mri8
-    8450209U,	// SHLD16rrCL
-    839232244U,	// SHLD16rri8
-    12627905U,	// SHLD32mrCL
-    4077197703U,	// SHLD32mri8
-    8449985U,	// SHLD32rrCL
-    839227783U,	// SHLD32rri8
-    18919473U,	// SHLD64mrCL
-    50667330U,	// SHLD64mri8
-    8450097U,	// SHLD64rrCL
-    839229250U,	// SHLD64rri8
-    832904458U,	// SHLX32rm
-    811654410U,	// SHLX32rr
-    835003228U,	// SHLX64rm
-    811656028U,	// SHLX64rr
-    273559U,	// SHR16m1
-    274683U,	// SHR16mCL
-    4238487U,	// SHR16mi
-    27799U,	// SHR16r1
-    28923U,	// SHR16rCL
-    8449175U,	// SHR16ri
-    285610U,	// SHR32m1
-    290843U,	// SHR32mCL
-    12622762U,	// SHR32mi
-    23466U,	// SHR32r1
-    28699U,	// SHR32rCL
-    8444842U,	// SHR32ri
-    401806U,	// SHR64m1
-    405643U,	// SHR64mCL
-    18915726U,	// SHR64mi
-    24974U,	// SHR64r1
-    28811U,	// SHR64rCL
-    8446350U,	// SHR64ri
-    429964U,	// SHR8m1
-    438187U,	// SHR8mCL
-    23105420U,	// SHR8mi
-    20364U,	// SHR8r1
-    28587U,	// SHR8rCL
-    8441740U,	// SHR8ri
-    4239533U,	// SHRD16mrCL
-    319105806U,	// SHRD16mri8
-    8450221U,	// SHRD16rrCL
-    839232270U,	// SHRD16rri8
-    12627917U,	// SHRD32mrCL
-    4077197726U,	// SHRD32mri8
-    8449997U,	// SHRD32rrCL
-    839227806U,	// SHRD32rri8
-    18919485U,	// SHRD64mrCL
-    50667435U,	// SHRD64mri8
-    8450109U,	// SHRD64rrCL
-    839229355U,	// SHRD64rri8
-    832904486U,	// SHRX32rm
-    811654438U,	// SHRX32rr
-    835003256U,	// SHRX64rm
-    811656056U,	// SHRX64rr
-    568677349U,	// SHUFPDrmi
-    839226341U,	// SHUFPDrri
-    568682025U,	// SHUFPSrmi
-    839231017U,	// SHUFPSrri
-    634298U,	// SIDT16m
-    629883U,	// SIDT32m
-    631383U,	// SIDT64m
-    14362U,	// SIN_F
+    430073U,	// SETBm
+    20473U,	// SETBr
+    432055U,	// SETEm
+    22455U,	// SETEr
+    431996U,	// SETGEm
+    22396U,	// SETGEr
+    432108U,	// SETGm
+    22508U,	// SETGr
+    432012U,	// SETLEm
+    22412U,	// SETLEr
+    433252U,	// SETLm
+    23652U,	// SETLr
+    432032U,	// SETNEm
+    22432U,	// SETNEr
+    433505U,	// SETNOm
+    23905U,	// SETNOr
+    433575U,	// SETNPm
+    23975U,	// SETNPr
+    435226U,	// SETNSm
+    25626U,	// SETNSr
+    433512U,	// SETOm
+    23912U,	// SETOr
+    433604U,	// SETPm
+    24004U,	// SETPr
+    436443U,	// SETSm
+    26843U,	// SETSr
+    13983U,	// SFENCE
+    634240U,	// SGDT16m
+    629825U,	// SGDT32m
+    631325U,	// SGDT64m
+    8686768U,	// SHA1MSG1rm
+    8441008U,	// SHA1MSG1rr
+    8686844U,	// SHA1MSG2rm
+    8441084U,	// SHA1MSG2rr
+    8689597U,	// SHA1NEXTErm
+    8443837U,	// SHA1NEXTErr
+    610618699U,	// SHA1RNDS4rmi
+    839224651U,	// SHA1RNDS4rri
+    8686778U,	// SHA256MSG1rm
+    8441018U,	// SHA256MSG1rr
+    8686854U,	// SHA256MSG2rm
+    8441094U,	// SHA256MSG2rr
+    8686866U,	// SHA256RNDS2rm
+    8441106U,	// SHA256RNDS2rr
+    224173U,	// SHL16m1
+    225443U,	// SHL16mCL
+    4238253U,	// SHL16mi
+    27565U,	// SHL16r1
+    28835U,	// SHL16rCL
+    8448941U,	// SHL16ri
+    236122U,	// SHL32m1
+    241603U,	// SHL32mCL
+    12622426U,	// SHL32mi
+    23130U,	// SHL32r1
+    28611U,	// SHL32rCL
+    8444506U,	// SHL32ri
+    401572U,	// SHL64m1
+    405555U,	// SHL64mCL
+    18915492U,	// SHL64mi
+    24740U,	// SHL64r1
+    28723U,	// SHL64rCL
+    8446116U,	// SHL64ri
+    429842U,	// SHL8m1
+    438099U,	// SHL8mCL
+    23105298U,	// SHL8mi
+    20242U,	// SHL8r1
+    28499U,	// SHL8rCL
+    8441618U,	// SHL8ri
+    4239477U,	// SHLD16mrCL
+    319105736U,	// SHLD16mri8
+    8450165U,	// SHLD16rrCL
+    839232200U,	// SHLD16rri8
+    12627861U,	// SHLD32mrCL
+    4077197659U,	// SHLD32mri8
+    8449941U,	// SHLD32rrCL
+    839227739U,	// SHLD32rri8
+    18919429U,	// SHLD64mrCL
+    50667286U,	// SHLD64mri8
+    8450053U,	// SHLD64rrCL
+    839229206U,	// SHLD64rri8
+    832904414U,	// SHLX32rm
+    811654366U,	// SHLX32rr
+    835003184U,	// SHLX64rm
+    811655984U,	// SHLX64rr
+    224363U,	// SHR16m1
+    225487U,	// SHR16mCL
+    4238443U,	// SHR16mi
+    27755U,	// SHR16r1
+    28879U,	// SHR16rCL
+    8449131U,	// SHR16ri
+    236414U,	// SHR32m1
+    241647U,	// SHR32mCL
+    12622718U,	// SHR32mi
+    23422U,	// SHR32r1
+    28655U,	// SHR32rCL
+    8444798U,	// SHR32ri
+    401762U,	// SHR64m1
+    405599U,	// SHR64mCL
+    18915682U,	// SHR64mi
+    24930U,	// SHR64r1
+    28767U,	// SHR64rCL
+    8446306U,	// SHR64ri
+    429920U,	// SHR8m1
+    438143U,	// SHR8mCL
+    23105376U,	// SHR8mi
+    20320U,	// SHR8r1
+    28543U,	// SHR8rCL
+    8441696U,	// SHR8ri
+    4239489U,	// SHRD16mrCL
+    319105762U,	// SHRD16mri8
+    8450177U,	// SHRD16rrCL
+    839232226U,	// SHRD16rri8
+    12627873U,	// SHRD32mrCL
+    4077197682U,	// SHRD32mri8
+    8449953U,	// SHRD32rrCL
+    839227762U,	// SHRD32rri8
+    18919441U,	// SHRD64mrCL
+    50667391U,	// SHRD64mri8
+    8450065U,	// SHRD64rrCL
+    839229311U,	// SHRD64rri8
+    832904442U,	// SHRX32rm
+    811654394U,	// SHRX32rr
+    835003212U,	// SHRX64rm
+    811656012U,	// SHRX64rr
+    568677305U,	// SHUFPDrmi
+    839226297U,	// SHUFPDrri
+    568681981U,	// SHUFPSrmi
+    839230973U,	// SHUFPSrri
+    634254U,	// SIDT16m
+    629839U,	// SIDT32m
+    631339U,	// SIDT64m
+    14318U,	// SIN_F
     0U,	// SIN_Fp32
     0U,	// SIN_Fp64
     0U,	// SIN_Fp80
-    15132U,	// SKINIT
-    273864U,	// SLDT16m
-    28104U,	// SLDT16r
-    23682U,	// SLDT32r
-    270942U,	// SLDT64m
-    25182U,	// SLDT64r
-    274028U,	// SMSW16m
-    28268U,	// SMSW16r
-    23788U,	// SMSW32r
-    25373U,	// SMSW64r
-    578698U,	// SQRTPDm
-    8541322U,	// SQRTPDr
-    583435U,	// SQRTPSm
-    8546059U,	// SQRTPSr
-    595507U,	// SQRTSDm
-    595507U,	// SQRTSDm_Int
-    8541747U,	// SQRTSDr
-    8541747U,	// SQRTSDr_Int
-    616647U,	// SQRTSSm
-    616647U,	// SQRTSSm_Int
-    8546503U,	// SQRTSSr
-    8546503U,	// SQRTSSr_Int
-    14967U,	// SQRT_F
+    15088U,	// SKINIT
+    224668U,	// SLDT16m
+    28060U,	// SLDT16r
+    23638U,	// SLDT32r
+    221746U,	// SLDT64m
+    25138U,	// SLDT64r
+    224832U,	// SMSW16m
+    28224U,	// SMSW16r
+    23744U,	// SMSW32r
+    25329U,	// SMSW64r
+    578654U,	// SQRTPDm
+    8655966U,	// SQRTPDr
+    583391U,	// SQRTPSm
+    8660703U,	// SQRTPSr
+    595463U,	// SQRTSDm
+    595463U,	// SQRTSDm_Int
+    8656391U,	// SQRTSDr
+    8656391U,	// SQRTSDr_Int
+    616603U,	// SQRTSSm
+    616603U,	// SQRTSSm_Int
+    8661147U,	// SQRTSSr
+    8661147U,	// SQRTSSr_Int
+    14923U,	// SQRT_F
     0U,	// SQRT_Fp32
     0U,	// SQRT_Fp64
     0U,	// SQRT_Fp80
-    14891U,	// SS_PREFIX
-    13912U,	// STAC
-    13966U,	// STC
-    13990U,	// STD
-    14155U,	// STGI
-    14170U,	// STI
-    287703U,	// STMXCSR
-    503623U,	// STOSB
-    520528U,	// STOSL
-    536964U,	// STOSQ
-    553247U,	// STOSW
-    27844U,	// STR16r
-    23503U,	// STR32r
-    25030U,	// STR64r
-    273604U,	// STRm
-    239885U,	// ST_F32m
-    253116U,	// ST_F64m
-    239397U,	// ST_FP32m
-    252752U,	// ST_FP64m
-    715087U,	// ST_FP80m
-    13401U,	// ST_FPNCE
-    13424U,	// ST_FPr0r7
-    24061U,	// ST_FPrr
+    13868U,	// STAC
+    13922U,	// STC
+    13946U,	// STD
+    14111U,	// STGI
+    14126U,	// STI
+    238507U,	// STMXCSR
+    503579U,	// STOSB
+    520484U,	// STOSL
+    536920U,	// STOSQ
+    553203U,	// STOSW
+    27800U,	// STR16r
+    23459U,	// STR32r
+    24986U,	// STR64r
+    224408U,	// STRm
+    190689U,	// ST_F32m
+    203920U,	// ST_F64m
+    190201U,	// ST_FP32m
+    203556U,	// ST_FP64m
+    715043U,	// ST_FP80m
+    13357U,	// ST_FPNCE
+    13380U,	// ST_FPr0r7
+    24017U,	// ST_FPrr
     0U,	// ST_Fp32m
     0U,	// ST_Fp64m
     0U,	// ST_Fp64m32
@@ -2781,52 +2775,52 @@
     0U,	// ST_FpP80m
     0U,	// ST_FpP80m32
     0U,	// ST_FpP80m64
-    27017U,	// ST_Frr
-    2124408U,	// SUB16i16
-    4237944U,	// SUB16mi
-    4237944U,	// SUB16mi8
-    4237944U,	// SUB16mr
-    6351480U,	// SUB16ri
-    6351480U,	// SUB16ri8
-    6367864U,	// SUB16rm
-    6351480U,	// SUB16rr
-    8448632U,	// SUB16rr_REV
-    10508579U,	// SUB32i32
-    12622115U,	// SUB32mi
-    12622115U,	// SUB32mi8
-    12622115U,	// SUB32mr
-    6347043U,	// SUB32ri
-    6347043U,	// SUB32ri8
-    283203875U,	// SUB32rm
-    6347043U,	// SUB32rr
-    8444195U,	// SUB32rr_REV
-    16801414U,	// SUB64i32
-    18914950U,	// SUB64mi32
-    18914950U,	// SUB64mi8
-    18914950U,	// SUB64mr
-    6348422U,	// SUB64ri32
-    6348422U,	// SUB64ri8
-    283221638U,	// SUB64rm
-    6348422U,	// SUB64rr
-    8445574U,	// SUB64rr_REV
-    20991699U,	// SUB8i8
-    23105235U,	// SUB8mi
-    23105235U,	// SUB8mr
-    6344403U,	// SUB8ri
-    6344403U,	// SUB8ri8
-    118483U,	// SUB8rm
-    6344403U,	// SUB8rr
-    8441555U,	// SUB8rr_REV
-    8573777U,	// SUBPDrm
-    8442705U,	// SUBPDrr
-    8578453U,	// SUBPSrm
-    8447381U,	// SUBPSrr
-    239478U,	// SUBR_F32m
-    252819U,	// SUBR_F64m
-    272254U,	// SUBR_FI16m
-    285595U,	// SUBR_FI32m
-    23962U,	// SUBR_FPrST0
-    25488U,	// SUBR_FST0r
+    26973U,	// ST_Frr
+    2124364U,	// SUB16i16
+    4237900U,	// SUB16mi
+    4237900U,	// SUB16mi8
+    4237900U,	// SUB16mr
+    6351436U,	// SUB16ri
+    6351436U,	// SUB16ri8
+    6367820U,	// SUB16rm
+    6351436U,	// SUB16rr
+    8448588U,	// SUB16rr_REV
+    10508535U,	// SUB32i32
+    12622071U,	// SUB32mi
+    12622071U,	// SUB32mi8
+    12622071U,	// SUB32mr
+    6346999U,	// SUB32ri
+    6346999U,	// SUB32ri8
+    283203831U,	// SUB32rm
+    6346999U,	// SUB32rr
+    8444151U,	// SUB32rr_REV
+    16801370U,	// SUB64i32
+    18914906U,	// SUB64mi32
+    18914906U,	// SUB64mi8
+    18914906U,	// SUB64mr
+    6348378U,	// SUB64ri32
+    6348378U,	// SUB64ri8
+    283221594U,	// SUB64rm
+    6348378U,	// SUB64rr
+    8445530U,	// SUB64rr_REV
+    20991655U,	// SUB8i8
+    23105191U,	// SUB8mi
+    23105191U,	// SUB8mr
+    6344359U,	// SUB8ri
+    6344359U,	// SUB8ri8
+    118439U,	// SUB8rm
+    6344359U,	// SUB8rr
+    8441511U,	// SUB8rr_REV
+    8524581U,	// SUBPDrm
+    8442661U,	// SUBPDrr
+    8529257U,	// SUBPSrm
+    8447337U,	// SUBPSrr
+    190282U,	// SUBR_F32m
+    203623U,	// SUBR_F64m
+    223058U,	// SUBR_FI16m
+    236399U,	// SUBR_FI32m
+    23918U,	// SUBR_FPrST0
+    25444U,	// SUBR_FST0r
     0U,	// SUBR_Fp32m
     0U,	// SUBR_Fp64m
     0U,	// SUBR_Fp64m32
@@ -2838,21 +2832,21 @@
     0U,	// SUBR_FpI32m32
     0U,	// SUBR_FpI32m64
     0U,	// SUBR_FpI32m80
-    28387U,	// SUBR_FrST0
-    551753140U,	// SUBSDrm
-    551753140U,	// SUBSDrm_Int
-    8443316U,	// SUBSDrr
-    8443316U,	// SUBSDrr_Int
-    551774280U,	// SUBSSrm
-    551774280U,	// SUBSSrm_Int
-    8448072U,	// SUBSSrr
-    8448072U,	// SUBSSrr_Int
-    238574U,	// SUB_F32m
-    252194U,	// SUB_F64m
-    271349U,	// SUB_FI16m
-    284969U,	// SUB_FI32m
-    24032U,	// SUB_FPrST0
-    20587U,	// SUB_FST0r
+    28343U,	// SUBR_FrST0
+    551703944U,	// SUBSDrm
+    551703944U,	// SUBSDrm_Int
+    8443272U,	// SUBSDrr
+    8443272U,	// SUBSDrr_Int
+    551725084U,	// SUBSSrm
+    551725084U,	// SUBSSrm_Int
+    8448028U,	// SUBSSrr
+    8448028U,	// SUBSSrr_Int
+    189378U,	// SUB_F32m
+    202998U,	// SUB_F64m
+    222153U,	// SUB_FI16m
+    235773U,	// SUB_FI32m
+    23988U,	// SUB_FPrST0
+    20543U,	// SUB_FST0r
     0U,	// SUB_Fp32
     0U,	// SUB_Fp32m
     0U,	// SUB_Fp64
@@ -2867,1768 +2861,1800 @@
     0U,	// SUB_FpI32m32
     0U,	// SUB_FpI32m64
     0U,	// SUB_FpI32m80
-    28429U,	// SUB_FrST0
-    14802U,	// SWAPGS
-    14248U,	// SYSCALL
-    14540U,	// SYSENTER
-    14305U,	// SYSEXIT
-    14515U,	// SYSEXIT64
-    14297U,	// SYSRET
-    14507U,	// SYSRET64
-    551686361U,	// T1MSKC32rm
-    8540377U,	// T1MSKC32rr
-    551719129U,	// T1MSKC64rm
-    8540377U,	// T1MSKC64rr
-    80108986U,	// TAILJMPd
-    80108986U,	// TAILJMPd64
-    79983758U,	// TAILJMPm
-    80098463U,	// TAILJMPm64
+    28385U,	// SUB_FrST0
+    14758U,	// SWAPGS
+    14204U,	// SYSCALL
+    14496U,	// SYSENTER
+    14261U,	// SYSEXIT
+    14471U,	// SYSEXIT64
+    14253U,	// SYSRET
+    14463U,	// SYSRET64
+    551801005U,	// T1MSKC32rm
+    8655021U,	// T1MSKC32rr
+    551833773U,	// T1MSKC64rm
+    8655021U,	// T1MSKC64rr
+    80108942U,	// TAILJMPd
+    80108942U,	// TAILJMPd64
+    79934562U,	// TAILJMPm
+    80098419U,	// TAILJMPm64
     0U,	// TAILJMPr
-    79721631U,	// TAILJMPr64
+    79721587U,	// TAILJMPr64
     0U,	// TCRETURNdi
     0U,	// TCRETURNdi64
     0U,	// TCRETURNmi
     0U,	// TCRETURNmi64
     0U,	// TCRETURNri
     0U,	// TCRETURNri64
-    2125333U,	// TEST16i16
-    4238869U,	// TEST16mi
-    4238869U,	// TEST16mi_alt
-    8547861U,	// TEST16ri
-    8547861U,	// TEST16ri_alt
-    4238869U,	// TEST16rm
-    8547861U,	// TEST16rr
-    10509493U,	// TEST32i32
-    12623029U,	// TEST32mi
-    12623029U,	// TEST32mi_alt
-    8543413U,	// TEST32ri
-    8543413U,	// TEST32ri_alt
-    12623029U,	// TEST32rm
-    8543413U,	// TEST32rr
-    16802503U,	// TEST64i32
-    18916039U,	// TEST64mi32
-    18916039U,	// TEST64mi32_alt
-    8544967U,	// TEST64ri32
-    8544967U,	// TEST64ri32_alt
-    18916039U,	// TEST64rm
-    8544967U,	// TEST64rr
-    20992081U,	// TEST8i8
-    23105617U,	// TEST8mi
-    23105617U,	// TEST8mi_alt
-    8540241U,	// TEST8ri
+    2125289U,	// TEST16i16
+    4238825U,	// TEST16mi
+    4238825U,	// TEST16mi_alt
+    8662505U,	// TEST16ri
+    8662505U,	// TEST16ri_alt
+    4238825U,	// TEST16rm
+    8662505U,	// TEST16rr
+    10509449U,	// TEST32i32
+    12622985U,	// TEST32mi
+    12622985U,	// TEST32mi_alt
+    8658057U,	// TEST32ri
+    8658057U,	// TEST32ri_alt
+    12622985U,	// TEST32rm
+    8658057U,	// TEST32rr
+    16802459U,	// TEST64i32
+    18915995U,	// TEST64mi32
+    18915995U,	// TEST64mi32_alt
+    8659611U,	// TEST64ri32
+    8659611U,	// TEST64ri32_alt
+    18915995U,	// TEST64rm
+    8659611U,	// TEST64rr
+    20992037U,	// TEST8i8
+    23105573U,	// TEST8mi
+    23105573U,	// TEST8mi_alt
+    8654885U,	// TEST8ri
     0U,	// TEST8ri_NOREX
-    8540241U,	// TEST8ri_alt
-    23105617U,	// TEST8rm
-    8540241U,	// TEST8rr
-    13569U,	// TLSCall_32
-    13673U,	// TLSCall_64
-    13582U,	// TLS_addr32
-    13686U,	// TLS_addr64
-    13595U,	// TLS_base_addr32
-    13699U,	// TLS_base_addr64
-    13613U,	// TRAP
-    14979U,	// TST_F
+    8654885U,	// TEST8ri_alt
+    23105573U,	// TEST8rm
+    8654885U,	// TEST8rr
+    13525U,	// TLSCall_32
+    13629U,	// TLSCall_64
+    13538U,	// TLS_addr32
+    13642U,	// TLS_addr64
+    13551U,	// TLS_base_addr32
+    13655U,	// TLS_base_addr64
+    13569U,	// TRAP
+    14935U,	// TST_F
     0U,	// TST_Fp32
     0U,	// TST_Fp64
     0U,	// TST_Fp80
-    388593U,	// TZCNT16rm
-    8547825U,	// TZCNT16rr
-    551689383U,	// TZCNT32rm
-    8543399U,	// TZCNT32rr
-    551723655U,	// TZCNT64rm
-    8544903U,	// TZCNT64rr
-    551688439U,	// TZMSK32rm
-    8542455U,	// TZMSK32rr
-    551721207U,	// TZMSK64rm
-    8542455U,	// TZMSK64rr
-    595446U,	// UCOMISDrm
-    8541686U,	// UCOMISDrr
-    616586U,	// UCOMISSrm
-    8546442U,	// UCOMISSrr
-    22688U,	// UCOM_FIPr
-    22630U,	// UCOM_FIr
-    14438U,	// UCOM_FPPr
-    24006U,	// UCOM_FPr
+    388549U,	// TZCNT16rm
+    8662469U,	// TZCNT16rr
+    551804027U,	// TZCNT32rm
+    8658043U,	// TZCNT32rr
+    551838299U,	// TZCNT64rm
+    8659547U,	// TZCNT64rr
+    551803083U,	// TZMSK32rm
+    8657099U,	// TZMSK32rr
+    551835851U,	// TZMSK64rm
+    8657099U,	// TZMSK64rr
+    595402U,	// UCOMISDrm
+    8656330U,	// UCOMISDrr
+    616542U,	// UCOMISSrm
+    8661086U,	// UCOMISSrr
+    22644U,	// UCOM_FIPr
+    22586U,	// UCOM_FIr
+    14394U,	// UCOM_FPPr
+    23962U,	// UCOM_FPr
     0U,	// UCOM_FpIr32
     0U,	// UCOM_FpIr64
     0U,	// UCOM_FpIr80
     0U,	// UCOM_Fpr32
     0U,	// UCOM_Fpr64
     0U,	// UCOM_Fpr80
-    23871U,	// UCOM_Fr
-    13846U,	// UD2B
-    8573934U,	// UNPCKHPDrm
-    8442862U,	// UNPCKHPDrr
-    8578610U,	// UNPCKHPSrm
-    8447538U,	// UNPCKHPSrr
-    8573976U,	// UNPCKLPDrm
-    8442904U,	// UNPCKLPDrr
-    8578672U,	// UNPCKLPSrm
-    8447600U,	// UNPCKLPSrr
-    551580234U,	// VAARG_64
-    812520372U,	// VADDPDYrm
-    811652020U,	// VADDPDYrr
+    23827U,	// UCOM_Fr
+    13802U,	// UD2B
+    8524738U,	// UNPCKHPDrm
+    8442818U,	// UNPCKHPDrr
+    8529414U,	// UNPCKHPSrm
+    8447494U,	// UNPCKHPSrr
+    8524780U,	// UNPCKLPDrm
+    8442860U,	// UNPCKLPDrr
+    8529476U,	// UNPCKLPSrm
+    8447556U,	// UNPCKLPSrr
+    551580190U,	// VAARG_64
+    812520328U,	// VADDPDYrm
+    811651976U,	// VADDPDYrr
     812532486U,	// VADDPDZrm
-    350421766U,	// VADDPDZrmb
+    350372614U,	// VADDPDZrmb
     1424917254U,	// VADDPDZrmbk
     1424917254U,	// VADDPDZrmbkz
-    839832500U,	// VADDPDZrmk
-    839832500U,	// VADDPDZrmkz
+    839832456U,	// VADDPDZrmk
+    839832456U,	// VADDPDZrmkz
     811647750U,	// VADDPDZrr
     839222022U,	// VADDPDZrrk
     839222022U,	// VADDPDZrrkz
-    811783092U,	// VADDPDrm
-    811652020U,	// VADDPDrr
-    812525048U,	// VADDPSYrm
-    811656696U,	// VADDPSYrr
-    812534449U,	// VADDPSZrm
-    352537265U,	// VADDPSZrmb
-    1427049137U,	// VADDPSZrmbk
-    1427049137U,	// VADDPSZrmbkz
-    839837176U,	// VADDPSZrmk
-    839837176U,	// VADDPSZrmkz
-    811649713U,	// VADDPSZrr
-    839223985U,	// VADDPSZrrk
-    839223985U,	// VADDPSZrrkz
-    811787768U,	// VADDPSrm
-    811656696U,	// VADDPSrr
-    283317731U,	// VADDSDZrm
-    811652579U,	// VADDSDZrr
-    283317731U,	// VADDSDrm
-    283317731U,	// VADDSDrm_Int
-    811652579U,	// VADDSDrr
-    811652579U,	// VADDSDrr_Int
-    283338871U,	// VADDSSZrm
-    811657335U,	// VADDSSZrr
-    283338871U,	// VADDSSrm
-    283338871U,	// VADDSSrm_Int
-    811657335U,	// VADDSSrr
-    811657335U,	// VADDSSrr_Int
-    812520280U,	// VADDSUBPDYrm
-    811651928U,	// VADDSUBPDYrr
-    811783000U,	// VADDSUBPDrm
-    811651928U,	// VADDSUBPDrr
-    812524956U,	// VADDSUBPSYrm
-    811656604U,	// VADDSUBPSYrr
-    811787676U,	// VADDSUBPSrm
-    811656604U,	// VADDSUBPSrr
-    811903335U,	// VAESDECLASTrm
-    811657575U,	// VAESDECLASTrr
-    811897026U,	// VAESDECrm
-    811651266U,	// VAESDECrr
-    811903348U,	// VAESENCLASTrm
-    811657588U,	// VAESENCLASTrr
-    811897066U,	// VAESENCrm
-    811651306U,	// VAESENCrr
-    315617U,	// VAESIMCrm
-    8540385U,	// VAESIMCrr
-    25504142U,	// VAESKEYGENASSIST128rm
-    811657614U,	// VAESKEYGENASSIST128rr
+    811733896U,	// VADDPDrm
+    811651976U,	// VADDPDrr
+    812525004U,	// VADDPSYrm
+    811656652U,	// VADDPSYrr
+    812534405U,	// VADDPSZrm
+    352488069U,	// VADDPSZrmb
+    1427049093U,	// VADDPSZrmbk
+    1427049093U,	// VADDPSZrmbkz
+    839837132U,	// VADDPSZrmk
+    839837132U,	// VADDPSZrmkz
+    811649669U,	// VADDPSZrr
+    839223941U,	// VADDPSZrrk
+    839223941U,	// VADDPSZrrkz
+    811738572U,	// VADDPSrm
+    811656652U,	// VADDPSrr
+    283268535U,	// VADDSDZrm
+    811652535U,	// VADDSDZrr
+    283268535U,	// VADDSDrm
+    283268535U,	// VADDSDrm_Int
+    811652535U,	// VADDSDrr
+    811652535U,	// VADDSDrr_Int
+    283289675U,	// VADDSSZrm
+    811657291U,	// VADDSSZrr
+    283289675U,	// VADDSSrm
+    283289675U,	// VADDSSrm_Int
+    811657291U,	// VADDSSrr
+    811657291U,	// VADDSSrr_Int
+    812520236U,	// VADDSUBPDYrm
+    811651884U,	// VADDSUBPDYrr
+    811733804U,	// VADDSUBPDrm
+    811651884U,	// VADDSUBPDrr
+    812524912U,	// VADDSUBPSYrm
+    811656560U,	// VADDSUBPSYrr
+    811738480U,	// VADDSUBPSrm
+    811656560U,	// VADDSUBPSrr
+    811903291U,	// VAESDECLASTrm
+    811657531U,	// VAESDECLASTrr
+    811896982U,	// VAESDECrm
+    811651222U,	// VAESDECrr
+    811903304U,	// VAESENCLASTrm
+    811657544U,	// VAESENCLASTrr
+    811897022U,	// VAESENCrm
+    811651262U,	// VAESENCrr
+    315573U,	// VAESIMCrm
+    8655029U,	// VAESIMCrr
+    25504098U,	// VAESKEYGENASSIST128rm
+    811657570U,	// VAESKEYGENASSIST128rr
     354763148U,	// VALIGNDrmi
     839221644U,	// VALIGNDrri
     88113548U,	// VALIGNDrrik
     839500172U,	// VALIGNDrrikz
-    354764835U,	// VALIGNQrmi
-    839223331U,	// VALIGNQrri
-    88115235U,	// VALIGNQrrik
-    839501859U,	// VALIGNQrrikz
-    812520516U,	// VANDNPDYrm
-    811652164U,	// VANDNPDYrr
-    811783236U,	// VANDNPDrm
-    811652164U,	// VANDNPDrr
-    812525221U,	// VANDNPSYrm
-    811656869U,	// VANDNPSYrr
-    811787941U,	// VANDNPSrm
-    811656869U,	// VANDNPSrr
-    812520380U,	// VANDPDYrm
-    811652028U,	// VANDPDYrr
-    811783100U,	// VANDPDrm
-    811652028U,	// VANDPDrr
-    812525056U,	// VANDPSYrm
-    811656704U,	// VANDPSYrr
-    811787776U,	// VANDPSrm
-    811656704U,	// VANDPSrr
-    283144826U,	// VASTART_SAVE_XMM_REGS
+    354764813U,	// VALIGNQrmi
+    839223309U,	// VALIGNQrri
+    88115213U,	// VALIGNQrrik
+    839501837U,	// VALIGNQrrikz
+    812520472U,	// VANDNPDYrm
+    811652120U,	// VANDNPDYrr
+    811734040U,	// VANDNPDrm
+    811652120U,	// VANDNPDrr
+    812525177U,	// VANDNPSYrm
+    811656825U,	// VANDNPSYrr
+    811738745U,	// VANDNPSrm
+    811656825U,	// VANDNPSrr
+    812520336U,	// VANDPDYrm
+    811651984U,	// VANDPDYrr
+    811733904U,	// VANDPDrm
+    811651984U,	// VANDPDrr
+    812525012U,	// VANDPSYrm
+    811656660U,	// VANDPSYrr
+    811738580U,	// VANDPSrm
+    811656660U,	// VANDPSrr
+    283144782U,	// VASTART_SAVE_XMM_REGS
     839828311U,	// VBLENDMPDZrm
     839222103U,	// VBLENDMPDZrr
-    839830274U,	// VBLENDMPSZrm
-    839224066U,	// VBLENDMPSZrr
-    90526660U,	// VBLENDPDYrmi
-    839226308U,	// VBLENDPDYrri
-    300241860U,	// VBLENDPDrmi
-    839226308U,	// VBLENDPDrri
-    90531336U,	// VBLENDPSYrmi
-    839230984U,	// VBLENDPSYrri
-    300246536U,	// VBLENDPSrmi
-    839230984U,	// VBLENDPSrri
-    90526884U,	// VBLENDVPDYrm
-    839226532U,	// VBLENDVPDYrr
-    300242084U,	// VBLENDVPDrm
-    839226532U,	// VBLENDVPDrr
-    90531654U,	// VBLENDVPSYrm
-    839231302U,	// VBLENDVPSYrr
-    300246854U,	// VBLENDVPSrm
-    839231302U,	// VBLENDVPSrr
-    577064U,	// VBROADCASTF128
-    314975U,	// VBROADCASTI128
+    839830230U,	// VBLENDMPSZrm
+    839224022U,	// VBLENDMPSZrr
+    90526616U,	// VBLENDPDYrmi
+    839226264U,	// VBLENDPDYrri
+    300241816U,	// VBLENDPDrmi
+    839226264U,	// VBLENDPDrri
+    90531292U,	// VBLENDPSYrmi
+    839230940U,	// VBLENDPSYrri
+    300246492U,	// VBLENDPSrmi
+    839230940U,	// VBLENDPSrri
+    90526840U,	// VBLENDVPDYrm
+    839226488U,	// VBLENDVPDYrr
+    300242040U,	// VBLENDVPDrm
+    839226488U,	// VBLENDVPDrr
+    90531610U,	// VBLENDVPSYrm
+    839231258U,	// VBLENDVPSYrr
+    300246810U,	// VBLENDVPSrm
+    839231258U,	// VBLENDVPSrr
+    577020U,	// VBROADCASTF128
+    314931U,	// VBROADCASTI128
     813989889U,	// VBROADCASTI32X4krm
     311297U,	// VBROADCASTI32X4rm
     814694419U,	// VBROADCASTI64X4krm
     1015827U,	// VBROADCASTI64X4rm
-    595515U,	// VBROADCASTSDYrm
-    8541755U,	// VBROADCASTSDYrr
-    591081U,	// VBROADCASTSDZrm
-    8537321U,	// VBROADCASTSDZrr
-    616664U,	// VBROADCASTSSYrm
-    8546520U,	// VBROADCASTSSYrr
-    609297U,	// VBROADCASTSSZrm
-    8539153U,	// VBROADCASTSSZrr
-    616664U,	// VBROADCASTSSrm
-    8546520U,	// VBROADCASTSSrr
-    1112160341U,	// VCMPPDYrmi
-    90526812U,	// VCMPPDYrmi_alt
-    1380612181U,	// VCMPPDYrri
-    839226460U,	// VCMPPDYrri_alt
-    1435121749U,	// VCMPPDZrmi
+    595471U,	// VBROADCASTSDYrm
+    8656399U,	// VBROADCASTSDYrr
+    591070U,	// VBROADCASTSDZrm
+    8651998U,	// VBROADCASTSDZrr
+    616620U,	// VBROADCASTSSYrm
+    8661164U,	// VBROADCASTSSYrr
+    609253U,	// VBROADCASTSSZrm
+    8653797U,	// VBROADCASTSSZrr
+    616620U,	// VBROADCASTSSrm
+    8661164U,	// VBROADCASTSSrr
+    1112160297U,	// VCMPPDYrmi
+    90526768U,	// VCMPPDYrmi_alt
+    1380612137U,	// VCMPPDYrri
+    839226416U,	// VCMPPDYrri_alt
+    1435121705U,	// VCMPPDZrmi
     94716790U,	// VCMPPDZrmi_alt
-    1435138133U,	// VCMPPDZrri
+    1435138089U,	// VCMPPDZrri
     839222134U,	// VCMPPDZrri_alt
-    97155157U,	// VCMPPDZrrib
-    1112160341U,	// VCMPPDrmi
-    300242012U,	// VCMPPDrmi_alt
-    1380612181U,	// VCMPPDrri
-    839226460U,	// VCMPPDrri_alt
-    1114257493U,	// VCMPPSYrmi
-    90531525U,	// VCMPPSYrmi_alt
-    1382709333U,	// VCMPPSYrri
-    839231173U,	// VCMPPSYrri_alt
-    1441413205U,	// VCMPPSZrmi
-    94718753U,	// VCMPPSZrmi_alt
-    1441429589U,	// VCMPPSZrri
-    839224097U,	// VCMPPSZrri_alt
-    101349461U,	// VCMPPSZrrib
-    1114257493U,	// VCMPPSrmi
-    300246725U,	// VCMPPSrmi_alt
-    1382709333U,	// VCMPPSrri
-    839231173U,	// VCMPPSrri_alt
-    1921661013U,	// VCMPSDZrm
-    312825377U,	// VCMPSDZrmi_alt
-    1384806485U,	// VCMPSDZrr
-    839226913U,	// VCMPSDZrri_alt
-    1921661013U,	// VCMPSDrm
-    312825377U,	// VCMPSDrm_alt
-    1384806485U,	// VCMPSDrr
-    839226913U,	// VCMPSDrr_alt
-    2731161685U,	// VCMPSSZrm
-    317024436U,	// VCMPSSZrmi_alt
-    1389000789U,	// VCMPSSZrr
-    839231668U,	// VCMPSSZrri_alt
-    2731161685U,	// VCMPSSrm
-    317024436U,	// VCMPSSrm_alt
-    1389000789U,	// VCMPSSrr
-    839231668U,	// VCMPSSrr_alt
-    579071U,	// VCOMISDZrm
-    8541695U,	// VCOMISDZrr
-    579071U,	// VCOMISDrm
-    8541695U,	// VCOMISDrr
-    583827U,	// VCOMISSZrm
-    8546451U,	// VCOMISSZrr
-    583827U,	// VCOMISSrm
-    8546451U,	// VCOMISSrr
-    316110U,	// VCVTDQ2PDYrm
-    8540878U,	// VCVTDQ2PDYrr
+    97155113U,	// VCMPPDZrrib
+    1112160297U,	// VCMPPDrmi
+    300241968U,	// VCMPPDrmi_alt
+    1380612137U,	// VCMPPDrri
+    839226416U,	// VCMPPDrri_alt
+    1114257449U,	// VCMPPSYrmi
+    90531481U,	// VCMPPSYrmi_alt
+    1382709289U,	// VCMPPSYrri
+    839231129U,	// VCMPPSYrri_alt
+    1441413161U,	// VCMPPSZrmi
+    94718709U,	// VCMPPSZrmi_alt
+    1441429545U,	// VCMPPSZrri
+    839224053U,	// VCMPPSZrri_alt
+    101349417U,	// VCMPPSZrrib
+    1114257449U,	// VCMPPSrmi
+    300246681U,	// VCMPPSrmi_alt
+    1382709289U,	// VCMPPSrri
+    839231129U,	// VCMPPSrri_alt
+    1921660969U,	// VCMPSDZrm
+    312825333U,	// VCMPSDZrmi_alt
+    1384806441U,	// VCMPSDZrr
+    839226869U,	// VCMPSDZrri_alt
+    1921660969U,	// VCMPSDrm
+    312825333U,	// VCMPSDrm_alt
+    1384806441U,	// VCMPSDrr
+    839226869U,	// VCMPSDrr_alt
+    2731161641U,	// VCMPSSZrm
+    317024392U,	// VCMPSSZrmi_alt
+    1389000745U,	// VCMPSSZrr
+    839231624U,	// VCMPSSZrri_alt
+    2731161641U,	// VCMPSSrm
+    317024392U,	// VCMPSSrm_alt
+    1389000745U,	// VCMPSSrr
+    839231624U,	// VCMPSSrr_alt
+    579027U,	// VCOMISDZrm
+    8656339U,	// VCOMISDZrr
+    579027U,	// VCOMISDrm
+    8656339U,	// VCOMISDrr
+    583783U,	// VCOMISSZrm
+    8661095U,	// VCOMISSZrr
+    583783U,	// VCOMISSrm
+    8661095U,	// VCOMISSrr
+    316066U,	// VCVTDQ2PDYrm
+    8655522U,	// VCVTDQ2PDYrr
     1016318U,	// VCVTDQ2PDZrm
-    8536574U,	// VCVTDQ2PDZrr
-    551719630U,	// VCVTDQ2PDrm
-    8540878U,	// VCVTDQ2PDrr
-    1025317U,	// VCVTDQ2PSYrm
-    8545573U,	// VCVTDQ2PSYrr
-    1034677U,	// VCVTDQ2PSZrm
-    8538549U,	// VCVTDQ2PSZrr
-    1051061U,	// VCVTDQ2PSZrrb
-    320805U,	// VCVTDQ2PSrm
-    8545573U,	// VCVTDQ2PSrr
-    585362U,	// VCVTPD2DQXrm
-    1076919U,	// VCVTPD2DQYrm
-    8548023U,	// VCVTPD2DQYrr
-    1083076U,	// VCVTPD2DQZrm
-    8537796U,	// VCVTPD2DQZrr
-    1050308U,	// VCVTPD2DQZrrb
-    8543958U,	// VCVTPD2DQrr
-    585374U,	// VCVTPD2PSXrm
-    1076931U,	// VCVTPD2PSYrm
-    8548035U,	// VCVTPD2PSYrr
-    1083805U,	// VCVTPD2PSZrm
-    8538525U,	// VCVTPD2PSZrr
-    1051037U,	// VCVTPD2PSZrrb
-    8545529U,	// VCVTPD2PSrr
-    1083271U,	// VCVTPD2UDQZrm
-    8537991U,	// VCVTPD2UDQZrr
-    1050503U,	// VCVTPD2UDQZrrb
-    582916U,	// VCVTPH2PSYrm
-    8545540U,	// VCVTPH2PSYrr
-    1074436U,	// VCVTPH2PSZrm
-    8545540U,	// VCVTPH2PSZrr
-    599300U,	// VCVTPH2PSrm
-    8545540U,	// VCVTPH2PSrr
-    1072886U,	// VCVTPS2DQYrm
-    8543990U,	// VCVTPS2DQYrr
-    1083101U,	// VCVTPS2DQZrm
-    8537821U,	// VCVTPS2DQZrr
-    1050333U,	// VCVTPS2DQZrrb
-    581366U,	// VCVTPS2DQrm
-    8543990U,	// VCVTPS2DQrr
-    578265U,	// VCVTPS2PDYrm
-    8540889U,	// VCVTPS2PDYrr
+    8651262U,	// VCVTDQ2PDZrr
+    551834274U,	// VCVTDQ2PDrm
+    8655522U,	// VCVTDQ2PDrr
+    1025273U,	// VCVTDQ2PSYrm
+    8660217U,	// VCVTDQ2PSYrr
+    1034633U,	// VCVTDQ2PSZrm
+    8653193U,	// VCVTDQ2PSZrr
+    1051017U,	// VCVTDQ2PSZrrb
+    320761U,	// VCVTDQ2PSrm
+    8660217U,	// VCVTDQ2PSrr
+    585318U,	// VCVTPD2DQXrm
+    1076875U,	// VCVTPD2DQYrm
+    8662667U,	// VCVTPD2DQYrr
+    1083054U,	// VCVTPD2DQZrm
+    8652462U,	// VCVTPD2DQZrr
+    1050286U,	// VCVTPD2DQZrrb
+    8658602U,	// VCVTPD2DQrr
+    585330U,	// VCVTPD2PSXrm
+    1076887U,	// VCVTPD2PSYrm
+    8662679U,	// VCVTPD2PSYrr
+    1083761U,	// VCVTPD2PSZrm
+    8653169U,	// VCVTPD2PSZrr
+    1050993U,	// VCVTPD2PSZrrb
+    8660173U,	// VCVTPD2PSrr
+    1083249U,	// VCVTPD2UDQZrm
+    8652657U,	// VCVTPD2UDQZrr
+    1050481U,	// VCVTPD2UDQZrrb
+    582872U,	// VCVTPH2PSYrm
+    8660184U,	// VCVTPH2PSYrr
+    1074392U,	// VCVTPH2PSZrm
+    8660184U,	// VCVTPH2PSZrr
+    599256U,	// VCVTPH2PSrm
+    8660184U,	// VCVTPH2PSrr
+    1072842U,	// VCVTPS2DQYrm
+    8658634U,	// VCVTPS2DQYrr
+    1083079U,	// VCVTPS2DQZrm
+    8652487U,	// VCVTPS2DQZrr
+    1050311U,	// VCVTPS2DQZrrb
+    581322U,	// VCVTPS2DQrm
+    8658634U,	// VCVTPS2DQrr
+    578221U,	// VCVTPS2PDYrm
+    8655533U,	// VCVTPS2PDYrr
     1065495U,	// VCVTPS2PDZrm
-    8536599U,	// VCVTPS2PDZrr
-    594649U,	// VCVTPS2PDrm
-    8540889U,	// VCVTPS2PDrr
-    1661278254U,	// VCVTPS2PHYmr
-    811653166U,	// VCVTPS2PHYrr
-    1929708952U,	// VCVTPS2PHZmr
-    811648408U,	// VCVTPS2PHZrr
-    2198149166U,	// VCVTPS2PHmr
-    811653166U,	// VCVTPS2PHrr
-    1083298U,	// VCVTPS2UDQZrm
-    8538018U,	// VCVTPS2UDQZrr
-    1050530U,	// VCVTPS2UDQZrrb
-    591281U,	// VCVTSD2SI64Zrm
-    8537521U,	// VCVTSD2SI64Zrr
-    596173U,	// VCVTSD2SI64rm
-    8542413U,	// VCVTSD2SI64rr
-    591281U,	// VCVTSD2SIZrm
-    8537521U,	// VCVTSD2SIZrr
-    596173U,	// VCVTSD2SIrm
-    8542413U,	// VCVTSD2SIrr
-    283322372U,	// VCVTSD2SSZrm
-    811657220U,	// VCVTSD2SSZrr
-    283322372U,	// VCVTSD2SSrm
-    811657220U,	// VCVTSD2SSrr
-    591332U,	// VCVTSD2USI64Zrm
-    8537572U,	// VCVTSD2USI64Zrr
-    591332U,	// VCVTSD2USIZrm
-    8537572U,	// VCVTSD2USIZrr
-    283221938U,	// VCVTSI2SD64rm
-    811655090U,	// VCVTSI2SD64rr
-    283198988U,	// VCVTSI2SDZrm
-    811648524U,	// VCVTSI2SDZrr
-    283204005U,	// VCVTSI2SDrm
-    811653541U,	// VCVTSI2SDrr
-    283222544U,	// VCVTSI2SS64rm
-    811655696U,	// VCVTSI2SS64rr
-    283199015U,	// VCVTSI2SSZrm
-    811648551U,	// VCVTSI2SSZrr
-    283204653U,	// VCVTSI2SSrm
-    811654189U,	// VCVTSI2SSrr
-    283215710U,	// VCVTSI642SDZrm
-    811648862U,	// VCVTSI642SDZrr
-    283216015U,	// VCVTSI642SSZrm
-    811649167U,	// VCVTSI642SSZrr
-    283333992U,	// VCVTSS2SDZrm
-    811652456U,	// VCVTSS2SDZrr
-    283333992U,	// VCVTSS2SDrm
-    811652456U,	// VCVTSS2SDrr
-    607690U,	// VCVTSS2SI64Zrm
-    8537546U,	// VCVTSS2SI64Zrr
-    612580U,	// VCVTSS2SI64rm
-    8542436U,	// VCVTSS2SI64rr
-    607690U,	// VCVTSS2SIZrm
-    8537546U,	// VCVTSS2SIZrr
-    612580U,	// VCVTSS2SIrm
-    8542436U,	// VCVTSS2SIrr
-    607743U,	// VCVTSS2USI64Zrm
-    8537599U,	// VCVTSS2USI64Zrr
-    607743U,	// VCVTSS2USIZrm
-    8537599U,	// VCVTSS2USIZrr
-    585349U,	// VCVTTPD2DQXrm
-    1076906U,	// VCVTTPD2DQYrm
-    8548010U,	// VCVTTPD2DQYrr
-    1083063U,	// VCVTTPD2DQZrm
-    8537783U,	// VCVTTPD2DQZrr
-    8543946U,	// VCVTTPD2DQrr
-    1083257U,	// VCVTTPD2UDQZrm
-    8537977U,	// VCVTTPD2UDQZrr
-    1072874U,	// VCVTTPS2DQYrm
-    8543978U,	// VCVTTPS2DQYrr
-    1083088U,	// VCVTTPS2DQZrm
-    8537808U,	// VCVTTPS2DQZrr
-    581354U,	// VCVTTPS2DQrm
-    8543978U,	// VCVTTPS2DQrr
-    1083284U,	// VCVTTPS2UDQZrm
-    8538004U,	// VCVTTPS2UDQZrr
-    591268U,	// VCVTTSD2SI64Zrm
-    8537508U,	// VCVTTSD2SI64Zrr
-    596161U,	// VCVTTSD2SI64rm
-    8542401U,	// VCVTTSD2SI64rr
-    591268U,	// VCVTTSD2SIZrm
-    8537508U,	// VCVTTSD2SIZrr
-    596161U,	// VCVTTSD2SIrm
-    8542401U,	// VCVTTSD2SIrr
-    591318U,	// VCVTTSD2USI64Zrm
-    8537558U,	// VCVTTSD2USI64Zrr
-    591318U,	// VCVTTSD2USIZrm
-    8537558U,	// VCVTTSD2USIZrr
-    607677U,	// VCVTTSS2SI64Zrm
-    8537533U,	// VCVTTSS2SI64Zrr
-    612568U,	// VCVTTSS2SI64rm
-    8542424U,	// VCVTTSS2SI64rr
-    607677U,	// VCVTTSS2SIZrm
-    8537533U,	// VCVTTSS2SIZrr
-    612568U,	// VCVTTSS2SIrm
-    8542424U,	// VCVTTSS2SIrr
-    607729U,	// VCVTTSS2USI64Zrm
-    8537585U,	// VCVTTSS2USI64Zrr
-    607729U,	// VCVTTSS2USIZrm
-    8537585U,	// VCVTTSS2USIZrr
+    8651287U,	// VCVTPS2PDZrr
+    594605U,	// VCVTPS2PDrm
+    8655533U,	// VCVTPS2PDrr
+    1661278210U,	// VCVTPS2PHYmr
+    811653122U,	// VCVTPS2PHYrr
+    1929708930U,	// VCVTPS2PHZmr
+    811648386U,	// VCVTPS2PHZrr
+    2198149122U,	// VCVTPS2PHmr
+    811653122U,	// VCVTPS2PHrr
+    1083276U,	// VCVTPS2UDQZrm
+    8652684U,	// VCVTPS2UDQZrr
+    1050508U,	// VCVTPS2UDQZrrb
+    591259U,	// VCVTSD2SI64Zrm
+    8652187U,	// VCVTSD2SI64Zrr
+    596129U,	// VCVTSD2SI64rm
+    8657057U,	// VCVTSD2SI64rr
+    591259U,	// VCVTSD2SIZrm
+    8652187U,	// VCVTSD2SIZrr
+    596129U,	// VCVTSD2SIrm
+    8657057U,	// VCVTSD2SIrr
+    283273176U,	// VCVTSD2SSZrm
+    811657176U,	// VCVTSD2SSZrr
+    283273176U,	// VCVTSD2SSrm
+    811657176U,	// VCVTSD2SSrr
+    591310U,	// VCVTSD2USI64Zrm
+    8652238U,	// VCVTSD2USI64Zrr
+    591310U,	// VCVTSD2USIZrm
+    8652238U,	// VCVTSD2USIZrr
+    283221894U,	// VCVTSI2SD64rm
+    811655046U,	// VCVTSI2SD64rr
+    283198966U,	// VCVTSI2SDZrm
+    811648502U,	// VCVTSI2SDZrr
+    283203961U,	// VCVTSI2SDrm
+    811653497U,	// VCVTSI2SDrr
+    283222500U,	// VCVTSI2SS64rm
+    811655652U,	// VCVTSI2SS64rr
+    283198993U,	// VCVTSI2SSZrm
+    811648529U,	// VCVTSI2SSZrr
+    283204609U,	// VCVTSI2SSrm
+    811654145U,	// VCVTSI2SSrr
+    283215688U,	// VCVTSI642SDZrm
+    811648840U,	// VCVTSI642SDZrr
+    283215982U,	// VCVTSI642SSZrm
+    811649134U,	// VCVTSI642SSZrr
+    283284796U,	// VCVTSS2SDZrm
+    811652412U,	// VCVTSS2SDZrr
+    283284796U,	// VCVTSS2SDrm
+    811652412U,	// VCVTSS2SDrr
+    607668U,	// VCVTSS2SI64Zrm
+    8652212U,	// VCVTSS2SI64Zrr
+    612536U,	// VCVTSS2SI64rm
+    8657080U,	// VCVTSS2SI64rr
+    607668U,	// VCVTSS2SIZrm
+    8652212U,	// VCVTSS2SIZrr
+    612536U,	// VCVTSS2SIrm
+    8657080U,	// VCVTSS2SIrr
+    607721U,	// VCVTSS2USI64Zrm
+    8652265U,	// VCVTSS2USI64Zrr
+    607721U,	// VCVTSS2USIZrm
+    8652265U,	// VCVTSS2USIZrr
+    585305U,	// VCVTTPD2DQXrm
+    1076862U,	// VCVTTPD2DQYrm
+    8662654U,	// VCVTTPD2DQYrr
+    1083041U,	// VCVTTPD2DQZrm
+    8652449U,	// VCVTTPD2DQZrr
+    8658590U,	// VCVTTPD2DQrr
+    1083235U,	// VCVTTPD2UDQZrm
+    8652643U,	// VCVTTPD2UDQZrr
+    1072830U,	// VCVTTPS2DQYrm
+    8658622U,	// VCVTTPS2DQYrr
+    1083066U,	// VCVTTPS2DQZrm
+    8652474U,	// VCVTTPS2DQZrr
+    581310U,	// VCVTTPS2DQrm
+    8658622U,	// VCVTTPS2DQrr
+    1083262U,	// VCVTTPS2UDQZrm
+    8652670U,	// VCVTTPS2UDQZrr
+    591246U,	// VCVTTSD2SI64Zrm
+    8652174U,	// VCVTTSD2SI64Zrr
+    596117U,	// VCVTTSD2SI64rm
+    8657045U,	// VCVTTSD2SI64rr
+    591246U,	// VCVTTSD2SIZrm
+    8652174U,	// VCVTTSD2SIZrr
+    596117U,	// VCVTTSD2SIrm
+    8657045U,	// VCVTTSD2SIrr
+    591296U,	// VCVTTSD2USI64Zrm
+    8652224U,	// VCVTTSD2USI64Zrr
+    591296U,	// VCVTTSD2USIZrm
+    8652224U,	// VCVTTSD2USIZrr
+    607655U,	// VCVTTSS2SI64Zrm
+    8652199U,	// VCVTTSS2SI64Zrr
+    612524U,	// VCVTTSS2SI64rm
+    8657068U,	// VCVTTSS2SI64rr
+    607655U,	// VCVTTSS2SIZrm
+    8652199U,	// VCVTTSS2SIZrr
+    612524U,	// VCVTTSS2SIrm
+    8657068U,	// VCVTTSS2SIrr
+    607707U,	// VCVTTSS2USI64Zrm
+    8652251U,	// VCVTTSS2USI64Zrr
+    607707U,	// VCVTTSS2USIZrm
+    8652251U,	// VCVTTSS2USIZrr
     1065482U,	// VCVTUDQ2PDZrm
-    8536586U,	// VCVTUDQ2PDZrr
-    1083841U,	// VCVTUDQ2PSZrm
-    8538561U,	// VCVTUDQ2PSZrr
-    1051073U,	// VCVTUDQ2PSZrrb
-    283199001U,	// VCVTUSI2SDZrm
-    811648537U,	// VCVTUSI2SDZrr
-    283199028U,	// VCVTUSI2SSZrm
-    811648564U,	// VCVTUSI2SSZrr
-    283215723U,	// VCVTUSI642SDZrm
-    811648875U,	// VCVTUSI642SDZrr
-    283216028U,	// VCVTUSI642SSZrm
-    811649180U,	// VCVTUSI642SSZrr
-    812520623U,	// VDIVPDYrm
-    811652271U,	// VDIVPDYrr
+    8651274U,	// VCVTUDQ2PDZrr
+    1083797U,	// VCVTUDQ2PSZrm
+    8653205U,	// VCVTUDQ2PSZrr
+    1051029U,	// VCVTUDQ2PSZrrb
+    283198979U,	// VCVTUSI2SDZrm
+    811648515U,	// VCVTUSI2SDZrr
+    283199006U,	// VCVTUSI2SSZrm
+    811648542U,	// VCVTUSI2SSZrr
+    283215701U,	// VCVTUSI642SDZrm
+    811648853U,	// VCVTUSI642SDZrr
+    283215995U,	// VCVTUSI642SSZrm
+    811649147U,	// VCVTUSI642SSZrr
+    812520579U,	// VDIVPDYrm
+    811652227U,	// VDIVPDYrr
     812532700U,	// VDIVPDZrm
-    350421980U,	// VDIVPDZrmb
+    350372828U,	// VDIVPDZrmb
     1424917468U,	// VDIVPDZrmbk
     1424917468U,	// VDIVPDZrmbkz
-    839832751U,	// VDIVPDZrmk
-    839832751U,	// VDIVPDZrmkz
+    839832707U,	// VDIVPDZrmk
+    839832707U,	// VDIVPDZrmkz
     811647964U,	// VDIVPDZrr
     839222236U,	// VDIVPDZrrk
     839222236U,	// VDIVPDZrrkz
-    811783343U,	// VDIVPDrm
-    811652271U,	// VDIVPDrr
-    812525393U,	// VDIVPSYrm
-    811657041U,	// VDIVPSYrr
-    812534663U,	// VDIVPSZrm
-    352537479U,	// VDIVPSZrmb
-    1427049351U,	// VDIVPSZrmbk
-    1427049351U,	// VDIVPSZrmbkz
-    839837521U,	// VDIVPSZrmk
-    839837521U,	// VDIVPSZrmkz
-    811649927U,	// VDIVPSZrr
-    839224199U,	// VDIVPSZrrk
-    839224199U,	// VDIVPSZrrkz
-    811788113U,	// VDIVPSrm
-    811657041U,	// VDIVPSrr
-    283317833U,	// VDIVSDZrm
-    811652681U,	// VDIVSDZrr
-    283317833U,	// VDIVSDrm
-    283317833U,	// VDIVSDrm_Int
-    811652681U,	// VDIVSDrr
-    811652681U,	// VDIVSDrr_Int
-    283338982U,	// VDIVSSZrm
-    811657446U,	// VDIVSSZrr
-    283338982U,	// VDIVSSrm
-    283338982U,	// VDIVSSrm_Int
-    811657446U,	// VDIVSSrr
-    811657446U,	// VDIVSSrr_Int
-    300242005U,	// VDPPDrmi
-    839226453U,	// VDPPDrri
-    103114430U,	// VDPPSYrmi
-    839231166U,	// VDPPSYrri
-    300246718U,	// VDPPSrmi
-    839231166U,	// VDPPSrri
-    271302U,	// VERRm
-    25542U,	// VERRr
-    273544U,	// VERWm
-    27784U,	// VERWr
-    1661275661U,	// VEXTRACTF128mr
-    811650573U,	// VEXTRACTF128rr
-    1661275522U,	// VEXTRACTF32x4mr
-    811650434U,	// VEXTRACTF32x4rr
-    1929711036U,	// VEXTRACTF64x4mr
-    811650492U,	// VEXTRACTF64x4rr
-    2466582084U,	// VEXTRACTI128mr
-    811650628U,	// VEXTRACTI128rr
-    2466581919U,	// VEXTRACTI32x4mr
-    811650463U,	// VEXTRACTI32x4rr
-    2735017433U,	// VEXTRACTI64x4mr
-    811650521U,	// VEXTRACTI64x4rr
-    3271894760U,	// VEXTRACTPSmr
-    811656936U,	// VEXTRACTPSrr
-    3271894760U,	// VEXTRACTPSzmr
-    811656936U,	// VEXTRACTPSzrr
+    811734147U,	// VDIVPDrm
+    811652227U,	// VDIVPDrr
+    812525349U,	// VDIVPSYrm
+    811656997U,	// VDIVPSYrr
+    812534619U,	// VDIVPSZrm
+    352488283U,	// VDIVPSZrmb
+    1427049307U,	// VDIVPSZrmbk
+    1427049307U,	// VDIVPSZrmbkz
+    839837477U,	// VDIVPSZrmk
+    839837477U,	// VDIVPSZrmkz
+    811649883U,	// VDIVPSZrr
+    839224155U,	// VDIVPSZrrk
+    839224155U,	// VDIVPSZrrkz
+    811738917U,	// VDIVPSrm
+    811656997U,	// VDIVPSrr
+    283268637U,	// VDIVSDZrm
+    811652637U,	// VDIVSDZrr
+    283268637U,	// VDIVSDrm
+    283268637U,	// VDIVSDrm_Int
+    811652637U,	// VDIVSDrr
+    811652637U,	// VDIVSDrr_Int
+    283289786U,	// VDIVSSZrm
+    811657402U,	// VDIVSSZrr
+    283289786U,	// VDIVSSrm
+    283289786U,	// VDIVSSrm_Int
+    811657402U,	// VDIVSSrr
+    811657402U,	// VDIVSSrr_Int
+    300241961U,	// VDPPDrmi
+    839226409U,	// VDPPDrri
+    103114386U,	// VDPPSYrmi
+    839231122U,	// VDPPSYrri
+    300246674U,	// VDPPSrmi
+    839231122U,	// VDPPSrri
+    222106U,	// VERRm
+    25498U,	// VERRr
+    224348U,	// VERWm
+    27740U,	// VERWr
+    1661275617U,	// VEXTRACTF128mr
+    811650529U,	// VEXTRACTF128rr
+    1661275478U,	// VEXTRACTF32x4mr
+    811650390U,	// VEXTRACTF32x4rr
+    1929710992U,	// VEXTRACTF64x4mr
+    811650448U,	// VEXTRACTF64x4rr
+    2466582040U,	// VEXTRACTI128mr
+    811650584U,	// VEXTRACTI128rr
+    2466581875U,	// VEXTRACTI32x4mr
+    811650419U,	// VEXTRACTI32x4rr
+    2735017389U,	// VEXTRACTI64x4mr
+    811650477U,	// VEXTRACTI64x4rr
+    3271894716U,	// VEXTRACTPSmr
+    811656892U,	// VEXTRACTPSrr
+    3271894716U,	// VEXTRACTPSzmr
+    811656892U,	// VEXTRACTPSzrr
     839827925U,	// VFMADD132PDZm
     1424916949U,	// VFMADD132PDZmb
-    839829888U,	// VFMADD132PSZm
-    1427048832U,	// VFMADD132PSZmb
+    839829844U,	// VFMADD132PSZm
+    1427048788U,	// VFMADD132PSZmb
     839828078U,	// VFMADD213PDZm
     1424917102U,	// VFMADD213PDZmb
     839221870U,	// VFMADD213PDZr
-    839830041U,	// VFMADD213PSZm
-    1427048985U,	// VFMADD213PSZmb
-    839223833U,	// VFMADD213PSZr
-    300241823U,	// VFMADDPD4mr
-    90526623U,	// VFMADDPD4mrY
-    839963551U,	// VFMADDPD4rm
-    839979935U,	// VFMADDPD4rmY
-    839226271U,	// VFMADDPD4rr
-    839226271U,	// VFMADDPD4rrY
-    839226271U,	// VFMADDPD4rrY_REV
-    839226271U,	// VFMADDPD4rr_REV
-    839963293U,	// VFMADDPDr132m
-    839979677U,	// VFMADDPDr132mY
-    839226013U,	// VFMADDPDr132r
-    839226013U,	// VFMADDPDr132rY
-    839963423U,	// VFMADDPDr213m
-    839979807U,	// VFMADDPDr213mY
-    839226143U,	// VFMADDPDr213r
-    839226143U,	// VFMADDPDr213rY
-    839963207U,	// VFMADDPDr231m
-    839979591U,	// VFMADDPDr231mY
-    839225927U,	// VFMADDPDr231r
-    839225927U,	// VFMADDPDr231rY
-    300246499U,	// VFMADDPS4mr
-    90531299U,	// VFMADDPS4mrY
-    839968227U,	// VFMADDPS4rm
-    839984611U,	// VFMADDPS4rmY
-    839230947U,	// VFMADDPS4rr
-    839230947U,	// VFMADDPS4rrY
-    839230947U,	// VFMADDPS4rrY_REV
-    839230947U,	// VFMADDPS4rr_REV
-    839967966U,	// VFMADDPSr132m
-    839984350U,	// VFMADDPSr132mY
-    839230686U,	// VFMADDPSr132r
-    839230686U,	// VFMADDPSr132rY
-    839968107U,	// VFMADDPSr213m
-    839984491U,	// VFMADDPSr213mY
-    839230827U,	// VFMADDPSr213r
-    839230827U,	// VFMADDPSr213rY
-    839967880U,	// VFMADDPSr231m
-    839984264U,	// VFMADDPSr231mY
-    839230600U,	// VFMADDPSr231r
-    839230600U,	// VFMADDPSr231rY
-    312825294U,	// VFMADDSD4mr
-    312825294U,	// VFMADDSD4mr_Int
-    1357813198U,	// VFMADDSD4rm
-    1357813198U,	// VFMADDSD4rm_Int
-    839226830U,	// VFMADDSD4rr
-    839226830U,	// VFMADDSD4rr_Int
-    839226830U,	// VFMADDSD4rr_REV
-    839959675U,	// VFMADDSDZm
-    839222395U,	// VFMADDSDZr
-    1357813069U,	// VFMADDSDr132m
-    839226701U,	// VFMADDSDr132r
-    1357813134U,	// VFMADDSDr213m
-    839226766U,	// VFMADDSDr213r
-    1357813015U,	// VFMADDSDr231m
-    839226647U,	// VFMADDSDr231r
-    317024354U,	// VFMADDSS4mr
-    317024354U,	// VFMADDSS4mr_Int
-    1357850722U,	// VFMADDSS4rm
-    1357850722U,	// VFMADDSS4rm_Int
-    839231586U,	// VFMADDSS4rr
-    839231586U,	// VFMADDSS4rr_Int
-    839231586U,	// VFMADDSS4rr_REV
-    839961526U,	// VFMADDSSZm
-    839224246U,	// VFMADDSSZr
-    1357850601U,	// VFMADDSSr132m
-    839231465U,	// VFMADDSSr132r
-    1357850666U,	// VFMADDSSr213m
-    839231530U,	// VFMADDSSr213r
-    1357850547U,	// VFMADDSSr231m
-    839231411U,	// VFMADDSSr231r
+    814334574U,	// VFMADD213PDZrk
+    814334574U,	// VFMADD213PDZrkz
+    839829997U,	// VFMADD213PSZm
+    1427048941U,	// VFMADD213PSZmb
+    839223789U,	// VFMADD213PSZr
+    814336493U,	// VFMADD213PSZrk
+    814336493U,	// VFMADD213PSZrkz
+    300241779U,	// VFMADDPD4mr
+    90526579U,	// VFMADDPD4mrY
+    839963507U,	// VFMADDPD4rm
+    839979891U,	// VFMADDPD4rmY
+    839226227U,	// VFMADDPD4rr
+    839226227U,	// VFMADDPD4rrY
+    839226227U,	// VFMADDPD4rrY_REV
+    839226227U,	// VFMADDPD4rr_REV
+    839963249U,	// VFMADDPDr132m
+    839979633U,	// VFMADDPDr132mY
+    839225969U,	// VFMADDPDr132r
+    839225969U,	// VFMADDPDr132rY
+    839963379U,	// VFMADDPDr213m
+    839979763U,	// VFMADDPDr213mY
+    839226099U,	// VFMADDPDr213r
+    839226099U,	// VFMADDPDr213rY
+    839963163U,	// VFMADDPDr231m
+    839979547U,	// VFMADDPDr231mY
+    839225883U,	// VFMADDPDr231r
+    839225883U,	// VFMADDPDr231rY
+    300246455U,	// VFMADDPS4mr
+    90531255U,	// VFMADDPS4mrY
+    839968183U,	// VFMADDPS4rm
+    839984567U,	// VFMADDPS4rmY
+    839230903U,	// VFMADDPS4rr
+    839230903U,	// VFMADDPS4rrY
+    839230903U,	// VFMADDPS4rrY_REV
+    839230903U,	// VFMADDPS4rr_REV
+    839967922U,	// VFMADDPSr132m
+    839984306U,	// VFMADDPSr132mY
+    839230642U,	// VFMADDPSr132r
+    839230642U,	// VFMADDPSr132rY
+    839968063U,	// VFMADDPSr213m
+    839984447U,	// VFMADDPSr213mY
+    839230783U,	// VFMADDPSr213r
+    839230783U,	// VFMADDPSr213rY
+    839967836U,	// VFMADDPSr231m
+    839984220U,	// VFMADDPSr231mY
+    839230556U,	// VFMADDPSr231r
+    839230556U,	// VFMADDPSr231rY
+    312825250U,	// VFMADDSD4mr
+    312825250U,	// VFMADDSD4mr_Int
+    1357813154U,	// VFMADDSD4rm
+    1357813154U,	// VFMADDSD4rm_Int
+    839226786U,	// VFMADDSD4rr
+    839226786U,	// VFMADDSD4rr_Int
+    839226786U,	// VFMADDSD4rr_REV
+    839959664U,	// VFMADDSDZm
+    839222384U,	// VFMADDSDZr
+    1357813025U,	// VFMADDSDr132m
+    839226657U,	// VFMADDSDr132r
+    1357813090U,	// VFMADDSDr213m
+    839226722U,	// VFMADDSDr213r
+    1357812971U,	// VFMADDSDr231m
+    839226603U,	// VFMADDSDr231r
+    317024310U,	// VFMADDSS4mr
+    317024310U,	// VFMADDSS4mr_Int
+    1357850678U,	// VFMADDSS4rm
+    1357850678U,	// VFMADDSS4rm_Int
+    839231542U,	// VFMADDSS4rr
+    839231542U,	// VFMADDSS4rr_Int
+    839231542U,	// VFMADDSS4rr_REV
+    839961482U,	// VFMADDSSZm
+    839224202U,	// VFMADDSSZr
+    1357850557U,	// VFMADDSSr132m
+    839231421U,	// VFMADDSSr132r
+    1357850622U,	// VFMADDSSr213m
+    839231486U,	// VFMADDSSr213r
+    1357850503U,	// VFMADDSSr231m
+    839231367U,	// VFMADDSSr231r
     839827862U,	// VFMADDSUB132PDZm
     1424916886U,	// VFMADDSUB132PDZmb
-    839829825U,	// VFMADDSUB132PSZm
-    1427048769U,	// VFMADDSUB132PSZmb
+    839829781U,	// VFMADDSUB132PSZm
+    1427048725U,	// VFMADDSUB132PSZmb
     839828015U,	// VFMADDSUB213PDZm
     1424917039U,	// VFMADDSUB213PDZmb
     839221807U,	// VFMADDSUB213PDZr
-    839829978U,	// VFMADDSUB213PSZm
-    1427048922U,	// VFMADDSUB213PSZmb
-    839223770U,	// VFMADDSUB213PSZr
-    300241739U,	// VFMADDSUBPD4mr
-    90526539U,	// VFMADDSUBPD4mrY
-    839963467U,	// VFMADDSUBPD4rm
-    839979851U,	// VFMADDSUBPD4rmY
-    839226187U,	// VFMADDSUBPD4rr
-    839226187U,	// VFMADDSUBPD4rrY
-    839226187U,	// VFMADDSUBPD4rrY_REV
-    839226187U,	// VFMADDSUBPD4rr_REV
-    839963234U,	// VFMADDSUBPDr132m
-    839979618U,	// VFMADDSUBPDr132mY
-    839225954U,	// VFMADDSUBPDr132r
-    839225954U,	// VFMADDSUBPDr132rY
-    839963364U,	// VFMADDSUBPDr213m
-    839979748U,	// VFMADDSUBPDr213mY
-    839226084U,	// VFMADDSUBPDr213r
-    839226084U,	// VFMADDSUBPDr213rY
-    839963148U,	// VFMADDSUBPDr231m
-    839979532U,	// VFMADDSUBPDr231mY
-    839225868U,	// VFMADDSUBPDr231r
-    839225868U,	// VFMADDSUBPDr231rY
-    300246415U,	// VFMADDSUBPS4mr
-    90531215U,	// VFMADDSUBPS4mrY
-    839968143U,	// VFMADDSUBPS4rm
-    839984527U,	// VFMADDSUBPS4rmY
-    839230863U,	// VFMADDSUBPS4rr
-    839230863U,	// VFMADDSUBPS4rrY
-    839230863U,	// VFMADDSUBPS4rrY_REV
-    839230863U,	// VFMADDSUBPS4rr_REV
-    839967907U,	// VFMADDSUBPSr132m
-    839984291U,	// VFMADDSUBPSr132mY
-    839230627U,	// VFMADDSUBPSr132r
-    839230627U,	// VFMADDSUBPSr132rY
-    839968048U,	// VFMADDSUBPSr213m
-    839984432U,	// VFMADDSUBPSr213mY
-    839230768U,	// VFMADDSUBPSr213r
-    839230768U,	// VFMADDSUBPSr213rY
-    839967821U,	// VFMADDSUBPSr231m
-    839984205U,	// VFMADDSUBPSr231mY
-    839230541U,	// VFMADDSUBPSr231r
-    839230541U,	// VFMADDSUBPSr231rY
+    814334511U,	// VFMADDSUB213PDZrk
+    814334511U,	// VFMADDSUB213PDZrkz
+    839829934U,	// VFMADDSUB213PSZm
+    1427048878U,	// VFMADDSUB213PSZmb
+    839223726U,	// VFMADDSUB213PSZr
+    814336430U,	// VFMADDSUB213PSZrk
+    814336430U,	// VFMADDSUB213PSZrkz
+    300241695U,	// VFMADDSUBPD4mr
+    90526495U,	// VFMADDSUBPD4mrY
+    839963423U,	// VFMADDSUBPD4rm
+    839979807U,	// VFMADDSUBPD4rmY
+    839226143U,	// VFMADDSUBPD4rr
+    839226143U,	// VFMADDSUBPD4rrY
+    839226143U,	// VFMADDSUBPD4rrY_REV
+    839226143U,	// VFMADDSUBPD4rr_REV
+    839963190U,	// VFMADDSUBPDr132m
+    839979574U,	// VFMADDSUBPDr132mY
+    839225910U,	// VFMADDSUBPDr132r
+    839225910U,	// VFMADDSUBPDr132rY
+    839963320U,	// VFMADDSUBPDr213m
+    839979704U,	// VFMADDSUBPDr213mY
+    839226040U,	// VFMADDSUBPDr213r
+    839226040U,	// VFMADDSUBPDr213rY
+    839963104U,	// VFMADDSUBPDr231m
+    839979488U,	// VFMADDSUBPDr231mY
+    839225824U,	// VFMADDSUBPDr231r
+    839225824U,	// VFMADDSUBPDr231rY
+    300246371U,	// VFMADDSUBPS4mr
+    90531171U,	// VFMADDSUBPS4mrY
+    839968099U,	// VFMADDSUBPS4rm
+    839984483U,	// VFMADDSUBPS4rmY
+    839230819U,	// VFMADDSUBPS4rr
+    839230819U,	// VFMADDSUBPS4rrY
+    839230819U,	// VFMADDSUBPS4rrY_REV
+    839230819U,	// VFMADDSUBPS4rr_REV
+    839967863U,	// VFMADDSUBPSr132m
+    839984247U,	// VFMADDSUBPSr132mY
+    839230583U,	// VFMADDSUBPSr132r
+    839230583U,	// VFMADDSUBPSr132rY
+    839968004U,	// VFMADDSUBPSr213m
+    839984388U,	// VFMADDSUBPSr213mY
+    839230724U,	// VFMADDSUBPSr213r
+    839230724U,	// VFMADDSUBPSr213rY
+    839967777U,	// VFMADDSUBPSr231m
+    839984161U,	// VFMADDSUBPSr231mY
+    839230497U,	// VFMADDSUBPSr231r
+    839230497U,	// VFMADDSUBPSr231rY
     839827879U,	// VFMSUB132PDZm
     1424916903U,	// VFMSUB132PDZmb
-    839829842U,	// VFMSUB132PSZm
-    1427048786U,	// VFMSUB132PSZmb
+    839829798U,	// VFMSUB132PSZm
+    1427048742U,	// VFMSUB132PSZmb
     839828032U,	// VFMSUB213PDZm
     1424917056U,	// VFMSUB213PDZmb
     839221824U,	// VFMSUB213PDZr
-    839829995U,	// VFMSUB213PSZm
-    1427048939U,	// VFMSUB213PSZmb
-    839223787U,	// VFMSUB213PSZr
+    814334528U,	// VFMSUB213PDZrk
+    814334528U,	// VFMSUB213PDZrkz
+    839829951U,	// VFMSUB213PSZm
+    1427048895U,	// VFMSUB213PSZmb
+    839223743U,	// VFMSUB213PSZr
+    814336447U,	// VFMSUB213PSZrk
+    814336447U,	// VFMSUB213PSZrkz
     839827908U,	// VFMSUBADD132PDZm
     1424916932U,	// VFMSUBADD132PDZmb
-    839829871U,	// VFMSUBADD132PSZm
-    1427048815U,	// VFMSUBADD132PSZmb
+    839829827U,	// VFMSUBADD132PSZm
+    1427048771U,	// VFMSUBADD132PSZmb
     839828061U,	// VFMSUBADD213PDZm
     1424917085U,	// VFMSUBADD213PDZmb
     839221853U,	// VFMSUBADD213PDZr
-    839830024U,	// VFMSUBADD213PSZm
-    1427048968U,	// VFMSUBADD213PSZmb
-    839223816U,	// VFMSUBADD213PSZr
-    300241801U,	// VFMSUBADDPD4mr
-    90526601U,	// VFMSUBADDPD4mrY
-    839963529U,	// VFMSUBADDPD4rm
-    839979913U,	// VFMSUBADDPD4rmY
-    839226249U,	// VFMSUBADDPD4rr
-    839226249U,	// VFMSUBADDPD4rrY
-    839226249U,	// VFMSUBADDPD4rrY_REV
-    839226249U,	// VFMSUBADDPD4rr_REV
-    839963277U,	// VFMSUBADDPDr132m
-    839979661U,	// VFMSUBADDPDr132mY
-    839225997U,	// VFMSUBADDPDr132r
-    839225997U,	// VFMSUBADDPDr132rY
-    839963407U,	// VFMSUBADDPDr213m
-    839979791U,	// VFMSUBADDPDr213mY
-    839226127U,	// VFMSUBADDPDr213r
-    839226127U,	// VFMSUBADDPDr213rY
-    839963191U,	// VFMSUBADDPDr231m
-    839979575U,	// VFMSUBADDPDr231mY
-    839225911U,	// VFMSUBADDPDr231r
-    839225911U,	// VFMSUBADDPDr231rY
-    300246477U,	// VFMSUBADDPS4mr
-    90531277U,	// VFMSUBADDPS4mrY
-    839968205U,	// VFMSUBADDPS4rm
-    839984589U,	// VFMSUBADDPS4rmY
-    839230925U,	// VFMSUBADDPS4rr
-    839230925U,	// VFMSUBADDPS4rrY
-    839230925U,	// VFMSUBADDPS4rrY_REV
-    839230925U,	// VFMSUBADDPS4rr_REV
-    839967950U,	// VFMSUBADDPSr132m
-    839984334U,	// VFMSUBADDPSr132mY
-    839230670U,	// VFMSUBADDPSr132r
-    839230670U,	// VFMSUBADDPSr132rY
-    839968091U,	// VFMSUBADDPSr213m
-    839984475U,	// VFMSUBADDPSr213mY
-    839230811U,	// VFMSUBADDPSr213r
-    839230811U,	// VFMSUBADDPSr213rY
-    839967864U,	// VFMSUBADDPSr231m
-    839984248U,	// VFMSUBADDPSr231mY
-    839230584U,	// VFMSUBADDPSr231r
-    839230584U,	// VFMSUBADDPSr231rY
-    300241772U,	// VFMSUBPD4mr
-    90526572U,	// VFMSUBPD4mrY
-    839963500U,	// VFMSUBPD4rm
-    839979884U,	// VFMSUBPD4rmY
-    839226220U,	// VFMSUBPD4rr
-    839226220U,	// VFMSUBPD4rrY
-    839226220U,	// VFMSUBPD4rrY_REV
-    839226220U,	// VFMSUBPD4rr_REV
-    839963250U,	// VFMSUBPDr132m
-    839979634U,	// VFMSUBPDr132mY
-    839225970U,	// VFMSUBPDr132r
-    839225970U,	// VFMSUBPDr132rY
-    839963380U,	// VFMSUBPDr213m
-    839979764U,	// VFMSUBPDr213mY
-    839226100U,	// VFMSUBPDr213r
-    839226100U,	// VFMSUBPDr213rY
-    839963164U,	// VFMSUBPDr231m
-    839979548U,	// VFMSUBPDr231mY
-    839225884U,	// VFMSUBPDr231r
-    839225884U,	// VFMSUBPDr231rY
-    300246448U,	// VFMSUBPS4mr
-    90531248U,	// VFMSUBPS4mrY
-    839968176U,	// VFMSUBPS4rm
-    839984560U,	// VFMSUBPS4rmY
-    839230896U,	// VFMSUBPS4rr
-    839230896U,	// VFMSUBPS4rrY
-    839230896U,	// VFMSUBPS4rrY_REV
-    839230896U,	// VFMSUBPS4rr_REV
-    839967923U,	// VFMSUBPSr132m
-    839984307U,	// VFMSUBPSr132mY
-    839230643U,	// VFMSUBPSr132r
-    839230643U,	// VFMSUBPSr132rY
-    839968064U,	// VFMSUBPSr213m
-    839984448U,	// VFMSUBPSr213mY
-    839230784U,	// VFMSUBPSr213r
-    839230784U,	// VFMSUBPSr213rY
-    839967837U,	// VFMSUBPSr231m
-    839984221U,	// VFMSUBPSr231mY
-    839230557U,	// VFMSUBPSr231r
-    839230557U,	// VFMSUBPSr231rY
-    312825265U,	// VFMSUBSD4mr
-    312825265U,	// VFMSUBSD4mr_Int
-    1357813169U,	// VFMSUBSD4rm
-    1357813169U,	// VFMSUBSD4rm_Int
-    839226801U,	// VFMSUBSD4rr
-    839226801U,	// VFMSUBSD4rr_Int
-    839226801U,	// VFMSUBSD4rr_REV
-    839959646U,	// VFMSUBSDZm
-    839222366U,	// VFMSUBSDZr
-    1357813042U,	// VFMSUBSDr132m
-    839226674U,	// VFMSUBSDr132r
-    1357813107U,	// VFMSUBSDr213m
-    839226739U,	// VFMSUBSDr213r
-    1357812988U,	// VFMSUBSDr231m
-    839226620U,	// VFMSUBSDr231r
-    317024325U,	// VFMSUBSS4mr
-    317024325U,	// VFMSUBSS4mr_Int
-    1357850693U,	// VFMSUBSS4rm
-    1357850693U,	// VFMSUBSS4rm_Int
-    839231557U,	// VFMSUBSS4rr
-    839231557U,	// VFMSUBSS4rr_Int
-    839231557U,	// VFMSUBSS4rr_REV
-    839961497U,	// VFMSUBSSZm
-    839224217U,	// VFMSUBSSZr
-    1357850574U,	// VFMSUBSSr132m
-    839231438U,	// VFMSUBSSr132r
-    1357850639U,	// VFMSUBSSr213m
-    839231503U,	// VFMSUBSSr213r
-    1357850520U,	// VFMSUBSSr231m
-    839231384U,	// VFMSUBSSr231r
+    814334557U,	// VFMSUBADD213PDZrk
+    814334557U,	// VFMSUBADD213PDZrkz
+    839829980U,	// VFMSUBADD213PSZm
+    1427048924U,	// VFMSUBADD213PSZmb
+    839223772U,	// VFMSUBADD213PSZr
+    814336476U,	// VFMSUBADD213PSZrk
+    814336476U,	// VFMSUBADD213PSZrkz
+    300241757U,	// VFMSUBADDPD4mr
+    90526557U,	// VFMSUBADDPD4mrY
+    839963485U,	// VFMSUBADDPD4rm
+    839979869U,	// VFMSUBADDPD4rmY
+    839226205U,	// VFMSUBADDPD4rr
+    839226205U,	// VFMSUBADDPD4rrY
+    839226205U,	// VFMSUBADDPD4rrY_REV
+    839226205U,	// VFMSUBADDPD4rr_REV
+    839963233U,	// VFMSUBADDPDr132m
+    839979617U,	// VFMSUBADDPDr132mY
+    839225953U,	// VFMSUBADDPDr132r
+    839225953U,	// VFMSUBADDPDr132rY
+    839963363U,	// VFMSUBADDPDr213m
+    839979747U,	// VFMSUBADDPDr213mY
+    839226083U,	// VFMSUBADDPDr213r
+    839226083U,	// VFMSUBADDPDr213rY
+    839963147U,	// VFMSUBADDPDr231m
+    839979531U,	// VFMSUBADDPDr231mY
+    839225867U,	// VFMSUBADDPDr231r
+    839225867U,	// VFMSUBADDPDr231rY
+    300246433U,	// VFMSUBADDPS4mr
+    90531233U,	// VFMSUBADDPS4mrY
+    839968161U,	// VFMSUBADDPS4rm
+    839984545U,	// VFMSUBADDPS4rmY
+    839230881U,	// VFMSUBADDPS4rr
+    839230881U,	// VFMSUBADDPS4rrY
+    839230881U,	// VFMSUBADDPS4rrY_REV
+    839230881U,	// VFMSUBADDPS4rr_REV
+    839967906U,	// VFMSUBADDPSr132m
+    839984290U,	// VFMSUBADDPSr132mY
+    839230626U,	// VFMSUBADDPSr132r
+    839230626U,	// VFMSUBADDPSr132rY
+    839968047U,	// VFMSUBADDPSr213m
+    839984431U,	// VFMSUBADDPSr213mY
+    839230767U,	// VFMSUBADDPSr213r
+    839230767U,	// VFMSUBADDPSr213rY
+    839967820U,	// VFMSUBADDPSr231m
+    839984204U,	// VFMSUBADDPSr231mY
+    839230540U,	// VFMSUBADDPSr231r
+    839230540U,	// VFMSUBADDPSr231rY
+    300241728U,	// VFMSUBPD4mr
+    90526528U,	// VFMSUBPD4mrY
+    839963456U,	// VFMSUBPD4rm
+    839979840U,	// VFMSUBPD4rmY
+    839226176U,	// VFMSUBPD4rr
+    839226176U,	// VFMSUBPD4rrY
+    839226176U,	// VFMSUBPD4rrY_REV
+    839226176U,	// VFMSUBPD4rr_REV
+    839963206U,	// VFMSUBPDr132m
+    839979590U,	// VFMSUBPDr132mY
+    839225926U,	// VFMSUBPDr132r
+    839225926U,	// VFMSUBPDr132rY
+    839963336U,	// VFMSUBPDr213m
+    839979720U,	// VFMSUBPDr213mY
+    839226056U,	// VFMSUBPDr213r
+    839226056U,	// VFMSUBPDr213rY
+    839963120U,	// VFMSUBPDr231m
+    839979504U,	// VFMSUBPDr231mY
+    839225840U,	// VFMSUBPDr231r
+    839225840U,	// VFMSUBPDr231rY
+    300246404U,	// VFMSUBPS4mr
+    90531204U,	// VFMSUBPS4mrY
+    839968132U,	// VFMSUBPS4rm
+    839984516U,	// VFMSUBPS4rmY
+    839230852U,	// VFMSUBPS4rr
+    839230852U,	// VFMSUBPS4rrY
+    839230852U,	// VFMSUBPS4rrY_REV
+    839230852U,	// VFMSUBPS4rr_REV
+    839967879U,	// VFMSUBPSr132m
+    839984263U,	// VFMSUBPSr132mY
+    839230599U,	// VFMSUBPSr132r
+    839230599U,	// VFMSUBPSr132rY
+    839968020U,	// VFMSUBPSr213m
+    839984404U,	// VFMSUBPSr213mY
+    839230740U,	// VFMSUBPSr213r
+    839230740U,	// VFMSUBPSr213rY
+    839967793U,	// VFMSUBPSr231m
+    839984177U,	// VFMSUBPSr231mY
+    839230513U,	// VFMSUBPSr231r
+    839230513U,	// VFMSUBPSr231rY
+    312825221U,	// VFMSUBSD4mr
+    312825221U,	// VFMSUBSD4mr_Int
+    1357813125U,	// VFMSUBSD4rm
+    1357813125U,	// VFMSUBSD4rm_Int
+    839226757U,	// VFMSUBSD4rr
+    839226757U,	// VFMSUBSD4rr_Int
+    839226757U,	// VFMSUBSD4rr_REV
+    839959635U,	// VFMSUBSDZm
+    839222355U,	// VFMSUBSDZr
+    1357812998U,	// VFMSUBSDr132m
+    839226630U,	// VFMSUBSDr132r
+    1357813063U,	// VFMSUBSDr213m
+    839226695U,	// VFMSUBSDr213r
+    1357812944U,	// VFMSUBSDr231m
+    839226576U,	// VFMSUBSDr231r
+    317024281U,	// VFMSUBSS4mr
+    317024281U,	// VFMSUBSS4mr_Int
+    1357850649U,	// VFMSUBSS4rm
+    1357850649U,	// VFMSUBSS4rm_Int
+    839231513U,	// VFMSUBSS4rr
+    839231513U,	// VFMSUBSS4rr_Int
+    839231513U,	// VFMSUBSS4rr_REV
+    839961453U,	// VFMSUBSSZm
+    839224173U,	// VFMSUBSSZr
+    1357850530U,	// VFMSUBSSr132m
+    839231394U,	// VFMSUBSSr132r
+    1357850595U,	// VFMSUBSSr213m
+    839231459U,	// VFMSUBSSr213r
+    1357850476U,	// VFMSUBSSr231m
+    839231340U,	// VFMSUBSSr231r
     839827939U,	// VFNMADD132PDZm
     1424916963U,	// VFNMADD132PDZmb
-    839829902U,	// VFNMADD132PSZm
-    1427048846U,	// VFNMADD132PSZmb
+    839829858U,	// VFNMADD132PSZm
+    1427048802U,	// VFNMADD132PSZmb
     839828092U,	// VFNMADD213PDZm
     1424917116U,	// VFNMADD213PDZmb
     839221884U,	// VFNMADD213PDZr
-    839830055U,	// VFNMADD213PSZm
-    1427048999U,	// VFNMADD213PSZmb
-    839223847U,	// VFNMADD213PSZr
-    300241833U,	// VFNMADDPD4mr
-    90526633U,	// VFNMADDPD4mrY
-    839963561U,	// VFNMADDPD4rm
-    839979945U,	// VFNMADDPD4rmY
-    839226281U,	// VFNMADDPD4rr
-    839226281U,	// VFNMADDPD4rrY
-    839226281U,	// VFNMADDPD4rrY_REV
-    839226281U,	// VFNMADDPD4rr_REV
-    839963306U,	// VFNMADDPDr132m
-    839979690U,	// VFNMADDPDr132mY
-    839226026U,	// VFNMADDPDr132r
-    839226026U,	// VFNMADDPDr132rY
-    839963436U,	// VFNMADDPDr213m
-    839979820U,	// VFNMADDPDr213mY
-    839226156U,	// VFNMADDPDr213r
-    839226156U,	// VFNMADDPDr213rY
-    839963220U,	// VFNMADDPDr231m
-    839979604U,	// VFNMADDPDr231mY
-    839225940U,	// VFNMADDPDr231r
-    839225940U,	// VFNMADDPDr231rY
-    300246509U,	// VFNMADDPS4mr
-    90531309U,	// VFNMADDPS4mrY
-    839968237U,	// VFNMADDPS4rm
-    839984621U,	// VFNMADDPS4rmY
-    839230957U,	// VFNMADDPS4rr
-    839230957U,	// VFNMADDPS4rrY
-    839230957U,	// VFNMADDPS4rrY_REV
-    839230957U,	// VFNMADDPS4rr_REV
-    839967979U,	// VFNMADDPSr132m
-    839984363U,	// VFNMADDPSr132mY
-    839230699U,	// VFNMADDPSr132r
-    839230699U,	// VFNMADDPSr132rY
-    839968120U,	// VFNMADDPSr213m
-    839984504U,	// VFNMADDPSr213mY
-    839230840U,	// VFNMADDPSr213r
-    839230840U,	// VFNMADDPSr213rY
-    839967893U,	// VFNMADDPSr231m
-    839984277U,	// VFNMADDPSr231mY
-    839230613U,	// VFNMADDPSr231r
-    839230613U,	// VFNMADDPSr231rY
-    312825304U,	// VFNMADDSD4mr
-    312825304U,	// VFNMADDSD4mr_Int
-    1357813208U,	// VFNMADDSD4rm
-    1357813208U,	// VFNMADDSD4rm_Int
-    839226840U,	// VFNMADDSD4rr
-    839226840U,	// VFNMADDSD4rr_Int
-    839226840U,	// VFNMADDSD4rr_REV
-    839959689U,	// VFNMADDSDZm
-    839222409U,	// VFNMADDSDZr
-    1357813082U,	// VFNMADDSDr132m
-    839226714U,	// VFNMADDSDr132r
-    1357813147U,	// VFNMADDSDr213m
-    839226779U,	// VFNMADDSDr213r
-    1357813028U,	// VFNMADDSDr231m
-    839226660U,	// VFNMADDSDr231r
-    317024364U,	// VFNMADDSS4mr
-    317024364U,	// VFNMADDSS4mr_Int
-    1357850732U,	// VFNMADDSS4rm
-    1357850732U,	// VFNMADDSS4rm_Int
-    839231596U,	// VFNMADDSS4rr
-    839231596U,	// VFNMADDSS4rr_Int
-    839231596U,	// VFNMADDSS4rr_REV
-    839961540U,	// VFNMADDSSZm
-    839224260U,	// VFNMADDSSZr
-    1357850614U,	// VFNMADDSSr132m
-    839231478U,	// VFNMADDSSr132r
-    1357850679U,	// VFNMADDSSr213m
-    839231543U,	// VFNMADDSSr213r
-    1357850560U,	// VFNMADDSSr231m
-    839231424U,	// VFNMADDSSr231r
+    814334588U,	// VFNMADD213PDZrk
+    814334588U,	// VFNMADD213PDZrkz
+    839830011U,	// VFNMADD213PSZm
+    1427048955U,	// VFNMADD213PSZmb
+    839223803U,	// VFNMADD213PSZr
+    814336507U,	// VFNMADD213PSZrk
+    814336507U,	// VFNMADD213PSZrkz
+    300241789U,	// VFNMADDPD4mr
+    90526589U,	// VFNMADDPD4mrY
+    839963517U,	// VFNMADDPD4rm
+    839979901U,	// VFNMADDPD4rmY
+    839226237U,	// VFNMADDPD4rr
+    839226237U,	// VFNMADDPD4rrY
+    839226237U,	// VFNMADDPD4rrY_REV
+    839226237U,	// VFNMADDPD4rr_REV
+    839963262U,	// VFNMADDPDr132m
+    839979646U,	// VFNMADDPDr132mY
+    839225982U,	// VFNMADDPDr132r
+    839225982U,	// VFNMADDPDr132rY
+    839963392U,	// VFNMADDPDr213m
+    839979776U,	// VFNMADDPDr213mY
+    839226112U,	// VFNMADDPDr213r
+    839226112U,	// VFNMADDPDr213rY
+    839963176U,	// VFNMADDPDr231m
+    839979560U,	// VFNMADDPDr231mY
+    839225896U,	// VFNMADDPDr231r
+    839225896U,	// VFNMADDPDr231rY
+    300246465U,	// VFNMADDPS4mr
+    90531265U,	// VFNMADDPS4mrY
+    839968193U,	// VFNMADDPS4rm
+    839984577U,	// VFNMADDPS4rmY
+    839230913U,	// VFNMADDPS4rr
+    839230913U,	// VFNMADDPS4rrY
+    839230913U,	// VFNMADDPS4rrY_REV
+    839230913U,	// VFNMADDPS4rr_REV
+    839967935U,	// VFNMADDPSr132m
+    839984319U,	// VFNMADDPSr132mY
+    839230655U,	// VFNMADDPSr132r
+    839230655U,	// VFNMADDPSr132rY
+    839968076U,	// VFNMADDPSr213m
+    839984460U,	// VFNMADDPSr213mY
+    839230796U,	// VFNMADDPSr213r
+    839230796U,	// VFNMADDPSr213rY
+    839967849U,	// VFNMADDPSr231m
+    839984233U,	// VFNMADDPSr231mY
+    839230569U,	// VFNMADDPSr231r
+    839230569U,	// VFNMADDPSr231rY
+    312825260U,	// VFNMADDSD4mr
+    312825260U,	// VFNMADDSD4mr_Int
+    1357813164U,	// VFNMADDSD4rm
+    1357813164U,	// VFNMADDSD4rm_Int
+    839226796U,	// VFNMADDSD4rr
+    839226796U,	// VFNMADDSD4rr_Int
+    839226796U,	// VFNMADDSD4rr_REV
+    839959678U,	// VFNMADDSDZm
+    839222398U,	// VFNMADDSDZr
+    1357813038U,	// VFNMADDSDr132m
+    839226670U,	// VFNMADDSDr132r
+    1357813103U,	// VFNMADDSDr213m
+    839226735U,	// VFNMADDSDr213r
+    1357812984U,	// VFNMADDSDr231m
+    839226616U,	// VFNMADDSDr231r
+    317024320U,	// VFNMADDSS4mr
+    317024320U,	// VFNMADDSS4mr_Int
+    1357850688U,	// VFNMADDSS4rm
+    1357850688U,	// VFNMADDSS4rm_Int
+    839231552U,	// VFNMADDSS4rr
+    839231552U,	// VFNMADDSS4rr_Int
+    839231552U,	// VFNMADDSS4rr_REV
+    839961496U,	// VFNMADDSSZm
+    839224216U,	// VFNMADDSSZr
+    1357850570U,	// VFNMADDSSr132m
+    839231434U,	// VFNMADDSSr132r
+    1357850635U,	// VFNMADDSSr213m
+    839231499U,	// VFNMADDSSr213r
+    1357850516U,	// VFNMADDSSr231m
+    839231380U,	// VFNMADDSSr231r
     839827893U,	// VFNMSUB132PDZm
     1424916917U,	// VFNMSUB132PDZmb
-    839829856U,	// VFNMSUB132PSZm
-    1427048800U,	// VFNMSUB132PSZmb
+    839829812U,	// VFNMSUB132PSZm
+    1427048756U,	// VFNMSUB132PSZmb
     839828046U,	// VFNMSUB213PDZm
     1424917070U,	// VFNMSUB213PDZmb
     839221838U,	// VFNMSUB213PDZr
-    839830009U,	// VFNMSUB213PSZm
-    1427048953U,	// VFNMSUB213PSZmb
-    839223801U,	// VFNMSUB213PSZr
-    300241782U,	// VFNMSUBPD4mr
-    90526582U,	// VFNMSUBPD4mrY
-    839963510U,	// VFNMSUBPD4rm
-    839979894U,	// VFNMSUBPD4rmY
-    839226230U,	// VFNMSUBPD4rr
-    839226230U,	// VFNMSUBPD4rrY
-    839226230U,	// VFNMSUBPD4rrY_REV
-    839226230U,	// VFNMSUBPD4rr_REV
-    839963263U,	// VFNMSUBPDr132m
-    839979647U,	// VFNMSUBPDr132mY
-    839225983U,	// VFNMSUBPDr132r
-    839225983U,	// VFNMSUBPDr132rY
-    839963393U,	// VFNMSUBPDr213m
-    839979777U,	// VFNMSUBPDr213mY
-    839226113U,	// VFNMSUBPDr213r
-    839226113U,	// VFNMSUBPDr213rY
-    839963177U,	// VFNMSUBPDr231m
-    839979561U,	// VFNMSUBPDr231mY
-    839225897U,	// VFNMSUBPDr231r
-    839225897U,	// VFNMSUBPDr231rY
-    300246458U,	// VFNMSUBPS4mr
-    90531258U,	// VFNMSUBPS4mrY
-    839968186U,	// VFNMSUBPS4rm
-    839984570U,	// VFNMSUBPS4rmY
-    839230906U,	// VFNMSUBPS4rr
-    839230906U,	// VFNMSUBPS4rrY
-    839230906U,	// VFNMSUBPS4rrY_REV
-    839230906U,	// VFNMSUBPS4rr_REV
-    839967936U,	// VFNMSUBPSr132m
-    839984320U,	// VFNMSUBPSr132mY
-    839230656U,	// VFNMSUBPSr132r
-    839230656U,	// VFNMSUBPSr132rY
-    839968077U,	// VFNMSUBPSr213m
-    839984461U,	// VFNMSUBPSr213mY
-    839230797U,	// VFNMSUBPSr213r
-    839230797U,	// VFNMSUBPSr213rY
-    839967850U,	// VFNMSUBPSr231m
-    839984234U,	// VFNMSUBPSr231mY
-    839230570U,	// VFNMSUBPSr231r
-    839230570U,	// VFNMSUBPSr231rY
-    312825275U,	// VFNMSUBSD4mr
-    312825275U,	// VFNMSUBSD4mr_Int
-    1357813179U,	// VFNMSUBSD4rm
-    1357813179U,	// VFNMSUBSD4rm_Int
-    839226811U,	// VFNMSUBSD4rr
-    839226811U,	// VFNMSUBSD4rr_Int
-    839226811U,	// VFNMSUBSD4rr_REV
-    839959660U,	// VFNMSUBSDZm
-    839222380U,	// VFNMSUBSDZr
-    1357813055U,	// VFNMSUBSDr132m
-    839226687U,	// VFNMSUBSDr132r
-    1357813120U,	// VFNMSUBSDr213m
-    839226752U,	// VFNMSUBSDr213r
-    1357813001U,	// VFNMSUBSDr231m
-    839226633U,	// VFNMSUBSDr231r
-    317024335U,	// VFNMSUBSS4mr
-    317024335U,	// VFNMSUBSS4mr_Int
-    1357850703U,	// VFNMSUBSS4rm
-    1357850703U,	// VFNMSUBSS4rm_Int
-    839231567U,	// VFNMSUBSS4rr
-    839231567U,	// VFNMSUBSS4rr_Int
-    839231567U,	// VFNMSUBSS4rr_REV
-    839961511U,	// VFNMSUBSSZm
-    839224231U,	// VFNMSUBSSZr
-    1357850587U,	// VFNMSUBSSr132m
-    839231451U,	// VFNMSUBSSr132r
-    1357850652U,	// VFNMSUBSSr213m
-    839231516U,	// VFNMSUBSSr213r
-    1357850533U,	// VFNMSUBSSr231m
-    839231397U,	// VFNMSUBSSr231r
-    578763U,	// VFRCZPDrm
-    1070283U,	// VFRCZPDrmY
-    8541387U,	// VFRCZPDrr
-    8541387U,	// VFRCZPDrrY
-    583533U,	// VFRCZPSrm
-    1075053U,	// VFRCZPSrmY
-    8546157U,	// VFRCZPSrr
-    8546157U,	// VFRCZPSrrY
-    595562U,	// VFRCZSDrm
-    8541802U,	// VFRCZSDrr
-    616702U,	// VFRCZSSrm
-    8546558U,	// VFRCZSSrr
-    811783236U,	// VFsANDNPDrm
-    811652164U,	// VFsANDNPDrr
-    811787941U,	// VFsANDNPSrm
-    811656869U,	// VFsANDNPSrr
-    811783100U,	// VFsANDPDrm
-    811652028U,	// VFsANDPDrr
-    811787776U,	// VFsANDPSrm
-    811656704U,	// VFsANDPSrr
-    811783280U,	// VFsORPDrm
-    811652208U,	// VFsORPDrr
-    811787993U,	// VFsORPSrm
-    811656921U,	// VFsORPSrr
-    811783287U,	// VFsXORPDrm
-    811652215U,	// VFsXORPDrr
-    811788000U,	// VFsXORPSrm
-    811656928U,	// VFsXORPSrr
-    105993176U,	// VGATHERDPDYrm
+    814334542U,	// VFNMSUB213PDZrk
+    814334542U,	// VFNMSUB213PDZrkz
+    839829965U,	// VFNMSUB213PSZm
+    1427048909U,	// VFNMSUB213PSZmb
+    839223757U,	// VFNMSUB213PSZr
+    814336461U,	// VFNMSUB213PSZrk
+    814336461U,	// VFNMSUB213PSZrkz
+    300241738U,	// VFNMSUBPD4mr
+    90526538U,	// VFNMSUBPD4mrY
+    839963466U,	// VFNMSUBPD4rm
+    839979850U,	// VFNMSUBPD4rmY
+    839226186U,	// VFNMSUBPD4rr
+    839226186U,	// VFNMSUBPD4rrY
+    839226186U,	// VFNMSUBPD4rrY_REV
+    839226186U,	// VFNMSUBPD4rr_REV
+    839963219U,	// VFNMSUBPDr132m
+    839979603U,	// VFNMSUBPDr132mY
+    839225939U,	// VFNMSUBPDr132r
+    839225939U,	// VFNMSUBPDr132rY
+    839963349U,	// VFNMSUBPDr213m
+    839979733U,	// VFNMSUBPDr213mY
+    839226069U,	// VFNMSUBPDr213r
+    839226069U,	// VFNMSUBPDr213rY
+    839963133U,	// VFNMSUBPDr231m
+    839979517U,	// VFNMSUBPDr231mY
+    839225853U,	// VFNMSUBPDr231r
+    839225853U,	// VFNMSUBPDr231rY
+    300246414U,	// VFNMSUBPS4mr
+    90531214U,	// VFNMSUBPS4mrY
+    839968142U,	// VFNMSUBPS4rm
+    839984526U,	// VFNMSUBPS4rmY
+    839230862U,	// VFNMSUBPS4rr
+    839230862U,	// VFNMSUBPS4rrY
+    839230862U,	// VFNMSUBPS4rrY_REV
+    839230862U,	// VFNMSUBPS4rr_REV
+    839967892U,	// VFNMSUBPSr132m
+    839984276U,	// VFNMSUBPSr132mY
+    839230612U,	// VFNMSUBPSr132r
+    839230612U,	// VFNMSUBPSr132rY
+    839968033U,	// VFNMSUBPSr213m
+    839984417U,	// VFNMSUBPSr213mY
+    839230753U,	// VFNMSUBPSr213r
+    839230753U,	// VFNMSUBPSr213rY
+    839967806U,	// VFNMSUBPSr231m
+    839984190U,	// VFNMSUBPSr231mY
+    839230526U,	// VFNMSUBPSr231r
+    839230526U,	// VFNMSUBPSr231rY
+    312825231U,	// VFNMSUBSD4mr
+    312825231U,	// VFNMSUBSD4mr_Int
+    1357813135U,	// VFNMSUBSD4rm
+    1357813135U,	// VFNMSUBSD4rm_Int
+    839226767U,	// VFNMSUBSD4rr
+    839226767U,	// VFNMSUBSD4rr_Int
+    839226767U,	// VFNMSUBSD4rr_REV
+    839959649U,	// VFNMSUBSDZm
+    839222369U,	// VFNMSUBSDZr
+    1357813011U,	// VFNMSUBSDr132m
+    839226643U,	// VFNMSUBSDr132r
+    1357813076U,	// VFNMSUBSDr213m
+    839226708U,	// VFNMSUBSDr213r
+    1357812957U,	// VFNMSUBSDr231m
+    839226589U,	// VFNMSUBSDr231r
+    317024291U,	// VFNMSUBSS4mr
+    317024291U,	// VFNMSUBSS4mr_Int
+    1357850659U,	// VFNMSUBSS4rm
+    1357850659U,	// VFNMSUBSS4rm_Int
+    839231523U,	// VFNMSUBSS4rr
+    839231523U,	// VFNMSUBSS4rr_Int
+    839231523U,	// VFNMSUBSS4rr_REV
+    839961467U,	// VFNMSUBSSZm
+    839224187U,	// VFNMSUBSSZr
+    1357850543U,	// VFNMSUBSSr132m
+    839231407U,	// VFNMSUBSSr132r
+    1357850608U,	// VFNMSUBSSr213m
+    839231472U,	// VFNMSUBSSr213r
+    1357850489U,	// VFNMSUBSSr231m
+    839231353U,	// VFNMSUBSSr231r
+    578719U,	// VFRCZPDrm
+    1070239U,	// VFRCZPDrmY
+    8656031U,	// VFRCZPDrr
+    8656031U,	// VFRCZPDrrY
+    583489U,	// VFRCZPSrm
+    1075009U,	// VFRCZPSrmY
+    8660801U,	// VFRCZPSrr
+    8660801U,	// VFRCZPSrrY
+    595518U,	// VFRCZSDrm
+    8656446U,	// VFRCZSDrr
+    616658U,	// VFRCZSSrm
+    8661202U,	// VFRCZSSrr
+    811734040U,	// VFsANDNPDrm
+    811652120U,	// VFsANDNPDrr
+    811738745U,	// VFsANDNPSrm
+    811656825U,	// VFsANDNPSrr
+    811733904U,	// VFsANDPDrm
+    811651984U,	// VFsANDPDrr
+    811738580U,	// VFsANDPSrm
+    811656660U,	// VFsANDPSrr
+    811734084U,	// VFsORPDrm
+    811652164U,	// VFsORPDrr
+    811738797U,	// VFsORPSrm
+    811656877U,	// VFsORPSrr
+    811734091U,	// VFsXORPDrm
+    811652171U,	// VFsXORPDrr
+    811738804U,	// VFsXORPSrm
+    811656884U,	// VFsXORPSrr
+    105993132U,	// VGATHERDPDYrm
     552698639U,	// VGATHERDPDZrm
-    105993176U,	// VGATHERDPDrm
-    108095004U,	// VGATHERDPSYrm
-    552716986U,	// VGATHERDPSZrm
-    108095004U,	// VGATHERDPSrm
-    109183684U,	// VGATHERPF0DPDm
-    109185647U,	// VGATHERPF0DPSm
-    109216639U,	// VGATHERPF0QPDm
-    109218602U,	// VGATHERPF0QPSm
-    109183717U,	// VGATHERPF1DPDm
-    109185680U,	// VGATHERPF1DPSm
-    109216672U,	// VGATHERPF1QPDm
-    109218635U,	// VGATHERPF1QPSm
-    105993316U,	// VGATHERQPDYrm
+    105993132U,	// VGATHERDPDrm
+    108094960U,	// VGATHERDPSYrm
+    552716942U,	// VGATHERDPSZrm
+    108094960U,	// VGATHERDPSrm
+    109298372U,	// VGATHERPF0DPDm
+    109300291U,	// VGATHERPF0DPSm
+    109331327U,	// VGATHERPF0QPDm
+    109333246U,	// VGATHERPF0QPSm
+    109298405U,	// VGATHERPF1DPDm
+    109300324U,	// VGATHERPF1DPSm
+    109331360U,	// VGATHERPF1QPDm
+    109333279U,	// VGATHERPF1QPSm
+    105993272U,	// VGATHERQPDYrm
     552698817U,	// VGATHERQPDZrm
-    105993316U,	// VGATHERQPDrm
-    108095181U,	// VGATHERQPSYrm
-    552700780U,	// VGATHERQPSZrm
-    108095181U,	// VGATHERQPSrm
-    812520342U,	// VHADDPDYrm
-    811651990U,	// VHADDPDYrr
-    811783062U,	// VHADDPDrm
-    811651990U,	// VHADDPDrr
-    812525018U,	// VHADDPSYrm
-    811656666U,	// VHADDPSYrr
-    811787738U,	// VHADDPSrm
-    811656666U,	// VHADDPSrr
-    812520291U,	// VHSUBPDYrm
-    811651939U,	// VHSUBPDYrr
-    811783011U,	// VHSUBPDrm
-    811651939U,	// VHSUBPDrr
-    812524967U,	// VHSUBPSYrm
-    811656615U,	// VHSUBPSYrr
-    811787687U,	// VHSUBPSrm
-    811656615U,	// VHSUBPSrr
-    300240411U,	// VINSERTF128rm
-    839224859U,	// VINSERTF128rr
-    300240273U,	// VINSERTF32x4rm
-    839224721U,	// VINSERTF32x4rr
-    103108043U,	// VINSERTF64x4rm
-    839224779U,	// VINSERTF64x4rr
-    342183506U,	// VINSERTI128rm
-    839224914U,	// VINSERTI128rr
-    342183342U,	// VINSERTI32x4rm
-    839224750U,	// VINSERTI32x4rr
-    103108072U,	// VINSERTI64x4rm
-    839224808U,	// VINSERTI64x4rr
-    317023998U,	// VINSERTPSrm
-    839231230U,	// VINSERTPSrr
-    317023998U,	// VINSERTPSzrm
-    839231230U,	// VINSERTPSzrr
-    1026482U,	// VLDDQUYrm
-    321970U,	// VLDDQUrm
-    287692U,	// VLDMXCSR
-    8546746U,	// VMASKMOVDQU
-    8546746U,	// VMASKMOVDQU64
-    1929712823U,	// VMASKMOVPDYmr
-    812520631U,	// VMASKMOVPDYrm
-    1661277367U,	// VMASKMOVPDmr
-    811783351U,	// VMASKMOVPDrm
-    1929717593U,	// VMASKMOVPSYmr
-    812525401U,	// VMASKMOVPSYrm
-    1661282137U,	// VMASKMOVPSmr
-    811788121U,	// VMASKMOVPSrm
-    812520643U,	// VMAXCPDYrm
-    811652291U,	// VMAXCPDYrr
-    811783363U,	// VMAXCPDrm
-    811652291U,	// VMAXCPDrr
-    812525413U,	// VMAXCPSYrm
-    811657061U,	// VMAXCPSYrr
-    811788133U,	// VMAXCPSrm
-    811657061U,	// VMAXCPSrr
-    283317858U,	// VMAXCSDrm
-    811652706U,	// VMAXCSDrr
-    283338998U,	// VMAXCSSrm
-    811657462U,	// VMAXCSSrr
-    812520643U,	// VMAXPDYrm
-    811652291U,	// VMAXPDYrr
+    105993272U,	// VGATHERQPDrm
+    108095137U,	// VGATHERQPSYrm
+    552700736U,	// VGATHERQPSZrm
+    108095137U,	// VGATHERQPSrm
+    812520298U,	// VHADDPDYrm
+    811651946U,	// VHADDPDYrr
+    811733866U,	// VHADDPDrm
+    811651946U,	// VHADDPDrr
+    812524974U,	// VHADDPSYrm
+    811656622U,	// VHADDPSYrr
+    811738542U,	// VHADDPSrm
+    811656622U,	// VHADDPSrr
+    812520247U,	// VHSUBPDYrm
+    811651895U,	// VHSUBPDYrr
+    811733815U,	// VHSUBPDrm
+    811651895U,	// VHSUBPDrr
+    812524923U,	// VHSUBPSYrm
+    811656571U,	// VHSUBPSYrr
+    811738491U,	// VHSUBPSrm
+    811656571U,	// VHSUBPSrr
+    300240367U,	// VINSERTF128rm
+    839224815U,	// VINSERTF128rr
+    300240229U,	// VINSERTF32x4rm
+    839224677U,	// VINSERTF32x4rr
+    103107999U,	// VINSERTF64x4rm
+    839224735U,	// VINSERTF64x4rr
+    342183462U,	// VINSERTI128rm
+    839224870U,	// VINSERTI128rr
+    342183298U,	// VINSERTI32x4rm
+    839224706U,	// VINSERTI32x4rr
+    103108028U,	// VINSERTI64x4rm
+    839224764U,	// VINSERTI64x4rr
+    317023954U,	// VINSERTPSrm
+    839231186U,	// VINSERTPSrr
+    317023954U,	// VINSERTPSzrm
+    839231186U,	// VINSERTPSzrr
+    1026438U,	// VLDDQUYrm
+    321926U,	// VLDDQUrm
+    238496U,	// VLDMXCSR
+    8661390U,	// VMASKMOVDQU
+    8661390U,	// VMASKMOVDQU64
+    1929712779U,	// VMASKMOVPDYmr
+    812520587U,	// VMASKMOVPDYrm
+    1661277323U,	// VMASKMOVPDmr
+    811734155U,	// VMASKMOVPDrm
+    1929717549U,	// VMASKMOVPSYmr
+    812525357U,	// VMASKMOVPSYrm
+    1661282093U,	// VMASKMOVPSmr
+    811738925U,	// VMASKMOVPSrm
+    812520599U,	// VMAXCPDYrm
+    811652247U,	// VMAXCPDYrr
+    811734167U,	// VMAXCPDrm
+    811652247U,	// VMAXCPDrr
+    812525369U,	// VMAXCPSYrm
+    811657017U,	// VMAXCPSYrr
+    811738937U,	// VMAXCPSrm
+    811657017U,	// VMAXCPSrr
+    283268662U,	// VMAXCSDrm
+    811652662U,	// VMAXCSDrr
+    283289802U,	// VMAXCSSrm
+    811657418U,	// VMAXCSSrr
+    812520599U,	// VMAXPDYrm
+    811652247U,	// VMAXPDYrr
     812532709U,	// VMAXPDZrm
-    350421989U,	// VMAXPDZrmb
+    350372837U,	// VMAXPDZrmb
     1424917477U,	// VMAXPDZrmbk
     1424917477U,	// VMAXPDZrmbkz
-    839832771U,	// VMAXPDZrmk
-    839832771U,	// VMAXPDZrmkz
+    839832727U,	// VMAXPDZrmk
+    839832727U,	// VMAXPDZrmkz
     811647973U,	// VMAXPDZrr
     839222245U,	// VMAXPDZrrk
     839222245U,	// VMAXPDZrrkz
-    811783363U,	// VMAXPDrm
-    811652291U,	// VMAXPDrr
-    812525413U,	// VMAXPSYrm
-    811657061U,	// VMAXPSYrr
-    812534672U,	// VMAXPSZrm
-    352537488U,	// VMAXPSZrmb
-    1427049360U,	// VMAXPSZrmbk
-    1427049360U,	// VMAXPSZrmbkz
-    839837541U,	// VMAXPSZrmk
-    839837541U,	// VMAXPSZrmkz
-    811649936U,	// VMAXPSZrr
-    839224208U,	// VMAXPSZrrk
-    839224208U,	// VMAXPSZrrkz
-    811788133U,	// VMAXPSrm
-    811657061U,	// VMAXPSrr
-    283317858U,	// VMAXSDZrm
-    811652706U,	// VMAXSDZrr
-    283317858U,	// VMAXSDrm
-    283317858U,	// VMAXSDrm_Int
-    811652706U,	// VMAXSDrr
-    811652706U,	// VMAXSDrr_Int
-    283338998U,	// VMAXSSZrm
-    811657462U,	// VMAXSSZrr
-    283338998U,	// VMAXSSrm
-    283338998U,	// VMAXSSrm_Int
-    811657462U,	// VMAXSSrr
-    811657462U,	// VMAXSSrr_Int
-    14241U,	// VMCALL
-    402310U,	// VMCLEARm
-    13953U,	// VMFUNC
-    812520525U,	// VMINCPDYrm
-    811652173U,	// VMINCPDYrr
-    811783245U,	// VMINCPDrm
-    811652173U,	// VMINCPDrr
-    812525230U,	// VMINCPSYrm
-    811656878U,	// VMINCPSYrr
-    811787950U,	// VMINCPSrm
-    811656878U,	// VMINCPSrr
-    283317785U,	// VMINCSDrm
-    811652633U,	// VMINCSDrr
-    283338916U,	// VMINCSSrm
-    811657380U,	// VMINCSSrr
-    812520525U,	// VMINPDYrm
-    811652173U,	// VMINPDYrr
+    811734167U,	// VMAXPDrm
+    811652247U,	// VMAXPDrr
+    812525369U,	// VMAXPSYrm
+    811657017U,	// VMAXPSYrr
+    812534628U,	// VMAXPSZrm
+    352488292U,	// VMAXPSZrmb
+    1427049316U,	// VMAXPSZrmbk
+    1427049316U,	// VMAXPSZrmbkz
+    839837497U,	// VMAXPSZrmk
+    839837497U,	// VMAXPSZrmkz
+    811649892U,	// VMAXPSZrr
+    839224164U,	// VMAXPSZrrk
+    839224164U,	// VMAXPSZrrkz
+    811738937U,	// VMAXPSrm
+    811657017U,	// VMAXPSrr
+    283268662U,	// VMAXSDZrm
+    811652662U,	// VMAXSDZrr
+    283268662U,	// VMAXSDrm
+    283268662U,	// VMAXSDrm_Int
+    811652662U,	// VMAXSDrr
+    811652662U,	// VMAXSDrr_Int
+    283289802U,	// VMAXSSZrm
+    811657418U,	// VMAXSSZrr
+    283289802U,	// VMAXSSrm
+    283289802U,	// VMAXSSrm_Int
+    811657418U,	// VMAXSSrr
+    811657418U,	// VMAXSSrr_Int
+    14197U,	// VMCALL
+    402266U,	// VMCLEARm
+    13909U,	// VMFUNC
+    812520481U,	// VMINCPDYrm
+    811652129U,	// VMINCPDYrr
+    811734049U,	// VMINCPDrm
+    811652129U,	// VMINCPDrr
+    812525186U,	// VMINCPSYrm
+    811656834U,	// VMINCPSYrr
+    811738754U,	// VMINCPSrm
+    811656834U,	// VMINCPSrr
+    283268589U,	// VMINCSDrm
+    811652589U,	// VMINCSDrr
+    283289720U,	// VMINCSSrm
+    811657336U,	// VMINCSSrr
+    812520481U,	// VMINPDYrm
+    811652129U,	// VMINPDYrr
     812532589U,	// VMINPDZrm
-    350421869U,	// VMINPDZrmb
+    350372717U,	// VMINPDZrmb
     1424917357U,	// VMINPDZrmbk
     1424917357U,	// VMINPDZrmbkz
-    839832653U,	// VMINPDZrmk
-    839832653U,	// VMINPDZrmkz
+    839832609U,	// VMINPDZrmk
+    839832609U,	// VMINPDZrmkz
     811647853U,	// VMINPDZrr
     839222125U,	// VMINPDZrrk
     839222125U,	// VMINPDZrrkz
-    811783245U,	// VMINPDrm
-    811652173U,	// VMINPDrr
-    812525230U,	// VMINPSYrm
-    811656878U,	// VMINPSYrr
-    812534552U,	// VMINPSZrm
-    352537368U,	// VMINPSZrmb
-    1427049240U,	// VMINPSZrmbk
-    1427049240U,	// VMINPSZrmbkz
-    839837358U,	// VMINPSZrmk
-    839837358U,	// VMINPSZrmkz
-    811649816U,	// VMINPSZrr
-    839224088U,	// VMINPSZrrk
-    839224088U,	// VMINPSZrrkz
-    811787950U,	// VMINPSrm
-    811656878U,	// VMINPSrr
-    283317785U,	// VMINSDZrm
-    811652633U,	// VMINSDZrr
-    283317785U,	// VMINSDrm
-    283317785U,	// VMINSDrm_Int
-    811652633U,	// VMINSDrr
-    811652633U,	// VMINSDrr_Int
-    283338916U,	// VMINSSZrm
-    811657380U,	// VMINSSZrr
-    283338916U,	// VMINSSrm
-    283338916U,	// VMINSSrm_Int
-    811657380U,	// VMINSSrr
-    811657380U,	// VMINSSrr_Int
-    14141U,	// VMLAUNCH
-    15097U,	// VMLOAD32
-    15177U,	// VMLOAD64
-    14233U,	// VMMCALL
-    8545036U,	// VMOV64toPQIZrr
-    8545036U,	// VMOV64toPQIrr
-    8545036U,	// VMOV64toSDZrr
-    551723788U,	// VMOV64toSDrm
-    8545036U,	// VMOV64toSDrr
-    111186746U,	// VMOVAPDYmr
-    1069882U,	// VMOVAPDYrm
-    8540986U,	// VMOVAPDYrr
-    8540986U,	// VMOVAPDYrr_REV
-    65049402U,	// VMOVAPDZ128mr
-    870650682U,	// VMOVAPDZ128mrk
-    578362U,	// VMOVAPDZ128rm
-    814797626U,	// VMOVAPDZ128rmk
-    813880122U,	// VMOVAPDZ128rmkz
-    8540986U,	// VMOVAPDZ128rr
-    8540986U,	// VMOVAPDZ128rr_alt
-    814060346U,	// VMOVAPDZ128rrk
-    814060346U,	// VMOVAPDZ128rrk_alt
-    813749050U,	// VMOVAPDZ128rrkz
-    813749050U,	// VMOVAPDZ128rrkz_alt
-    111186746U,	// VMOVAPDZ256mr
-    916788026U,	// VMOVAPDZ256mrk
-    1069882U,	// VMOVAPDZ256rm
-    814814010U,	// VMOVAPDZ256rmk
-    814617402U,	// VMOVAPDZ256rmkz
-    8540986U,	// VMOVAPDZ256rr
-    8540986U,	// VMOVAPDZ256rr_alt
-    814060346U,	// VMOVAPDZ256rrk
-    814060346U,	// VMOVAPDZ256rrk_alt
-    813749050U,	// VMOVAPDZ256rrkz
-    813749050U,	// VMOVAPDZ256rrkz_alt
-    113283898U,	// VMOVAPDZmr
-    918885178U,	// VMOVAPDZmrk
-    1086266U,	// VMOVAPDZrm
-    814666554U,	// VMOVAPDZrmk
-    814633786U,	// VMOVAPDZrmkz
-    8540986U,	// VMOVAPDZrr
-    8540986U,	// VMOVAPDZrr_alt
-    814060346U,	// VMOVAPDZrrk
-    814060346U,	// VMOVAPDZrrk_alt
-    813749050U,	// VMOVAPDZrrkz
-    813749050U,	// VMOVAPDZrrkz_alt
-    65049402U,	// VMOVAPDmr
-    578362U,	// VMOVAPDrm
-    8540986U,	// VMOVAPDrr
-    8540986U,	// VMOVAPDrr_REV
-    111191430U,	// VMOVAPSYmr
-    1074566U,	// VMOVAPSYrm
-    8545670U,	// VMOVAPSYrr
-    8545670U,	// VMOVAPSYrr_REV
-    65054086U,	// VMOVAPSZ128mr
-    870655366U,	// VMOVAPSZ128mrk
-    583046U,	// VMOVAPSZ128rm
-    814802310U,	// VMOVAPSZ128rmk
-    813884806U,	// VMOVAPSZ128rmkz
-    8545670U,	// VMOVAPSZ128rr
-    8545670U,	// VMOVAPSZ128rr_alt
-    814065030U,	// VMOVAPSZ128rrk
-    814065030U,	// VMOVAPSZ128rrk_alt
-    813753734U,	// VMOVAPSZ128rrkz
-    813753734U,	// VMOVAPSZ128rrkz_alt
-    111191430U,	// VMOVAPSZ256mr
-    916792710U,	// VMOVAPSZ256mrk
-    1074566U,	// VMOVAPSZ256rm
-    814818694U,	// VMOVAPSZ256rmk
-    814622086U,	// VMOVAPSZ256rmkz
-    8545670U,	// VMOVAPSZ256rr
-    8545670U,	// VMOVAPSZ256rr_alt
-    814065030U,	// VMOVAPSZ256rrk
-    814065030U,	// VMOVAPSZ256rrk_alt
-    813753734U,	// VMOVAPSZ256rrkz
-    813753734U,	// VMOVAPSZ256rrkz_alt
-    113288582U,	// VMOVAPSZmr
-    918889862U,	// VMOVAPSZmrk
-    1090950U,	// VMOVAPSZrm
-    814671238U,	// VMOVAPSZrmk
-    814638470U,	// VMOVAPSZrmkz
-    8545670U,	// VMOVAPSZrr
-    8545670U,	// VMOVAPSZrr_alt
-    814065030U,	// VMOVAPSZrrk
-    814065030U,	// VMOVAPSZrrk_alt
-    813753734U,	// VMOVAPSZrrkz
-    813753734U,	// VMOVAPSZrrkz_alt
-    65054086U,	// VMOVAPSmr
-    583046U,	// VMOVAPSrm
-    8545670U,	// VMOVAPSrr
-    8545670U,	// VMOVAPSrr_REV
-    1072643U,	// VMOVDDUPYrm
-    8543747U,	// VMOVDDUPYrr
-    1082946U,	// VMOVDDUPZrm
-    8537666U,	// VMOVDDUPZrr
-    597507U,	// VMOVDDUPrm
-    8543747U,	// VMOVDDUPrr
-    551687902U,	// VMOVDI2PDIZrm
-    8541918U,	// VMOVDI2PDIZrr
-    551687902U,	// VMOVDI2PDIrm
-    8541918U,	// VMOVDI2PDIrr
-    551687902U,	// VMOVDI2SSZrm
-    8541918U,	// VMOVDI2SSZrr
-    551687902U,	// VMOVDI2SSrm
-    8541918U,	// VMOVDI2SSrr
-    67144978U,	// VMOVDQA32Z128mr
-    872746258U,	// VMOVDQA32Z128mrk
-    314642U,	// VMOVDQA32Z128rm
-    814877970U,	// VMOVDQA32Z128rmk
-    813993234U,	// VMOVDQA32Z128rmkz
-    8539410U,	// VMOVDQA32Z128rr
-    8539410U,	// VMOVDQA32Z128rr_alt
-    814058770U,	// VMOVDQA32Z128rrk
-    814058770U,	// VMOVDQA32Z128rrk_alt
-    813747474U,	// VMOVDQA32Z128rrkz
-    813747474U,	// VMOVDQA32Z128rrkz_alt
-    115379474U,	// VMOVDQA32Z256mr
-    920980754U,	// VMOVDQA32Z256mrk
-    1019154U,	// VMOVDQA32Z256rm
-    814894354U,	// VMOVDQA32Z256rmk
-    814697746U,	// VMOVDQA32Z256rmkz
-    8539410U,	// VMOVDQA32Z256rr
-    8539410U,	// VMOVDQA32Z256rr_alt
-    814058770U,	// VMOVDQA32Z256rrk
-    814058770U,	// VMOVDQA32Z256rrk_alt
-    813747474U,	// VMOVDQA32Z256rrkz
-    813747474U,	// VMOVDQA32Z256rrkz_alt
-    117476626U,	// VMOVDQA32Zmr
-    923077906U,	// VMOVDQA32Zmrk
-    1035538U,	// VMOVDQA32Zrm
-    814910738U,	// VMOVDQA32Zrmk
-    814927122U,	// VMOVDQA32Zrmkz
-    8539410U,	// VMOVDQA32Zrr
-    8539410U,	// VMOVDQA32Zrr_alt
-    814058770U,	// VMOVDQA32Zrrk
-    814058770U,	// VMOVDQA32Zrrk_alt
-    813747474U,	// VMOVDQA32Zrrkz
-    813747474U,	// VMOVDQA32Zrrkz_alt
-    67145057U,	// VMOVDQA64Z128mr
-    872746337U,	// VMOVDQA64Z128mrk
-    314721U,	// VMOVDQA64Z128rm
-    814878049U,	// VMOVDQA64Z128rmk
-    813993313U,	// VMOVDQA64Z128rmkz
-    8539489U,	// VMOVDQA64Z128rr
-    8539489U,	// VMOVDQA64Z128rr_alt
-    814058849U,	// VMOVDQA64Z128rrk
-    814058849U,	// VMOVDQA64Z128rrk_alt
-    813747553U,	// VMOVDQA64Z128rrkz
-    813747553U,	// VMOVDQA64Z128rrkz_alt
-    115379553U,	// VMOVDQA64Z256mr
-    920980833U,	// VMOVDQA64Z256mrk
-    1019233U,	// VMOVDQA64Z256rm
-    814894433U,	// VMOVDQA64Z256rmk
-    814697825U,	// VMOVDQA64Z256rmkz
-    8539489U,	// VMOVDQA64Z256rr
-    8539489U,	// VMOVDQA64Z256rr_alt
-    814058849U,	// VMOVDQA64Z256rrk
-    814058849U,	// VMOVDQA64Z256rrk_alt
-    813747553U,	// VMOVDQA64Z256rrkz
-    813747553U,	// VMOVDQA64Z256rrkz_alt
-    117476705U,	// VMOVDQA64Zmr
-    923077985U,	// VMOVDQA64Zmrk
-    1035617U,	// VMOVDQA64Zrm
-    814910817U,	// VMOVDQA64Zrmk
-    814927201U,	// VMOVDQA64Zrmkz
-    8539489U,	// VMOVDQA64Zrr
-    8539489U,	// VMOVDQA64Zrr_alt
-    814058849U,	// VMOVDQA64Zrrk
-    814058849U,	// VMOVDQA64Zrrk_alt
-    813747553U,	// VMOVDQA64Zrrkz
-    813747553U,	// VMOVDQA64Zrrkz_alt
-    115379848U,	// VMOVDQAYmr
-    1019528U,	// VMOVDQAYrm
-    8539784U,	// VMOVDQAYrr
-    8539784U,	// VMOVDQAYrr_REV
-    67145352U,	// VMOVDQAmr
-    315016U,	// VMOVDQArm
-    8539784U,	// VMOVDQArr
-    8539784U,	// VMOVDQArr_REV
-    67145206U,	// VMOVDQU16Z128mr
-    872746486U,	// VMOVDQU16Z128mrk
-    314870U,	// VMOVDQU16Z128rm
-    814878198U,	// VMOVDQU16Z128rmk
-    813993462U,	// VMOVDQU16Z128rmkz
-    8539638U,	// VMOVDQU16Z128rr
-    8539638U,	// VMOVDQU16Z128rr_alt
-    814058998U,	// VMOVDQU16Z128rrk
-    814058998U,	// VMOVDQU16Z128rrk_alt
-    813747702U,	// VMOVDQU16Z128rrkz
-    813747702U,	// VMOVDQU16Z128rrkz_alt
-    115379702U,	// VMOVDQU16Z256mr
-    920980982U,	// VMOVDQU16Z256mrk
-    1019382U,	// VMOVDQU16Z256rm
-    814894582U,	// VMOVDQU16Z256rmk
-    814697974U,	// VMOVDQU16Z256rmkz
-    8539638U,	// VMOVDQU16Z256rr
-    8539638U,	// VMOVDQU16Z256rr_alt
-    814058998U,	// VMOVDQU16Z256rrk
-    814058998U,	// VMOVDQU16Z256rrk_alt
-    813747702U,	// VMOVDQU16Z256rrkz
-    813747702U,	// VMOVDQU16Z256rrkz_alt
-    117476854U,	// VMOVDQU16Zmr
-    923078134U,	// VMOVDQU16Zmrk
-    1035766U,	// VMOVDQU16Zrm
-    814910966U,	// VMOVDQU16Zrmk
-    814927350U,	// VMOVDQU16Zrmkz
-    8539638U,	// VMOVDQU16Zrr
-    8539638U,	// VMOVDQU16Zrr_alt
-    814058998U,	// VMOVDQU16Zrrk
-    814058998U,	// VMOVDQU16Zrrk_alt
-    813747702U,	// VMOVDQU16Zrrkz
-    813747702U,	// VMOVDQU16Zrrkz_alt
-    67144989U,	// VMOVDQU32Z128mr
-    872746269U,	// VMOVDQU32Z128mrk
-    314653U,	// VMOVDQU32Z128rm
-    814877981U,	// VMOVDQU32Z128rmk
-    813993245U,	// VMOVDQU32Z128rmkz
-    8539421U,	// VMOVDQU32Z128rr
-    8539421U,	// VMOVDQU32Z128rr_alt
-    814058781U,	// VMOVDQU32Z128rrk
-    814058781U,	// VMOVDQU32Z128rrk_alt
-    813747485U,	// VMOVDQU32Z128rrkz
-    813747485U,	// VMOVDQU32Z128rrkz_alt
-    115379485U,	// VMOVDQU32Z256mr
-    920980765U,	// VMOVDQU32Z256mrk
-    1019165U,	// VMOVDQU32Z256rm
-    814894365U,	// VMOVDQU32Z256rmk
-    814697757U,	// VMOVDQU32Z256rmkz
-    8539421U,	// VMOVDQU32Z256rr
-    8539421U,	// VMOVDQU32Z256rr_alt
-    814058781U,	// VMOVDQU32Z256rrk
-    814058781U,	// VMOVDQU32Z256rrk_alt
-    813747485U,	// VMOVDQU32Z256rrkz
-    813747485U,	// VMOVDQU32Z256rrkz_alt
-    117476637U,	// VMOVDQU32Zmr
-    923077917U,	// VMOVDQU32Zmrk
-    1035549U,	// VMOVDQU32Zrm
-    814910749U,	// VMOVDQU32Zrmk
-    814927133U,	// VMOVDQU32Zrmkz
-    8539421U,	// VMOVDQU32Zrr
-    8539421U,	// VMOVDQU32Zrr_alt
-    814058781U,	// VMOVDQU32Zrrk
-    814058781U,	// VMOVDQU32Zrrk_alt
-    813747485U,	// VMOVDQU32Zrrkz
-    813747485U,	// VMOVDQU32Zrrkz_alt
-    67145068U,	// VMOVDQU64Z128mr
-    872746348U,	// VMOVDQU64Z128mrk
-    314732U,	// VMOVDQU64Z128rm
-    814878060U,	// VMOVDQU64Z128rmk
-    813993324U,	// VMOVDQU64Z128rmkz
-    8539500U,	// VMOVDQU64Z128rr
-    8539500U,	// VMOVDQU64Z128rr_alt
-    814058860U,	// VMOVDQU64Z128rrk
-    814058860U,	// VMOVDQU64Z128rrk_alt
-    813747564U,	// VMOVDQU64Z128rrkz
-    813747564U,	// VMOVDQU64Z128rrkz_alt
-    115379564U,	// VMOVDQU64Z256mr
-    920980844U,	// VMOVDQU64Z256mrk
-    1019244U,	// VMOVDQU64Z256rm
-    814894444U,	// VMOVDQU64Z256rmk
-    814697836U,	// VMOVDQU64Z256rmkz
-    8539500U,	// VMOVDQU64Z256rr
-    8539500U,	// VMOVDQU64Z256rr_alt
-    814058860U,	// VMOVDQU64Z256rrk
-    814058860U,	// VMOVDQU64Z256rrk_alt
-    813747564U,	// VMOVDQU64Z256rrkz
-    813747564U,	// VMOVDQU64Z256rrkz_alt
-    117476716U,	// VMOVDQU64Zmr
-    923077996U,	// VMOVDQU64Zmrk
-    1035628U,	// VMOVDQU64Zrm
-    814910828U,	// VMOVDQU64Zrmk
-    814927212U,	// VMOVDQU64Zrmkz
-    8539500U,	// VMOVDQU64Zrr
-    8539500U,	// VMOVDQU64Zrr_alt
-    814058860U,	// VMOVDQU64Zrrk
-    814058860U,	// VMOVDQU64Zrrk_alt
-    813747564U,	// VMOVDQU64Zrrkz
-    813747564U,	// VMOVDQU64Zrrkz_alt
-    67145327U,	// VMOVDQU8Z128mr
-    872746607U,	// VMOVDQU8Z128mrk
-    314991U,	// VMOVDQU8Z128rm
-    814878319U,	// VMOVDQU8Z128rmk
-    813993583U,	// VMOVDQU8Z128rmkz
-    8539759U,	// VMOVDQU8Z128rr
-    8539759U,	// VMOVDQU8Z128rr_alt
-    814059119U,	// VMOVDQU8Z128rrk
-    814059119U,	// VMOVDQU8Z128rrk_alt
-    813747823U,	// VMOVDQU8Z128rrkz
-    813747823U,	// VMOVDQU8Z128rrkz_alt
-    115379823U,	// VMOVDQU8Z256mr
-    920981103U,	// VMOVDQU8Z256mrk
-    1019503U,	// VMOVDQU8Z256rm
-    814894703U,	// VMOVDQU8Z256rmk
-    814698095U,	// VMOVDQU8Z256rmkz
-    8539759U,	// VMOVDQU8Z256rr
-    8539759U,	// VMOVDQU8Z256rr_alt
-    814059119U,	// VMOVDQU8Z256rrk
-    814059119U,	// VMOVDQU8Z256rrk_alt
-    813747823U,	// VMOVDQU8Z256rrkz
-    813747823U,	// VMOVDQU8Z256rrkz_alt
-    117476975U,	// VMOVDQU8Zmr
-    923078255U,	// VMOVDQU8Zmrk
-    1035887U,	// VMOVDQU8Zrm
-    814911087U,	// VMOVDQU8Zrmk
-    814927471U,	// VMOVDQU8Zrmkz
-    8539759U,	// VMOVDQU8Zrr
-    8539759U,	// VMOVDQU8Zrr_alt
-    814059119U,	// VMOVDQU8Zrrk
-    814059119U,	// VMOVDQU8Zrrk_alt
-    813747823U,	// VMOVDQU8Zrrkz
-    813747823U,	// VMOVDQU8Zrrkz_alt
-    115386823U,	// VMOVDQUYmr
-    1026503U,	// VMOVDQUYrm
-    8546759U,	// VMOVDQUYrr
-    8546759U,	// VMOVDQUYrr_REV
-    67152327U,	// VMOVDQUmr
-    321991U,	// VMOVDQUrm
-    8546759U,	// VMOVDQUrr
-    8546759U,	// VMOVDQUrr_REV
-    811656794U,	// VMOVHLPSZrr
-    811656794U,	// VMOVHLPSrr
-    69243896U,	// VMOVHPDmr
-    283317240U,	// VMOVHPDrm
-    69248582U,	// VMOVHPSmr
-    283321926U,	// VMOVHPSrm
-    811656764U,	// VMOVLHPSZrr
-    811656764U,	// VMOVLHPSrr
-    69243946U,	// VMOVLPDmr
-    283317290U,	// VMOVLPDrm
-    69248642U,	// VMOVLPSmr
-    283321986U,	// VMOVLPSrm
-    8541185U,	// VMOVMSKPDYrr
-    8541185U,	// VMOVMSKPDrr
-    8545871U,	// VMOVMSKPSYrr
-    8545871U,	// VMOVMSKPSrr
-    1019517U,	// VMOVNTDQAYrm
-    1035901U,	// VMOVNTDQAZrm
-    315005U,	// VMOVNTDQArm
-    111189963U,	// VMOVNTDQYmr
-    117481419U,	// VMOVNTDQZmr
-    65052619U,	// VMOVNTDQmr
-    111187071U,	// VMOVNTPDYmr
-    113284223U,	// VMOVNTPDZmr
-    65049727U,	// VMOVNTPDmr
-    111191796U,	// VMOVNTPSYmr
-    113288948U,	// VMOVNTPSZmr
-    65054452U,	// VMOVNTPSmr
-    12621534U,	// VMOVPDI2DIZmr
-    8541918U,	// VMOVPDI2DIZrr
-    12621534U,	// VMOVPDI2DImr
-    8541918U,	// VMOVPDI2DIrr
-    18916108U,	// VMOVPQI2QImr
-    8545036U,	// VMOVPQI2QIrr
-    18916108U,	// VMOVPQIto64Zmr
-    8545036U,	// VMOVPQIto64Zrr
-    8545036U,	// VMOVPQIto64rr
-    551723788U,	// VMOVQI2PQIZrm
-    551723788U,	// VMOVQI2PQIrm
-    69240056U,	// VMOVSDZmr
-    591096U,	// VMOVSDZrm
-    811648248U,	// VMOVSDZrr
-    811652689U,	// VMOVSDZrr_REV
-    814335224U,	// VMOVSDZrrk
-    69244497U,	// VMOVSDmr
-    595537U,	// VMOVSDrm
-    811652689U,	// VMOVSDrr
-    811652689U,	// VMOVSDrr_REV
-    18916108U,	// VMOVSDto64Zmr
-    8545036U,	// VMOVSDto64Zrr
-    18916108U,	// VMOVSDto64mr
-    8545036U,	// VMOVSDto64rr
-    1072653U,	// VMOVSHDUPYrm
-    8543757U,	// VMOVSHDUPYrr
-    1082957U,	// VMOVSHDUPZrm
-    8537677U,	// VMOVSHDUPZrr
-    581133U,	// VMOVSHDUPrm
-    8543757U,	// VMOVSHDUPrr
-    1072664U,	// VMOVSLDUPYrm
-    8543768U,	// VMOVSLDUPYrr
-    1082969U,	// VMOVSLDUPZrm
-    8537689U,	// VMOVSLDUPZrr
-    581144U,	// VMOVSLDUPrm
-    8543768U,	// VMOVSLDUPrr
-    12621534U,	// VMOVSS2DIZmr
-    8541918U,	// VMOVSS2DIZrr
-    12621534U,	// VMOVSS2DImr
-    8541918U,	// VMOVSS2DIrr
-    71339040U,	// VMOVSSZmr
-    609312U,	// VMOVSSZrm
-    811650080U,	// VMOVSSZrr
-    811657454U,	// VMOVSSZrr_REV
-    814337056U,	// VMOVSSZrrk
-    71346414U,	// VMOVSSmr
-    616686U,	// VMOVSSrm
-    811657454U,	// VMOVSSrr
-    811657454U,	// VMOVSSrr_REV
-    111187099U,	// VMOVUPDYmr
-    1070235U,	// VMOVUPDYrm
-    8541339U,	// VMOVUPDYrr
-    8541339U,	// VMOVUPDYrr_REV
-    65049755U,	// VMOVUPDZ128mr
-    870651035U,	// VMOVUPDZ128mrk
-    578715U,	// VMOVUPDZ128rm
-    814797979U,	// VMOVUPDZ128rmk
-    813880475U,	// VMOVUPDZ128rmkz
-    8541339U,	// VMOVUPDZ128rr
-    8541339U,	// VMOVUPDZ128rr_alt
-    814060699U,	// VMOVUPDZ128rrk
-    814060699U,	// VMOVUPDZ128rrk_alt
-    813749403U,	// VMOVUPDZ128rrkz
-    813749403U,	// VMOVUPDZ128rrkz_alt
-    111187099U,	// VMOVUPDZ256mr
-    916788379U,	// VMOVUPDZ256mrk
-    1070235U,	// VMOVUPDZ256rm
-    814814363U,	// VMOVUPDZ256rmk
-    814617755U,	// VMOVUPDZ256rmkz
-    8541339U,	// VMOVUPDZ256rr
-    8541339U,	// VMOVUPDZ256rr_alt
-    814060699U,	// VMOVUPDZ256rrk
-    814060699U,	// VMOVUPDZ256rrk_alt
-    813749403U,	// VMOVUPDZ256rrkz
-    813749403U,	// VMOVUPDZ256rrkz_alt
-    113284251U,	// VMOVUPDZmr
-    918885531U,	// VMOVUPDZmrk
-    1086619U,	// VMOVUPDZrm
-    814666907U,	// VMOVUPDZrmk
-    814634139U,	// VMOVUPDZrmkz
-    8541339U,	// VMOVUPDZrr
-    8541339U,	// VMOVUPDZrr_alt
-    814060699U,	// VMOVUPDZrrk
-    814060699U,	// VMOVUPDZrrk_alt
-    813749403U,	// VMOVUPDZrrkz
-    813749403U,	// VMOVUPDZrrkz_alt
-    65049755U,	// VMOVUPDmr
-    578715U,	// VMOVUPDrm
-    8541339U,	// VMOVUPDrr
-    8541339U,	// VMOVUPDrr_REV
-    111191869U,	// VMOVUPSYmr
-    1075005U,	// VMOVUPSYrm
-    8546109U,	// VMOVUPSYrr
-    8546109U,	// VMOVUPSYrr_REV
-    65054525U,	// VMOVUPSZ128mr
-    870655805U,	// VMOVUPSZ128mrk
-    583485U,	// VMOVUPSZ128rm
-    814802749U,	// VMOVUPSZ128rmk
-    813885245U,	// VMOVUPSZ128rmkz
-    8546109U,	// VMOVUPSZ128rr
-    8546109U,	// VMOVUPSZ128rr_alt
-    814065469U,	// VMOVUPSZ128rrk
-    814065469U,	// VMOVUPSZ128rrk_alt
-    813754173U,	// VMOVUPSZ128rrkz
-    813754173U,	// VMOVUPSZ128rrkz_alt
-    111191869U,	// VMOVUPSZ256mr
-    916793149U,	// VMOVUPSZ256mrk
-    1075005U,	// VMOVUPSZ256rm
-    814819133U,	// VMOVUPSZ256rmk
-    814622525U,	// VMOVUPSZ256rmkz
-    8546109U,	// VMOVUPSZ256rr
-    8546109U,	// VMOVUPSZ256rr_alt
-    814065469U,	// VMOVUPSZ256rrk
-    814065469U,	// VMOVUPSZ256rrk_alt
-    813754173U,	// VMOVUPSZ256rrkz
-    813754173U,	// VMOVUPSZ256rrkz_alt
-    113289021U,	// VMOVUPSZmr
-    918890301U,	// VMOVUPSZmrk
-    1091389U,	// VMOVUPSZrm
-    814671677U,	// VMOVUPSZrmk
-    814638909U,	// VMOVUPSZrmkz
-    8546109U,	// VMOVUPSZrr
-    8546109U,	// VMOVUPSZrr_alt
-    814065469U,	// VMOVUPSZrrk
-    814065469U,	// VMOVUPSZrrk_alt
-    813754173U,	// VMOVUPSZrrkz
-    813754173U,	// VMOVUPSZrrkz_alt
-    65054525U,	// VMOVUPSmr
-    583485U,	// VMOVUPSrm
-    8546109U,	// VMOVUPSrr
-    8546109U,	// VMOVUPSrr_REV
-    320268U,	// VMOVZPQILo2PQIZrm
-    8545036U,	// VMOVZPQILo2PQIZrr
-    320268U,	// VMOVZPQILo2PQIrm
-    8545036U,	// VMOVZPQILo2PQIrr
-    551723788U,	// VMOVZQI2PQIrm
-    8545036U,	// VMOVZQI2PQIrr
-    103115309U,	// VMPSADBWYrmi
-    839232045U,	// VMPSADBWYrri
-    342190637U,	// VMPSADBWrmi
-    839232045U,	// VMPSADBWrri
-    397788U,	// VMPTRLDm
-    403872U,	// VMPTRSTm
-    12622169U,	// VMREAD32rm
-    8542553U,	// VMREAD32rr
-    18915073U,	// VMREAD64rm
-    8544001U,	// VMREAD64rr
-    14041U,	// VMRESUME
-    15121U,	// VMRUN32
-    15201U,	// VMRUN64
-    15109U,	// VMSAVE32
-    15189U,	// VMSAVE64
-    812520482U,	// VMULPDYrm
-    811652130U,	// VMULPDYrr
+    811734049U,	// VMINPDrm
+    811652129U,	// VMINPDrr
+    812525186U,	// VMINPSYrm
+    811656834U,	// VMINPSYrr
+    812534508U,	// VMINPSZrm
+    352488172U,	// VMINPSZrmb
+    1427049196U,	// VMINPSZrmbk
+    1427049196U,	// VMINPSZrmbkz
+    839837314U,	// VMINPSZrmk
+    839837314U,	// VMINPSZrmkz
+    811649772U,	// VMINPSZrr
+    839224044U,	// VMINPSZrrk
+    839224044U,	// VMINPSZrrkz
+    811738754U,	// VMINPSrm
+    811656834U,	// VMINPSrr
+    283268589U,	// VMINSDZrm
+    811652589U,	// VMINSDZrr
+    283268589U,	// VMINSDrm
+    283268589U,	// VMINSDrm_Int
+    811652589U,	// VMINSDrr
+    811652589U,	// VMINSDrr_Int
+    283289720U,	// VMINSSZrm
+    811657336U,	// VMINSSZrr
+    283289720U,	// VMINSSrm
+    283289720U,	// VMINSSrm_Int
+    811657336U,	// VMINSSrr
+    811657336U,	// VMINSSrr_Int
+    14097U,	// VMLAUNCH
+    15053U,	// VMLOAD32
+    15133U,	// VMLOAD64
+    14189U,	// VMMCALL
+    8659680U,	// VMOV64toPQIZrr
+    8659680U,	// VMOV64toPQIrr
+    8659680U,	// VMOV64toSDZrr
+    551838432U,	// VMOV64toSDrm
+    8659680U,	// VMOV64toSDrr
+    111186702U,	// VMOVAPDYmr
+    1069838U,	// VMOVAPDYrm
+    8655630U,	// VMOVAPDYrr
+    8655630U,	// VMOVAPDYrr_REV
+    65049358U,	// VMOVAPDZ128mr
+    870650638U,	// VMOVAPDZ128mrk
+    578318U,	// VMOVAPDZ128rm
+    814797582U,	// VMOVAPDZ128rmk
+    813830926U,	// VMOVAPDZ128rmkz
+    8655630U,	// VMOVAPDZ128rr
+    8655630U,	// VMOVAPDZ128rr_alt
+    814060302U,	// VMOVAPDZ128rrk
+    814060302U,	// VMOVAPDZ128rrk_alt
+    813749006U,	// VMOVAPDZ128rrkz
+    813749006U,	// VMOVAPDZ128rrkz_alt
+    111186702U,	// VMOVAPDZ256mr
+    916787982U,	// VMOVAPDZ256mrk
+    1069838U,	// VMOVAPDZ256rm
+    814813966U,	// VMOVAPDZ256rmk
+    814617358U,	// VMOVAPDZ256rmkz
+    8655630U,	// VMOVAPDZ256rr
+    8655630U,	// VMOVAPDZ256rr_alt
+    814060302U,	// VMOVAPDZ256rrk
+    814060302U,	// VMOVAPDZ256rrk_alt
+    813749006U,	// VMOVAPDZ256rrkz
+    813749006U,	// VMOVAPDZ256rrkz_alt
+    113283854U,	// VMOVAPDZmr
+    918885134U,	// VMOVAPDZmrk
+    1086222U,	// VMOVAPDZrm
+    814666510U,	// VMOVAPDZrmk
+    814633742U,	// VMOVAPDZrmkz
+    8655630U,	// VMOVAPDZrr
+    8655630U,	// VMOVAPDZrr_alt
+    814060302U,	// VMOVAPDZrrk
+    814060302U,	// VMOVAPDZrrk_alt
+    813749006U,	// VMOVAPDZrrkz
+    813749006U,	// VMOVAPDZrrkz_alt
+    65049358U,	// VMOVAPDmr
+    578318U,	// VMOVAPDrm
+    8655630U,	// VMOVAPDrr
+    8655630U,	// VMOVAPDrr_REV
+    111191386U,	// VMOVAPSYmr
+    1074522U,	// VMOVAPSYrm
+    8660314U,	// VMOVAPSYrr
+    8660314U,	// VMOVAPSYrr_REV
+    65054042U,	// VMOVAPSZ128mr
+    870655322U,	// VMOVAPSZ128mrk
+    583002U,	// VMOVAPSZ128rm
+    814802266U,	// VMOVAPSZ128rmk
+    813835610U,	// VMOVAPSZ128rmkz
+    8660314U,	// VMOVAPSZ128rr
+    8660314U,	// VMOVAPSZ128rr_alt
+    814064986U,	// VMOVAPSZ128rrk
+    814064986U,	// VMOVAPSZ128rrk_alt
+    813753690U,	// VMOVAPSZ128rrkz
+    813753690U,	// VMOVAPSZ128rrkz_alt
+    111191386U,	// VMOVAPSZ256mr
+    916792666U,	// VMOVAPSZ256mrk
+    1074522U,	// VMOVAPSZ256rm
+    814818650U,	// VMOVAPSZ256rmk
+    814622042U,	// VMOVAPSZ256rmkz
+    8660314U,	// VMOVAPSZ256rr
+    8660314U,	// VMOVAPSZ256rr_alt
+    814064986U,	// VMOVAPSZ256rrk
+    814064986U,	// VMOVAPSZ256rrk_alt
+    813753690U,	// VMOVAPSZ256rrkz
+    813753690U,	// VMOVAPSZ256rrkz_alt
+    113288538U,	// VMOVAPSZmr
+    918889818U,	// VMOVAPSZmrk
+    1090906U,	// VMOVAPSZrm
+    814671194U,	// VMOVAPSZrmk
+    814638426U,	// VMOVAPSZrmkz
+    8660314U,	// VMOVAPSZrr
+    8660314U,	// VMOVAPSZrr_alt
+    814064986U,	// VMOVAPSZrrk
+    814064986U,	// VMOVAPSZrrk_alt
+    813753690U,	// VMOVAPSZrrkz
+    813753690U,	// VMOVAPSZrrkz_alt
+    65054042U,	// VMOVAPSmr
+    583002U,	// VMOVAPSrm
+    8660314U,	// VMOVAPSrr
+    8660314U,	// VMOVAPSrr_REV
+    1072599U,	// VMOVDDUPYrm
+    8658391U,	// VMOVDDUPYrr
+    1082924U,	// VMOVDDUPZrm
+    8652332U,	// VMOVDDUPZrr
+    597463U,	// VMOVDDUPrm
+    8658391U,	// VMOVDDUPrr
+    551802546U,	// VMOVDI2PDIZrm
+    8656562U,	// VMOVDI2PDIZrr
+    551802546U,	// VMOVDI2PDIrm
+    8656562U,	// VMOVDI2PDIrr
+    551802546U,	// VMOVDI2SSZrm
+    8656562U,	// VMOVDI2SSZrr
+    551802546U,	// VMOVDI2SSrm
+    8656562U,	// VMOVDI2SSrr
+    67144934U,	// VMOVDQA32Z128mr
+    872746214U,	// VMOVDQA32Z128mrk
+    314598U,	// VMOVDQA32Z128rm
+    814877926U,	// VMOVDQA32Z128rmk
+    813993190U,	// VMOVDQA32Z128rmkz
+    8654054U,	// VMOVDQA32Z128rr
+    8654054U,	// VMOVDQA32Z128rr_alt
+    814058726U,	// VMOVDQA32Z128rrk
+    814058726U,	// VMOVDQA32Z128rrk_alt
+    813747430U,	// VMOVDQA32Z128rrkz
+    813747430U,	// VMOVDQA32Z128rrkz_alt
+    115379430U,	// VMOVDQA32Z256mr
+    920980710U,	// VMOVDQA32Z256mrk
+    1019110U,	// VMOVDQA32Z256rm
+    814894310U,	// VMOVDQA32Z256rmk
+    814697702U,	// VMOVDQA32Z256rmkz
+    8654054U,	// VMOVDQA32Z256rr
+    8654054U,	// VMOVDQA32Z256rr_alt
+    814058726U,	// VMOVDQA32Z256rrk
+    814058726U,	// VMOVDQA32Z256rrk_alt
+    813747430U,	// VMOVDQA32Z256rrkz
+    813747430U,	// VMOVDQA32Z256rrkz_alt
+    117476582U,	// VMOVDQA32Zmr
+    923077862U,	// VMOVDQA32Zmrk
+    1035494U,	// VMOVDQA32Zrm
+    814910694U,	// VMOVDQA32Zrmk
+    814927078U,	// VMOVDQA32Zrmkz
+    8654054U,	// VMOVDQA32Zrr
+    8654054U,	// VMOVDQA32Zrr_alt
+    814058726U,	// VMOVDQA32Zrrk
+    814058726U,	// VMOVDQA32Zrrk_alt
+    813747430U,	// VMOVDQA32Zrrkz
+    813747430U,	// VMOVDQA32Zrrkz_alt
+    67145013U,	// VMOVDQA64Z128mr
+    872746293U,	// VMOVDQA64Z128mrk
+    314677U,	// VMOVDQA64Z128rm
+    814878005U,	// VMOVDQA64Z128rmk
+    813993269U,	// VMOVDQA64Z128rmkz
+    8654133U,	// VMOVDQA64Z128rr
+    8654133U,	// VMOVDQA64Z128rr_alt
+    814058805U,	// VMOVDQA64Z128rrk
+    814058805U,	// VMOVDQA64Z128rrk_alt
+    813747509U,	// VMOVDQA64Z128rrkz
+    813747509U,	// VMOVDQA64Z128rrkz_alt
+    115379509U,	// VMOVDQA64Z256mr
+    920980789U,	// VMOVDQA64Z256mrk
+    1019189U,	// VMOVDQA64Z256rm
+    814894389U,	// VMOVDQA64Z256rmk
+    814697781U,	// VMOVDQA64Z256rmkz
+    8654133U,	// VMOVDQA64Z256rr
+    8654133U,	// VMOVDQA64Z256rr_alt
+    814058805U,	// VMOVDQA64Z256rrk
+    814058805U,	// VMOVDQA64Z256rrk_alt
+    813747509U,	// VMOVDQA64Z256rrkz
+    813747509U,	// VMOVDQA64Z256rrkz_alt
+    117476661U,	// VMOVDQA64Zmr
+    923077941U,	// VMOVDQA64Zmrk
+    1035573U,	// VMOVDQA64Zrm
+    814910773U,	// VMOVDQA64Zrmk
+    814927157U,	// VMOVDQA64Zrmkz
+    8654133U,	// VMOVDQA64Zrr
+    8654133U,	// VMOVDQA64Zrr_alt
+    814058805U,	// VMOVDQA64Zrrk
+    814058805U,	// VMOVDQA64Zrrk_alt
+    813747509U,	// VMOVDQA64Zrrkz
+    813747509U,	// VMOVDQA64Zrrkz_alt
+    115379804U,	// VMOVDQAYmr
+    1019484U,	// VMOVDQAYrm
+    8654428U,	// VMOVDQAYrr
+    8654428U,	// VMOVDQAYrr_REV
+    67145308U,	// VMOVDQAmr
+    314972U,	// VMOVDQArm
+    8654428U,	// VMOVDQArr
+    8654428U,	// VMOVDQArr_REV
+    67145162U,	// VMOVDQU16Z128mr
+    872746442U,	// VMOVDQU16Z128mrk
+    314826U,	// VMOVDQU16Z128rm
+    814878154U,	// VMOVDQU16Z128rmk
+    813993418U,	// VMOVDQU16Z128rmkz
+    8654282U,	// VMOVDQU16Z128rr
+    8654282U,	// VMOVDQU16Z128rr_alt
+    814058954U,	// VMOVDQU16Z128rrk
+    814058954U,	// VMOVDQU16Z128rrk_alt
+    813747658U,	// VMOVDQU16Z128rrkz
+    813747658U,	// VMOVDQU16Z128rrkz_alt
+    115379658U,	// VMOVDQU16Z256mr
+    920980938U,	// VMOVDQU16Z256mrk
+    1019338U,	// VMOVDQU16Z256rm
+    814894538U,	// VMOVDQU16Z256rmk
+    814697930U,	// VMOVDQU16Z256rmkz
+    8654282U,	// VMOVDQU16Z256rr
+    8654282U,	// VMOVDQU16Z256rr_alt
+    814058954U,	// VMOVDQU16Z256rrk
+    814058954U,	// VMOVDQU16Z256rrk_alt
+    813747658U,	// VMOVDQU16Z256rrkz
+    813747658U,	// VMOVDQU16Z256rrkz_alt
+    117476810U,	// VMOVDQU16Zmr
+    923078090U,	// VMOVDQU16Zmrk
+    1035722U,	// VMOVDQU16Zrm
+    814910922U,	// VMOVDQU16Zrmk
+    814927306U,	// VMOVDQU16Zrmkz
+    8654282U,	// VMOVDQU16Zrr
+    8654282U,	// VMOVDQU16Zrr_alt
+    814058954U,	// VMOVDQU16Zrrk
+    814058954U,	// VMOVDQU16Zrrk_alt
+    813747658U,	// VMOVDQU16Zrrkz
+    813747658U,	// VMOVDQU16Zrrkz_alt
+    67144945U,	// VMOVDQU32Z128mr
+    872746225U,	// VMOVDQU32Z128mrk
+    314609U,	// VMOVDQU32Z128rm
+    814877937U,	// VMOVDQU32Z128rmk
+    813993201U,	// VMOVDQU32Z128rmkz
+    8654065U,	// VMOVDQU32Z128rr
+    8654065U,	// VMOVDQU32Z128rr_alt
+    814058737U,	// VMOVDQU32Z128rrk
+    814058737U,	// VMOVDQU32Z128rrk_alt
+    813747441U,	// VMOVDQU32Z128rrkz
+    813747441U,	// VMOVDQU32Z128rrkz_alt
+    115379441U,	// VMOVDQU32Z256mr
+    920980721U,	// VMOVDQU32Z256mrk
+    1019121U,	// VMOVDQU32Z256rm
+    814894321U,	// VMOVDQU32Z256rmk
+    814697713U,	// VMOVDQU32Z256rmkz
+    8654065U,	// VMOVDQU32Z256rr
+    8654065U,	// VMOVDQU32Z256rr_alt
+    814058737U,	// VMOVDQU32Z256rrk
+    814058737U,	// VMOVDQU32Z256rrk_alt
+    813747441U,	// VMOVDQU32Z256rrkz
+    813747441U,	// VMOVDQU32Z256rrkz_alt
+    117476593U,	// VMOVDQU32Zmr
+    923077873U,	// VMOVDQU32Zmrk
+    1035505U,	// VMOVDQU32Zrm
+    814910705U,	// VMOVDQU32Zrmk
+    814927089U,	// VMOVDQU32Zrmkz
+    8654065U,	// VMOVDQU32Zrr
+    8654065U,	// VMOVDQU32Zrr_alt
+    814058737U,	// VMOVDQU32Zrrk
+    814058737U,	// VMOVDQU32Zrrk_alt
+    813747441U,	// VMOVDQU32Zrrkz
+    813747441U,	// VMOVDQU32Zrrkz_alt
+    67145024U,	// VMOVDQU64Z128mr
+    872746304U,	// VMOVDQU64Z128mrk
+    314688U,	// VMOVDQU64Z128rm
+    814878016U,	// VMOVDQU64Z128rmk
+    813993280U,	// VMOVDQU64Z128rmkz
+    8654144U,	// VMOVDQU64Z128rr
+    8654144U,	// VMOVDQU64Z128rr_alt
+    814058816U,	// VMOVDQU64Z128rrk
+    814058816U,	// VMOVDQU64Z128rrk_alt
+    813747520U,	// VMOVDQU64Z128rrkz
+    813747520U,	// VMOVDQU64Z128rrkz_alt
+    115379520U,	// VMOVDQU64Z256mr
+    920980800U,	// VMOVDQU64Z256mrk
+    1019200U,	// VMOVDQU64Z256rm
+    814894400U,	// VMOVDQU64Z256rmk
+    814697792U,	// VMOVDQU64Z256rmkz
+    8654144U,	// VMOVDQU64Z256rr
+    8654144U,	// VMOVDQU64Z256rr_alt
+    814058816U,	// VMOVDQU64Z256rrk
+    814058816U,	// VMOVDQU64Z256rrk_alt
+    813747520U,	// VMOVDQU64Z256rrkz
+    813747520U,	// VMOVDQU64Z256rrkz_alt
+    117476672U,	// VMOVDQU64Zmr
+    923077952U,	// VMOVDQU64Zmrk
+    1035584U,	// VMOVDQU64Zrm
+    814910784U,	// VMOVDQU64Zrmk
+    814927168U,	// VMOVDQU64Zrmkz
+    8654144U,	// VMOVDQU64Zrr
+    8654144U,	// VMOVDQU64Zrr_alt
+    814058816U,	// VMOVDQU64Zrrk
+    814058816U,	// VMOVDQU64Zrrk_alt
+    813747520U,	// VMOVDQU64Zrrkz
+    813747520U,	// VMOVDQU64Zrrkz_alt
+    67145283U,	// VMOVDQU8Z128mr
+    872746563U,	// VMOVDQU8Z128mrk
+    314947U,	// VMOVDQU8Z128rm
+    814878275U,	// VMOVDQU8Z128rmk
+    813993539U,	// VMOVDQU8Z128rmkz
+    8654403U,	// VMOVDQU8Z128rr
+    8654403U,	// VMOVDQU8Z128rr_alt
+    814059075U,	// VMOVDQU8Z128rrk
+    814059075U,	// VMOVDQU8Z128rrk_alt
+    813747779U,	// VMOVDQU8Z128rrkz
+    813747779U,	// VMOVDQU8Z128rrkz_alt
+    115379779U,	// VMOVDQU8Z256mr
+    920981059U,	// VMOVDQU8Z256mrk
+    1019459U,	// VMOVDQU8Z256rm
+    814894659U,	// VMOVDQU8Z256rmk
+    814698051U,	// VMOVDQU8Z256rmkz
+    8654403U,	// VMOVDQU8Z256rr
+    8654403U,	// VMOVDQU8Z256rr_alt
+    814059075U,	// VMOVDQU8Z256rrk
+    814059075U,	// VMOVDQU8Z256rrk_alt
+    813747779U,	// VMOVDQU8Z256rrkz
+    813747779U,	// VMOVDQU8Z256rrkz_alt
+    117476931U,	// VMOVDQU8Zmr
+    923078211U,	// VMOVDQU8Zmrk
+    1035843U,	// VMOVDQU8Zrm
+    814911043U,	// VMOVDQU8Zrmk
+    814927427U,	// VMOVDQU8Zrmkz
+    8654403U,	// VMOVDQU8Zrr
+    8654403U,	// VMOVDQU8Zrr_alt
+    814059075U,	// VMOVDQU8Zrrk
+    814059075U,	// VMOVDQU8Zrrk_alt
+    813747779U,	// VMOVDQU8Zrrkz
+    813747779U,	// VMOVDQU8Zrrkz_alt
+    115386779U,	// VMOVDQUYmr
+    1026459U,	// VMOVDQUYrm
+    8661403U,	// VMOVDQUYrr
+    8661403U,	// VMOVDQUYrr_REV
+    67152283U,	// VMOVDQUmr
+    321947U,	// VMOVDQUrm
+    8661403U,	// VMOVDQUrr
+    8661403U,	// VMOVDQUrr_REV
+    811656750U,	// VMOVHLPSZrr
+    811656750U,	// VMOVHLPSrr
+    69243852U,	// VMOVHPDmr
+    283268044U,	// VMOVHPDrm
+    69248538U,	// VMOVHPSmr
+    283272730U,	// VMOVHPSrm
+    811656720U,	// VMOVLHPSZrr
+    811656720U,	// VMOVLHPSrr
+    69243902U,	// VMOVLPDmr
+    283268094U,	// VMOVLPDrm
+    69248598U,	// VMOVLPSmr
+    283272790U,	// VMOVLPSrm
+    8655829U,	// VMOVMSKPDYrr
+    8655829U,	// VMOVMSKPDrr
+    8660515U,	// VMOVMSKPSYrr
+    8660515U,	// VMOVMSKPSrr
+    1019473U,	// VMOVNTDQAYrm
+    314961U,	// VMOVNTDQAZ128rm
+    1019473U,	// VMOVNTDQAZ256rm
+    1035857U,	// VMOVNTDQAZrm
+    314961U,	// VMOVNTDQArm
+    111189919U,	// VMOVNTDQYmr
+    67149727U,	// VMOVNTDQZ128mr
+    115384223U,	// VMOVNTDQZ256mr
+    117481375U,	// VMOVNTDQZmr
+    65052575U,	// VMOVNTDQmr
+    111187027U,	// VMOVNTPDYmr
+    65049683U,	// VMOVNTPDZ128mr
+    111187027U,	// VMOVNTPDZ256mr
+    113284179U,	// VMOVNTPDZmr
+    65049683U,	// VMOVNTPDmr
+    111191752U,	// VMOVNTPSYmr
+    65054408U,	// VMOVNTPSZ128mr
+    111191752U,	// VMOVNTPSZ256mr
+    113288904U,	// VMOVNTPSZmr
+    65054408U,	// VMOVNTPSmr
+    12621490U,	// VMOVPDI2DIZmr
+    8656562U,	// VMOVPDI2DIZrr
+    12621490U,	// VMOVPDI2DImr
+    8656562U,	// VMOVPDI2DIrr
+    18916064U,	// VMOVPQI2QImr
+    8659680U,	// VMOVPQI2QIrr
+    18916064U,	// VMOVPQIto64Zmr
+    8659680U,	// VMOVPQIto64Zrr
+    8659680U,	// VMOVPQIto64rr
+    551838432U,	// VMOVQI2PQIZrm
+    551838432U,	// VMOVQI2PQIrm
+    69240045U,	// VMOVSDZmr
+    591085U,	// VMOVSDZrm
+    811648237U,	// VMOVSDZrr
+    811652645U,	// VMOVSDZrr_REV
+    814335213U,	// VMOVSDZrrk
+    69244453U,	// VMOVSDmr
+    595493U,	// VMOVSDrm
+    811652645U,	// VMOVSDrr
+    811652645U,	// VMOVSDrr_REV
+    18916064U,	// VMOVSDto64Zmr
+    8659680U,	// VMOVSDto64Zrr
+    18916064U,	// VMOVSDto64mr
+    8659680U,	// VMOVSDto64rr
+    1072609U,	// VMOVSHDUPYrm
+    8658401U,	// VMOVSHDUPYrr
+    1082935U,	// VMOVSHDUPZrm
+    8652343U,	// VMOVSHDUPZrr
+    581089U,	// VMOVSHDUPrm
+    8658401U,	// VMOVSHDUPrr
+    1072620U,	// VMOVSLDUPYrm
+    8658412U,	// VMOVSLDUPYrr
+    1082947U,	// VMOVSLDUPZrm
+    8652355U,	// VMOVSLDUPZrr
+    581100U,	// VMOVSLDUPrm
+    8658412U,	// VMOVSLDUPrr
+    12621490U,	// VMOVSS2DIZmr
+    8656562U,	// VMOVSS2DIZrr
+    12621490U,	// VMOVSS2DImr
+    8656562U,	// VMOVSS2DIrr
+    71338996U,	// VMOVSSZmr
+    609268U,	// VMOVSSZrm
+    811650036U,	// VMOVSSZrr
+    811657410U,	// VMOVSSZrr_REV
+    814337012U,	// VMOVSSZrrk
+    71346370U,	// VMOVSSmr
+    616642U,	// VMOVSSrm
+    811657410U,	// VMOVSSrr
+    811657410U,	// VMOVSSrr_REV
+    111187055U,	// VMOVUPDYmr
+    1070191U,	// VMOVUPDYrm
+    8655983U,	// VMOVUPDYrr
+    8655983U,	// VMOVUPDYrr_REV
+    65049711U,	// VMOVUPDZ128mr
+    870650991U,	// VMOVUPDZ128mrk
+    578671U,	// VMOVUPDZ128rm
+    814797935U,	// VMOVUPDZ128rmk
+    813831279U,	// VMOVUPDZ128rmkz
+    8655983U,	// VMOVUPDZ128rr
+    8655983U,	// VMOVUPDZ128rr_alt
+    814060655U,	// VMOVUPDZ128rrk
+    814060655U,	// VMOVUPDZ128rrk_alt
+    813749359U,	// VMOVUPDZ128rrkz
+    813749359U,	// VMOVUPDZ128rrkz_alt
+    111187055U,	// VMOVUPDZ256mr
+    916788335U,	// VMOVUPDZ256mrk
+    1070191U,	// VMOVUPDZ256rm
+    814814319U,	// VMOVUPDZ256rmk
+    814617711U,	// VMOVUPDZ256rmkz
+    8655983U,	// VMOVUPDZ256rr
+    8655983U,	// VMOVUPDZ256rr_alt
+    814060655U,	// VMOVUPDZ256rrk
+    814060655U,	// VMOVUPDZ256rrk_alt
+    813749359U,	// VMOVUPDZ256rrkz
+    813749359U,	// VMOVUPDZ256rrkz_alt
+    113284207U,	// VMOVUPDZmr
+    918885487U,	// VMOVUPDZmrk
+    1086575U,	// VMOVUPDZrm
+    814666863U,	// VMOVUPDZrmk
+    814634095U,	// VMOVUPDZrmkz
+    8655983U,	// VMOVUPDZrr
+    8655983U,	// VMOVUPDZrr_alt
+    814060655U,	// VMOVUPDZrrk
+    814060655U,	// VMOVUPDZrrk_alt
+    813749359U,	// VMOVUPDZrrkz
+    813749359U,	// VMOVUPDZrrkz_alt
+    65049711U,	// VMOVUPDmr
+    578671U,	// VMOVUPDrm
+    8655983U,	// VMOVUPDrr
+    8655983U,	// VMOVUPDrr_REV
+    111191825U,	// VMOVUPSYmr
+    1074961U,	// VMOVUPSYrm
+    8660753U,	// VMOVUPSYrr
+    8660753U,	// VMOVUPSYrr_REV
+    65054481U,	// VMOVUPSZ128mr
+    870655761U,	// VMOVUPSZ128mrk
+    583441U,	// VMOVUPSZ128rm
+    814802705U,	// VMOVUPSZ128rmk
+    813836049U,	// VMOVUPSZ128rmkz
+    8660753U,	// VMOVUPSZ128rr
+    8660753U,	// VMOVUPSZ128rr_alt
+    814065425U,	// VMOVUPSZ128rrk
+    814065425U,	// VMOVUPSZ128rrk_alt
+    813754129U,	// VMOVUPSZ128rrkz
+    813754129U,	// VMOVUPSZ128rrkz_alt
+    111191825U,	// VMOVUPSZ256mr
+    916793105U,	// VMOVUPSZ256mrk
+    1074961U,	// VMOVUPSZ256rm
+    814819089U,	// VMOVUPSZ256rmk
+    814622481U,	// VMOVUPSZ256rmkz
+    8660753U,	// VMOVUPSZ256rr
+    8660753U,	// VMOVUPSZ256rr_alt
+    814065425U,	// VMOVUPSZ256rrk
+    814065425U,	// VMOVUPSZ256rrk_alt
+    813754129U,	// VMOVUPSZ256rrkz
+    813754129U,	// VMOVUPSZ256rrkz_alt
+    113288977U,	// VMOVUPSZmr
+    918890257U,	// VMOVUPSZmrk
+    1091345U,	// VMOVUPSZrm
+    814671633U,	// VMOVUPSZrmk
+    814638865U,	// VMOVUPSZrmkz
+    8660753U,	// VMOVUPSZrr
+    8660753U,	// VMOVUPSZrr_alt
+    814065425U,	// VMOVUPSZrrk
+    814065425U,	// VMOVUPSZrrk_alt
+    813754129U,	// VMOVUPSZrrkz
+    813754129U,	// VMOVUPSZrrkz_alt
+    65054481U,	// VMOVUPSmr
+    583441U,	// VMOVUPSrm
+    8660753U,	// VMOVUPSrr
+    8660753U,	// VMOVUPSrr_REV
+    320224U,	// VMOVZPQILo2PQIZrm
+    8659680U,	// VMOVZPQILo2PQIZrr
+    320224U,	// VMOVZPQILo2PQIrm
+    8659680U,	// VMOVZPQILo2PQIrr
+    551838432U,	// VMOVZQI2PQIrm
+    8659680U,	// VMOVZQI2PQIrr
+    103115265U,	// VMPSADBWYrmi
+    839232001U,	// VMPSADBWYrri
+    342190593U,	// VMPSADBWrmi
+    839232001U,	// VMPSADBWrri
+    397744U,	// VMPTRLDm
+    403828U,	// VMPTRSTm
+    12622125U,	// VMREAD32rm
+    8657197U,	// VMREAD32rr
+    18915029U,	// VMREAD64rm
+    8658645U,	// VMREAD64rr
+    13997U,	// VMRESUME
+    15077U,	// VMRUN32
+    15157U,	// VMRUN64
+    15065U,	// VMSAVE32
+    15145U,	// VMSAVE64
+    812520438U,	// VMULPDYrm
+    811652086U,	// VMULPDYrr
     812532558U,	// VMULPDZrm
-    350421838U,	// VMULPDZrmb
+    350372686U,	// VMULPDZrmb
     1424917326U,	// VMULPDZrmbk
     1424917326U,	// VMULPDZrmbkz
-    839832610U,	// VMULPDZrmk
-    839832610U,	// VMULPDZrmkz
+    839832566U,	// VMULPDZrmk
+    839832566U,	// VMULPDZrmkz
     811647822U,	// VMULPDZrr
     839222094U,	// VMULPDZrrk
     839222094U,	// VMULPDZrrkz
-    811783202U,	// VMULPDrm
-    811652130U,	// VMULPDrr
-    812525178U,	// VMULPSYrm
-    811656826U,	// VMULPSYrr
-    812534521U,	// VMULPSZrm
-    352537337U,	// VMULPSZrmb
-    1427049209U,	// VMULPSZrmbk
-    1427049209U,	// VMULPSZrmbkz
-    839837306U,	// VMULPSZrmk
-    839837306U,	// VMULPSZrmkz
-    811649785U,	// VMULPSZrr
-    839224057U,	// VMULPSZrrk
-    839224057U,	// VMULPSZrrkz
-    811787898U,	// VMULPSrm
-    811656826U,	// VMULPSrr
-    283317768U,	// VMULSDZrm
-    811652616U,	// VMULSDZrr
-    283317768U,	// VMULSDrm
-    283317768U,	// VMULSDrm_Int
-    811652616U,	// VMULSDrr
-    811652616U,	// VMULSDrr_Int
-    283338908U,	// VMULSSZrm
-    811657372U,	// VMULSSZrr
-    283338908U,	// VMULSSrm
-    283338908U,	// VMULSSrm_Int
-    811657372U,	// VMULSSrr
-    811657372U,	// VMULSSrr_Int
-    551688727U,	// VMWRITE32rm
-    8542743U,	// VMWRITE32rr
-    551723106U,	// VMWRITE64rm
-    8544354U,	// VMWRITE64rr
-    14124U,	// VMXOFF
-    400765U,	// VMXON
-    812520560U,	// VORPDYrm
-    811652208U,	// VORPDYrr
-    811783280U,	// VORPDrm
-    811652208U,	// VORPDrr
-    812525273U,	// VORPSYrm
-    811656921U,	// VORPSYrr
-    811787993U,	// VORPSrm
-    811656921U,	// VORPSrr
-    315319U,	// VPABSBrm128
-    1019831U,	// VPABSBrm256
-    8540087U,	// VPABSBrr128
-    8540087U,	// VPABSBrr256
-    1033416U,	// VPABSDZrm
-    620889288U,	// VPABSDZrmb
-    620840136U,	// VPABSDZrmbk
-    620840136U,	// VPABSDZrmbkz
-    814925000U,	// VPABSDZrmk
-    814925000U,	// VPABSDZrmkz
-    8537288U,	// VPABSDZrr
-    813745352U,	// VPABSDZrrk
-    813745352U,	// VPABSDZrrkz
-    316841U,	// VPABSDrm128
-    1021353U,	// VPABSDrm256
-    8541609U,	// VPABSDrr128
-    8541609U,	// VPABSDrr256
-    1034364U,	// VPABSQZrm
-    618825852U,	// VPABSQZrmb
-    618760316U,	// VPABSQZrmbk
-    618760316U,	// VPABSQZrmbkz
-    814925948U,	// VPABSQZrmk
-    814925948U,	// VPABSQZrmkz
-    8538236U,	// VPABSQZrr
-    813746300U,	// VPABSQZrrk
-    813746300U,	// VPABSQZrrkz
-    322778U,	// VPABSWrm128
-    1027290U,	// VPABSWrm256
-    8547546U,	// VPABSWrr128
-    8547546U,	// VPABSWrr256
-    812608277U,	// VPACKSSDWYrm
-    811658005U,	// VPACKSSDWYrr
-    811903765U,	// VPACKSSDWrm
-    811658005U,	// VPACKSSDWrr
-    812601492U,	// VPACKSSWBYrm
-    811651220U,	// VPACKSSWBYrr
-    811896980U,	// VPACKSSWBrm
-    811651220U,	// VPACKSSWBrr
-    812608288U,	// VPACKUSDWYrm
-    811658016U,	// VPACKUSDWYrr
-    811903776U,	// VPACKUSDWrm
-    811658016U,	// VPACKUSDWrr
-    812601503U,	// VPACKUSWBYrm
-    811651231U,	// VPACKUSWBYrr
-    811896991U,	// VPACKUSWBrm
-    811651231U,	// VPACKUSWBrr
-    812601067U,	// VPADDBYrm
-    811650795U,	// VPADDBYrr
-    811896555U,	// VPADDBrm
-    811650795U,	// VPADDBrr
-    812601684U,	// VPADDDYrm
-    811651412U,	// VPADDDYrr
+    811734006U,	// VMULPDrm
+    811652086U,	// VMULPDrr
+    812525134U,	// VMULPSYrm
+    811656782U,	// VMULPSYrr
+    812534477U,	// VMULPSZrm
+    352488141U,	// VMULPSZrmb
+    1427049165U,	// VMULPSZrmbk
+    1427049165U,	// VMULPSZrmbkz
+    839837262U,	// VMULPSZrmk
+    839837262U,	// VMULPSZrmkz
+    811649741U,	// VMULPSZrr
+    839224013U,	// VMULPSZrrk
+    839224013U,	// VMULPSZrrkz
+    811738702U,	// VMULPSrm
+    811656782U,	// VMULPSrr
+    283268572U,	// VMULSDZrm
+    811652572U,	// VMULSDZrr
+    283268572U,	// VMULSDrm
+    283268572U,	// VMULSDrm_Int
+    811652572U,	// VMULSDrr
+    811652572U,	// VMULSDrr_Int
+    283289712U,	// VMULSSZrm
+    811657328U,	// VMULSSZrr
+    283289712U,	// VMULSSrm
+    283289712U,	// VMULSSrm_Int
+    811657328U,	// VMULSSrr
+    811657328U,	// VMULSSrr_Int
+    551803371U,	// VMWRITE32rm
+    8657387U,	// VMWRITE32rr
+    551837750U,	// VMWRITE64rm
+    8658998U,	// VMWRITE64rr
+    14080U,	// VMXOFF
+    400721U,	// VMXON
+    812520516U,	// VORPDYrm
+    811652164U,	// VORPDYrr
+    811734084U,	// VORPDrm
+    811652164U,	// VORPDrr
+    812525229U,	// VORPSYrm
+    811656877U,	// VORPSYrr
+    811738797U,	// VORPSrm
+    811656877U,	// VORPSrr
+    315275U,	// VPABSBrm128
+    1019787U,	// VPABSBrm256
+    8654731U,	// VPABSBrr128
+    8654731U,	// VPABSBrr256
+    1033405U,	// VPABSDZrm
+    621003965U,	// VPABSDZrmb
+    620840125U,	// VPABSDZrmbk
+    620840125U,	// VPABSDZrmbkz
+    814924989U,	// VPABSDZrmk
+    814924989U,	// VPABSDZrmkz
+    8651965U,	// VPABSDZrr
+    813745341U,	// VPABSDZrrk
+    813745341U,	// VPABSDZrrkz
+    316797U,	// VPABSDrm128
+    1021309U,	// VPABSDrm256
+    8656253U,	// VPABSDrr128
+    8656253U,	// VPABSDrr256
+    1034331U,	// VPABSQZrm
+    618940507U,	// VPABSQZrmb
+    618760283U,	// VPABSQZrmbk
+    618760283U,	// VPABSQZrmbkz
+    814925915U,	// VPABSQZrmk
+    814925915U,	// VPABSQZrmkz
+    8652891U,	// VPABSQZrr
+    813746267U,	// VPABSQZrrk
+    813746267U,	// VPABSQZrrkz
+    322734U,	// VPABSWrm128
+    1027246U,	// VPABSWrm256
+    8662190U,	// VPABSWrr128
+    8662190U,	// VPABSWrr256
+    812608233U,	// VPACKSSDWYrm
+    811657961U,	// VPACKSSDWYrr
+    811903721U,	// VPACKSSDWrm
+    811657961U,	// VPACKSSDWrr
+    812601448U,	// VPACKSSWBYrm
+    811651176U,	// VPACKSSWBYrr
+    811896936U,	// VPACKSSWBrm
+    811651176U,	// VPACKSSWBrr
+    812608244U,	// VPACKUSDWYrm
+    811657972U,	// VPACKUSDWYrr
+    811903732U,	// VPACKUSDWrm
+    811657972U,	// VPACKUSDWrr
+    812601459U,	// VPACKUSWBYrm
+    811651187U,	// VPACKUSWBYrr
+    811896947U,	// VPACKUSWBrm
+    811651187U,	// VPACKUSWBrr
+    812601023U,	// VPADDBYrm
+    811650751U,	// VPADDBYrr
+    811896511U,	// VPADDBrm
+    811650751U,	// VPADDBrr
+    812601640U,	// VPADDDYrm
+    811651368U,	// VPADDDYrr
     812826866U,	// VPADDDZrm
     352403698U,	// VPADDDZrmb
     3037839602U,	// VPADDDZrmbk
-    840106226U,	// VPADDDZrmbkz
+    1427308786U,	// VPADDDZrmbkz
     120799474U,	// VPADDDZrmk
     840073458U,	// VPADDDZrmkz
     811647218U,	// VPADDDZrr
     814334194U,	// VPADDDZrrk
     839221490U,	// VPADDDZrrkz
-    811897172U,	// VPADDDrm
-    811651412U,	// VPADDDrr
-    812605204U,	// VPADDQYrm
-    811654932U,	// VPADDQYrr
-    812828393U,	// VPADDQZrm
-    350324457U,	// VPADDQZrmb
-    3035727593U,	// VPADDQZrmbk
-    840140521U,	// VPADDQZrmbkz
-    120801001U,	// VPADDQZrmk
-    840074985U,	// VPADDQZrmkz
-    811648745U,	// VPADDQZrr
-    814335721U,	// VPADDQZrrk
-    839223017U,	// VPADDQZrrkz
-    811900692U,	// VPADDQrm
-    811654932U,	// VPADDQrr
-    812601297U,	// VPADDSBYrm
-    811651025U,	// VPADDSBYrr
-    811896785U,	// VPADDSBrm
-    811651025U,	// VPADDSBrr
-    812608788U,	// VPADDSWYrm
-    811658516U,	// VPADDSWYrr
-    811904276U,	// VPADDSWrm
-    811658516U,	// VPADDSWrr
-    812601346U,	// VPADDUSBYrm
-    811651074U,	// VPADDUSBYrr
-    811896834U,	// VPADDUSBrm
-    811651074U,	// VPADDUSBrr
-    812608901U,	// VPADDUSWYrm
-    811658629U,	// VPADDUSWYrr
-    811904389U,	// VPADDUSWrm
-    811658629U,	// VPADDUSWrr
-    812608220U,	// VPADDWYrm
-    811657948U,	// VPADDWYrr
-    811903708U,	// VPADDWrm
-    811657948U,	// VPADDWrr
-    342188958U,	// VPALIGNR128rm
-    839230366U,	// VPALIGNR128rr
-    103113630U,	// VPALIGNR256rm
-    839230366U,	// VPALIGNR256rr
+    811897128U,	// VPADDDrm
+    811651368U,	// VPADDDrr
+    812605160U,	// VPADDQYrm
+    811654888U,	// VPADDQYrr
+    812828371U,	// VPADDQZrm
+    350324435U,	// VPADDQZrmb
+    3035727571U,	// VPADDQZrmbk
+    1425245907U,	// VPADDQZrmbkz
+    120800979U,	// VPADDQZrmk
+    840074963U,	// VPADDQZrmkz
+    811648723U,	// VPADDQZrr
+    814335699U,	// VPADDQZrrk
+    839222995U,	// VPADDQZrrkz
+    811900648U,	// VPADDQrm
+    811654888U,	// VPADDQrr
+    812601253U,	// VPADDSBYrm
+    811650981U,	// VPADDSBYrr
+    811896741U,	// VPADDSBrm
+    811650981U,	// VPADDSBrr
+    812608744U,	// VPADDSWYrm
+    811658472U,	// VPADDSWYrr
+    811904232U,	// VPADDSWrm
+    811658472U,	// VPADDSWrr
+    812601302U,	// VPADDUSBYrm
+    811651030U,	// VPADDUSBYrr
+    811896790U,	// VPADDUSBrm
+    811651030U,	// VPADDUSBrr
+    812608857U,	// VPADDUSWYrm
+    811658585U,	// VPADDUSWYrr
+    811904345U,	// VPADDUSWrm
+    811658585U,	// VPADDUSWrr
+    812608176U,	// VPADDWYrm
+    811657904U,	// VPADDWYrr
+    811903664U,	// VPADDWrm
+    811657904U,	// VPADDWrr
+    342188914U,	// VPALIGNR128rm
+    839230322U,	// VPALIGNR128rr
+    103113586U,	// VPALIGNR256rm
+    839230322U,	// VPALIGNR256rr
     812826883U,	// VPANDDZrm
     352403715U,	// VPANDDZrmb
     3037839619U,	// VPANDDZrmbk
-    840106243U,	// VPANDDZrmbkz
+    1427308803U,	// VPANDDZrmbkz
     120799491U,	// VPANDDZrmk
     840073475U,	// VPANDDZrmkz
     811647235U,	// VPANDDZrr
@@ -4637,934 +4663,1046 @@
     812827010U,	// VPANDNDZrm
     352403842U,	// VPANDNDZrmb
     3037839746U,	// VPANDNDZrmbk
-    840106370U,	// VPANDNDZrmbkz
+    1427308930U,	// VPANDNDZrmbkz
     120799618U,	// VPANDNDZrmk
     840073602U,	// VPANDNDZrmkz
     811647362U,	// VPANDNDZrr
     814334338U,	// VPANDNDZrrk
     839221634U,	// VPANDNDZrrkz
-    812828697U,	// VPANDNQZrm
-    350324761U,	// VPANDNQZrmb
-    3035727897U,	// VPANDNQZrmbk
-    840140825U,	// VPANDNQZrmbkz
-    120801305U,	// VPANDNQZrmk
-    840075289U,	// VPANDNQZrmkz
-    811649049U,	// VPANDNQZrr
-    814336025U,	// VPANDNQZrrk
-    839223321U,	// VPANDNQZrrkz
-    812604774U,	// VPANDNYrm
-    811654502U,	// VPANDNYrr
-    811900262U,	// VPANDNrm
-    811654502U,	// VPANDNrr
-    812828446U,	// VPANDQZrm
-    350324510U,	// VPANDQZrmb
-    3035727646U,	// VPANDQZrmbk
-    840140574U,	// VPANDQZrmbkz
-    120801054U,	// VPANDQZrmk
-    840075038U,	// VPANDQZrmkz
-    811648798U,	// VPANDQZrr
-    814335774U,	// VPANDQZrrk
-    839223070U,	// VPANDQZrrkz
-    812601845U,	// VPANDYrm
-    811651573U,	// VPANDYrr
-    811897333U,	// VPANDrm
-    811651573U,	// VPANDrr
-    812601113U,	// VPAVGBYrm
-    811650841U,	// VPAVGBYrr
-    811896601U,	// VPAVGBrm
-    811650841U,	// VPAVGBrr
-    812608389U,	// VPAVGWYrm
-    811658117U,	// VPAVGWYrr
-    811903877U,	// VPAVGWrm
-    811658117U,	// VPAVGWrr
-    103108956U,	// VPBLENDDYrmi
-    839225692U,	// VPBLENDDYrri
-    342184284U,	// VPBLENDDrmi
-    839225692U,	// VPBLENDDrri
+    812828675U,	// VPANDNQZrm
+    350324739U,	// VPANDNQZrmb
+    3035727875U,	// VPANDNQZrmbk
+    1425246211U,	// VPANDNQZrmbkz
+    120801283U,	// VPANDNQZrmk
+    840075267U,	// VPANDNQZrmkz
+    811649027U,	// VPANDNQZrr
+    814336003U,	// VPANDNQZrrk
+    839223299U,	// VPANDNQZrrkz
+    812604730U,	// VPANDNYrm
+    811654458U,	// VPANDNYrr
+    811900218U,	// VPANDNrm
+    811654458U,	// VPANDNrr
+    812828424U,	// VPANDQZrm
+    350324488U,	// VPANDQZrmb
+    3035727624U,	// VPANDQZrmbk
+    1425245960U,	// VPANDQZrmbkz
+    120801032U,	// VPANDQZrmk
+    840075016U,	// VPANDQZrmkz
+    811648776U,	// VPANDQZrr
+    814335752U,	// VPANDQZrrk
+    839223048U,	// VPANDQZrrkz
+    812601801U,	// VPANDYrm
+    811651529U,	// VPANDYrr
+    811897289U,	// VPANDrm
+    811651529U,	// VPANDrr
+    812601069U,	// VPAVGBYrm
+    811650797U,	// VPAVGBYrr
+    811896557U,	// VPAVGBrm
+    811650797U,	// VPAVGBrr
+    812608345U,	// VPAVGWYrm
+    811658073U,	// VPAVGWYrr
+    811903833U,	// VPAVGWrm
+    811658073U,	// VPAVGWrr
+    103108912U,	// VPBLENDDYrmi
+    839225648U,	// VPBLENDDYrri
+    342184240U,	// VPBLENDDrmi
+    839225648U,	// VPBLENDDrri
     839827789U,	// VPBLENDMDZrm
     839221581U,	// VPBLENDMDZrr
-    839829476U,	// VPBLENDMQZrm
-    839223268U,	// VPBLENDMQZrr
-    103108730U,	// VPBLENDVBYrm
-    839225466U,	// VPBLENDVBYrr
-    342184058U,	// VPBLENDVBrm
-    839225466U,	// VPBLENDVBrr
-    103115524U,	// VPBLENDWYrmi
-    839232260U,	// VPBLENDWYrri
-    342190852U,	// VPBLENDWrmi
-    839232260U,	// VPBLENDWrri
-    446531U,	// VPBROADCASTBYrm
-    8540227U,	// VPBROADCASTBYrr
-    446531U,	// VPBROADCASTBrm
-    8540227U,	// VPBROADCASTBrr
-    551687813U,	// VPBROADCASTDYrm
-    8541829U,	// VPBROADCASTDYrr
-    551634231U,	// VPBROADCASTDZkrm
-    813745463U,	// VPBROADCASTDZkrr
-    551683383U,	// VPBROADCASTDZrm
-    8537399U,	// VPBROADCASTDZrr
-    813745463U,	// VPBROADCASTDrZkrr
-    8537399U,	// VPBROADCASTDrZrr
-    551687813U,	// VPBROADCASTDrm
-    8541829U,	// VPBROADCASTDrr
-    8537701U,	// VPBROADCASTMB2Qrr
-    8536246U,	// VPBROADCASTMW2Drr
-    551723705U,	// VPBROADCASTQYrm
-    8544953U,	// VPBROADCASTQYrr
-    551651552U,	// VPBROADCASTQZkrm
-    813746400U,	// VPBROADCASTQZkrr
-    551717088U,	// VPBROADCASTQZrm
-    8538336U,	// VPBROADCASTQZrr
-    813746400U,	// VPBROADCASTQrZkrr
-    8538336U,	// VPBROADCASTQrZrr
-    551723705U,	// VPBROADCASTQrm
-    8544953U,	// VPBROADCASTQrr
-    388615U,	// VPBROADCASTWYrm
-    8547847U,	// VPBROADCASTWYrr
-    388615U,	// VPBROADCASTWrm
-    8547847U,	// VPBROADCASTWrr
-    342187923U,	// VPCLMULQDQrm
-    839229331U,	// VPCLMULQDQrr
-    342190575U,	// VPCMOVmr
-    90532335U,	// VPCMOVmrY
-    840051183U,	// VPCMOVrm
-    840067567U,	// VPCMOVrmY
-    839231983U,	// VPCMOVrr
-    839231983U,	// VPCMOVrrY
-    3343530063U,	// VPCMPDZrmi
-    354767923U,	// VPCMPDZrmi_alt
-    124867635U,	// VPCMPDZrmik_alt
-    1464498255U,	// VPCMPDZrri
-    839226419U,	// VPCMPDZrri_alt
-    839504947U,	// VPCMPDZrrik_alt
-    812601206U,	// VPCMPEQBYrm
-    811650934U,	// VPCMPEQBYrr
-    811896694U,	// VPCMPEQBrm
-    811650934U,	// VPCMPEQBrr
-    812602580U,	// VPCMPEQDYrm
-    811652308U,	// VPCMPEQDYrr
-    812827630U,	// VPCMPEQDZrm
-    811647982U,	// VPCMPEQDZrr
-    811898068U,	// VPCMPEQDrm
-    811652308U,	// VPCMPEQDrr
-    812605798U,	// VPCMPEQQYrm
-    811655526U,	// VPCMPEQQYrr
-    812828717U,	// VPCMPEQQZrm
-    811649069U,	// VPCMPEQQZrr
-    811901286U,	// VPCMPEQQrm
-    811655526U,	// VPCMPEQQrr
-    812608620U,	// VPCMPEQWYrm
-    811658348U,	// VPCMPEQWYrr
-    811904108U,	// VPCMPEQWrm
-    811658348U,	// VPCMPEQWrr
+    839829454U,	// VPBLENDMQZrm
+    839223246U,	// VPBLENDMQZrr
+    103108686U,	// VPBLENDVBYrm
+    839225422U,	// VPBLENDVBYrr
+    342184014U,	// VPBLENDVBrm
+    839225422U,	// VPBLENDVBrr
+    103115480U,	// VPBLENDWYrmi
+    839232216U,	// VPBLENDWYrri
+    342190808U,	// VPBLENDWrmi
+    839232216U,	// VPBLENDWrri
+    446487U,	// VPBROADCASTBYrm
+    8654871U,	// VPBROADCASTBYrr
+    446487U,	// VPBROADCASTBrm
+    8654871U,	// VPBROADCASTBrr
+    551802457U,	// VPBROADCASTDYrm
+    8656473U,	// VPBROADCASTDYrr
+    551634209U,	// VPBROADCASTDZkrm
+    813745441U,	// VPBROADCASTDZkrr
+    551798049U,	// VPBROADCASTDZrm
+    8652065U,	// VPBROADCASTDZrr
+    813745441U,	// VPBROADCASTDrZkrr
+    8652065U,	// VPBROADCASTDrZrr
+    551802457U,	// VPBROADCASTDrm
+    8656473U,	// VPBROADCASTDrr
+    8652367U,	// VPBROADCASTMB2Qrr
+    8650934U,	// VPBROADCASTMW2Drr
+    551838349U,	// VPBROADCASTQYrm
+    8659597U,	// VPBROADCASTQYrr
+    551651508U,	// VPBROADCASTQZkrm
+    813746356U,	// VPBROADCASTQZkrr
+    551831732U,	// VPBROADCASTQZrm
+    8652980U,	// VPBROADCASTQZrr
+    813746356U,	// VPBROADCASTQrZkrr
+    8652980U,	// VPBROADCASTQrZrr
+    551838349U,	// VPBROADCASTQrm
+    8659597U,	// VPBROADCASTQrr
+    388571U,	// VPBROADCASTWYrm
+    8662491U,	// VPBROADCASTWYrr
+    388571U,	// VPBROADCASTWrm
+    8662491U,	// VPBROADCASTWrr
+    342187879U,	// VPCLMULQDQrm
+    839229287U,	// VPCLMULQDQrr
+    342190531U,	// VPCMOVmr
+    90532291U,	// VPCMOVmrY
+    840051139U,	// VPCMOVrm
+    840067523U,	// VPCMOVrmY
+    839231939U,	// VPCMOVrr
+    839231939U,	// VPCMOVrrY
+    3343530019U,	// VPCMPDZrmi
+    354767879U,	// VPCMPDZrmi_alt
+    124867591U,	// VPCMPDZrmik_alt
+    1464498211U,	// VPCMPDZrri
+    839226375U,	// VPCMPDZrri_alt
+    839504903U,	// VPCMPDZrrik_alt
+    812601162U,	// VPCMPEQBYrm
+    811650890U,	// VPCMPEQBYrr
+    811896650U,	// VPCMPEQBZ128rm
+    840044362U,	// VPCMPEQBZ128rmk
+    811650890U,	// VPCMPEQBZ128rr
+    839225162U,	// VPCMPEQBZ128rrk
+    812601162U,	// VPCMPEQBZ256rm
+    840060746U,	// VPCMPEQBZ256rmk
+    811650890U,	// VPCMPEQBZ256rr
+    839225162U,	// VPCMPEQBZ256rrk
+    812830538U,	// VPCMPEQBZrm
+    840077130U,	// VPCMPEQBZrmk
+    811650890U,	// VPCMPEQBZrr
+    839225162U,	// VPCMPEQBZrrk
+    811896650U,	// VPCMPEQBrm
+    811650890U,	// VPCMPEQBrr
+    812602536U,	// VPCMPEQDYrm
+    811652264U,	// VPCMPEQDYrr
+    811898024U,	// VPCMPEQDZ128rm
+    394351784U,	// VPCMPEQDZ128rmb
+    1469256872U,	// VPCMPEQDZ128rmbk
+    840045736U,	// VPCMPEQDZ128rmk
+    811652264U,	// VPCMPEQDZ128rr
+    839226536U,	// VPCMPEQDZ128rrk
+    812602536U,	// VPCMPEQDZ256rm
+    350311592U,	// VPCMPEQDZ256rmb
+    1425216680U,	// VPCMPEQDZ256rmbk
+    840062120U,	// VPCMPEQDZ256rmk
+    811652264U,	// VPCMPEQDZ256rr
+    839226536U,	// VPCMPEQDZ256rrk
+    812831912U,	// VPCMPEQDZrm
+    352408744U,	// VPCMPEQDZrmb
+    1427313832U,	// VPCMPEQDZrmbk
+    840078504U,	// VPCMPEQDZrmk
+    811652264U,	// VPCMPEQDZrr
+    839226536U,	// VPCMPEQDZrrk
+    811898024U,	// VPCMPEQDrm
+    811652264U,	// VPCMPEQDrr
+    812605754U,	// VPCMPEQQYrm
+    811655482U,	// VPCMPEQQYrr
+    811901242U,	// VPCMPEQQZ128rm
+    396468538U,	// VPCMPEQQZ128rmb
+    1471390010U,	// VPCMPEQQZ128rmbk
+    840048954U,	// VPCMPEQQZ128rmk
+    811655482U,	// VPCMPEQQZ128rr
+    839229754U,	// VPCMPEQQZ128rrk
+    812605754U,	// VPCMPEQQZ256rm
+    394371386U,	// VPCMPEQQZ256rmb
+    1469292858U,	// VPCMPEQQZ256rmbk
+    840065338U,	// VPCMPEQQZ256rmk
+    811655482U,	// VPCMPEQQZ256rr
+    839229754U,	// VPCMPEQQZ256rrk
+    812835130U,	// VPCMPEQQZrm
+    350331194U,	// VPCMPEQQZrmb
+    1425252666U,	// VPCMPEQQZrmbk
+    840081722U,	// VPCMPEQQZrmk
+    811655482U,	// VPCMPEQQZrr
+    839229754U,	// VPCMPEQQZrrk
+    811901242U,	// VPCMPEQQrm
+    811655482U,	// VPCMPEQQrr
+    812608576U,	// VPCMPEQWYrm
+    811658304U,	// VPCMPEQWYrr
+    811904064U,	// VPCMPEQWZ128rm
+    840051776U,	// VPCMPEQWZ128rmk
+    811658304U,	// VPCMPEQWZ128rr
+    839232576U,	// VPCMPEQWZ128rrk
+    812608576U,	// VPCMPEQWZ256rm
+    840068160U,	// VPCMPEQWZ256rmk
+    811658304U,	// VPCMPEQWZ256rr
+    839232576U,	// VPCMPEQWZ256rrk
+    812837952U,	// VPCMPEQWZrm
+    840084544U,	// VPCMPEQWZrmk
+    811658304U,	// VPCMPEQWZrr
+    839232576U,	// VPCMPEQWZrrk
+    811904064U,	// VPCMPEQWrm
+    811658304U,	// VPCMPEQWrr
     0U,	// VPCMPESTRIMEM
     0U,	// VPCMPESTRIREG
-    25499817U,	// VPCMPESTRIrm
-    811653289U,	// VPCMPESTRIrr
+    25499773U,	// VPCMPESTRIrm
+    811653245U,	// VPCMPESTRIrr
     0U,	// VPCMPESTRM128MEM
     0U,	// VPCMPESTRM128REG
-    25501006U,	// VPCMPESTRM128rm
-    811654478U,	// VPCMPESTRM128rr
-    812601387U,	// VPCMPGTBYrm
-    811651115U,	// VPCMPGTBYrr
-    811896875U,	// VPCMPGTBrm
-    811651115U,	// VPCMPGTBrr
-    812602995U,	// VPCMPGTDYrm
-    811652723U,	// VPCMPGTDYrr
-    812827929U,	// VPCMPGTDZrm
-    811648281U,	// VPCMPGTDZrr
-    811898483U,	// VPCMPGTDrm
-    811652723U,	// VPCMPGTDrr
-    812606060U,	// VPCMPGTQYrm
-    811655788U,	// VPCMPGTQYrr
-    812828866U,	// VPCMPGTQZrm
-    811649218U,	// VPCMPGTQZrr
-    811901548U,	// VPCMPGTQrm
-    811655788U,	// VPCMPGTQrr
-    812608982U,	// VPCMPGTWYrm
-    811658710U,	// VPCMPGTWYrr
-    811904470U,	// VPCMPGTWrm
-    811658710U,	// VPCMPGTWrr
+    25500962U,	// VPCMPESTRM128rm
+    811654434U,	// VPCMPESTRM128rr
+    812601343U,	// VPCMPGTBYrm
+    811651071U,	// VPCMPGTBYrr
+    811896831U,	// VPCMPGTBZ128rm
+    840044543U,	// VPCMPGTBZ128rmk
+    811651071U,	// VPCMPGTBZ128rr
+    839225343U,	// VPCMPGTBZ128rrk
+    812601343U,	// VPCMPGTBZ256rm
+    840060927U,	// VPCMPGTBZ256rmk
+    811651071U,	// VPCMPGTBZ256rr
+    839225343U,	// VPCMPGTBZ256rrk
+    812830719U,	// VPCMPGTBZrm
+    840077311U,	// VPCMPGTBZrmk
+    811651071U,	// VPCMPGTBZrr
+    839225343U,	// VPCMPGTBZrrk
+    811896831U,	// VPCMPGTBrm
+    811651071U,	// VPCMPGTBrr
+    812602951U,	// VPCMPGTDYrm
+    811652679U,	// VPCMPGTDYrr
+    811898439U,	// VPCMPGTDZ128rm
+    394352199U,	// VPCMPGTDZ128rmb
+    1469257287U,	// VPCMPGTDZ128rmbk
+    840046151U,	// VPCMPGTDZ128rmk
+    811652679U,	// VPCMPGTDZ128rr
+    839226951U,	// VPCMPGTDZ128rrk
+    812602951U,	// VPCMPGTDZ256rm
+    350312007U,	// VPCMPGTDZ256rmb
+    1425217095U,	// VPCMPGTDZ256rmbk
+    840062535U,	// VPCMPGTDZ256rmk
+    811652679U,	// VPCMPGTDZ256rr
+    839226951U,	// VPCMPGTDZ256rrk
+    812832327U,	// VPCMPGTDZrm
+    352409159U,	// VPCMPGTDZrmb
+    1427314247U,	// VPCMPGTDZrmbk
+    840078919U,	// VPCMPGTDZrmk
+    811652679U,	// VPCMPGTDZrr
+    839226951U,	// VPCMPGTDZrrk
+    811898439U,	// VPCMPGTDrm
+    811652679U,	// VPCMPGTDrr
+    812606016U,	// VPCMPGTQYrm
+    811655744U,	// VPCMPGTQYrr
+    811901504U,	// VPCMPGTQZ128rm
+    396468800U,	// VPCMPGTQZ128rmb
+    1471390272U,	// VPCMPGTQZ128rmbk
+    840049216U,	// VPCMPGTQZ128rmk
+    811655744U,	// VPCMPGTQZ128rr
+    839230016U,	// VPCMPGTQZ128rrk
+    812606016U,	// VPCMPGTQZ256rm
+    394371648U,	// VPCMPGTQZ256rmb
+    1469293120U,	// VPCMPGTQZ256rmbk
+    840065600U,	// VPCMPGTQZ256rmk
+    811655744U,	// VPCMPGTQZ256rr
+    839230016U,	// VPCMPGTQZ256rrk
+    812835392U,	// VPCMPGTQZrm
+    350331456U,	// VPCMPGTQZrmb
+    1425252928U,	// VPCMPGTQZrmbk
+    840081984U,	// VPCMPGTQZrmk
+    811655744U,	// VPCMPGTQZrr
+    839230016U,	// VPCMPGTQZrrk
+    811901504U,	// VPCMPGTQrm
+    811655744U,	// VPCMPGTQrr
+    812608938U,	// VPCMPGTWYrm
+    811658666U,	// VPCMPGTWYrr
+    811904426U,	// VPCMPGTWZ128rm
+    840052138U,	// VPCMPGTWZ128rmk
+    811658666U,	// VPCMPGTWZ128rr
+    839232938U,	// VPCMPGTWZ128rrk
+    812608938U,	// VPCMPGTWZ256rm
+    840068522U,	// VPCMPGTWZ256rmk
+    811658666U,	// VPCMPGTWZ256rr
+    839232938U,	// VPCMPGTWZ256rrk
+    812838314U,	// VPCMPGTWZrm
+    840084906U,	// VPCMPGTWZrmk
+    811658666U,	// VPCMPGTWZrr
+    839232938U,	// VPCMPGTWZrrk
+    811904426U,	// VPCMPGTWrm
+    811658666U,	// VPCMPGTWrr
     0U,	// VPCMPISTRIMEM
     0U,	// VPCMPISTRIREG
-    25499829U,	// VPCMPISTRIrm
-    811653301U,	// VPCMPISTRIrr
+    25499785U,	// VPCMPISTRIrm
+    811653257U,	// VPCMPISTRIrr
     0U,	// VPCMPISTRM128MEM
     0U,	// VPCMPISTRM128REG
-    25501018U,	// VPCMPISTRM128rm
-    811654490U,	// VPCMPISTRM128rr
-    3347724367U,	// VPCMPQZrmi
-    354771271U,	// VPCMPQZrmi_alt
-    124870983U,	// VPCMPQZrmik_alt
-    1468692559U,	// VPCMPQZrri
-    839229767U,	// VPCMPQZrri_alt
-    839508295U,	// VPCMPQZrrik_alt
-    3349821519U,	// VPCMPUDZrmi
-    354768549U,	// VPCMPUDZrmi_alt
-    124868261U,	// VPCMPUDZrmik_alt
-    1470789711U,	// VPCMPUDZrri
-    839227045U,	// VPCMPUDZrri_alt
-    839505573U,	// VPCMPUDZrrik_alt
-    3351918671U,	// VPCMPUQZrmi
-    354771678U,	// VPCMPUQZrmi_alt
-    124871390U,	// VPCMPUQZrmik_alt
-    1472886863U,	// VPCMPUQZrri
-    839230174U,	// VPCMPUQZrri_alt
-    839508702U,	// VPCMPUQZrrik_alt
-    342183761U,	// VPCOMBmi
-    839225169U,	// VPCOMBri
-    342184421U,	// VPCOMDmi
-    839225829U,	// VPCOMDri
-    342188304U,	// VPCOMQmi
-    839229712U,	// VPCOMQri
-    342184024U,	// VPCOMUBmi
-    839225432U,	// VPCOMUBri
-    342185619U,	// VPCOMUDmi
-    839227027U,	// VPCOMUDri
-    342188757U,	// VPCOMUQmi
-    839230165U,	// VPCOMUQri
-    342191654U,	// VPCOMUWmi
-    839233062U,	// VPCOMUWri
-    342191131U,	// VPCOMWmi
-    839232539U,	// VPCOMWri
-    1033483U,	// VPCONFLICTDrm
-    620889355U,	// VPCONFLICTDrmb
-    814941451U,	// VPCONFLICTDrmbk
-    620840203U,	// VPCONFLICTDrmbkz
-    814908683U,	// VPCONFLICTDrmk
-    814925067U,	// VPCONFLICTDrmkz
-    3498198283U,	// VPCONFLICTDrr
-    814056715U,	// VPCONFLICTDrrk
-    813745419U,	// VPCONFLICTDrrkz
-    1034420U,	// VPCONFLICTQrm
-    618825908U,	// VPCONFLICTQrmb
-    814975156U,	// VPCONFLICTQrmbk
-    618760372U,	// VPCONFLICTQrmbkz
-    814909620U,	// VPCONFLICTQrmk
-    814926004U,	// VPCONFLICTQrmkz
-    3498199220U,	// VPCONFLICTQrr
-    814057652U,	// VPCONFLICTQrrk
-    813746356U,	// VPCONFLICTQrrkz
-    90525185U,	// VPERM2F128rm
-    839224833U,	// VPERM2F128rr
-    90525240U,	// VPERM2I128rm
-    839224888U,	// VPERM2I128rr
-    812601837U,	// VPERMDYrm
-    811651565U,	// VPERMDYrr
+    25500974U,	// VPCMPISTRM128rm
+    811654446U,	// VPCMPISTRM128rr
+    3351918627U,	// VPCMPQZrmi
+    354771227U,	// VPCMPQZrmi_alt
+    124870939U,	// VPCMPQZrmik_alt
+    1472886819U,	// VPCMPQZrri
+    839229723U,	// VPCMPQZrri_alt
+    839508251U,	// VPCMPQZrrik_alt
+    3354015779U,	// VPCMPUDZrmi
+    354768505U,	// VPCMPUDZrmi_alt
+    124868217U,	// VPCMPUDZrmik_alt
+    1474983971U,	// VPCMPUDZrri
+    839227001U,	// VPCMPUDZrri_alt
+    839505529U,	// VPCMPUDZrrik_alt
+    3356112931U,	// VPCMPUQZrmi
+    354771634U,	// VPCMPUQZrmi_alt
+    124871346U,	// VPCMPUQZrmik_alt
+    1477081123U,	// VPCMPUQZrri
+    839230130U,	// VPCMPUQZrri_alt
+    839508658U,	// VPCMPUQZrrik_alt
+    342183717U,	// VPCOMBmi
+    839225125U,	// VPCOMBri
+    342184377U,	// VPCOMDmi
+    839225785U,	// VPCOMDri
+    342188260U,	// VPCOMQmi
+    839229668U,	// VPCOMQri
+    342183980U,	// VPCOMUBmi
+    839225388U,	// VPCOMUBri
+    342185575U,	// VPCOMUDmi
+    839226983U,	// VPCOMUDri
+    342188713U,	// VPCOMUQmi
+    839230121U,	// VPCOMUQri
+    342191610U,	// VPCOMUWmi
+    839233018U,	// VPCOMUWri
+    342191087U,	// VPCOMWmi
+    839232495U,	// VPCOMWri
+    1033472U,	// VPCONFLICTDrm
+    621004032U,	// VPCONFLICTDrmb
+    622003456U,	// VPCONFLICTDrmbk
+    620840192U,	// VPCONFLICTDrmbkz
+    814908672U,	// VPCONFLICTDrmk
+    814925056U,	// VPCONFLICTDrmkz
+    3498312960U,	// VPCONFLICTDrr
+    814056704U,	// VPCONFLICTDrrk
+    813745408U,	// VPCONFLICTDrrkz
+    1034387U,	// VPCONFLICTQrm
+    618940563U,	// VPCONFLICTQrmb
+    619939987U,	// VPCONFLICTQrmbk
+    618760339U,	// VPCONFLICTQrmbkz
+    814909587U,	// VPCONFLICTQrmk
+    814925971U,	// VPCONFLICTQrmkz
+    3498313875U,	// VPCONFLICTQrr
+    814057619U,	// VPCONFLICTQrrk
+    813746323U,	// VPCONFLICTQrrkz
+    90525141U,	// VPERM2F128rm
+    839224789U,	// VPERM2F128rr
+    90525196U,	// VPERM2I128rm
+    839224844U,	// VPERM2I128rr
+    812601793U,	// VPERMDYrm
+    811651521U,	// VPERMDYrr
     812826981U,	// VPERMDZrm
     811647333U,	// VPERMDZrr
     840073376U,	// VPERMI2Drm
     120799392U,	// VPERMI2Drmk
-    133382304U,	// VPERMI2Drmkz
+    137576608U,	// VPERMI2Drmkz
     839221408U,	// VPERMI2Drr
     814334112U,	// VPERMI2Drrk
     814334112U,	// VPERMI2Drrkz
     840073714U,	// VPERMI2PDrm
     120799730U,	// VPERMI2PDrmk
-    133382642U,	// VPERMI2PDrmkz
+    137576946U,	// VPERMI2PDrmkz
     839221746U,	// VPERMI2PDrr
     814334450U,	// VPERMI2PDrrk
     814334450U,	// VPERMI2PDrrkz
-    840075689U,	// VPERMI2PSrm
-    120801705U,	// VPERMI2PSrmk
-    133384617U,	// VPERMI2PSrmkz
-    839223721U,	// VPERMI2PSrr
-    814336425U,	// VPERMI2PSrrk
-    814336425U,	// VPERMI2PSrrkz
-    840074871U,	// VPERMI2Qrm
-    120800887U,	// VPERMI2Qrmk
-    133383799U,	// VPERMI2Qrmkz
-    839222903U,	// VPERMI2Qrr
-    814335607U,	// VPERMI2Qrrk
-    814335607U,	// VPERMI2Qrrkz
-    1209094850U,	// VPERMIL2PDmr
-    1209094850U,	// VPERMIL2PDmrY
-    137450178U,	// VPERMIL2PDrm
-    139547330U,	// VPERMIL2PDrmY
-    839504578U,	// VPERMIL2PDrr
-    839504578U,	// VPERMIL2PDrrY
-    1209099545U,	// VPERMIL2PSmr
-    1209099545U,	// VPERMIL2PSmrY
-    137454873U,	// VPERMIL2PSrm
-    139552025U,	// VPERMIL2PSrmY
-    839509273U,	// VPERMIL2PSrr
-    839509273U,	// VPERMIL2PSrrY
-    140841996U,	// VPERMILPDYmi
-    811652108U,	// VPERMILPDYri
-    812602380U,	// VPERMILPDYrm
-    811652108U,	// VPERMILPDYrr
-    142934850U,	// VPERMILPDZmi
+    840075645U,	// VPERMI2PSrm
+    120801661U,	// VPERMI2PSrmk
+    137578877U,	// VPERMI2PSrmkz
+    839223677U,	// VPERMI2PSrr
+    814336381U,	// VPERMI2PSrrk
+    814336381U,	// VPERMI2PSrrkz
+    840074849U,	// VPERMI2Qrm
+    120800865U,	// VPERMI2Qrmk
+    137578081U,	// VPERMI2Qrmkz
+    839222881U,	// VPERMI2Qrr
+    814335585U,	// VPERMI2Qrrk
+    814335585U,	// VPERMI2Qrrkz
+    1213289110U,	// VPERMIL2PDmr
+    1213289110U,	// VPERMIL2PDmrY
+    141644438U,	// VPERMIL2PDrm
+    143741590U,	// VPERMIL2PDrmY
+    839504534U,	// VPERMIL2PDrr
+    839504534U,	// VPERMIL2PDrrY
+    1213293805U,	// VPERMIL2PSmr
+    1213293805U,	// VPERMIL2PSmrY
+    141649133U,	// VPERMIL2PSrm
+    143746285U,	// VPERMIL2PSrmY
+    839509229U,	// VPERMIL2PSrr
+    839509229U,	// VPERMIL2PSrrY
+    145036256U,	// VPERMILPDYmi
+    811652064U,	// VPERMILPDYri
+    812602336U,	// VPERMILPDYrm
+    811652064U,	// VPERMILPDYrr
+    147129154U,	// VPERMILPDZmi
     811647810U,	// VPERMILPDZri
-    77927436U,	// VPERMILPDmi
-    811652108U,	// VPERMILPDri
-    811897868U,	// VPERMILPDrm
-    811652108U,	// VPERMILPDrr
-    140846692U,	// VPERMILPSYmi
-    811656804U,	// VPERMILPSYri
-    812607076U,	// VPERMILPSYrm
-    811656804U,	// VPERMILPSYrr
-    142936813U,	// VPERMILPSZmi
-    811649773U,	// VPERMILPSZri
-    77932132U,	// VPERMILPSmi
-    811656804U,	// VPERMILPSri
-    811902564U,	// VPERMILPSrm
-    811656804U,	// VPERMILPSrr
-    145036347U,	// VPERMPDYmi
-    811652155U,	// VPERMPDYri
-    147129187U,	// VPERMPDZmi
+    77927392U,	// VPERMILPDmi
+    811652064U,	// VPERMILPDri
+    811897824U,	// VPERMILPDrm
+    811652064U,	// VPERMILPDrr
+    145040952U,	// VPERMILPSYmi
+    811656760U,	// VPERMILPSYri
+    812607032U,	// VPERMILPSYrm
+    811656760U,	// VPERMILPSYrr
+    147131073U,	// VPERMILPSZmi
+    811649729U,	// VPERMILPSZri
+    77932088U,	// VPERMILPSmi
+    811656760U,	// VPERMILPSri
+    811902520U,	// VPERMILPSrm
+    811656760U,	// VPERMILPSrr
+    149230607U,	// VPERMPDYmi
+    811652111U,	// VPERMPDYri
+    151323491U,	// VPERMPDZmi
     811647843U,	// VPERMPDZri
     812532579U,	// VPERMPDZrm
     811647843U,	// VPERMPDZrr
-    812607132U,	// VPERMPSYrm
-    811656860U,	// VPERMPSYrr
-    812534542U,	// VPERMPSZrm
-    811649806U,	// VPERMPSZrr
-    145039640U,	// VPERMQYmi
-    811655448U,	// VPERMQYri
-    142936060U,	// VPERMQZmi
-    811649020U,	// VPERMQZri
-    812828668U,	// VPERMQZrm
-    811649020U,	// VPERMQZrr
+    812607088U,	// VPERMPSYrm
+    811656816U,	// VPERMPSYrr
+    812534498U,	// VPERMPSZrm
+    811649762U,	// VPERMPSZrr
+    149233900U,	// VPERMQYmi
+    811655404U,	// VPERMQYri
+    147130342U,	// VPERMQZmi
+    811648998U,	// VPERMQZri
+    812828646U,	// VPERMQZrm
+    811648998U,	// VPERMQZrr
     840073387U,	// VPERMT2Drm
     120799403U,	// VPERMT2Drmk
-    133382315U,	// VPERMT2Drmkz
+    137576619U,	// VPERMT2Drmkz
     839221419U,	// VPERMT2Drr
     814334123U,	// VPERMT2Drrk
     814334123U,	// VPERMT2Drrkz
     840073763U,	// VPERMT2PDrm
     120799779U,	// VPERMT2PDrmk
-    133382691U,	// VPERMT2PDrmkz
+    137576995U,	// VPERMT2PDrmkz
     839221795U,	// VPERMT2PDrr
     814334499U,	// VPERMT2PDrrk
     814334499U,	// VPERMT2PDrrkz
-    840075726U,	// VPERMT2PSrm
-    120801742U,	// VPERMT2PSrmk
-    133384654U,	// VPERMT2PSrmkz
-    839223758U,	// VPERMT2PSrr
-    814336462U,	// VPERMT2PSrrk
-    814336462U,	// VPERMT2PSrrkz
-    840074882U,	// VPERMT2Qrm
-    120800898U,	// VPERMT2Qrmk
-    133383810U,	// VPERMT2Qrmkz
-    839222914U,	// VPERMT2Qrr
-    814335618U,	// VPERMT2Qrrk
-    814335618U,	// VPERMT2Qrrkz
-    3808759719U,	// VPEXTRBmr
-    811650983U,	// VPEXTRBrr
-    4077196531U,	// VPEXTRDmr
-    811652339U,	// VPEXTRDrr
-    50667988U,	// VPEXTRQmr
-    811655636U,	// VPEXTRQrr
-    319106250U,	// VPEXTRWmr
-    811658442U,	// VPEXTRWri
-    811658442U,	// VPEXTRWrr_REV
-    108089702U,	// VPGATHERDDYrm
+    840075682U,	// VPERMT2PSrm
+    120801698U,	// VPERMT2PSrmk
+    137578914U,	// VPERMT2PSrmkz
+    839223714U,	// VPERMT2PSrr
+    814336418U,	// VPERMT2PSrrk
+    814336418U,	// VPERMT2PSrrkz
+    840074860U,	// VPERMT2Qrm
+    120800876U,	// VPERMT2Qrmk
+    137578092U,	// VPERMT2Qrmkz
+    839222892U,	// VPERMT2Qrr
+    814335596U,	// VPERMT2Qrrk
+    814335596U,	// VPERMT2Qrrkz
+    3808759675U,	// VPEXTRBmr
+    811650939U,	// VPEXTRBrr
+    4077196487U,	// VPEXTRDmr
+    811652295U,	// VPEXTRDrr
+    50667944U,	// VPEXTRQmr
+    811655592U,	// VPEXTRQrr
+    319106206U,	// VPEXTRWmr
+    811658398U,	// VPEXTRWri
+    811658398U,	// VPEXTRWrr_REV
+    108089658U,	// VPGATHERDDYrm
     552714508U,	// VPGATHERDDZrm
-    108089702U,	// VPGATHERDDrm
-    105996191U,	// VPGATHERDQYrm
-    552699715U,	// VPGATHERDQZrm
-    105996191U,	// VPGATHERDQrm
-    108090590U,	// VPGATHERQDYrm
-    552698873U,	// VPGATHERQDZrm
-    108090590U,	// VPGATHERQDrm
-    105996656U,	// VPGATHERQQYrm
-    552699960U,	// VPGATHERQQZrm
-    105996656U,	// VPGATHERQQrm
-    315656U,	// VPHADDBDrm
-    8540424U,	// VPHADDBDrr
-    319079U,	// VPHADDBQrm
-    8543847U,	// VPHADDBQrr
-    322112U,	// VPHADDBWrm
-    8546880U,	// VPHADDBWrr
-    319267U,	// VPHADDDQrm
-    8544035U,	// VPHADDDQrr
-    812601675U,	// VPHADDDYrm
-    811651403U,	// VPHADDDYrr
-    811897163U,	// VPHADDDrm
-    811651403U,	// VPHADDDrr
-    811904266U,	// VPHADDSWrm128
-    812608778U,	// VPHADDSWrm256
-    811658506U,	// VPHADDSWrr128
-    811658506U,	// VPHADDSWrr256
-    315666U,	// VPHADDUBDrm
-    8540434U,	// VPHADDUBDrr
-    319097U,	// VPHADDUBQrm
-    8543865U,	// VPHADDUBQrr
-    322154U,	// VPHADDUBWrm
-    8546922U,	// VPHADDUBWrr
-    319445U,	// VPHADDUDQrm
-    8544213U,	// VPHADDUDQrr
-    317255U,	// VPHADDUWDrm
-    8542023U,	// VPHADDUWDrr
-    320300U,	// VPHADDUWQrm
-    8545068U,	// VPHADDUWQrr
-    317167U,	// VPHADDWDrm
-    8541935U,	// VPHADDWDrr
-    320275U,	// VPHADDWQrm
-    8545043U,	// VPHADDWQrr
-    812608211U,	// VPHADDWYrm
-    811657939U,	// VPHADDWYrr
-    811903699U,	// VPHADDWrm
-    811657939U,	// VPHADDWrr
-    323128U,	// VPHMINPOSUWrm128
-    8547896U,	// VPHMINPOSUWrr128
-    322083U,	// VPHSUBBWrm
-    8546851U,	// VPHSUBBWrr
-    319242U,	// VPHSUBDQrm
-    8544010U,	// VPHSUBDQrr
-    812601629U,	// VPHSUBDYrm
-    811651357U,	// VPHSUBDYrr
-    811897117U,	// VPHSUBDrm
-    811651357U,	// VPHSUBDrr
-    811904247U,	// VPHSUBSWrm128
-    812608759U,	// VPHSUBSWrm256
-    811658487U,	// VPHSUBSWrr128
-    811658487U,	// VPHSUBSWrr256
-    317157U,	// VPHSUBWDrm
-    8541925U,	// VPHSUBWDrr
-    812608117U,	// VPHSUBWYrm
-    811657845U,	// VPHSUBWYrr
-    811903605U,	// VPHSUBWrm
-    811657845U,	// VPHSUBWrr
-    866471838U,	// VPINSRBrm
-    839225246U,	// VPINSRBrr
-    862278890U,	// VPINSRDrm
-    839226602U,	// VPINSRDrr
-    864379319U,	// VPINSRQrm
-    839229879U,	// VPINSRQrr
-    860187823U,	// VPINSRWrmi
-    839232687U,	// VPINSRWrri
-    1033508U,	// VPLZCNTDrm
-    620889380U,	// VPLZCNTDrmb
-    814941476U,	// VPLZCNTDrmbk
-    620840228U,	// VPLZCNTDrmbkz
-    814908708U,	// VPLZCNTDrmk
-    814925092U,	// VPLZCNTDrmkz
-    3498198308U,	// VPLZCNTDrr
-    814056740U,	// VPLZCNTDrrk
-    813745444U,	// VPLZCNTDrrkz
-    1034445U,	// VPLZCNTQrm
-    618825933U,	// VPLZCNTQrmb
-    814975181U,	// VPLZCNTQrmbk
-    618760397U,	// VPLZCNTQrmbkz
-    814909645U,	// VPLZCNTQrmk
-    814926029U,	// VPLZCNTQrmkz
-    3498199245U,	// VPLZCNTQrr
-    814057677U,	// VPLZCNTQrrk
-    813746381U,	// VPLZCNTQrrkz
-    342184306U,	// VPMACSDDrm
-    839225714U,	// VPMACSDDrr
-    342186041U,	// VPMACSDQHrm
-    839227449U,	// VPMACSDQHrr
-    342186864U,	// VPMACSDQLrm
-    839228272U,	// VPMACSDQLrr
-    342184316U,	// VPMACSSDDrm
-    839225724U,	// VPMACSSDDrr
-    342186052U,	// VPMACSSDQHrm
-    839227460U,	// VPMACSSDQHrr
-    342186875U,	// VPMACSSDQLrm
-    839228283U,	// VPMACSSDQLrr
-    342185776U,	// VPMACSSWDrm
-    839227184U,	// VPMACSSWDrr
-    342191731U,	// VPMACSSWWrm
-    839233139U,	// VPMACSSWWrr
-    342185755U,	// VPMACSWDrm
-    839227163U,	// VPMACSWDrr
-    342191707U,	// VPMACSWWrm
-    839233115U,	// VPMACSWWrr
-    342185787U,	// VPMADCSSWDrm
-    839227195U,	// VPMADCSSWDrr
-    342185765U,	// VPMADCSWDrm
-    839227173U,	// VPMADCSWDrr
-    811904235U,	// VPMADDUBSWrm128
-    812608747U,	// VPMADDUBSWrm256
-    811658475U,	// VPMADDUBSWrr128
-    811658475U,	// VPMADDUBSWrr256
-    812603129U,	// VPMADDWDYrm
-    811652857U,	// VPMADDWDYrr
-    811898617U,	// VPMADDWDrm
-    811652857U,	// VPMADDWDrr
-    2735019730U,	// VPMASKMOVDYmr
-    812603090U,	// VPMASKMOVDYrm
-    2466584274U,	// VPMASKMOVDmr
-    811898578U,	// VPMASKMOVDrm
-    2735022848U,	// VPMASKMOVQYmr
-    812606208U,	// VPMASKMOVQYrm
-    2466587392U,	// VPMASKMOVQmr
-    811901696U,	// VPMASKMOVQrm
-    812601372U,	// VPMAXSBYrm
-    811651100U,	// VPMAXSBYrr
-    811896860U,	// VPMAXSBrm
-    811651100U,	// VPMAXSBrr
-    812602969U,	// VPMAXSDYrm
-    811652697U,	// VPMAXSDYrr
-    812827905U,	// VPMAXSDZrm
-    352404737U,	// VPMAXSDZrmb
-    3037840641U,	// VPMAXSDZrmbk
-    840107265U,	// VPMAXSDZrmbkz
-    120800513U,	// VPMAXSDZrmk
-    840074497U,	// VPMAXSDZrmkz
-    811648257U,	// VPMAXSDZrr
-    814335233U,	// VPMAXSDZrrk
-    839222529U,	// VPMAXSDZrrkz
-    811898457U,	// VPMAXSDrm
-    811652697U,	// VPMAXSDrr
-    812828842U,	// VPMAXSQZrm
-    350324906U,	// VPMAXSQZrmb
-    3035728042U,	// VPMAXSQZrmbk
-    840140970U,	// VPMAXSQZrmbkz
-    120801450U,	// VPMAXSQZrmk
-    840075434U,	// VPMAXSQZrmkz
-    811649194U,	// VPMAXSQZrr
-    814336170U,	// VPMAXSQZrrk
-    839223466U,	// VPMAXSQZrrkz
-    812608919U,	// VPMAXSWYrm
-    811658647U,	// VPMAXSWYrr
-    811904407U,	// VPMAXSWrm
-    811658647U,	// VPMAXSWrr
-    812601457U,	// VPMAXUBYrm
-    811651185U,	// VPMAXUBYrr
-    811896945U,	// VPMAXUBrm
-    811651185U,	// VPMAXUBrr
-    812603054U,	// VPMAXUDYrm
-    811652782U,	// VPMAXUDYrr
-    812827984U,	// VPMAXUDZrm
-    352404816U,	// VPMAXUDZrmb
-    3037840720U,	// VPMAXUDZrmbk
-    840107344U,	// VPMAXUDZrmbkz
-    120800592U,	// VPMAXUDZrmk
-    840074576U,	// VPMAXUDZrmkz
-    811648336U,	// VPMAXUDZrr
-    814335312U,	// VPMAXUDZrrk
-    839222608U,	// VPMAXUDZrrkz
-    811898542U,	// VPMAXUDrm
-    811652782U,	// VPMAXUDrr
-    812828921U,	// VPMAXUQZrm
-    350324985U,	// VPMAXUQZrmb
-    3035728121U,	// VPMAXUQZrmbk
-    840141049U,	// VPMAXUQZrmbkz
-    120801529U,	// VPMAXUQZrmk
-    840075513U,	// VPMAXUQZrmkz
-    811649273U,	// VPMAXUQZrr
-    814336249U,	// VPMAXUQZrrk
-    839223545U,	// VPMAXUQZrrkz
-    812609093U,	// VPMAXUWYrm
-    811658821U,	// VPMAXUWYrr
-    811904581U,	// VPMAXUWrm
-    811658821U,	// VPMAXUWrr
-    812601313U,	// VPMINSBYrm
-    811651041U,	// VPMINSBYrr
-    811896801U,	// VPMINSBrm
-    811651041U,	// VPMINSBrr
-    812602896U,	// VPMINSDYrm
-    811652624U,	// VPMINSDYrr
-    812827871U,	// VPMINSDZrm
-    352404703U,	// VPMINSDZrmb
-    3037840607U,	// VPMINSDZrmbk
-    840107231U,	// VPMINSDZrmbkz
-    120800479U,	// VPMINSDZrmk
-    840074463U,	// VPMINSDZrmkz
-    811648223U,	// VPMINSDZrr
-    814335199U,	// VPMINSDZrrk
-    839222495U,	// VPMINSDZrrkz
-    811898384U,	// VPMINSDrm
-    811652624U,	// VPMINSDrr
-    812828805U,	// VPMINSQZrm
-    350324869U,	// VPMINSQZrmb
-    3035728005U,	// VPMINSQZrmbk
-    840140933U,	// VPMINSQZrmbkz
-    120801413U,	// VPMINSQZrmk
-    840075397U,	// VPMINSQZrmkz
-    811649157U,	// VPMINSQZrr
-    814336133U,	// VPMINSQZrrk
-    839223429U,	// VPMINSQZrrkz
-    812608828U,	// VPMINSWYrm
-    811658556U,	// VPMINSWYrr
-    811904316U,	// VPMINSWrm
-    811658556U,	// VPMINSWrr
-    812601441U,	// VPMINUBYrm
-    811651169U,	// VPMINUBYrr
-    811896929U,	// VPMINUBrm
-    811651169U,	// VPMINUBrr
-    812603036U,	// VPMINUDYrm
-    811652764U,	// VPMINUDYrr
-    812827974U,	// VPMINUDZrm
-    352404806U,	// VPMINUDZrmb
-    3037840710U,	// VPMINUDZrmbk
-    840107334U,	// VPMINUDZrmbkz
-    120800582U,	// VPMINUDZrmk
-    840074566U,	// VPMINUDZrmkz
-    811648326U,	// VPMINUDZrr
-    814335302U,	// VPMINUDZrrk
-    839222598U,	// VPMINUDZrrkz
-    811898524U,	// VPMINUDrm
-    811652764U,	// VPMINUDrr
-    812828911U,	// VPMINUQZrm
-    350324975U,	// VPMINUQZrmb
-    3035728111U,	// VPMINUQZrmbk
-    840141039U,	// VPMINUQZrmbkz
-    120801519U,	// VPMINUQZrmk
-    840075503U,	// VPMINUQZrmkz
-    811649263U,	// VPMINUQZrr
-    814336239U,	// VPMINUQZrrk
-    839223535U,	// VPMINUQZrrkz
-    812609071U,	// VPMINUWYrm
-    811658799U,	// VPMINUWYrr
-    811904559U,	// VPMINUWrm
-    811658799U,	// VPMINUWrr
+    108089658U,	// VPGATHERDDrm
+    105996147U,	// VPGATHERDQYrm
+    552699693U,	// VPGATHERDQZrm
+    105996147U,	// VPGATHERDQrm
+    108090546U,	// VPGATHERQDYrm
+    552698862U,	// VPGATHERQDZrm
+    108090546U,	// VPGATHERQDrm
+    105996612U,	// VPGATHERQQYrm
+    552699927U,	// VPGATHERQQZrm
+    105996612U,	// VPGATHERQQrm
+    315612U,	// VPHADDBDrm
+    8655068U,	// VPHADDBDrr
+    319035U,	// VPHADDBQrm
+    8658491U,	// VPHADDBQrr
+    322068U,	// VPHADDBWrm
+    8661524U,	// VPHADDBWrr
+    319223U,	// VPHADDDQrm
+    8658679U,	// VPHADDDQrr
+    812601631U,	// VPHADDDYrm
+    811651359U,	// VPHADDDYrr
+    811897119U,	// VPHADDDrm
+    811651359U,	// VPHADDDrr
+    811904222U,	// VPHADDSWrm128
+    812608734U,	// VPHADDSWrm256
+    811658462U,	// VPHADDSWrr128
+    811658462U,	// VPHADDSWrr256
+    315622U,	// VPHADDUBDrm
+    8655078U,	// VPHADDUBDrr
+    319053U,	// VPHADDUBQrm
+    8658509U,	// VPHADDUBQrr
+    322110U,	// VPHADDUBWrm
+    8661566U,	// VPHADDUBWrr
+    319401U,	// VPHADDUDQrm
+    8658857U,	// VPHADDUDQrr
+    317211U,	// VPHADDUWDrm
+    8656667U,	// VPHADDUWDrr
+    320256U,	// VPHADDUWQrm
+    8659712U,	// VPHADDUWQrr
+    317123U,	// VPHADDWDrm
+    8656579U,	// VPHADDWDrr
+    320231U,	// VPHADDWQrm
+    8659687U,	// VPHADDWQrr
+    812608167U,	// VPHADDWYrm
+    811657895U,	// VPHADDWYrr
+    811903655U,	// VPHADDWrm
+    811657895U,	// VPHADDWrr
+    323084U,	// VPHMINPOSUWrm128
+    8662540U,	// VPHMINPOSUWrr128
+    322039U,	// VPHSUBBWrm
+    8661495U,	// VPHSUBBWrr
+    319198U,	// VPHSUBDQrm
+    8658654U,	// VPHSUBDQrr
+    812601585U,	// VPHSUBDYrm
+    811651313U,	// VPHSUBDYrr
+    811897073U,	// VPHSUBDrm
+    811651313U,	// VPHSUBDrr
+    811904203U,	// VPHSUBSWrm128
+    812608715U,	// VPHSUBSWrm256
+    811658443U,	// VPHSUBSWrr128
+    811658443U,	// VPHSUBSWrr256
+    317113U,	// VPHSUBWDrm
+    8656569U,	// VPHSUBWDrr
+    812608073U,	// VPHSUBWYrm
+    811657801U,	// VPHSUBWYrr
+    811903561U,	// VPHSUBWrm
+    811657801U,	// VPHSUBWrr
+    866471794U,	// VPINSRBrm
+    839225202U,	// VPINSRBrr
+    862278846U,	// VPINSRDrm
+    839226558U,	// VPINSRDrr
+    864379275U,	// VPINSRQrm
+    839229835U,	// VPINSRQrr
+    860187779U,	// VPINSRWrmi
+    839232643U,	// VPINSRWrri
+    1033486U,	// VPLZCNTDrm
+    621004046U,	// VPLZCNTDrmb
+    622003470U,	// VPLZCNTDrmbk
+    620840206U,	// VPLZCNTDrmbkz
+    814908686U,	// VPLZCNTDrmk
+    814925070U,	// VPLZCNTDrmkz
+    3498312974U,	// VPLZCNTDrr
+    814056718U,	// VPLZCNTDrrk
+    813745422U,	// VPLZCNTDrrkz
+    1034401U,	// VPLZCNTQrm
+    618940577U,	// VPLZCNTQrmb
+    619940001U,	// VPLZCNTQrmbk
+    618760353U,	// VPLZCNTQrmbkz
+    814909601U,	// VPLZCNTQrmk
+    814925985U,	// VPLZCNTQrmkz
+    3498313889U,	// VPLZCNTQrr
+    814057633U,	// VPLZCNTQrrk
+    813746337U,	// VPLZCNTQrrkz
+    342184262U,	// VPMACSDDrm
+    839225670U,	// VPMACSDDrr
+    342185997U,	// VPMACSDQHrm
+    839227405U,	// VPMACSDQHrr
+    342186820U,	// VPMACSDQLrm
+    839228228U,	// VPMACSDQLrr
+    342184272U,	// VPMACSSDDrm
+    839225680U,	// VPMACSSDDrr
+    342186008U,	// VPMACSSDQHrm
+    839227416U,	// VPMACSSDQHrr
+    342186831U,	// VPMACSSDQLrm
+    839228239U,	// VPMACSSDQLrr
+    342185732U,	// VPMACSSWDrm
+    839227140U,	// VPMACSSWDrr
+    342191687U,	// VPMACSSWWrm
+    839233095U,	// VPMACSSWWrr
+    342185711U,	// VPMACSWDrm
+    839227119U,	// VPMACSWDrr
+    342191663U,	// VPMACSWWrm
+    839233071U,	// VPMACSWWrr
+    342185743U,	// VPMADCSSWDrm
+    839227151U,	// VPMADCSSWDrr
+    342185721U,	// VPMADCSWDrm
+    839227129U,	// VPMADCSWDrr
+    811904191U,	// VPMADDUBSWrm128
+    812608703U,	// VPMADDUBSWrm256
+    811658431U,	// VPMADDUBSWrr128
+    811658431U,	// VPMADDUBSWrr256
+    812603085U,	// VPMADDWDYrm
+    811652813U,	// VPMADDWDYrr
+    811898573U,	// VPMADDWDrm
+    811652813U,	// VPMADDWDrr
+    2735019686U,	// VPMASKMOVDYmr
+    812603046U,	// VPMASKMOVDYrm
+    2466584230U,	// VPMASKMOVDmr
+    811898534U,	// VPMASKMOVDrm
+    2735022804U,	// VPMASKMOVQYmr
+    812606164U,	// VPMASKMOVQYrm
+    2466587348U,	// VPMASKMOVQmr
+    811901652U,	// VPMASKMOVQrm
+    812601328U,	// VPMAXSBYrm
+    811651056U,	// VPMAXSBYrr
+    811896816U,	// VPMAXSBrm
+    811651056U,	// VPMAXSBrr
+    812602925U,	// VPMAXSDYrm
+    811652653U,	// VPMAXSDYrr
+    812827894U,	// VPMAXSDZrm
+    352404726U,	// VPMAXSDZrmb
+    3037840630U,	// VPMAXSDZrmbk
+    1427309814U,	// VPMAXSDZrmbkz
+    120800502U,	// VPMAXSDZrmk
+    840074486U,	// VPMAXSDZrmkz
+    811648246U,	// VPMAXSDZrr
+    814335222U,	// VPMAXSDZrrk
+    839222518U,	// VPMAXSDZrrkz
+    811898413U,	// VPMAXSDrm
+    811652653U,	// VPMAXSDrr
+    812828809U,	// VPMAXSQZrm
+    350324873U,	// VPMAXSQZrmb
+    3035728009U,	// VPMAXSQZrmbk
+    1425246345U,	// VPMAXSQZrmbkz
+    120801417U,	// VPMAXSQZrmk
+    840075401U,	// VPMAXSQZrmkz
+    811649161U,	// VPMAXSQZrr
+    814336137U,	// VPMAXSQZrrk
+    839223433U,	// VPMAXSQZrrkz
+    812608875U,	// VPMAXSWYrm
+    811658603U,	// VPMAXSWYrr
+    811904363U,	// VPMAXSWrm
+    811658603U,	// VPMAXSWrr
+    812601413U,	// VPMAXUBYrm
+    811651141U,	// VPMAXUBYrr
+    811896901U,	// VPMAXUBrm
+    811651141U,	// VPMAXUBrr
+    812603010U,	// VPMAXUDYrm
+    811652738U,	// VPMAXUDYrr
+    812827962U,	// VPMAXUDZrm
+    352404794U,	// VPMAXUDZrmb
+    3037840698U,	// VPMAXUDZrmbk
+    1427309882U,	// VPMAXUDZrmbkz
+    120800570U,	// VPMAXUDZrmk
+    840074554U,	// VPMAXUDZrmkz
+    811648314U,	// VPMAXUDZrr
+    814335290U,	// VPMAXUDZrrk
+    839222586U,	// VPMAXUDZrrkz
+    811898498U,	// VPMAXUDrm
+    811652738U,	// VPMAXUDrr
+    812828877U,	// VPMAXUQZrm
+    350324941U,	// VPMAXUQZrmb
+    3035728077U,	// VPMAXUQZrmbk
+    1425246413U,	// VPMAXUQZrmbkz
+    120801485U,	// VPMAXUQZrmk
+    840075469U,	// VPMAXUQZrmkz
+    811649229U,	// VPMAXUQZrr
+    814336205U,	// VPMAXUQZrrk
+    839223501U,	// VPMAXUQZrrkz
+    812609049U,	// VPMAXUWYrm
+    811658777U,	// VPMAXUWYrr
+    811904537U,	// VPMAXUWrm
+    811658777U,	// VPMAXUWrr
+    812601269U,	// VPMINSBYrm
+    811650997U,	// VPMINSBYrr
+    811896757U,	// VPMINSBrm
+    811650997U,	// VPMINSBrr
+    812602852U,	// VPMINSDYrm
+    811652580U,	// VPMINSDYrr
+    812827860U,	// VPMINSDZrm
+    352404692U,	// VPMINSDZrmb
+    3037840596U,	// VPMINSDZrmbk
+    1427309780U,	// VPMINSDZrmbkz
+    120800468U,	// VPMINSDZrmk
+    840074452U,	// VPMINSDZrmkz
+    811648212U,	// VPMINSDZrr
+    814335188U,	// VPMINSDZrrk
+    839222484U,	// VPMINSDZrrkz
+    811898340U,	// VPMINSDrm
+    811652580U,	// VPMINSDrr
+    812828772U,	// VPMINSQZrm
+    350324836U,	// VPMINSQZrmb
+    3035727972U,	// VPMINSQZrmbk
+    1425246308U,	// VPMINSQZrmbkz
+    120801380U,	// VPMINSQZrmk
+    840075364U,	// VPMINSQZrmkz
+    811649124U,	// VPMINSQZrr
+    814336100U,	// VPMINSQZrrk
+    839223396U,	// VPMINSQZrrkz
+    812608784U,	// VPMINSWYrm
+    811658512U,	// VPMINSWYrr
+    811904272U,	// VPMINSWrm
+    811658512U,	// VPMINSWrr
+    812601397U,	// VPMINUBYrm
+    811651125U,	// VPMINUBYrr
+    811896885U,	// VPMINUBrm
+    811651125U,	// VPMINUBrr
+    812602992U,	// VPMINUDYrm
+    811652720U,	// VPMINUDYrr
+    812827952U,	// VPMINUDZrm
+    352404784U,	// VPMINUDZrmb
+    3037840688U,	// VPMINUDZrmbk
+    1427309872U,	// VPMINUDZrmbkz
+    120800560U,	// VPMINUDZrmk
+    840074544U,	// VPMINUDZrmkz
+    811648304U,	// VPMINUDZrr
+    814335280U,	// VPMINUDZrrk
+    839222576U,	// VPMINUDZrrkz
+    811898480U,	// VPMINUDrm
+    811652720U,	// VPMINUDrr
+    812828867U,	// VPMINUQZrm
+    350324931U,	// VPMINUQZrmb
+    3035728067U,	// VPMINUQZrmbk
+    1425246403U,	// VPMINUQZrmbkz
+    120801475U,	// VPMINUQZrmk
+    840075459U,	// VPMINUQZrmkz
+    811649219U,	// VPMINUQZrr
+    814336195U,	// VPMINUQZrrk
+    839223491U,	// VPMINUQZrrkz
+    812609027U,	// VPMINUWYrm
+    811658755U,	// VPMINUWYrr
+    811904515U,	// VPMINUWrm
+    811658755U,	// VPMINUWrr
     67141700U,	// VPMOVDBmr
     872742980U,	// VPMOVDBmrk
-    8536132U,	// VPMOVDBrr
+    8650820U,	// VPMOVDBrr
     813744196U,	// VPMOVDBrrk
     813744196U,	// VPMOVDBrrkz
-    115379283U,	// VPMOVDWmr
-    920980563U,	// VPMOVDWmrk
-    8539219U,	// VPMOVDWrr
-    813747283U,	// VPMOVDWrrk
-    813747283U,	// VPMOVDWrrkz
-    8539941U,	// VPMOVMSKBYrr
-    8539941U,	// VPMOVMSKBrr
+    115379239U,	// VPMOVDWmr
+    920980519U,	// VPMOVDWmrk
+    8653863U,	// VPMOVDWrr
+    813747239U,	// VPMOVDWrrk
+    813747239U,	// VPMOVDWrrkz
+    8654585U,	// VPMOVMSKBYrr
+    8654585U,	// VPMOVMSKBrr
     67141742U,	// VPMOVQBmr
     872743022U,	// VPMOVQBmrk
-    8536174U,	// VPMOVQBrr
+    8650862U,	// VPMOVQBrr
     813744238U,	// VPMOVQBrrk
     813744238U,	// VPMOVQBrrkz
-    115377195U,	// VPMOVQDmr
-    920978475U,	// VPMOVQDmrk
-    8537131U,	// VPMOVQDrr
-    813745195U,	// VPMOVQDrrk
-    813745195U,	// VPMOVQDrrkz
-    67144840U,	// VPMOVQWmr
-    872746120U,	// VPMOVQWmrk
-    8539272U,	// VPMOVQWrr
-    813747336U,	// VPMOVQWrrk
-    813747336U,	// VPMOVQWrrkz
+    115377184U,	// VPMOVQDmr
+    920978464U,	// VPMOVQDmrk
+    8651808U,	// VPMOVQDrr
+    813745184U,	// VPMOVQDrrk
+    813745184U,	// VPMOVQDrrkz
+    67144796U,	// VPMOVQWmr
+    872746076U,	// VPMOVQWmrk
+    8653916U,	// VPMOVQWrr
+    813747292U,	// VPMOVQWrrk
+    813747292U,	// VPMOVQWrrkz
     67141689U,	// VPMOVSDBmr
     872742969U,	// VPMOVSDBmrk
-    8536121U,	// VPMOVSDBrr
+    8650809U,	// VPMOVSDBrr
     813744185U,	// VPMOVSDBrrk
     813744185U,	// VPMOVSDBrrkz
-    115379272U,	// VPMOVSDWmr
-    920980552U,	// VPMOVSDWmrk
-    8539208U,	// VPMOVSDWrr
-    813747272U,	// VPMOVSDWrrk
-    813747272U,	// VPMOVSDWrrkz
+    115379228U,	// VPMOVSDWmr
+    920980508U,	// VPMOVSDWmrk
+    8653852U,	// VPMOVSDWrr
+    813747228U,	// VPMOVSDWrrk
+    813747228U,	// VPMOVSDWrrkz
     67141731U,	// VPMOVSQBmr
     872743011U,	// VPMOVSQBmrk
-    8536163U,	// VPMOVSQBrr
+    8650851U,	// VPMOVSQBrr
     813744227U,	// VPMOVSQBrrk
     813744227U,	// VPMOVSQBrrkz
-    115377184U,	// VPMOVSQDmr
-    920978464U,	// VPMOVSQDmrk
-    8537120U,	// VPMOVSQDrr
-    813745184U,	// VPMOVSQDrrk
-    813745184U,	// VPMOVSQDrrkz
-    67144829U,	// VPMOVSQWmr
-    872746109U,	// VPMOVSQWmrk
-    8539261U,	// VPMOVSQWrr
-    813747325U,	// VPMOVSQWrrk
-    813747325U,	// VPMOVSQWrrkz
-    551686446U,	// VPMOVSXBDYrm
-    8540462U,	// VPMOVSXBDYrr
+    115377173U,	// VPMOVSQDmr
+    920978453U,	// VPMOVSQDmrk
+    8651797U,	// VPMOVSQDrr
+    813745173U,	// VPMOVSQDrrk
+    813745173U,	// VPMOVSQDrrkz
+    67144785U,	// VPMOVSQWmr
+    872746065U,	// VPMOVSQWmrk
+    8653905U,	// VPMOVSQWrr
+    813747281U,	// VPMOVSQWrrk
+    813747281U,	// VPMOVSQWrrkz
+    551801090U,	// VPMOVSXBDYrm
+    8655106U,	// VPMOVSXBDYrr
     311514U,	// VPMOVSXBDZrm
     813990106U,	// VPMOVSXBDZrmk
     813990106U,	// VPMOVSXBDZrmkz
-    8536282U,	// VPMOVSXBDZrr
+    8650970U,	// VPMOVSXBDZrr
     813744346U,	// VPMOVSXBDZrrk
     813744346U,	// VPMOVSXBDZrrkz
-    551686446U,	// VPMOVSXBDrm
-    8540462U,	// VPMOVSXBDrr
-    384660U,	// VPMOVSXBQYrm
-    8543892U,	// VPMOVSXBQYrr
-    312991U,	// VPMOVSXBQZrm
-    813991583U,	// VPMOVSXBQZrmk
-    813991583U,	// VPMOVSXBQZrmkz
-    8537759U,	// VPMOVSXBQZrr
-    813745823U,	// VPMOVSXBQZrrk
-    813745823U,	// VPMOVSXBQZrrkz
-    384660U,	// VPMOVSXBQrm
-    8543892U,	// VPMOVSXBQrr
-    322190U,	// VPMOVSXBWYrm
-    8546958U,	// VPMOVSXBWYrr
-    551725710U,	// VPMOVSXBWrm
-    8546958U,	// VPMOVSXBWrr
-    319466U,	// VPMOVSXDQYrm
-    8544234U,	// VPMOVSXDQYrr
-    1017786U,	// VPMOVSXDQZrm
-    814696378U,	// VPMOVSXDQZrmk
-    814696378U,	// VPMOVSXDQZrmkz
-    8538042U,	// VPMOVSXDQZrr
-    813746106U,	// VPMOVSXDQZrrk
-    813746106U,	// VPMOVSXDQZrrkz
-    551722986U,	// VPMOVSXDQrm
-    8544234U,	// VPMOVSXDQrr
-    317266U,	// VPMOVSXWDYrm
-    8542034U,	// VPMOVSXWDYrr
-    1017216U,	// VPMOVSXWDZrm
-    814695808U,	// VPMOVSXWDZrmk
-    814695808U,	// VPMOVSXWDZrmkz
-    8537472U,	// VPMOVSXWDZrr
-    813745536U,	// VPMOVSXWDZrrk
-    813745536U,	// VPMOVSXWDZrrkz
-    551720786U,	// VPMOVSXWDrm
-    8542034U,	// VPMOVSXWDrr
-    551691063U,	// VPMOVSXWQYrm
-    8545079U,	// VPMOVSXWQYrr
-    313641U,	// VPMOVSXWQZrm
-    813992233U,	// VPMOVSXWQZrmk
-    813992233U,	// VPMOVSXWQZrmkz
-    8538409U,	// VPMOVSXWQZrr
-    813746473U,	// VPMOVSXWQZrrk
-    813746473U,	// VPMOVSXWQZrrkz
-    551691063U,	// VPMOVSXWQrm
-    8545079U,	// VPMOVSXWQrr
+    551801090U,	// VPMOVSXBDrm
+    8655106U,	// VPMOVSXBDrr
+    384616U,	// VPMOVSXBQYrm
+    8658536U,	// VPMOVSXBQYrr
+    312969U,	// VPMOVSXBQZrm
+    813991561U,	// VPMOVSXBQZrmk
+    813991561U,	// VPMOVSXBQZrmkz
+    8652425U,	// VPMOVSXBQZrr
+    813745801U,	// VPMOVSXBQZrrk
+    813745801U,	// VPMOVSXBQZrrkz
+    384616U,	// VPMOVSXBQrm
+    8658536U,	// VPMOVSXBQrr
+    322146U,	// VPMOVSXBWYrm
+    8661602U,	// VPMOVSXBWYrr
+    551840354U,	// VPMOVSXBWrm
+    8661602U,	// VPMOVSXBWrr
+    319422U,	// VPMOVSXDQYrm
+    8658878U,	// VPMOVSXDQYrr
+    1017764U,	// VPMOVSXDQZrm
+    814696356U,	// VPMOVSXDQZrmk
+    814696356U,	// VPMOVSXDQZrmkz
+    8652708U,	// VPMOVSXDQZrr
+    813746084U,	// VPMOVSXDQZrrk
+    813746084U,	// VPMOVSXDQZrrkz
+    551837630U,	// VPMOVSXDQrm
+    8658878U,	// VPMOVSXDQrr
+    317222U,	// VPMOVSXWDYrm
+    8656678U,	// VPMOVSXWDYrr
+    1017194U,	// VPMOVSXWDZrm
+    814695786U,	// VPMOVSXWDZrmk
+    814695786U,	// VPMOVSXWDZrmkz
+    8652138U,	// VPMOVSXWDZrr
+    813745514U,	// VPMOVSXWDZrrk
+    813745514U,	// VPMOVSXWDZrrkz
+    551835430U,	// VPMOVSXWDrm
+    8656678U,	// VPMOVSXWDrr
+    551805707U,	// VPMOVSXWQYrm
+    8659723U,	// VPMOVSXWQYrr
+    313597U,	// VPMOVSXWQZrm
+    813992189U,	// VPMOVSXWQZrmk
+    813992189U,	// VPMOVSXWQZrmkz
+    8653053U,	// VPMOVSXWQZrr
+    813746429U,	// VPMOVSXWQZrrk
+    813746429U,	// VPMOVSXWQZrrkz
+    551805707U,	// VPMOVSXWQrm
+    8659723U,	// VPMOVSXWQrr
     67141677U,	// VPMOVUSDBmr
     872742957U,	// VPMOVUSDBmrk
-    8536109U,	// VPMOVUSDBrr
+    8650797U,	// VPMOVUSDBrr
     813744173U,	// VPMOVUSDBrrk
     813744173U,	// VPMOVUSDBrrkz
-    115379260U,	// VPMOVUSDWmr
-    920980540U,	// VPMOVUSDWmrk
-    8539196U,	// VPMOVUSDWrr
-    813747260U,	// VPMOVUSDWrrk
-    813747260U,	// VPMOVUSDWrrkz
+    115379216U,	// VPMOVUSDWmr
+    920980496U,	// VPMOVUSDWmrk
+    8653840U,	// VPMOVUSDWrr
+    813747216U,	// VPMOVUSDWrrk
+    813747216U,	// VPMOVUSDWrrkz
     67141719U,	// VPMOVUSQBmr
     872742999U,	// VPMOVUSQBmrk
-    8536151U,	// VPMOVUSQBrr
+    8650839U,	// VPMOVUSQBrr
     813744215U,	// VPMOVUSQBrrk
     813744215U,	// VPMOVUSQBrrkz
-    115377172U,	// VPMOVUSQDmr
-    920978452U,	// VPMOVUSQDmrk
-    8537108U,	// VPMOVUSQDrr
-    813745172U,	// VPMOVUSQDrrk
-    813745172U,	// VPMOVUSQDrrkz
-    67144817U,	// VPMOVUSQWmr
-    872746097U,	// VPMOVUSQWmrk
-    8539249U,	// VPMOVUSQWrr
-    813747313U,	// VPMOVUSQWrrk
-    813747313U,	// VPMOVUSQWrrkz
-    551686457U,	// VPMOVZXBDYrm
-    8540473U,	// VPMOVZXBDYrr
+    115377161U,	// VPMOVUSQDmr
+    920978441U,	// VPMOVUSQDmrk
+    8651785U,	// VPMOVUSQDrr
+    813745161U,	// VPMOVUSQDrrk
+    813745161U,	// VPMOVUSQDrrkz
+    67144773U,	// VPMOVUSQWmr
+    872746053U,	// VPMOVUSQWmrk
+    8653893U,	// VPMOVUSQWrr
+    813747269U,	// VPMOVUSQWrrk
+    813747269U,	// VPMOVUSQWrrkz
+    551801101U,	// VPMOVZXBDYrm
+    8655117U,	// VPMOVZXBDYrr
     311526U,	// VPMOVZXBDZrm
     813990118U,	// VPMOVZXBDZrmk
     813990118U,	// VPMOVZXBDZrmkz
-    8536294U,	// VPMOVZXBDZrr
+    8650982U,	// VPMOVZXBDZrr
     813744358U,	// VPMOVZXBDZrrk
     813744358U,	// VPMOVZXBDZrrkz
-    551686457U,	// VPMOVZXBDrm
-    8540473U,	// VPMOVZXBDrr
-    384671U,	// VPMOVZXBQYrm
-    8543903U,	// VPMOVZXBQYrr
-    313003U,	// VPMOVZXBQZrm
-    813991595U,	// VPMOVZXBQZrmk
-    813991595U,	// VPMOVZXBQZrmkz
-    8537771U,	// VPMOVZXBQZrr
-    813745835U,	// VPMOVZXBQZrrk
-    813745835U,	// VPMOVZXBQZrrkz
-    384671U,	// VPMOVZXBQrm
-    8543903U,	// VPMOVZXBQrr
-    322201U,	// VPMOVZXBWYrm
-    8546969U,	// VPMOVZXBWYrr
-    551725721U,	// VPMOVZXBWrm
-    8546969U,	// VPMOVZXBWrr
-    319477U,	// VPMOVZXDQYrm
-    8544245U,	// VPMOVZXDQYrr
-    1017798U,	// VPMOVZXDQZrm
-    814696390U,	// VPMOVZXDQZrmk
-    814696390U,	// VPMOVZXDQZrmkz
-    8538054U,	// VPMOVZXDQZrr
-    813746118U,	// VPMOVZXDQZrrk
-    813746118U,	// VPMOVZXDQZrrkz
-    551722997U,	// VPMOVZXDQrm
-    8544245U,	// VPMOVZXDQrr
-    317277U,	// VPMOVZXWDYrm
-    8542045U,	// VPMOVZXWDYrr
-    1017228U,	// VPMOVZXWDZrm
-    814695820U,	// VPMOVZXWDZrmk
-    814695820U,	// VPMOVZXWDZrmkz
-    8537484U,	// VPMOVZXWDZrr
-    813745548U,	// VPMOVZXWDZrrk
-    813745548U,	// VPMOVZXWDZrrkz
-    551720797U,	// VPMOVZXWDrm
-    8542045U,	// VPMOVZXWDrr
-    551691074U,	// VPMOVZXWQYrm
-    8545090U,	// VPMOVZXWQYrr
-    313653U,	// VPMOVZXWQZrm
-    813992245U,	// VPMOVZXWQZrmk
-    813992245U,	// VPMOVZXWQZrmkz
-    8538421U,	// VPMOVZXWQZrr
-    813746485U,	// VPMOVZXWQZrrk
-    813746485U,	// VPMOVZXWQZrrkz
-    551691074U,	// VPMOVZXWQrm
-    8545090U,	// VPMOVZXWQrr
-    812605287U,	// VPMULDQYrm
-    811655015U,	// VPMULDQYrr
-    812828428U,	// VPMULDQZrm
-    350324492U,	// VPMULDQZrmb
-    840140556U,	// VPMULDQZrmbk
-    840140556U,	// VPMULDQZrmbkz
-    840075020U,	// VPMULDQZrmk
-    840075020U,	// VPMULDQZrmkz
-    811648780U,	// VPMULDQZrr
-    839223052U,	// VPMULDQZrrk
-    839223052U,	// VPMULDQZrrkz
-    811900775U,	// VPMULDQrm
-    811655015U,	// VPMULDQrr
-    811904341U,	// VPMULHRSWrm128
-    812608853U,	// VPMULHRSWrm256
-    811658581U,	// VPMULHRSWrr128
-    811658581U,	// VPMULHRSWrr256
-    812609052U,	// VPMULHUWYrm
-    811658780U,	// VPMULHUWYrr
-    811904540U,	// VPMULHUWrm
-    811658780U,	// VPMULHUWrr
-    812608426U,	// VPMULHWYrm
-    811658154U,	// VPMULHWYrr
-    811903914U,	// VPMULHWrm
-    811658154U,	// VPMULHWrr
-    812601803U,	// VPMULLDYrm
-    811651531U,	// VPMULLDYrr
+    551801101U,	// VPMOVZXBDrm
+    8655117U,	// VPMOVZXBDrr
+    384627U,	// VPMOVZXBQYrm
+    8658547U,	// VPMOVZXBQYrr
+    312981U,	// VPMOVZXBQZrm
+    813991573U,	// VPMOVZXBQZrmk
+    813991573U,	// VPMOVZXBQZrmkz
+    8652437U,	// VPMOVZXBQZrr
+    813745813U,	// VPMOVZXBQZrrk
+    813745813U,	// VPMOVZXBQZrrkz
+    384627U,	// VPMOVZXBQrm
+    8658547U,	// VPMOVZXBQrr
+    322157U,	// VPMOVZXBWYrm
+    8661613U,	// VPMOVZXBWYrr
+    551840365U,	// VPMOVZXBWrm
+    8661613U,	// VPMOVZXBWrr
+    319433U,	// VPMOVZXDQYrm
+    8658889U,	// VPMOVZXDQYrr
+    1017776U,	// VPMOVZXDQZrm
+    814696368U,	// VPMOVZXDQZrmk
+    814696368U,	// VPMOVZXDQZrmkz
+    8652720U,	// VPMOVZXDQZrr
+    813746096U,	// VPMOVZXDQZrrk
+    813746096U,	// VPMOVZXDQZrrkz
+    551837641U,	// VPMOVZXDQrm
+    8658889U,	// VPMOVZXDQrr
+    317233U,	// VPMOVZXWDYrm
+    8656689U,	// VPMOVZXWDYrr
+    1017206U,	// VPMOVZXWDZrm
+    814695798U,	// VPMOVZXWDZrmk
+    814695798U,	// VPMOVZXWDZrmkz
+    8652150U,	// VPMOVZXWDZrr
+    813745526U,	// VPMOVZXWDZrrk
+    813745526U,	// VPMOVZXWDZrrkz
+    551835441U,	// VPMOVZXWDrm
+    8656689U,	// VPMOVZXWDrr
+    551805718U,	// VPMOVZXWQYrm
+    8659734U,	// VPMOVZXWQYrr
+    313609U,	// VPMOVZXWQZrm
+    813992201U,	// VPMOVZXWQZrmk
+    813992201U,	// VPMOVZXWQZrmkz
+    8653065U,	// VPMOVZXWQZrr
+    813746441U,	// VPMOVZXWQZrrk
+    813746441U,	// VPMOVZXWQZrrkz
+    551805718U,	// VPMOVZXWQrm
+    8659734U,	// VPMOVZXWQrr
+    812605243U,	// VPMULDQYrm
+    811654971U,	// VPMULDQYrr
+    812828406U,	// VPMULDQZrm
+    350324470U,	// VPMULDQZrmb
+    1425245942U,	// VPMULDQZrmbk
+    1425245942U,	// VPMULDQZrmbkz
+    840074998U,	// VPMULDQZrmk
+    840074998U,	// VPMULDQZrmkz
+    811648758U,	// VPMULDQZrr
+    839223030U,	// VPMULDQZrrk
+    839223030U,	// VPMULDQZrrkz
+    811900731U,	// VPMULDQrm
+    811654971U,	// VPMULDQrr
+    811904297U,	// VPMULHRSWrm128
+    812608809U,	// VPMULHRSWrm256
+    811658537U,	// VPMULHRSWrr128
+    811658537U,	// VPMULHRSWrr256
+    812609008U,	// VPMULHUWYrm
+    811658736U,	// VPMULHUWYrr
+    811904496U,	// VPMULHUWrm
+    811658736U,	// VPMULHUWrr
+    812608382U,	// VPMULHWYrm
+    811658110U,	// VPMULHWYrr
+    811903870U,	// VPMULHWrm
+    811658110U,	// VPMULHWrr
+    812601759U,	// VPMULLDYrm
+    811651487U,	// VPMULLDYrr
     812826938U,	// VPMULLDZrm
     352403770U,	// VPMULLDZrmb
     3037839674U,	// VPMULLDZrmbk
-    840106298U,	// VPMULLDZrmbkz
+    1427308858U,	// VPMULLDZrmbkz
     120799546U,	// VPMULLDZrmk
     840073530U,	// VPMULLDZrmkz
     811647290U,	// VPMULLDZrr
     814334266U,	// VPMULLDZrrk
     839221562U,	// VPMULLDZrrkz
-    811897291U,	// VPMULLDrm
-    811651531U,	// VPMULLDrr
-    812608495U,	// VPMULLWYrm
-    811658223U,	// VPMULLWYrr
-    811903983U,	// VPMULLWrm
-    811658223U,	// VPMULLWrr
-    812605408U,	// VPMULUDQYrm
-    811655136U,	// VPMULUDQYrr
-    812828591U,	// VPMULUDQZrm
-    350324655U,	// VPMULUDQZrmb
-    840140719U,	// VPMULUDQZrmbk
-    840140719U,	// VPMULUDQZrmbkz
-    840075183U,	// VPMULUDQZrmk
-    840075183U,	// VPMULUDQZrmkz
-    811648943U,	// VPMULUDQZrr
-    839223215U,	// VPMULUDQZrrk
-    839223215U,	// VPMULUDQZrrkz
-    811900896U,	// VPMULUDQrm
-    811655136U,	// VPMULUDQrr
-    812827717U,	// VPORDZrm
-    352404549U,	// VPORDZrmb
-    3037840453U,	// VPORDZrmbk
-    840107077U,	// VPORDZrmbkz
-    120800325U,	// VPORDZrmk
-    840074309U,	// VPORDZrmkz
-    811648069U,	// VPORDZrr
-    814335045U,	// VPORDZrrk
-    839222341U,	// VPORDZrrkz
-    812828771U,	// VPORQZrm
-    350324835U,	// VPORQZrmb
-    3035727971U,	// VPORQZrmbk
-    840140899U,	// VPORQZrmbkz
-    120801379U,	// VPORQZrmk
-    840075363U,	// VPORQZrmkz
-    811649123U,	// VPORQZrr
-    814336099U,	// VPORQZrrk
-    839223395U,	// VPORQZrrkz
-    812606376U,	// VPORYrm
-    811656104U,	// VPORYrr
-    811901864U,	// VPORrm
-    811656104U,	// VPORrr
-    342187334U,	// VPPERMmr
-    840047942U,	// VPPERMrm
-    839228742U,	// VPPERMrr
-    25497659U,	// VPROTBmi
-    25497659U,	// VPROTBmr
-    811651131U,	// VPROTBri
-    811896891U,	// VPROTBrm
-    811651131U,	// VPROTBrr
-    25499261U,	// VPROTDmi
-    25499261U,	// VPROTDmr
-    811652733U,	// VPROTDri
-    811898493U,	// VPROTDrm
-    811652733U,	// VPROTDrr
-    25502365U,	// VPROTQmi
-    25502365U,	// VPROTQmr
-    811655837U,	// VPROTQri
-    811901597U,	// VPROTQrm
-    811655837U,	// VPROTQrr
-    25505279U,	// VPROTWmi
-    25505279U,	// VPROTWmr
-    811658751U,	// VPROTWri
-    811904511U,	// VPROTWrm
-    811658751U,	// VPROTWrr
-    812608055U,	// VPSADBWYrm
-    811657783U,	// VPSADBWYrr
-    811903543U,	// VPSADBWrm
-    811657783U,	// VPSADBWrr
+    811897247U,	// VPMULLDrm
+    811651487U,	// VPMULLDrr
+    812608451U,	// VPMULLWYrm
+    811658179U,	// VPMULLWYrr
+    811903939U,	// VPMULLWrm
+    811658179U,	// VPMULLWrr
+    812605364U,	// VPMULUDQYrm
+    811655092U,	// VPMULUDQYrr
+    812828569U,	// VPMULUDQZrm
+    350324633U,	// VPMULUDQZrmb
+    1425246105U,	// VPMULUDQZrmbk
+    1425246105U,	// VPMULUDQZrmbkz
+    840075161U,	// VPMULUDQZrmk
+    840075161U,	// VPMULUDQZrmkz
+    811648921U,	// VPMULUDQZrr
+    839223193U,	// VPMULUDQZrrk
+    839223193U,	// VPMULUDQZrrkz
+    811900852U,	// VPMULUDQrm
+    811655092U,	// VPMULUDQrr
+    812827706U,	// VPORDZrm
+    352404538U,	// VPORDZrmb
+    3037840442U,	// VPORDZrmbk
+    1427309626U,	// VPORDZrmbkz
+    120800314U,	// VPORDZrmk
+    840074298U,	// VPORDZrmkz
+    811648058U,	// VPORDZrr
+    814335034U,	// VPORDZrrk
+    839222330U,	// VPORDZrrkz
+    812828738U,	// VPORQZrm
+    350324802U,	// VPORQZrmb
+    3035727938U,	// VPORQZrmbk
+    1425246274U,	// VPORQZrmbkz
+    120801346U,	// VPORQZrmk
+    840075330U,	// VPORQZrmkz
+    811649090U,	// VPORQZrr
+    814336066U,	// VPORQZrrk
+    839223362U,	// VPORQZrrkz
+    812606332U,	// VPORYrm
+    811656060U,	// VPORYrr
+    811901820U,	// VPORrm
+    811656060U,	// VPORrr
+    342187290U,	// VPPERMmr
+    840047898U,	// VPPERMrm
+    839228698U,	// VPPERMrr
+    25497615U,	// VPROTBmi
+    25497615U,	// VPROTBmr
+    811651087U,	// VPROTBri
+    811896847U,	// VPROTBrm
+    811651087U,	// VPROTBrr
+    25499217U,	// VPROTDmi
+    25499217U,	// VPROTDmr
+    811652689U,	// VPROTDri
+    811898449U,	// VPROTDrm
+    811652689U,	// VPROTDrr
+    25502321U,	// VPROTQmi
+    25502321U,	// VPROTQmr
+    811655793U,	// VPROTQri
+    811901553U,	// VPROTQrm
+    811655793U,	// VPROTQrr
+    25505235U,	// VPROTWmi
+    25505235U,	// VPROTWmr
+    811658707U,	// VPROTWri
+    811904467U,	// VPROTWrm
+    811658707U,	// VPROTWrr
+    812608011U,	// VPSADBWYrm
+    811657739U,	// VPSADBWYrr
+    811903499U,	// VPSADBWrm
+    811657739U,	// VPSADBWrr
     832913689U,	// VPSCATTERDDZmr
-    835012432U,	// VPSCATTERDQZmr
-    835011590U,	// VPSCATTERQDZmr
-    835012677U,	// VPSCATTERQQZmr
-    25497283U,	// VPSHABmr
-    811896515U,	// VPSHABrm
-    811650755U,	// VPSHABrr
-    25497848U,	// VPSHADmr
-    811897080U,	// VPSHADrm
-    811651320U,	// VPSHADrr
-    25501265U,	// VPSHAQmr
-    811900497U,	// VPSHAQrm
-    811654737U,	// VPSHAQrr
-    25504261U,	// VPSHAWmr
-    811903493U,	// VPSHAWrm
-    811657733U,	// VPSHAWrr
-    25497404U,	// VPSHLBmr
-    811896636U,	// VPSHLBrm
-    811650876U,	// VPSHLBrr
-    25498043U,	// VPSHLDmr
-    811897275U,	// VPSHLDrm
-    811651515U,	// VPSHLDrr
-    25501902U,	// VPSHLQmr
-    811901134U,	// VPSHLQrm
-    811655374U,	// VPSHLQrr
-    25504727U,	// VPSHLWmr
-    811903959U,	// VPSHLWrm
-    811658199U,	// VPSHLWrr
-    812601088U,	// VPSHUFBYrm
-    811650816U,	// VPSHUFBYrr
-    811896576U,	// VPSHUFBrm
-    811650816U,	// VPSHUFBrr
-    145035662U,	// VPSHUFDYmi
-    811651470U,	// VPSHUFDYri
-    142934311U,	// VPSHUFDZmi
+    835012410U,	// VPSCATTERDQZmr
+    835011579U,	// VPSCATTERQDZmr
+    835012644U,	// VPSCATTERQQZmr
+    25497239U,	// VPSHABmr
+    811896471U,	// VPSHABrm
+    811650711U,	// VPSHABrr
+    25497804U,	// VPSHADmr
+    811897036U,	// VPSHADrm
+    811651276U,	// VPSHADrr
+    25501221U,	// VPSHAQmr
+    811900453U,	// VPSHAQrm
+    811654693U,	// VPSHAQrr
+    25504217U,	// VPSHAWmr
+    811903449U,	// VPSHAWrm
+    811657689U,	// VPSHAWrr
+    25497360U,	// VPSHLBmr
+    811896592U,	// VPSHLBrm
+    811650832U,	// VPSHLBrr
+    25497999U,	// VPSHLDmr
+    811897231U,	// VPSHLDrm
+    811651471U,	// VPSHLDrr
+    25501858U,	// VPSHLQmr
+    811901090U,	// VPSHLQrm
+    811655330U,	// VPSHLQrr
+    25504683U,	// VPSHLWmr
+    811903915U,	// VPSHLWrm
+    811658155U,	// VPSHLWrr
+    812601044U,	// VPSHUFBYrm
+    811650772U,	// VPSHUFBYrr
+    811896532U,	// VPSHUFBrm
+    811650772U,	// VPSHUFBrr
+    149229922U,	// VPSHUFDYmi
+    811651426U,	// VPSHUFDYri
+    147128615U,	// VPSHUFDZmi
     811647271U,	// VPSHUFDZri
-    25497998U,	// VPSHUFDmi
-    811651470U,	// VPSHUFDri
-    145042336U,	// VPSHUFHWYmi
-    811658144U,	// VPSHUFHWYri
-    25504672U,	// VPSHUFHWmi
-    811658144U,	// VPSHUFHWri
-    145042381U,	// VPSHUFLWYmi
-    811658189U,	// VPSHUFLWYri
-    25504717U,	// VPSHUFLWmi
-    811658189U,	// VPSHUFLWri
-    812601177U,	// VPSIGNBYrm
-    811650905U,	// VPSIGNBYrr
-    811896665U,	// VPSIGNBrm
-    811650905U,	// VPSIGNBrr
-    812601852U,	// VPSIGNDYrm
-    811651580U,	// VPSIGNDYrr
-    811897340U,	// VPSIGNDrm
-    811651580U,	// VPSIGNDrr
-    812608547U,	// VPSIGNWYrm
-    811658275U,	// VPSIGNWYrr
-    811904035U,	// VPSIGNWrm
-    811658275U,	// VPSIGNWrr
-    811654997U,	// VPSLLDQYri
-    811654997U,	// VPSLLDQri
-    811651523U,	// VPSLLDYri
-    811897283U,	// VPSLLDYrm
-    811651523U,	// VPSLLDYrr
-    142934321U,	// VPSLLDZmi
+    25497954U,	// VPSHUFDmi
+    811651426U,	// VPSHUFDri
+    149236596U,	// VPSHUFHWYmi
+    811658100U,	// VPSHUFHWYri
+    25504628U,	// VPSHUFHWmi
+    811658100U,	// VPSHUFHWri
+    149236641U,	// VPSHUFLWYmi
+    811658145U,	// VPSHUFLWYri
+    25504673U,	// VPSHUFLWmi
+    811658145U,	// VPSHUFLWri
+    812601133U,	// VPSIGNBYrm
+    811650861U,	// VPSIGNBYrr
+    811896621U,	// VPSIGNBrm
+    811650861U,	// VPSIGNBrr
+    812601808U,	// VPSIGNDYrm
+    811651536U,	// VPSIGNDYrr
+    811897296U,	// VPSIGNDrm
+    811651536U,	// VPSIGNDrr
+    812608503U,	// VPSIGNWYrm
+    811658231U,	// VPSIGNWYrr
+    811903991U,	// VPSIGNWrm
+    811658231U,	// VPSIGNWrr
+    811654953U,	// VPSLLDQYri
+    811654953U,	// VPSLLDQri
+    811651479U,	// VPSLLDYri
+    811897239U,	// VPSLLDYrm
+    811651479U,	// VPSLLDYrr
+    147128625U,	// VPSLLDZmi
     623198513U,	// VPSLLDZmik
     811647281U,	// VPSLLDZri
     839221553U,	// VPSLLDZrik
@@ -5572,45 +5710,45 @@
     840040753U,	// VPSLLDZrmk
     811647281U,	// VPSLLDZrr
     839221553U,	// VPSLLDZrrk
-    811651523U,	// VPSLLDri
-    811897283U,	// VPSLLDrm
-    811651523U,	// VPSLLDrr
-    811655389U,	// VPSLLQYri
-    811901149U,	// VPSLLQYrm
-    811655389U,	// VPSLLQYrr
-    142936018U,	// VPSLLQZmi
-    623200210U,	// VPSLLQZmik
-    811648978U,	// VPSLLQZri
-    839223250U,	// VPSLLQZrik
-    811894738U,	// VPSLLQZrm
-    840042450U,	// VPSLLQZrmk
-    811648978U,	// VPSLLQZrr
-    839223250U,	// VPSLLQZrrk
-    811655389U,	// VPSLLQri
-    811901149U,	// VPSLLQrm
-    811655389U,	// VPSLLQrr
-    812603072U,	// VPSLLVDYrm
-    811652800U,	// VPSLLVDYrr
-    812828004U,	// VPSLLVDZrm
-    811648356U,	// VPSLLVDZrr
-    811898560U,	// VPSLLVDrm
-    811652800U,	// VPSLLVDrr
-    812606190U,	// VPSLLVQYrm
-    811655918U,	// VPSLLVQYrr
-    812828941U,	// VPSLLVQZrm
-    811649293U,	// VPSLLVQZrr
-    811901678U,	// VPSLLVQrm
-    811655918U,	// VPSLLVQrr
-    811658215U,	// VPSLLWYri
-    811903975U,	// VPSLLWYrm
-    811658215U,	// VPSLLWYrr
-    811658215U,	// VPSLLWri
-    811903975U,	// VPSLLWrm
-    811658215U,	// VPSLLWrr
-    811651328U,	// VPSRADYri
-    811897088U,	// VPSRADYrm
-    811651328U,	// VPSRADYrr
-    142934216U,	// VPSRADZmi
+    811651479U,	// VPSLLDri
+    811897239U,	// VPSLLDrm
+    811651479U,	// VPSLLDrr
+    811655345U,	// VPSLLQYri
+    811901105U,	// VPSLLQYrm
+    811655345U,	// VPSLLQYrr
+    147130300U,	// VPSLLQZmi
+    623200188U,	// VPSLLQZmik
+    811648956U,	// VPSLLQZri
+    839223228U,	// VPSLLQZrik
+    811894716U,	// VPSLLQZrm
+    840042428U,	// VPSLLQZrmk
+    811648956U,	// VPSLLQZrr
+    839223228U,	// VPSLLQZrrk
+    811655345U,	// VPSLLQri
+    811901105U,	// VPSLLQrm
+    811655345U,	// VPSLLQrr
+    812603028U,	// VPSLLVDYrm
+    811652756U,	// VPSLLVDYrr
+    812827982U,	// VPSLLVDZrm
+    811648334U,	// VPSLLVDZrr
+    811898516U,	// VPSLLVDrm
+    811652756U,	// VPSLLVDrr
+    812606146U,	// VPSLLVQYrm
+    811655874U,	// VPSLLVQYrr
+    812828897U,	// VPSLLVQZrm
+    811649249U,	// VPSLLVQZrr
+    811901634U,	// VPSLLVQrm
+    811655874U,	// VPSLLVQrr
+    811658171U,	// VPSLLWYri
+    811903931U,	// VPSLLWYrm
+    811658171U,	// VPSLLWYrr
+    811658171U,	// VPSLLWri
+    811903931U,	// VPSLLWrm
+    811658171U,	// VPSLLWrr
+    811651284U,	// VPSRADYri
+    811897044U,	// VPSRADYrm
+    811651284U,	// VPSRADYrr
+    147128520U,	// VPSRADZmi
     623198408U,	// VPSRADZmik
     811647176U,	// VPSRADZri
     839221448U,	// VPSRADZrik
@@ -5618,37 +5756,37 @@
     840040648U,	// VPSRADZrmk
     811647176U,	// VPSRADZrr
     839221448U,	// VPSRADZrrk
-    811651328U,	// VPSRADri
-    811897088U,	// VPSRADrm
-    811651328U,	// VPSRADrr
-    142935693U,	// VPSRAQZmi
-    623199885U,	// VPSRAQZmik
-    811648653U,	// VPSRAQZri
-    839222925U,	// VPSRAQZrik
-    811894413U,	// VPSRAQZrm
-    840042125U,	// VPSRAQZrmk
-    811648653U,	// VPSRAQZrr
-    839222925U,	// VPSRAQZrrk
-    812603063U,	// VPSRAVDYrm
-    811652791U,	// VPSRAVDYrr
-    812827994U,	// VPSRAVDZrm
-    811648346U,	// VPSRAVDZrr
-    811898551U,	// VPSRAVDrm
-    811652791U,	// VPSRAVDrr
-    812828931U,	// VPSRAVQZrm
-    811649283U,	// VPSRAVQZrr
-    811657741U,	// VPSRAWYri
-    811903501U,	// VPSRAWYrm
-    811657741U,	// VPSRAWYrr
-    811657741U,	// VPSRAWri
-    811903501U,	// VPSRAWrm
-    811657741U,	// VPSRAWrr
-    811655006U,	// VPSRLDQYri
-    811655006U,	// VPSRLDQri
-    811651540U,	// VPSRLDYri
-    811897300U,	// VPSRLDYrm
-    811651540U,	// VPSRLDYrr
-    142934340U,	// VPSRLDZmi
+    811651284U,	// VPSRADri
+    811897044U,	// VPSRADrm
+    811651284U,	// VPSRADrr
+    147129975U,	// VPSRAQZmi
+    623199863U,	// VPSRAQZmik
+    811648631U,	// VPSRAQZri
+    839222903U,	// VPSRAQZrik
+    811894391U,	// VPSRAQZrm
+    840042103U,	// VPSRAQZrmk
+    811648631U,	// VPSRAQZrr
+    839222903U,	// VPSRAQZrrk
+    812603019U,	// VPSRAVDYrm
+    811652747U,	// VPSRAVDYrr
+    812827972U,	// VPSRAVDZrm
+    811648324U,	// VPSRAVDZrr
+    811898507U,	// VPSRAVDrm
+    811652747U,	// VPSRAVDrr
+    812828887U,	// VPSRAVQZrm
+    811649239U,	// VPSRAVQZrr
+    811657697U,	// VPSRAWYri
+    811903457U,	// VPSRAWYrm
+    811657697U,	// VPSRAWYrr
+    811657697U,	// VPSRAWri
+    811903457U,	// VPSRAWrm
+    811657697U,	// VPSRAWrr
+    811654962U,	// VPSRLDQYri
+    811654962U,	// VPSRLDQri
+    811651496U,	// VPSRLDYri
+    811897256U,	// VPSRLDYrm
+    811651496U,	// VPSRLDYrr
+    147128644U,	// VPSRLDZmi
     623198532U,	// VPSRLDZmik
     811647300U,	// VPSRLDZri
     839221572U,	// VPSRLDZrik
@@ -5656,485 +5794,485 @@
     840040772U,	// VPSRLDZrmk
     811647300U,	// VPSRLDZrr
     839221572U,	// VPSRLDZrrk
-    811651540U,	// VPSRLDri
-    811897300U,	// VPSRLDrm
-    811651540U,	// VPSRLDrr
-    811655403U,	// VPSRLQYri
-    811901163U,	// VPSRLQYrm
-    811655403U,	// VPSRLQYrr
-    142936027U,	// VPSRLQZmi
-    623200219U,	// VPSRLQZmik
-    811648987U,	// VPSRLQZri
-    839223259U,	// VPSRLQZrik
-    811894747U,	// VPSRLQZrm
-    840042459U,	// VPSRLQZrmk
-    811648987U,	// VPSRLQZrr
-    839223259U,	// VPSRLQZrrk
-    811655403U,	// VPSRLQri
-    811901163U,	// VPSRLQrm
-    811655403U,	// VPSRLQrr
-    812603081U,	// VPSRLVDYrm
-    811652809U,	// VPSRLVDYrr
-    812828014U,	// VPSRLVDZrm
-    811648366U,	// VPSRLVDZrr
-    811898569U,	// VPSRLVDrm
-    811652809U,	// VPSRLVDrr
-    812606199U,	// VPSRLVQYrm
-    811655927U,	// VPSRLVQYrr
-    812828951U,	// VPSRLVQZrm
-    811649303U,	// VPSRLVQZrr
-    811901687U,	// VPSRLVQrm
-    811655927U,	// VPSRLVQrr
-    811658238U,	// VPSRLWYri
-    811903998U,	// VPSRLWYrm
-    811658238U,	// VPSRLWYrr
-    811658238U,	// VPSRLWri
-    811903998U,	// VPSRLWrm
-    811658238U,	// VPSRLWrr
-    812601041U,	// VPSUBBYrm
-    811650769U,	// VPSUBBYrr
-    811896529U,	// VPSUBBrm
-    811650769U,	// VPSUBBrr
-    812601638U,	// VPSUBDYrm
-    811651366U,	// VPSUBDYrr
+    811651496U,	// VPSRLDri
+    811897256U,	// VPSRLDrm
+    811651496U,	// VPSRLDrr
+    811655359U,	// VPSRLQYri
+    811901119U,	// VPSRLQYrm
+    811655359U,	// VPSRLQYrr
+    147130309U,	// VPSRLQZmi
+    623200197U,	// VPSRLQZmik
+    811648965U,	// VPSRLQZri
+    839223237U,	// VPSRLQZrik
+    811894725U,	// VPSRLQZrm
+    840042437U,	// VPSRLQZrmk
+    811648965U,	// VPSRLQZrr
+    839223237U,	// VPSRLQZrrk
+    811655359U,	// VPSRLQri
+    811901119U,	// VPSRLQrm
+    811655359U,	// VPSRLQrr
+    812603037U,	// VPSRLVDYrm
+    811652765U,	// VPSRLVDYrr
+    812827992U,	// VPSRLVDZrm
+    811648344U,	// VPSRLVDZrr
+    811898525U,	// VPSRLVDrm
+    811652765U,	// VPSRLVDrr
+    812606155U,	// VPSRLVQYrm
+    811655883U,	// VPSRLVQYrr
+    812828907U,	// VPSRLVQZrm
+    811649259U,	// VPSRLVQZrr
+    811901643U,	// VPSRLVQrm
+    811655883U,	// VPSRLVQrr
+    811658194U,	// VPSRLWYri
+    811903954U,	// VPSRLWYrm
+    811658194U,	// VPSRLWYrr
+    811658194U,	// VPSRLWri
+    811903954U,	// VPSRLWrm
+    811658194U,	// VPSRLWrr
+    812600997U,	// VPSUBBYrm
+    811650725U,	// VPSUBBYrr
+    811896485U,	// VPSUBBrm
+    811650725U,	// VPSUBBrr
+    812601594U,	// VPSUBDYrm
+    811651322U,	// VPSUBDYrr
     812826833U,	// VPSUBDZrm
     352403665U,	// VPSUBDZrmb
     3037839569U,	// VPSUBDZrmbk
-    840106193U,	// VPSUBDZrmbkz
+    1427308753U,	// VPSUBDZrmbkz
     120799441U,	// VPSUBDZrmk
     840073425U,	// VPSUBDZrmkz
     811647185U,	// VPSUBDZrr
     814334161U,	// VPSUBDZrrk
     839221457U,	// VPSUBDZrrkz
-    811897126U,	// VPSUBDrm
-    811651366U,	// VPSUBDrr
-    812605060U,	// VPSUBQYrm
-    811654788U,	// VPSUBQYrr
-    812828310U,	// VPSUBQZrm
-    350324374U,	// VPSUBQZrmb
-    3035727510U,	// VPSUBQZrmbk
-    840140438U,	// VPSUBQZrmbkz
-    120800918U,	// VPSUBQZrmk
-    840074902U,	// VPSUBQZrmkz
-    811648662U,	// VPSUBQZrr
-    814335638U,	// VPSUBQZrrk
-    839222934U,	// VPSUBQZrrkz
-    811900548U,	// VPSUBQrm
-    811654788U,	// VPSUBQrr
-    812601288U,	// VPSUBSBYrm
-    811651016U,	// VPSUBSBYrr
-    811896776U,	// VPSUBSBrm
-    811651016U,	// VPSUBSBrr
-    812608769U,	// VPSUBSWYrm
-    811658497U,	// VPSUBSWYrr
-    811904257U,	// VPSUBSWrm
-    811658497U,	// VPSUBSWrr
-    812601336U,	// VPSUBUSBYrm
-    811651064U,	// VPSUBUSBYrr
-    811896824U,	// VPSUBUSBrm
-    811651064U,	// VPSUBUSBrr
-    812608891U,	// VPSUBUSWYrm
-    811658619U,	// VPSUBUSWYrr
-    811904379U,	// VPSUBUSWrm
-    811658619U,	// VPSUBUSWrr
-    812608126U,	// VPSUBWYrm
-    811657854U,	// VPSUBWYrr
-    811903614U,	// VPSUBWrm
-    811657854U,	// VPSUBWrr
+    811897082U,	// VPSUBDrm
+    811651322U,	// VPSUBDrr
+    812605016U,	// VPSUBQYrm
+    811654744U,	// VPSUBQYrr
+    812828288U,	// VPSUBQZrm
+    350324352U,	// VPSUBQZrmb
+    3035727488U,	// VPSUBQZrmbk
+    1425245824U,	// VPSUBQZrmbkz
+    120800896U,	// VPSUBQZrmk
+    840074880U,	// VPSUBQZrmkz
+    811648640U,	// VPSUBQZrr
+    814335616U,	// VPSUBQZrrk
+    839222912U,	// VPSUBQZrrkz
+    811900504U,	// VPSUBQrm
+    811654744U,	// VPSUBQrr
+    812601244U,	// VPSUBSBYrm
+    811650972U,	// VPSUBSBYrr
+    811896732U,	// VPSUBSBrm
+    811650972U,	// VPSUBSBrr
+    812608725U,	// VPSUBSWYrm
+    811658453U,	// VPSUBSWYrr
+    811904213U,	// VPSUBSWrm
+    811658453U,	// VPSUBSWrr
+    812601292U,	// VPSUBUSBYrm
+    811651020U,	// VPSUBUSBYrr
+    811896780U,	// VPSUBUSBrm
+    811651020U,	// VPSUBUSBrr
+    812608847U,	// VPSUBUSWYrm
+    811658575U,	// VPSUBUSWYrr
+    811904335U,	// VPSUBUSWrm
+    811658575U,	// VPSUBUSWrr
+    812608082U,	// VPSUBWYrm
+    811657810U,	// VPSUBWYrr
+    811903570U,	// VPSUBWrm
+    811657810U,	// VPSUBWrr
     812532078U,	// VPTESTMDZrm
     811647342U,	// VPTESTMDZrr
-    812533765U,	// VPTESTMQZrm
-    811649029U,	// VPTESTMQZrr
+    812533743U,	// VPTESTMQZrm
+    811649007U,	// VPTESTMQZrr
     812532057U,	// VPTESTNMDZrm
     811647321U,	// VPTESTNMDZrr
-    812533744U,	// VPTESTNMQZrm
-    811649008U,	// VPTESTNMQZrr
-    1026433U,	// VPTESTYrm
-    8546689U,	// VPTESTYrr
-    584065U,	// VPTESTrm
-    8546689U,	// VPTESTrr
-    812608074U,	// VPUNPCKHBWYrm
-    811657802U,	// VPUNPCKHBWYrr
-    811903562U,	// VPUNPCKHBWrm
-    811657802U,	// VPUNPCKHBWrr
-    812605238U,	// VPUNPCKHDQYrm
-    811654966U,	// VPUNPCKHDQYrr
-    812828402U,	// VPUNPCKHDQZrm
-    811648754U,	// VPUNPCKHDQZrr
-    811900726U,	// VPUNPCKHDQrm
-    811654966U,	// VPUNPCKHDQrr
-    812605305U,	// VPUNPCKHQDQYrm
-    811655033U,	// VPUNPCKHQDQYrr
-    812828455U,	// VPUNPCKHQDQZrm
-    811648807U,	// VPUNPCKHQDQZrr
-    811900793U,	// VPUNPCKHQDQrm
-    811655033U,	// VPUNPCKHQDQrr
-    812603139U,	// VPUNPCKHWDYrm
-    811652867U,	// VPUNPCKHWDYrr
-    811898627U,	// VPUNPCKHWDrm
-    811652867U,	// VPUNPCKHWDrr
-    812608086U,	// VPUNPCKLBWYrm
-    811657814U,	// VPUNPCKLBWYrr
-    811903574U,	// VPUNPCKLBWrm
-    811657814U,	// VPUNPCKLBWrr
-    812605257U,	// VPUNPCKLDQYrm
-    811654985U,	// VPUNPCKLDQYrr
-    812828415U,	// VPUNPCKLDQZrm
-    811648767U,	// VPUNPCKLDQZrr
-    811900745U,	// VPUNPCKLDQrm
-    811654985U,	// VPUNPCKLDQrr
-    812605318U,	// VPUNPCKLQDQYrm
-    811655046U,	// VPUNPCKLQDQYrr
-    812828469U,	// VPUNPCKLQDQZrm
-    811648821U,	// VPUNPCKLQDQZrr
-    811900806U,	// VPUNPCKLQDQrm
-    811655046U,	// VPUNPCKLQDQrr
-    812603151U,	// VPUNPCKLWDYrm
-    811652879U,	// VPUNPCKLWDYrr
-    811898639U,	// VPUNPCKLWDrm
-    811652879U,	// VPUNPCKLWDrr
-    812827733U,	// VPXORDZrm
-    352404565U,	// VPXORDZrmb
-    3037840469U,	// VPXORDZrmbk
-    840107093U,	// VPXORDZrmbkz
-    120800341U,	// VPXORDZrmk
-    840074325U,	// VPXORDZrmkz
-    811648085U,	// VPXORDZrr
-    814335061U,	// VPXORDZrrk
-    839222357U,	// VPXORDZrrkz
-    812828787U,	// VPXORQZrm
-    350324851U,	// VPXORQZrmb
-    3035727987U,	// VPXORQZrmbk
-    840140915U,	// VPXORQZrmbkz
-    120801395U,	// VPXORQZrmk
-    840075379U,	// VPXORQZrmkz
-    811649139U,	// VPXORQZrr
-    814336115U,	// VPXORQZrrk
-    839223411U,	// VPXORQZrrkz
-    812606399U,	// VPXORYrm
-    811656127U,	// VPXORYrr
-    811901887U,	// VPXORrm
-    811656127U,	// VPXORrr
+    812533722U,	// VPTESTNMQZrm
+    811648986U,	// VPTESTNMQZrr
+    1026389U,	// VPTESTYrm
+    8661333U,	// VPTESTYrr
+    584021U,	// VPTESTrm
+    8661333U,	// VPTESTrr
+    812608030U,	// VPUNPCKHBWYrm
+    811657758U,	// VPUNPCKHBWYrr
+    811903518U,	// VPUNPCKHBWrm
+    811657758U,	// VPUNPCKHBWrr
+    812605194U,	// VPUNPCKHDQYrm
+    811654922U,	// VPUNPCKHDQYrr
+    812828380U,	// VPUNPCKHDQZrm
+    811648732U,	// VPUNPCKHDQZrr
+    811900682U,	// VPUNPCKHDQrm
+    811654922U,	// VPUNPCKHDQrr
+    812605261U,	// VPUNPCKHQDQYrm
+    811654989U,	// VPUNPCKHQDQYrr
+    812828433U,	// VPUNPCKHQDQZrm
+    811648785U,	// VPUNPCKHQDQZrr
+    811900749U,	// VPUNPCKHQDQrm
+    811654989U,	// VPUNPCKHQDQrr
+    812603095U,	// VPUNPCKHWDYrm
+    811652823U,	// VPUNPCKHWDYrr
+    811898583U,	// VPUNPCKHWDrm
+    811652823U,	// VPUNPCKHWDrr
+    812608042U,	// VPUNPCKLBWYrm
+    811657770U,	// VPUNPCKLBWYrr
+    811903530U,	// VPUNPCKLBWrm
+    811657770U,	// VPUNPCKLBWrr
+    812605213U,	// VPUNPCKLDQYrm
+    811654941U,	// VPUNPCKLDQYrr
+    812828393U,	// VPUNPCKLDQZrm
+    811648745U,	// VPUNPCKLDQZrr
+    811900701U,	// VPUNPCKLDQrm
+    811654941U,	// VPUNPCKLDQrr
+    812605274U,	// VPUNPCKLQDQYrm
+    811655002U,	// VPUNPCKLQDQYrr
+    812828447U,	// VPUNPCKLQDQZrm
+    811648799U,	// VPUNPCKLQDQZrr
+    811900762U,	// VPUNPCKLQDQrm
+    811655002U,	// VPUNPCKLQDQrr
+    812603107U,	// VPUNPCKLWDYrm
+    811652835U,	// VPUNPCKLWDYrr
+    811898595U,	// VPUNPCKLWDrm
+    811652835U,	// VPUNPCKLWDrr
+    812827722U,	// VPXORDZrm
+    352404554U,	// VPXORDZrmb
+    3037840458U,	// VPXORDZrmbk
+    1427309642U,	// VPXORDZrmbkz
+    120800330U,	// VPXORDZrmk
+    840074314U,	// VPXORDZrmkz
+    811648074U,	// VPXORDZrr
+    814335050U,	// VPXORDZrrk
+    839222346U,	// VPXORDZrrkz
+    812828754U,	// VPXORQZrm
+    350324818U,	// VPXORQZrmb
+    3035727954U,	// VPXORQZrmbk
+    1425246290U,	// VPXORQZrmbkz
+    120801362U,	// VPXORQZrmk
+    840075346U,	// VPXORQZrmkz
+    811649106U,	// VPXORQZrr
+    814336082U,	// VPXORQZrrk
+    839223378U,	// VPXORQZrrkz
+    812606355U,	// VPXORYrm
+    811656083U,	// VPXORYrr
+    811901843U,	// VPXORrm
+    811656083U,	// VPXORrr
     1081995U,	// VRCP14PDZm
-    8536715U,	// VRCP14PDZr
-    1083958U,	// VRCP14PSZm
-    8538678U,	// VRCP14PSZr
-    283313304U,	// VRCP14SDrm
-    811648152U,	// VRCP14SDrr
-    283331539U,	// VRCP14SSrm
-    811650003U,	// VRCP14SSrr
+    8651403U,	// VRCP14PDZr
+    1083914U,	// VRCP14PSZm
+    8653322U,	// VRCP14PSZr
+    283264141U,	// VRCP14SDrm
+    811648141U,	// VRCP14SDrr
+    283282343U,	// VRCP14SSrm
+    811649959U,	// VRCP14SSrr
     1082019U,	// VRCP28PDZm
-    8536739U,	// VRCP28PDZr
-    8548786U,	// VRCP28PDZrb
-    1083982U,	// VRCP28PSZm
-    8538702U,	// VRCP28PSZr
-    8548862U,	// VRCP28PSZrb
-    283313328U,	// VRCP28SDrm
-    811648176U,	// VRCP28SDrr
-    811659736U,	// VRCP28SDrrb
-    283331563U,	// VRCP28SSrm
-    811650027U,	// VRCP28SSrr
-    811659812U,	// VRCP28SSrrb
-    1074870U,	// VRCPPSYm
-    1074870U,	// VRCPPSYm_Int
-    8545974U,	// VRCPPSYr
-    8545974U,	// VRCPPSYr_Int
-    583350U,	// VRCPPSm
-    583350U,	// VRCPPSm_Int
-    8545974U,	// VRCPPSr
-    8545974U,	// VRCPPSr_Int
-    283338924U,	// VRCPSSm
-    283338924U,	// VRCPSSm_Int
-    811657388U,	// VRCPSSr
-    147129130U,	// VRNDSCALEPDZm
+    8651427U,	// VRCP28PDZr
+    8663430U,	// VRCP28PDZrb
+    1083938U,	// VRCP28PSZm
+    8653346U,	// VRCP28PSZr
+    8663506U,	// VRCP28PSZrb
+    283264165U,	// VRCP28SDrm
+    811648165U,	// VRCP28SDrr
+    811659692U,	// VRCP28SDrrb
+    283282367U,	// VRCP28SSrm
+    811649983U,	// VRCP28SSrr
+    811659768U,	// VRCP28SSrrb
+    1074826U,	// VRCPPSYm
+    1074826U,	// VRCPPSYm_Int
+    8660618U,	// VRCPPSYr
+    8660618U,	// VRCPPSYr_Int
+    583306U,	// VRCPPSm
+    583306U,	// VRCPPSm_Int
+    8660618U,	// VRCPPSr
+    8660618U,	// VRCPPSr_Int
+    283289728U,	// VRCPSSm
+    283289728U,	// VRCPSSm_Int
+    811657344U,	// VRCPSSr
+    151323434U,	// VRNDSCALEPDZm
     811647786U,	// VRNDSCALEPDZr
-    147131093U,	// VRNDSCALEPSZm
-    811649749U,	// VRNDSCALEPSZr
-    283313361U,	// VRNDSCALESDm
-    811648209U,	// VRNDSCALESDr
-    283331587U,	// VRNDSCALESSm
-    811650051U,	// VRNDSCALESSr
-    77927374U,	// VROUNDPDm
-    811652046U,	// VROUNDPDr
-    77932050U,	// VROUNDPSm
-    811656722U,	// VROUNDPSr
-    312825323U,	// VROUNDSDm
-    839226859U,	// VROUNDSDr
-    839226859U,	// VROUNDSDr_Int
-    317024383U,	// VROUNDSSm
-    839231615U,	// VROUNDSSr
-    839231615U,	// VROUNDSSr_Int
-    140841934U,	// VROUNDYPDm
-    811652046U,	// VROUNDYPDr
-    140846610U,	// VROUNDYPSm
-    811656722U,	// VROUNDYPSr
+    151325353U,	// VRNDSCALEPSZm
+    811649705U,	// VRNDSCALEPSZr
+    283264198U,	// VRNDSCALESDm
+    811648198U,	// VRNDSCALESDr
+    283282391U,	// VRNDSCALESSm
+    811650007U,	// VRNDSCALESSr
+    77927330U,	// VROUNDPDm
+    811652002U,	// VROUNDPDr
+    77932006U,	// VROUNDPSm
+    811656678U,	// VROUNDPSr
+    312825279U,	// VROUNDSDm
+    839226815U,	// VROUNDSDr
+    839226815U,	// VROUNDSDr_Int
+    317024339U,	// VROUNDSSm
+    839231571U,	// VROUNDSSr
+    839231571U,	// VROUNDSSr_Int
+    145036194U,	// VROUNDYPDm
+    811652002U,	// VROUNDYPDr
+    145040870U,	// VROUNDYPSm
+    811656678U,	// VROUNDYPSr
     1082006U,	// VRSQRT14PDZm
-    8536726U,	// VRSQRT14PDZr
-    1083969U,	// VRSQRT14PSZm
-    8538689U,	// VRSQRT14PSZr
-    283313315U,	// VRSQRT14SDrm
-    811648163U,	// VRSQRT14SDrr
-    283331550U,	// VRSQRT14SSrm
-    811650014U,	// VRSQRT14SSrr
+    8651414U,	// VRSQRT14PDZr
+    1083925U,	// VRSQRT14PSZm
+    8653333U,	// VRSQRT14PSZr
+    283264152U,	// VRSQRT14SDrm
+    811648152U,	// VRSQRT14SDrr
+    283282354U,	// VRSQRT14SSrm
+    811649970U,	// VRSQRT14SSrr
     1082030U,	// VRSQRT28PDZm
-    8536750U,	// VRSQRT28PDZr
-    8548804U,	// VRSQRT28PDZrb
-    1083993U,	// VRSQRT28PSZm
-    8538713U,	// VRSQRT28PSZr
-    8548880U,	// VRSQRT28PSZrb
-    283313339U,	// VRSQRT28SDrm
-    811648187U,	// VRSQRT28SDrr
-    811659754U,	// VRSQRT28SDrrb
-    283331574U,	// VRSQRT28SSrm
-    811650038U,	// VRSQRT28SSrr
-    811659830U,	// VRSQRT28SSrrb
-    1074953U,	// VRSQRTPSYm
-    1074953U,	// VRSQRTPSYm_Int
-    8546057U,	// VRSQRTPSYr
-    8546057U,	// VRSQRTPSYr_Int
-    583433U,	// VRSQRTPSm
-    583433U,	// VRSQRTPSm_Int
-    8546057U,	// VRSQRTPSr
-    8546057U,	// VRSQRTPSr_Int
-    283338949U,	// VRSQRTSSm
-    283338949U,	// VRSQRTSSm_Int
-    811657413U,	// VRSQRTSSr
+    8651438U,	// VRSQRT28PDZr
+    8663448U,	// VRSQRT28PDZrb
+    1083949U,	// VRSQRT28PSZm
+    8653357U,	// VRSQRT28PSZr
+    8663524U,	// VRSQRT28PSZrb
+    283264176U,	// VRSQRT28SDrm
+    811648176U,	// VRSQRT28SDrr
+    811659710U,	// VRSQRT28SDrrb
+    283282378U,	// VRSQRT28SSrm
+    811649994U,	// VRSQRT28SSrr
+    811659786U,	// VRSQRT28SSrrb
+    1074909U,	// VRSQRTPSYm
+    1074909U,	// VRSQRTPSYm_Int
+    8660701U,	// VRSQRTPSYr
+    8660701U,	// VRSQRTPSYr_Int
+    583389U,	// VRSQRTPSm
+    583389U,	// VRSQRTPSm_Int
+    8660701U,	// VRSQRTPSr
+    8660701U,	// VRSQRTPSr_Int
+    283289753U,	// VRSQRTSSm
+    283289753U,	// VRSQRTSSm_Int
+    811657369U,	// VRSQRTSSr
     835011356U,	// VSCATTERDPDZmr
-    832916167U,	// VSCATTERDPSZmr
-    109183700U,	// VSCATTERPF0DPDm
-    109185663U,	// VSCATTERPF0DPSm
-    109216655U,	// VSCATTERPF0QPDm
-    109218618U,	// VSCATTERPF0QPSm
-    109183733U,	// VSCATTERPF1DPDm
-    109185696U,	// VSCATTERPF1DPSm
-    109216688U,	// VSCATTERPF1QPDm
-    109218651U,	// VSCATTERPF1QPSm
+    832916123U,	// VSCATTERDPSZmr
+    109298388U,	// VSCATTERPF0DPDm
+    109300307U,	// VSCATTERPF0DPSm
+    109331343U,	// VSCATTERPF0QPDm
+    109333262U,	// VSCATTERPF0QPSm
+    109298421U,	// VSCATTERPF1DPDm
+    109300340U,	// VSCATTERPF1DPSm
+    109331376U,	// VSCATTERPF1QPDm
+    109333295U,	// VSCATTERPF1QPSm
     835011534U,	// VSCATTERQPDZmr
-    835013497U,	// VSCATTERQPSZmr
-    90526692U,	// VSHUFPDYrmi
-    839226340U,	// VSHUFPDYrri
+    835013453U,	// VSCATTERQPSZmr
+    90526648U,	// VSHUFPDYrmi
+    839226296U,	// VSHUFPDYrri
     94716728U,	// VSHUFPDZrmi
     839222072U,	// VSHUFPDZrri
-    300241892U,	// VSHUFPDrmi
-    839226340U,	// VSHUFPDrri
-    90531368U,	// VSHUFPSYrmi
-    839231016U,	// VSHUFPSYrri
-    94718691U,	// VSHUFPSZrmi
-    839224035U,	// VSHUFPSZrri
-    300246568U,	// VSHUFPSrmi
-    839231016U,	// VSHUFPSrri
-    1070217U,	// VSQRTPDYm
-    8541321U,	// VSQRTPDYr
-    1086601U,	// VSQRTPDZrm
-    8541321U,	// VSQRTPDZrr
-    578697U,	// VSQRTPDm
-    8541321U,	// VSQRTPDr
-    1074963U,	// VSQRTPSYm
-    8546067U,	// VSQRTPSYr
-    1091347U,	// VSQRTPSZrm
-    8546067U,	// VSQRTPSZrr
-    583443U,	// VSQRTPSm
-    8546067U,	// VSQRTPSr
-    283317810U,	// VSQRTSDZm
-    283317810U,	// VSQRTSDZm_Int
-    811652658U,	// VSQRTSDZr
-    811652658U,	// VSQRTSDZr_Int
-    283317810U,	// VSQRTSDm
-    283317810U,	// VSQRTSDm_Int
-    811652658U,	// VSQRTSDr
-    283338959U,	// VSQRTSSZm
-    283338959U,	// VSQRTSSZm_Int
-    811657423U,	// VSQRTSSZr
-    811657423U,	// VSQRTSSZr_Int
-    283338959U,	// VSQRTSSm
-    283338959U,	// VSQRTSSm_Int
-    811657423U,	// VSQRTSSr
-    287702U,	// VSTMXCSR
-    812520321U,	// VSUBPDYrm
-    811651969U,	// VSUBPDYrr
+    300241848U,	// VSHUFPDrmi
+    839226296U,	// VSHUFPDrri
+    90531324U,	// VSHUFPSYrmi
+    839230972U,	// VSHUFPSYrri
+    94718647U,	// VSHUFPSZrmi
+    839223991U,	// VSHUFPSZrri
+    300246524U,	// VSHUFPSrmi
+    839230972U,	// VSHUFPSrri
+    1070173U,	// VSQRTPDYm
+    8655965U,	// VSQRTPDYr
+    1086557U,	// VSQRTPDZrm
+    8655965U,	// VSQRTPDZrr
+    578653U,	// VSQRTPDm
+    8655965U,	// VSQRTPDr
+    1074919U,	// VSQRTPSYm
+    8660711U,	// VSQRTPSYr
+    1091303U,	// VSQRTPSZrm
+    8660711U,	// VSQRTPSZrr
+    583399U,	// VSQRTPSm
+    8660711U,	// VSQRTPSr
+    283268614U,	// VSQRTSDZm
+    283268614U,	// VSQRTSDZm_Int
+    811652614U,	// VSQRTSDZr
+    811652614U,	// VSQRTSDZr_Int
+    283268614U,	// VSQRTSDm
+    283268614U,	// VSQRTSDm_Int
+    811652614U,	// VSQRTSDr
+    283289763U,	// VSQRTSSZm
+    283289763U,	// VSQRTSSZm_Int
+    811657379U,	// VSQRTSSZr
+    811657379U,	// VSQRTSSZr_Int
+    283289763U,	// VSQRTSSm
+    283289763U,	// VSQRTSSm_Int
+    811657379U,	// VSQRTSSr
+    238506U,	// VSTMXCSR
+    812520277U,	// VSUBPDYrm
+    811651925U,	// VSUBPDYrr
     812532411U,	// VSUBPDZrm
-    350421691U,	// VSUBPDZrmb
+    350372539U,	// VSUBPDZrmb
     1424917179U,	// VSUBPDZrmbk
     1424917179U,	// VSUBPDZrmbkz
-    839832449U,	// VSUBPDZrmk
-    839832449U,	// VSUBPDZrmkz
+    839832405U,	// VSUBPDZrmk
+    839832405U,	// VSUBPDZrmkz
     811647675U,	// VSUBPDZrr
     839221947U,	// VSUBPDZrrk
     839221947U,	// VSUBPDZrrkz
-    811783041U,	// VSUBPDrm
-    811651969U,	// VSUBPDrr
-    812524997U,	// VSUBPSYrm
-    811656645U,	// VSUBPSYrr
-    812534374U,	// VSUBPSZrm
-    352537190U,	// VSUBPSZrmb
-    1427049062U,	// VSUBPSZrmbk
-    1427049062U,	// VSUBPSZrmbkz
-    839837125U,	// VSUBPSZrmk
-    839837125U,	// VSUBPSZrmkz
-    811649638U,	// VSUBPSZrr
-    839223910U,	// VSUBPSZrrk
-    839223910U,	// VSUBPSZrrkz
-    811787717U,	// VSUBPSrm
-    811656645U,	// VSUBPSrr
-    283317702U,	// VSUBSDZrm
-    811652550U,	// VSUBSDZrr
-    283317702U,	// VSUBSDrm
-    283317702U,	// VSUBSDrm_Int
-    811652550U,	// VSUBSDrr
-    811652550U,	// VSUBSDrr_Int
-    283338842U,	// VSUBSSZrm
-    811657306U,	// VSUBSSZrr
-    283338842U,	// VSUBSSrm
-    283338842U,	// VSUBSSrm_Int
-    811657306U,	// VSUBSSrr
-    811657306U,	// VSUBSSrr_Int
-    1070226U,	// VTESTPDYrm
-    8541330U,	// VTESTPDYrr
-    578706U,	// VTESTPDrm
-    8541330U,	// VTESTPDrr
-    1074972U,	// VTESTPSYrm
-    8546076U,	// VTESTPSYrr
-    583452U,	// VTESTPSrm
-    8546076U,	// VTESTPSrr
-    595445U,	// VUCOMISDZrm
-    8541685U,	// VUCOMISDZrr
-    595445U,	// VUCOMISDrm
-    8541685U,	// VUCOMISDrr
-    616585U,	// VUCOMISSZrm
-    8546441U,	// VUCOMISSZrr
-    616585U,	// VUCOMISSrm
-    8546441U,	// VUCOMISSrr
-    812520429U,	// VUNPCKHPDYrm
-    811652077U,	// VUNPCKHPDYrr
-    812536813U,	// VUNPCKHPDZrm
-    811652077U,	// VUNPCKHPDZrr
-    811783149U,	// VUNPCKHPDrm
-    811652077U,	// VUNPCKHPDrr
-    812525105U,	// VUNPCKHPSYrm
-    811656753U,	// VUNPCKHPSYrr
-    812541489U,	// VUNPCKHPSZrm
-    811656753U,	// VUNPCKHPSZrr
-    811787825U,	// VUNPCKHPSrm
-    811656753U,	// VUNPCKHPSrr
-    812520471U,	// VUNPCKLPDYrm
-    811652119U,	// VUNPCKLPDYrr
-    812536855U,	// VUNPCKLPDZrm
-    811652119U,	// VUNPCKLPDZrr
-    811783191U,	// VUNPCKLPDrm
-    811652119U,	// VUNPCKLPDrr
-    812525167U,	// VUNPCKLPSYrm
-    811656815U,	// VUNPCKLPSYrr
-    812541551U,	// VUNPCKLPSZrm
-    811656815U,	// VUNPCKLPSZrr
-    811787887U,	// VUNPCKLPSrm
-    811656815U,	// VUNPCKLPSrr
-    812520567U,	// VXORPDYrm
-    811652215U,	// VXORPDYrr
-    811783287U,	// VXORPDrm
-    811652215U,	// VXORPDrr
-    812525280U,	// VXORPSYrm
-    811656928U,	// VXORPSYrr
-    811788000U,	// VXORPSrm
-    811656928U,	// VXORPSrr
-    14256U,	// VZEROALL
-    14529U,	// VZEROUPPER
+    811733845U,	// VSUBPDrm
+    811651925U,	// VSUBPDrr
+    812524953U,	// VSUBPSYrm
+    811656601U,	// VSUBPSYrr
+    812534330U,	// VSUBPSZrm
+    352487994U,	// VSUBPSZrmb
+    1427049018U,	// VSUBPSZrmbk
+    1427049018U,	// VSUBPSZrmbkz
+    839837081U,	// VSUBPSZrmk
+    839837081U,	// VSUBPSZrmkz
+    811649594U,	// VSUBPSZrr
+    839223866U,	// VSUBPSZrrk
+    839223866U,	// VSUBPSZrrkz
+    811738521U,	// VSUBPSrm
+    811656601U,	// VSUBPSrr
+    283268506U,	// VSUBSDZrm
+    811652506U,	// VSUBSDZrr
+    283268506U,	// VSUBSDrm
+    283268506U,	// VSUBSDrm_Int
+    811652506U,	// VSUBSDrr
+    811652506U,	// VSUBSDrr_Int
+    283289646U,	// VSUBSSZrm
+    811657262U,	// VSUBSSZrr
+    283289646U,	// VSUBSSrm
+    283289646U,	// VSUBSSrm_Int
+    811657262U,	// VSUBSSrr
+    811657262U,	// VSUBSSrr_Int
+    1070182U,	// VTESTPDYrm
+    8655974U,	// VTESTPDYrr
+    578662U,	// VTESTPDrm
+    8655974U,	// VTESTPDrr
+    1074928U,	// VTESTPSYrm
+    8660720U,	// VTESTPSYrr
+    583408U,	// VTESTPSrm
+    8660720U,	// VTESTPSrr
+    595401U,	// VUCOMISDZrm
+    8656329U,	// VUCOMISDZrr
+    595401U,	// VUCOMISDrm
+    8656329U,	// VUCOMISDrr
+    616541U,	// VUCOMISSZrm
+    8661085U,	// VUCOMISSZrr
+    616541U,	// VUCOMISSrm
+    8661085U,	// VUCOMISSrr
+    812520385U,	// VUNPCKHPDYrm
+    811652033U,	// VUNPCKHPDYrr
+    812536769U,	// VUNPCKHPDZrm
+    811652033U,	// VUNPCKHPDZrr
+    811733953U,	// VUNPCKHPDrm
+    811652033U,	// VUNPCKHPDrr
+    812525061U,	// VUNPCKHPSYrm
+    811656709U,	// VUNPCKHPSYrr
+    812541445U,	// VUNPCKHPSZrm
+    811656709U,	// VUNPCKHPSZrr
+    811738629U,	// VUNPCKHPSrm
+    811656709U,	// VUNPCKHPSrr
+    812520427U,	// VUNPCKLPDYrm
+    811652075U,	// VUNPCKLPDYrr
+    812536811U,	// VUNPCKLPDZrm
+    811652075U,	// VUNPCKLPDZrr
+    811733995U,	// VUNPCKLPDrm
+    811652075U,	// VUNPCKLPDrr
+    812525123U,	// VUNPCKLPSYrm
+    811656771U,	// VUNPCKLPSYrr
+    812541507U,	// VUNPCKLPSZrm
+    811656771U,	// VUNPCKLPSZrr
+    811738691U,	// VUNPCKLPSrm
+    811656771U,	// VUNPCKLPSrr
+    812520523U,	// VXORPDYrm
+    811652171U,	// VXORPDYrr
+    811734091U,	// VXORPDrm
+    811652171U,	// VXORPDrr
+    812525236U,	// VXORPSYrm
+    811656884U,	// VXORPSYrr
+    811738804U,	// VXORPSrm
+    811656884U,	// VXORPSrr
+    14212U,	// VZEROALL
+    14485U,	// VZEROUPPER
     0U,	// V_SET0
     0U,	// V_SETALLONES
-    418006U,	// W64ALLOCA
-    14943U,	// WAIT
-    13999U,	// WBINVD
-    14367U,	// WIN_ALLOCA
-    14174U,	// WIN_FTOL_32
-    14174U,	// WIN_FTOL_64
-    23030U,	// WRFSBASE
-    24641U,	// WRFSBASE64
-    23052U,	// WRGSBASE
-    24663U,	// WRGSBASE64
-    14563U,	// WRMSR
-    26966U,	// XABORT
-    14056U,	// XACQUIRE_PREFIX
-    4238052U,	// XADD16rm
-    8547044U,	// XADD16rr
-    12622193U,	// XADD32rm
-    8542577U,	// XADD32rr
-    18915100U,	// XADD64rm
-    8544028U,	// XADD64rr
-    23105267U,	// XADD8rm
-    8539891U,	// XADD8rr
-    13768U,	// XBEGIN
-    417134U,	// XBEGIN_4
-    2124670U,	// XCHG16ar
-    54684542U,	// XCHG16rm
-    33713022U,	// XCHG16rr
-    10508856U,	// XCHG32ar
-    10508856U,	// XCHG32ar64
-    56777272U,	// XCHG32rm
-    33708600U,	// XCHG32rr
-    16801932U,	// XCHG64ar
-    58876044U,	// XCHG64rm
-    33710220U,	// XCHG64rr
-    60968722U,	// XCHG8rm
-    33705746U,	// XCHG8rr
-    22568U,	// XCH_F
-    13917U,	// XCRYPTCBC
-    13861U,	// XCRYPTCFB
-    14569U,	// XCRYPTCTR
-    13851U,	// XCRYPTECB
-    13871U,	// XCRYPTOFB
-    13980U,	// XEND
-    14990U,	// XGETBV
-    13901U,	// XLAT
-    2124963U,	// XOR16i16
-    4238499U,	// XOR16mi
-    4238499U,	// XOR16mi8
-    4238499U,	// XOR16mr
-    6352035U,	// XOR16ri
-    6352035U,	// XOR16ri8
-    6368419U,	// XOR16rm
-    6352035U,	// XOR16rr
-    8449187U,	// XOR16rr_REV
-    10509238U,	// XOR32i32
-    12622774U,	// XOR32mi
-    12622774U,	// XOR32mi8
-    12622774U,	// XOR32mr
-    6347702U,	// XOR32ri
-    6347702U,	// XOR32ri8
-    283204534U,	// XOR32rm
-    6347702U,	// XOR32rr
-    8444854U,	// XOR32rr_REV
-    16802212U,	// XOR64i32
-    18915748U,	// XOR64mi32
-    18915748U,	// XOR64mi8
-    18915748U,	// XOR64mr
-    6349220U,	// XOR64ri32
-    6349220U,	// XOR64ri8
-    283222436U,	// XOR64rm
-    6349220U,	// XOR64rr
-    8446372U,	// XOR64rr_REV
-    20991896U,	// XOR8i8
-    23105432U,	// XOR8mi
-    23105432U,	// XOR8mr
-    6344600U,	// XOR8ri
-    6344600U,	// XOR8ri8
-    118680U,	// XOR8rm
-    6344600U,	// XOR8rr
-    8441752U,	// XOR8rr_REV
-    8574072U,	// XORPDrm
-    8443000U,	// XORPDrr
-    8578785U,	// XORPSrm
-    8447713U,	// XORPSrr
-    14072U,	// XRELEASE_PREFIX
-    631735U,	// XRSTOR
-    631195U,	// XRSTOR64
-    628733U,	// XSAVE
-    630893U,	// XSAVE64
-    633157U,	// XSAVEOPT
-    631461U,	// XSAVEOPT64
-    14997U,	// XSETBV
-    13495U,	// XSHA1
-    13730U,	// XSHA256
-    14065U,	// XSTORE
-    14973U,	// XTEST
+    417962U,	// W64ALLOCA
+    14899U,	// WAIT
+    13955U,	// WBINVD
+    14323U,	// WIN_ALLOCA
+    14130U,	// WIN_FTOL_32
+    14130U,	// WIN_FTOL_64
+    22986U,	// WRFSBASE
+    24597U,	// WRFSBASE64
+    23008U,	// WRGSBASE
+    24619U,	// WRGSBASE64
+    14519U,	// WRMSR
+    26922U,	// XABORT
+    14012U,	// XACQUIRE_PREFIX
+    4238008U,	// XADD16rm
+    8661688U,	// XADD16rr
+    12622149U,	// XADD32rm
+    8657221U,	// XADD32rr
+    18915056U,	// XADD64rm
+    8658672U,	// XADD64rr
+    23105223U,	// XADD8rm
+    8654535U,	// XADD8rr
+    13724U,	// XBEGIN
+    417090U,	// XBEGIN_4
+    2124626U,	// XCHG16ar
+    54799186U,	// XCHG16rm
+    33827666U,	// XCHG16rr
+    10508812U,	// XCHG32ar
+    10508812U,	// XCHG32ar64
+    56891916U,	// XCHG32rm
+    33823244U,	// XCHG32rr
+    16801888U,	// XCHG64ar
+    58990688U,	// XCHG64rm
+    33824864U,	// XCHG64rr
+    61083366U,	// XCHG8rm
+    33820390U,	// XCHG8rr
+    22524U,	// XCH_F
+    13873U,	// XCRYPTCBC
+    13817U,	// XCRYPTCFB
+    14525U,	// XCRYPTCTR
+    13807U,	// XCRYPTECB
+    13827U,	// XCRYPTOFB
+    13936U,	// XEND
+    14946U,	// XGETBV
+    13857U,	// XLAT
+    2124919U,	// XOR16i16
+    4238455U,	// XOR16mi
+    4238455U,	// XOR16mi8
+    4238455U,	// XOR16mr
+    6351991U,	// XOR16ri
+    6351991U,	// XOR16ri8
+    6368375U,	// XOR16rm
+    6351991U,	// XOR16rr
+    8449143U,	// XOR16rr_REV
+    10509194U,	// XOR32i32
+    12622730U,	// XOR32mi
+    12622730U,	// XOR32mi8
+    12622730U,	// XOR32mr
+    6347658U,	// XOR32ri
+    6347658U,	// XOR32ri8
+    283204490U,	// XOR32rm
+    6347658U,	// XOR32rr
+    8444810U,	// XOR32rr_REV
+    16802168U,	// XOR64i32
+    18915704U,	// XOR64mi32
+    18915704U,	// XOR64mi8
+    18915704U,	// XOR64mr
+    6349176U,	// XOR64ri32
+    6349176U,	// XOR64ri8
+    283222392U,	// XOR64rm
+    6349176U,	// XOR64rr
+    8446328U,	// XOR64rr_REV
+    20991852U,	// XOR8i8
+    23105388U,	// XOR8mi
+    23105388U,	// XOR8mr
+    6344556U,	// XOR8ri
+    6344556U,	// XOR8ri8
+    118636U,	// XOR8rm
+    6344556U,	// XOR8rr
+    8441708U,	// XOR8rr_REV
+    8524876U,	// XORPDrm
+    8442956U,	// XORPDrr
+    8529589U,	// XORPSrm
+    8447669U,	// XORPSrr
+    14028U,	// XRELEASE_PREFIX
+    631691U,	// XRSTOR
+    631151U,	// XRSTOR64
+    628689U,	// XSAVE
+    630849U,	// XSAVE64
+    633113U,	// XSAVEOPT
+    631417U,	// XSAVEOPT64
+    14953U,	// XSETBV
+    13451U,	// XSHA1
+    13686U,	// XSHA256
+    14021U,	// XSTORE
+    14929U,	// XTEST
     0U
   };
 
@@ -6744,7 +6882,6 @@
     0U,	// CRC32r64m8
     0U,	// CRC32r64r64
     0U,	// CRC32r64r8
-    0U,	// CS_PREFIX
     0U,	// CVTDQ2PDrm
     0U,	// CVTDQ2PDrr
     0U,	// CVTDQ2PSrm
@@ -6871,7 +7008,6 @@
     2U,	// DPPDrri
     0U,	// DPPSrmi
     2U,	// DPPSrri
-    0U,	// DS_PREFIX
     0U,	// EH_RETURN
     0U,	// EH_RETURN64
     0U,	// EH_SjLj_LongJmp32
@@ -6882,7 +7018,6 @@
     0U,	// ENCLS
     0U,	// ENCLU
     0U,	// ENTER
-    0U,	// ES_PREFIX
     0U,	// EXTRACTPSmr
     2U,	// EXTRACTPSrr
     0U,	// EXTRQ
@@ -6946,7 +7081,6 @@
     0U,	// FSETPM
     0U,	// FSINCOS
     0U,	// FSTENVm
-    0U,	// FS_PREFIX
     0U,	// FXAM
     0U,	// FXRSTOR
     0U,	// FXRSTOR64
@@ -6978,7 +7112,6 @@
     0U,	// FsXORPSrm
     0U,	// FsXORPSrr
     0U,	// GETSEC
-    0U,	// GS_PREFIX
     0U,	// HADDPDrm
     0U,	// HADDPDrr
     0U,	// HADDPSrm
@@ -8872,7 +9005,6 @@
     0U,	// SQRT_Fp32
     0U,	// SQRT_Fp64
     0U,	// SQRT_Fp80
-    0U,	// SS_PREFIX
     0U,	// STAC
     0U,	// STC
     0U,	// STD
@@ -9173,7 +9305,7 @@
     2U,	// VANDPSYrr
     2U,	// VANDPSrm
     2U,	// VANDPSrr
-    68U,	// VASTART_SAVE_XMM_REGS
+    836U,	// VASTART_SAVE_XMM_REGS
     50U,	// VBLENDMPDZrm
     50U,	// VBLENDMPDZrr
     50U,	// VBLENDMPSZrm
@@ -9490,9 +9622,13 @@
     2U,	// VFMADD213PDZm
     2U,	// VFMADD213PDZmb
     2U,	// VFMADD213PDZr
+    105U,	// VFMADD213PDZrk
+    89U,	// VFMADD213PDZrkz
     2U,	// VFMADD213PSZm
     2U,	// VFMADD213PSZmb
     2U,	// VFMADD213PSZr
+    105U,	// VFMADD213PSZrk
+    89U,	// VFMADD213PSZrkz
     20U,	// VFMADDPD4mr
     0U,	// VFMADDPD4mrY
     38U,	// VFMADDPD4rm
@@ -9570,9 +9706,13 @@
     2U,	// VFMADDSUB213PDZm
     2U,	// VFMADDSUB213PDZmb
     2U,	// VFMADDSUB213PDZr
+    105U,	// VFMADDSUB213PDZrk
+    89U,	// VFMADDSUB213PDZrkz
     2U,	// VFMADDSUB213PSZm
     2U,	// VFMADDSUB213PSZmb
     2U,	// VFMADDSUB213PSZr
+    105U,	// VFMADDSUB213PSZrk
+    89U,	// VFMADDSUB213PSZrkz
     20U,	// VFMADDSUBPD4mr
     0U,	// VFMADDSUBPD4mrY
     38U,	// VFMADDSUBPD4rm
@@ -9620,9 +9760,13 @@
     2U,	// VFMSUB213PDZm
     2U,	// VFMSUB213PDZmb
     2U,	// VFMSUB213PDZr
+    105U,	// VFMSUB213PDZrk
+    89U,	// VFMSUB213PDZrkz
     2U,	// VFMSUB213PSZm
     2U,	// VFMSUB213PSZmb
     2U,	// VFMSUB213PSZr
+    105U,	// VFMSUB213PSZrk
+    89U,	// VFMSUB213PSZrkz
     2U,	// VFMSUBADD132PDZm
     2U,	// VFMSUBADD132PDZmb
     2U,	// VFMSUBADD132PSZm
@@ -9630,9 +9774,13 @@
     2U,	// VFMSUBADD213PDZm
     2U,	// VFMSUBADD213PDZmb
     2U,	// VFMSUBADD213PDZr
+    105U,	// VFMSUBADD213PDZrk
+    89U,	// VFMSUBADD213PDZrkz
     2U,	// VFMSUBADD213PSZm
     2U,	// VFMSUBADD213PSZmb
     2U,	// VFMSUBADD213PSZr
+    105U,	// VFMSUBADD213PSZrk
+    89U,	// VFMSUBADD213PSZrkz
     20U,	// VFMSUBADDPD4mr
     0U,	// VFMSUBADDPD4mrY
     38U,	// VFMSUBADDPD4rm
@@ -9750,9 +9898,13 @@
     2U,	// VFNMADD213PDZm
     2U,	// VFNMADD213PDZmb
     2U,	// VFNMADD213PDZr
+    105U,	// VFNMADD213PDZrk
+    89U,	// VFNMADD213PDZrkz
     2U,	// VFNMADD213PSZm
     2U,	// VFNMADD213PSZmb
     2U,	// VFNMADD213PSZr
+    105U,	// VFNMADD213PSZrk
+    89U,	// VFNMADD213PSZrkz
     20U,	// VFNMADDPD4mr
     0U,	// VFNMADDPD4mrY
     38U,	// VFNMADDPD4rm
@@ -9830,9 +9982,13 @@
     2U,	// VFNMSUB213PDZm
     2U,	// VFNMSUB213PDZmb
     2U,	// VFNMSUB213PDZr
+    105U,	// VFNMSUB213PDZrk
+    89U,	// VFNMSUB213PDZrkz
     2U,	// VFNMSUB213PSZm
     2U,	// VFNMSUB213PSZmb
     2U,	// VFNMSUB213PSZr
+    105U,	// VFNMSUB213PSZrk
+    89U,	// VFNMSUB213PSZrkz
     20U,	// VFNMSUBPD4mr
     0U,	// VFNMSUBPD4mrY
     38U,	// VFNMSUBPD4rm
@@ -9932,10 +10088,10 @@
     2U,	// VFsXORPSrm
     2U,	// VFsXORPSrr
     0U,	// VGATHERDPDYrm
-    104U,	// VGATHERDPDZrm
+    122U,	// VGATHERDPDZrm
     0U,	// VGATHERDPDrm
     0U,	// VGATHERDPSYrm
-    104U,	// VGATHERDPSZrm
+    122U,	// VGATHERDPSZrm
     0U,	// VGATHERDPSrm
     0U,	// VGATHERPF0DPDm
     0U,	// VGATHERPF0DPSm
@@ -9946,10 +10102,10 @@
     0U,	// VGATHERPF1QPDm
     0U,	// VGATHERPF1QPSm
     0U,	// VGATHERQPDYrm
-    104U,	// VGATHERQPDZrm
+    122U,	// VGATHERQPDZrm
     0U,	// VGATHERQPDrm
     0U,	// VGATHERQPSYrm
-    104U,	// VGATHERQPSZrm
+    122U,	// VGATHERQPSZrm
     0U,	// VGATHERQPSrm
     2U,	// VHADDPDYrm
     2U,	// VHADDPDYrr
@@ -10113,36 +10269,36 @@
     0U,	// VMOVAPDYrr
     0U,	// VMOVAPDYrr_REV
     0U,	// VMOVAPDZ128mr
-    11U,	// VMOVAPDZ128mrk
+    13U,	// VMOVAPDZ128mrk
     0U,	// VMOVAPDZ128rm
-    125U,	// VMOVAPDZ128rmk
+    105U,	// VMOVAPDZ128rmk
     87U,	// VMOVAPDZ128rmkz
     0U,	// VMOVAPDZ128rr
     0U,	// VMOVAPDZ128rr_alt
-    125U,	// VMOVAPDZ128rrk
-    125U,	// VMOVAPDZ128rrk_alt
+    105U,	// VMOVAPDZ128rrk
+    105U,	// VMOVAPDZ128rrk_alt
     87U,	// VMOVAPDZ128rrkz
     87U,	// VMOVAPDZ128rrkz_alt
     0U,	// VMOVAPDZ256mr
-    11U,	// VMOVAPDZ256mrk
+    13U,	// VMOVAPDZ256mrk
     0U,	// VMOVAPDZ256rm
-    125U,	// VMOVAPDZ256rmk
+    105U,	// VMOVAPDZ256rmk
     87U,	// VMOVAPDZ256rmkz
     0U,	// VMOVAPDZ256rr
     0U,	// VMOVAPDZ256rr_alt
-    125U,	// VMOVAPDZ256rrk
-    125U,	// VMOVAPDZ256rrk_alt
+    105U,	// VMOVAPDZ256rrk
+    105U,	// VMOVAPDZ256rrk_alt
     87U,	// VMOVAPDZ256rrkz
     87U,	// VMOVAPDZ256rrkz_alt
     0U,	// VMOVAPDZmr
-    11U,	// VMOVAPDZmrk
+    13U,	// VMOVAPDZmrk
     0U,	// VMOVAPDZrm
-    125U,	// VMOVAPDZrmk
+    105U,	// VMOVAPDZrmk
     87U,	// VMOVAPDZrmkz
     0U,	// VMOVAPDZrr
     0U,	// VMOVAPDZrr_alt
-    125U,	// VMOVAPDZrrk
-    125U,	// VMOVAPDZrrk_alt
+    105U,	// VMOVAPDZrrk
+    105U,	// VMOVAPDZrrk_alt
     87U,	// VMOVAPDZrrkz
     87U,	// VMOVAPDZrrkz_alt
     0U,	// VMOVAPDmr
@@ -10154,36 +10310,36 @@
     0U,	// VMOVAPSYrr
     0U,	// VMOVAPSYrr_REV
     0U,	// VMOVAPSZ128mr
-    11U,	// VMOVAPSZ128mrk
+    13U,	// VMOVAPSZ128mrk
     0U,	// VMOVAPSZ128rm
-    125U,	// VMOVAPSZ128rmk
+    105U,	// VMOVAPSZ128rmk
     87U,	// VMOVAPSZ128rmkz
     0U,	// VMOVAPSZ128rr
     0U,	// VMOVAPSZ128rr_alt
-    125U,	// VMOVAPSZ128rrk
-    125U,	// VMOVAPSZ128rrk_alt
+    105U,	// VMOVAPSZ128rrk
+    105U,	// VMOVAPSZ128rrk_alt
     87U,	// VMOVAPSZ128rrkz
     87U,	// VMOVAPSZ128rrkz_alt
     0U,	// VMOVAPSZ256mr
-    11U,	// VMOVAPSZ256mrk
+    13U,	// VMOVAPSZ256mrk
     0U,	// VMOVAPSZ256rm
-    125U,	// VMOVAPSZ256rmk
+    105U,	// VMOVAPSZ256rmk
     87U,	// VMOVAPSZ256rmkz
     0U,	// VMOVAPSZ256rr
     0U,	// VMOVAPSZ256rr_alt
-    125U,	// VMOVAPSZ256rrk
-    125U,	// VMOVAPSZ256rrk_alt
+    105U,	// VMOVAPSZ256rrk
+    105U,	// VMOVAPSZ256rrk_alt
     87U,	// VMOVAPSZ256rrkz
     87U,	// VMOVAPSZ256rrkz_alt
     0U,	// VMOVAPSZmr
-    11U,	// VMOVAPSZmrk
+    13U,	// VMOVAPSZmrk
     0U,	// VMOVAPSZrm
-    125U,	// VMOVAPSZrmk
+    105U,	// VMOVAPSZrmk
     87U,	// VMOVAPSZrmkz
     0U,	// VMOVAPSZrr
     0U,	// VMOVAPSZrr_alt
-    125U,	// VMOVAPSZrrk
-    125U,	// VMOVAPSZrrk_alt
+    105U,	// VMOVAPSZrrk
+    105U,	// VMOVAPSZrrk_alt
     87U,	// VMOVAPSZrrkz
     87U,	// VMOVAPSZrrkz_alt
     0U,	// VMOVAPSmr
@@ -10205,69 +10361,69 @@
     0U,	// VMOVDI2SSrm
     0U,	// VMOVDI2SSrr
     0U,	// VMOVDQA32Z128mr
-    11U,	// VMOVDQA32Z128mrk
+    13U,	// VMOVDQA32Z128mrk
     0U,	// VMOVDQA32Z128rm
-    125U,	// VMOVDQA32Z128rmk
+    105U,	// VMOVDQA32Z128rmk
     87U,	// VMOVDQA32Z128rmkz
     0U,	// VMOVDQA32Z128rr
     0U,	// VMOVDQA32Z128rr_alt
-    125U,	// VMOVDQA32Z128rrk
-    125U,	// VMOVDQA32Z128rrk_alt
+    105U,	// VMOVDQA32Z128rrk
+    105U,	// VMOVDQA32Z128rrk_alt
     87U,	// VMOVDQA32Z128rrkz
     87U,	// VMOVDQA32Z128rrkz_alt
     0U,	// VMOVDQA32Z256mr
-    11U,	// VMOVDQA32Z256mrk
+    13U,	// VMOVDQA32Z256mrk
     0U,	// VMOVDQA32Z256rm
-    125U,	// VMOVDQA32Z256rmk
+    105U,	// VMOVDQA32Z256rmk
     87U,	// VMOVDQA32Z256rmkz
     0U,	// VMOVDQA32Z256rr
     0U,	// VMOVDQA32Z256rr_alt
-    125U,	// VMOVDQA32Z256rrk
-    125U,	// VMOVDQA32Z256rrk_alt
+    105U,	// VMOVDQA32Z256rrk
+    105U,	// VMOVDQA32Z256rrk_alt
     87U,	// VMOVDQA32Z256rrkz
     87U,	// VMOVDQA32Z256rrkz_alt
     0U,	// VMOVDQA32Zmr
-    11U,	// VMOVDQA32Zmrk
+    13U,	// VMOVDQA32Zmrk
     0U,	// VMOVDQA32Zrm
-    125U,	// VMOVDQA32Zrmk
+    105U,	// VMOVDQA32Zrmk
     87U,	// VMOVDQA32Zrmkz
     0U,	// VMOVDQA32Zrr
     0U,	// VMOVDQA32Zrr_alt
-    125U,	// VMOVDQA32Zrrk
-    125U,	// VMOVDQA32Zrrk_alt
+    105U,	// VMOVDQA32Zrrk
+    105U,	// VMOVDQA32Zrrk_alt
     87U,	// VMOVDQA32Zrrkz
     87U,	// VMOVDQA32Zrrkz_alt
     0U,	// VMOVDQA64Z128mr
-    11U,	// VMOVDQA64Z128mrk
+    13U,	// VMOVDQA64Z128mrk
     0U,	// VMOVDQA64Z128rm
-    125U,	// VMOVDQA64Z128rmk
+    105U,	// VMOVDQA64Z128rmk
     87U,	// VMOVDQA64Z128rmkz
     0U,	// VMOVDQA64Z128rr
     0U,	// VMOVDQA64Z128rr_alt
-    125U,	// VMOVDQA64Z128rrk
-    125U,	// VMOVDQA64Z128rrk_alt
+    105U,	// VMOVDQA64Z128rrk
+    105U,	// VMOVDQA64Z128rrk_alt
     87U,	// VMOVDQA64Z128rrkz
     87U,	// VMOVDQA64Z128rrkz_alt
     0U,	// VMOVDQA64Z256mr
-    11U,	// VMOVDQA64Z256mrk
+    13U,	// VMOVDQA64Z256mrk
     0U,	// VMOVDQA64Z256rm
-    125U,	// VMOVDQA64Z256rmk
+    105U,	// VMOVDQA64Z256rmk
     87U,	// VMOVDQA64Z256rmkz
     0U,	// VMOVDQA64Z256rr
     0U,	// VMOVDQA64Z256rr_alt
-    125U,	// VMOVDQA64Z256rrk
-    125U,	// VMOVDQA64Z256rrk_alt
+    105U,	// VMOVDQA64Z256rrk
+    105U,	// VMOVDQA64Z256rrk_alt
     87U,	// VMOVDQA64Z256rrkz
     87U,	// VMOVDQA64Z256rrkz_alt
     0U,	// VMOVDQA64Zmr
-    11U,	// VMOVDQA64Zmrk
+    13U,	// VMOVDQA64Zmrk
     0U,	// VMOVDQA64Zrm
-    125U,	// VMOVDQA64Zrmk
+    105U,	// VMOVDQA64Zrmk
     87U,	// VMOVDQA64Zrmkz
     0U,	// VMOVDQA64Zrr
     0U,	// VMOVDQA64Zrr_alt
-    125U,	// VMOVDQA64Zrrk
-    125U,	// VMOVDQA64Zrrk_alt
+    105U,	// VMOVDQA64Zrrk
+    105U,	// VMOVDQA64Zrrk_alt
     87U,	// VMOVDQA64Zrrkz
     87U,	// VMOVDQA64Zrrkz_alt
     0U,	// VMOVDQAYmr
@@ -10279,135 +10435,135 @@
     0U,	// VMOVDQArr
     0U,	// VMOVDQArr_REV
     0U,	// VMOVDQU16Z128mr
-    11U,	// VMOVDQU16Z128mrk
+    13U,	// VMOVDQU16Z128mrk
     0U,	// VMOVDQU16Z128rm
-    125U,	// VMOVDQU16Z128rmk
+    105U,	// VMOVDQU16Z128rmk
     87U,	// VMOVDQU16Z128rmkz
     0U,	// VMOVDQU16Z128rr
     0U,	// VMOVDQU16Z128rr_alt
-    125U,	// VMOVDQU16Z128rrk
-    125U,	// VMOVDQU16Z128rrk_alt
+    105U,	// VMOVDQU16Z128rrk
+    105U,	// VMOVDQU16Z128rrk_alt
     87U,	// VMOVDQU16Z128rrkz
     87U,	// VMOVDQU16Z128rrkz_alt
     0U,	// VMOVDQU16Z256mr
-    11U,	// VMOVDQU16Z256mrk
+    13U,	// VMOVDQU16Z256mrk
     0U,	// VMOVDQU16Z256rm
-    125U,	// VMOVDQU16Z256rmk
+    105U,	// VMOVDQU16Z256rmk
     87U,	// VMOVDQU16Z256rmkz
     0U,	// VMOVDQU16Z256rr
     0U,	// VMOVDQU16Z256rr_alt
-    125U,	// VMOVDQU16Z256rrk
-    125U,	// VMOVDQU16Z256rrk_alt
+    105U,	// VMOVDQU16Z256rrk
+    105U,	// VMOVDQU16Z256rrk_alt
     87U,	// VMOVDQU16Z256rrkz
     87U,	// VMOVDQU16Z256rrkz_alt
     0U,	// VMOVDQU16Zmr
-    11U,	// VMOVDQU16Zmrk
+    13U,	// VMOVDQU16Zmrk
     0U,	// VMOVDQU16Zrm
-    125U,	// VMOVDQU16Zrmk
+    105U,	// VMOVDQU16Zrmk
     87U,	// VMOVDQU16Zrmkz
     0U,	// VMOVDQU16Zrr
     0U,	// VMOVDQU16Zrr_alt
-    125U,	// VMOVDQU16Zrrk
-    125U,	// VMOVDQU16Zrrk_alt
+    105U,	// VMOVDQU16Zrrk
+    105U,	// VMOVDQU16Zrrk_alt
     87U,	// VMOVDQU16Zrrkz
     87U,	// VMOVDQU16Zrrkz_alt
     0U,	// VMOVDQU32Z128mr
-    11U,	// VMOVDQU32Z128mrk
+    13U,	// VMOVDQU32Z128mrk
     0U,	// VMOVDQU32Z128rm
-    125U,	// VMOVDQU32Z128rmk
+    105U,	// VMOVDQU32Z128rmk
     87U,	// VMOVDQU32Z128rmkz
     0U,	// VMOVDQU32Z128rr
     0U,	// VMOVDQU32Z128rr_alt
-    125U,	// VMOVDQU32Z128rrk
-    125U,	// VMOVDQU32Z128rrk_alt
+    105U,	// VMOVDQU32Z128rrk
+    105U,	// VMOVDQU32Z128rrk_alt
     87U,	// VMOVDQU32Z128rrkz
     87U,	// VMOVDQU32Z128rrkz_alt
     0U,	// VMOVDQU32Z256mr
-    11U,	// VMOVDQU32Z256mrk
+    13U,	// VMOVDQU32Z256mrk
     0U,	// VMOVDQU32Z256rm
-    125U,	// VMOVDQU32Z256rmk
+    105U,	// VMOVDQU32Z256rmk
     87U,	// VMOVDQU32Z256rmkz
     0U,	// VMOVDQU32Z256rr
     0U,	// VMOVDQU32Z256rr_alt
-    125U,	// VMOVDQU32Z256rrk
-    125U,	// VMOVDQU32Z256rrk_alt
+    105U,	// VMOVDQU32Z256rrk
+    105U,	// VMOVDQU32Z256rrk_alt
     87U,	// VMOVDQU32Z256rrkz
     87U,	// VMOVDQU32Z256rrkz_alt
     0U,	// VMOVDQU32Zmr
-    11U,	// VMOVDQU32Zmrk
+    13U,	// VMOVDQU32Zmrk
     0U,	// VMOVDQU32Zrm
-    125U,	// VMOVDQU32Zrmk
+    105U,	// VMOVDQU32Zrmk
     87U,	// VMOVDQU32Zrmkz
     0U,	// VMOVDQU32Zrr
     0U,	// VMOVDQU32Zrr_alt
-    125U,	// VMOVDQU32Zrrk
-    125U,	// VMOVDQU32Zrrk_alt
+    105U,	// VMOVDQU32Zrrk
+    105U,	// VMOVDQU32Zrrk_alt
     87U,	// VMOVDQU32Zrrkz
     87U,	// VMOVDQU32Zrrkz_alt
     0U,	// VMOVDQU64Z128mr
-    11U,	// VMOVDQU64Z128mrk
+    13U,	// VMOVDQU64Z128mrk
     0U,	// VMOVDQU64Z128rm
-    125U,	// VMOVDQU64Z128rmk
+    105U,	// VMOVDQU64Z128rmk
     87U,	// VMOVDQU64Z128rmkz
     0U,	// VMOVDQU64Z128rr
     0U,	// VMOVDQU64Z128rr_alt
-    125U,	// VMOVDQU64Z128rrk
-    125U,	// VMOVDQU64Z128rrk_alt
+    105U,	// VMOVDQU64Z128rrk
+    105U,	// VMOVDQU64Z128rrk_alt
     87U,	// VMOVDQU64Z128rrkz
     87U,	// VMOVDQU64Z128rrkz_alt
     0U,	// VMOVDQU64Z256mr
-    11U,	// VMOVDQU64Z256mrk
+    13U,	// VMOVDQU64Z256mrk
     0U,	// VMOVDQU64Z256rm
-    125U,	// VMOVDQU64Z256rmk
+    105U,	// VMOVDQU64Z256rmk
     87U,	// VMOVDQU64Z256rmkz
     0U,	// VMOVDQU64Z256rr
     0U,	// VMOVDQU64Z256rr_alt
-    125U,	// VMOVDQU64Z256rrk
-    125U,	// VMOVDQU64Z256rrk_alt
+    105U,	// VMOVDQU64Z256rrk
+    105U,	// VMOVDQU64Z256rrk_alt
     87U,	// VMOVDQU64Z256rrkz
     87U,	// VMOVDQU64Z256rrkz_alt
     0U,	// VMOVDQU64Zmr
-    11U,	// VMOVDQU64Zmrk
+    13U,	// VMOVDQU64Zmrk
     0U,	// VMOVDQU64Zrm
-    125U,	// VMOVDQU64Zrmk
+    105U,	// VMOVDQU64Zrmk
     87U,	// VMOVDQU64Zrmkz
     0U,	// VMOVDQU64Zrr
     0U,	// VMOVDQU64Zrr_alt
-    125U,	// VMOVDQU64Zrrk
-    125U,	// VMOVDQU64Zrrk_alt
+    105U,	// VMOVDQU64Zrrk
+    105U,	// VMOVDQU64Zrrk_alt
     87U,	// VMOVDQU64Zrrkz
     87U,	// VMOVDQU64Zrrkz_alt
     0U,	// VMOVDQU8Z128mr
-    11U,	// VMOVDQU8Z128mrk
+    13U,	// VMOVDQU8Z128mrk
     0U,	// VMOVDQU8Z128rm
-    125U,	// VMOVDQU8Z128rmk
+    105U,	// VMOVDQU8Z128rmk
     87U,	// VMOVDQU8Z128rmkz
     0U,	// VMOVDQU8Z128rr
     0U,	// VMOVDQU8Z128rr_alt
-    125U,	// VMOVDQU8Z128rrk
-    125U,	// VMOVDQU8Z128rrk_alt
+    105U,	// VMOVDQU8Z128rrk
+    105U,	// VMOVDQU8Z128rrk_alt
     87U,	// VMOVDQU8Z128rrkz
     87U,	// VMOVDQU8Z128rrkz_alt
     0U,	// VMOVDQU8Z256mr
-    11U,	// VMOVDQU8Z256mrk
+    13U,	// VMOVDQU8Z256mrk
     0U,	// VMOVDQU8Z256rm
-    125U,	// VMOVDQU8Z256rmk
+    105U,	// VMOVDQU8Z256rmk
     87U,	// VMOVDQU8Z256rmkz
     0U,	// VMOVDQU8Z256rr
     0U,	// VMOVDQU8Z256rr_alt
-    125U,	// VMOVDQU8Z256rrk
-    125U,	// VMOVDQU8Z256rrk_alt
+    105U,	// VMOVDQU8Z256rrk
+    105U,	// VMOVDQU8Z256rrk_alt
     87U,	// VMOVDQU8Z256rrkz
     87U,	// VMOVDQU8Z256rrkz_alt
     0U,	// VMOVDQU8Zmr
-    11U,	// VMOVDQU8Zmrk
+    13U,	// VMOVDQU8Zmrk
     0U,	// VMOVDQU8Zrm
-    125U,	// VMOVDQU8Zrmk
+    105U,	// VMOVDQU8Zrmk
     87U,	// VMOVDQU8Zrmkz
     0U,	// VMOVDQU8Zrr
     0U,	// VMOVDQU8Zrr_alt
-    125U,	// VMOVDQU8Zrrk
-    125U,	// VMOVDQU8Zrrk_alt
+    105U,	// VMOVDQU8Zrrk
+    105U,	// VMOVDQU8Zrrk_alt
     87U,	// VMOVDQU8Zrrkz
     87U,	// VMOVDQU8Zrrkz_alt
     0U,	// VMOVDQUYmr
@@ -10435,15 +10591,23 @@
     0U,	// VMOVMSKPSYrr
     0U,	// VMOVMSKPSrr
     0U,	// VMOVNTDQAYrm
+    0U,	// VMOVNTDQAZ128rm
+    0U,	// VMOVNTDQAZ256rm
     0U,	// VMOVNTDQAZrm
     0U,	// VMOVNTDQArm
     0U,	// VMOVNTDQYmr
+    0U,	// VMOVNTDQZ128mr
+    0U,	// VMOVNTDQZ256mr
     0U,	// VMOVNTDQZmr
     0U,	// VMOVNTDQmr
     0U,	// VMOVNTPDYmr
+    0U,	// VMOVNTPDZ128mr
+    0U,	// VMOVNTPDZ256mr
     0U,	// VMOVNTPDZmr
     0U,	// VMOVNTPDmr
     0U,	// VMOVNTPSYmr
+    0U,	// VMOVNTPSZ128mr
+    0U,	// VMOVNTPSZ256mr
     0U,	// VMOVNTPSZmr
     0U,	// VMOVNTPSmr
     0U,	// VMOVPDI2DIZmr
@@ -10461,7 +10625,7 @@
     0U,	// VMOVSDZrm
     2U,	// VMOVSDZrr
     2U,	// VMOVSDZrr_REV
-    125U,	// VMOVSDZrrk
+    105U,	// VMOVSDZrrk
     0U,	// VMOVSDmr
     0U,	// VMOVSDrm
     2U,	// VMOVSDrr
@@ -10490,7 +10654,7 @@
     0U,	// VMOVSSZrm
     2U,	// VMOVSSZrr
     2U,	// VMOVSSZrr_REV
-    125U,	// VMOVSSZrrk
+    105U,	// VMOVSSZrrk
     0U,	// VMOVSSmr
     0U,	// VMOVSSrm
     2U,	// VMOVSSrr
@@ -10500,36 +10664,36 @@
     0U,	// VMOVUPDYrr
     0U,	// VMOVUPDYrr_REV
     0U,	// VMOVUPDZ128mr
-    11U,	// VMOVUPDZ128mrk
+    13U,	// VMOVUPDZ128mrk
     0U,	// VMOVUPDZ128rm
-    125U,	// VMOVUPDZ128rmk
+    105U,	// VMOVUPDZ128rmk
     87U,	// VMOVUPDZ128rmkz
     0U,	// VMOVUPDZ128rr
     0U,	// VMOVUPDZ128rr_alt
-    125U,	// VMOVUPDZ128rrk
-    125U,	// VMOVUPDZ128rrk_alt
+    105U,	// VMOVUPDZ128rrk
+    105U,	// VMOVUPDZ128rrk_alt
     87U,	// VMOVUPDZ128rrkz
     87U,	// VMOVUPDZ128rrkz_alt
     0U,	// VMOVUPDZ256mr
-    11U,	// VMOVUPDZ256mrk
+    13U,	// VMOVUPDZ256mrk
     0U,	// VMOVUPDZ256rm
-    125U,	// VMOVUPDZ256rmk
+    105U,	// VMOVUPDZ256rmk
     87U,	// VMOVUPDZ256rmkz
     0U,	// VMOVUPDZ256rr
     0U,	// VMOVUPDZ256rr_alt
-    125U,	// VMOVUPDZ256rrk
-    125U,	// VMOVUPDZ256rrk_alt
+    105U,	// VMOVUPDZ256rrk
+    105U,	// VMOVUPDZ256rrk_alt
     87U,	// VMOVUPDZ256rrkz
     87U,	// VMOVUPDZ256rrkz_alt
     0U,	// VMOVUPDZmr
-    11U,	// VMOVUPDZmrk
+    13U,	// VMOVUPDZmrk
     0U,	// VMOVUPDZrm
-    125U,	// VMOVUPDZrmk
+    105U,	// VMOVUPDZrmk
     87U,	// VMOVUPDZrmkz
     0U,	// VMOVUPDZrr
     0U,	// VMOVUPDZrr_alt
-    125U,	// VMOVUPDZrrk
-    125U,	// VMOVUPDZrrk_alt
+    105U,	// VMOVUPDZrrk
+    105U,	// VMOVUPDZrrk_alt
     87U,	// VMOVUPDZrrkz
     87U,	// VMOVUPDZrrkz_alt
     0U,	// VMOVUPDmr
@@ -10541,36 +10705,36 @@
     0U,	// VMOVUPSYrr
     0U,	// VMOVUPSYrr_REV
     0U,	// VMOVUPSZ128mr
-    11U,	// VMOVUPSZ128mrk
+    13U,	// VMOVUPSZ128mrk
     0U,	// VMOVUPSZ128rm
-    125U,	// VMOVUPSZ128rmk
+    105U,	// VMOVUPSZ128rmk
     87U,	// VMOVUPSZ128rmkz
     0U,	// VMOVUPSZ128rr
     0U,	// VMOVUPSZ128rr_alt
-    125U,	// VMOVUPSZ128rrk
-    125U,	// VMOVUPSZ128rrk_alt
+    105U,	// VMOVUPSZ128rrk
+    105U,	// VMOVUPSZ128rrk_alt
     87U,	// VMOVUPSZ128rrkz
     87U,	// VMOVUPSZ128rrkz_alt
     0U,	// VMOVUPSZ256mr
-    11U,	// VMOVUPSZ256mrk
+    13U,	// VMOVUPSZ256mrk
     0U,	// VMOVUPSZ256rm
-    125U,	// VMOVUPSZ256rmk
+    105U,	// VMOVUPSZ256rmk
     87U,	// VMOVUPSZ256rmkz
     0U,	// VMOVUPSZ256rr
     0U,	// VMOVUPSZ256rr_alt
-    125U,	// VMOVUPSZ256rrk
-    125U,	// VMOVUPSZ256rrk_alt
+    105U,	// VMOVUPSZ256rrk
+    105U,	// VMOVUPSZ256rrk_alt
     87U,	// VMOVUPSZ256rrkz
     87U,	// VMOVUPSZ256rrkz_alt
     0U,	// VMOVUPSZmr
-    11U,	// VMOVUPSZmrk
+    13U,	// VMOVUPSZmrk
     0U,	// VMOVUPSZrm
-    125U,	// VMOVUPSZrmk
+    105U,	// VMOVUPSZrmk
     87U,	// VMOVUPSZrmkz
     0U,	// VMOVUPSZrr
     0U,	// VMOVUPSZrr_alt
-    125U,	// VMOVUPSZrrk
-    125U,	// VMOVUPSZrrk_alt
+    105U,	// VMOVUPSZrrk
+    105U,	// VMOVUPSZrrk_alt
     87U,	// VMOVUPSZrrkz
     87U,	// VMOVUPSZrrkz_alt
     0U,	// VMOVUPSmr
@@ -10656,12 +10820,12 @@
     0U,	// VPABSBrr256
     0U,	// VPABSDZrm
     0U,	// VPABSDZrmb
-    136U,	// VPABSDZrmbk
-    392U,	// VPABSDZrmbkz
-    119U,	// VPABSDZrmk
+    138U,	// VPABSDZrmbk
+    394U,	// VPABSDZrmbkz
+    103U,	// VPABSDZrmk
     87U,	// VPABSDZrmkz
     0U,	// VPABSDZrr
-    119U,	// VPABSDZrrk
+    103U,	// VPABSDZrrk
     87U,	// VPABSDZrrkz
     0U,	// VPABSDrm128
     0U,	// VPABSDrm256
@@ -10669,12 +10833,12 @@
     0U,	// VPABSDrr256
     0U,	// VPABSQZrm
     0U,	// VPABSQZrmb
-    136U,	// VPABSQZrmbk
-    392U,	// VPABSQZrmbkz
-    119U,	// VPABSQZrmk
+    138U,	// VPABSQZrmbk
+    394U,	// VPABSQZrmbkz
+    103U,	// VPABSQZrmk
     87U,	// VPABSQZrmkz
     0U,	// VPABSQZrr
-    119U,	// VPABSQZrrk
+    103U,	// VPABSQZrrk
     87U,	// VPABSQZrrkz
     0U,	// VPABSWrm128
     0U,	// VPABSWrm256
@@ -10709,7 +10873,7 @@
     0U,	// VPADDDZrmk
     306U,	// VPADDDZrmkz
     2U,	// VPADDDZrr
-    125U,	// VPADDDZrrk
+    105U,	// VPADDDZrrk
     306U,	// VPADDDZrrkz
     2U,	// VPADDDrm
     2U,	// VPADDDrr
@@ -10722,7 +10886,7 @@
     0U,	// VPADDQZrmk
     306U,	// VPADDQZrmkz
     2U,	// VPADDQZrr
-    125U,	// VPADDQZrrk
+    105U,	// VPADDQZrrk
     306U,	// VPADDQZrrkz
     2U,	// VPADDQrm
     2U,	// VPADDQrr
@@ -10757,7 +10921,7 @@
     0U,	// VPANDDZrmk
     306U,	// VPANDDZrmkz
     2U,	// VPANDDZrr
-    125U,	// VPANDDZrrk
+    105U,	// VPANDDZrrk
     306U,	// VPANDDZrrkz
     2U,	// VPANDNDZrm
     20U,	// VPANDNDZrmb
@@ -10766,7 +10930,7 @@
     0U,	// VPANDNDZrmk
     306U,	// VPANDNDZrmkz
     2U,	// VPANDNDZrr
-    125U,	// VPANDNDZrrk
+    105U,	// VPANDNDZrrk
     306U,	// VPANDNDZrrkz
     2U,	// VPANDNQZrm
     20U,	// VPANDNQZrmb
@@ -10775,7 +10939,7 @@
     0U,	// VPANDNQZrmk
     306U,	// VPANDNQZrmkz
     2U,	// VPANDNQZrr
-    125U,	// VPANDNQZrrk
+    105U,	// VPANDNQZrrk
     306U,	// VPANDNQZrrkz
     2U,	// VPANDNYrm
     2U,	// VPANDNYrr
@@ -10788,7 +10952,7 @@
     0U,	// VPANDQZrmk
     306U,	// VPANDQZrmkz
     2U,	// VPANDQZrr
-    125U,	// VPANDQZrrk
+    105U,	// VPANDQZrrk
     306U,	// VPANDQZrrkz
     2U,	// VPANDYrm
     2U,	// VPANDYrr
@@ -10824,7 +10988,7 @@
     0U,	// VPBROADCASTBrr
     0U,	// VPBROADCASTDYrm
     0U,	// VPBROADCASTDYrr
-    392U,	// VPBROADCASTDZkrm
+    394U,	// VPBROADCASTDZkrm
     87U,	// VPBROADCASTDZkrr
     0U,	// VPBROADCASTDZrm
     0U,	// VPBROADCASTDZrr
@@ -10836,7 +11000,7 @@
     0U,	// VPBROADCASTMW2Drr
     0U,	// VPBROADCASTQYrm
     0U,	// VPBROADCASTQYrr
-    392U,	// VPBROADCASTQZkrm
+    394U,	// VPBROADCASTQZkrm
     87U,	// VPBROADCASTQZkrr
     0U,	// VPBROADCASTQZrm
     0U,	// VPBROADCASTQZrr
@@ -10864,22 +11028,78 @@
     50U,	// VPCMPDZrrik_alt
     2U,	// VPCMPEQBYrm
     2U,	// VPCMPEQBYrr
+    2U,	// VPCMPEQBZ128rm
+    50U,	// VPCMPEQBZ128rmk
+    2U,	// VPCMPEQBZ128rr
+    50U,	// VPCMPEQBZ128rrk
+    2U,	// VPCMPEQBZ256rm
+    50U,	// VPCMPEQBZ256rmk
+    2U,	// VPCMPEQBZ256rr
+    50U,	// VPCMPEQBZ256rrk
+    2U,	// VPCMPEQBZrm
+    50U,	// VPCMPEQBZrmk
+    2U,	// VPCMPEQBZrr
+    50U,	// VPCMPEQBZrrk
     2U,	// VPCMPEQBrm
     2U,	// VPCMPEQBrr
     2U,	// VPCMPEQDYrm
     2U,	// VPCMPEQDYrr
+    2U,	// VPCMPEQDZ128rm
+    20U,	// VPCMPEQDZ128rmb
+    50U,	// VPCMPEQDZ128rmbk
+    50U,	// VPCMPEQDZ128rmk
+    2U,	// VPCMPEQDZ128rr
+    50U,	// VPCMPEQDZ128rrk
+    2U,	// VPCMPEQDZ256rm
+    20U,	// VPCMPEQDZ256rmb
+    50U,	// VPCMPEQDZ256rmbk
+    50U,	// VPCMPEQDZ256rmk
+    2U,	// VPCMPEQDZ256rr
+    50U,	// VPCMPEQDZ256rrk
     2U,	// VPCMPEQDZrm
+    20U,	// VPCMPEQDZrmb
+    50U,	// VPCMPEQDZrmbk
+    50U,	// VPCMPEQDZrmk
     2U,	// VPCMPEQDZrr
+    50U,	// VPCMPEQDZrrk
     2U,	// VPCMPEQDrm
     2U,	// VPCMPEQDrr
     2U,	// VPCMPEQQYrm
     2U,	// VPCMPEQQYrr
+    2U,	// VPCMPEQQZ128rm
+    20U,	// VPCMPEQQZ128rmb
+    50U,	// VPCMPEQQZ128rmbk
+    50U,	// VPCMPEQQZ128rmk
+    2U,	// VPCMPEQQZ128rr
+    50U,	// VPCMPEQQZ128rrk
+    2U,	// VPCMPEQQZ256rm
+    20U,	// VPCMPEQQZ256rmb
+    50U,	// VPCMPEQQZ256rmbk
+    50U,	// VPCMPEQQZ256rmk
+    2U,	// VPCMPEQQZ256rr
+    50U,	// VPCMPEQQZ256rrk
     2U,	// VPCMPEQQZrm
+    20U,	// VPCMPEQQZrmb
+    50U,	// VPCMPEQQZrmbk
+    50U,	// VPCMPEQQZrmk
     2U,	// VPCMPEQQZrr
+    50U,	// VPCMPEQQZrrk
     2U,	// VPCMPEQQrm
     2U,	// VPCMPEQQrr
     2U,	// VPCMPEQWYrm
     2U,	// VPCMPEQWYrr
+    2U,	// VPCMPEQWZ128rm
+    50U,	// VPCMPEQWZ128rmk
+    2U,	// VPCMPEQWZ128rr
+    50U,	// VPCMPEQWZ128rrk
+    2U,	// VPCMPEQWZ256rm
+    50U,	// VPCMPEQWZ256rmk
+    2U,	// VPCMPEQWZ256rr
+    50U,	// VPCMPEQWZ256rrk
+    2U,	// VPCMPEQWZrm
+    50U,	// VPCMPEQWZrmk
+    2U,	// VPCMPEQWZrr
+    50U,	// VPCMPEQWZrrk
     2U,	// VPCMPEQWrm
     2U,	// VPCMPEQWrr
     0U,	// VPCMPESTRIMEM
@@ -10892,22 +11112,78 @@
     2U,	// VPCMPESTRM128rr
     2U,	// VPCMPGTBYrm
     2U,	// VPCMPGTBYrr
+    2U,	// VPCMPGTBZ128rm
+    50U,	// VPCMPGTBZ128rmk
+    2U,	// VPCMPGTBZ128rr
+    50U,	// VPCMPGTBZ128rrk
+    2U,	// VPCMPGTBZ256rm
+    50U,	// VPCMPGTBZ256rmk
+    2U,	// VPCMPGTBZ256rr
+    50U,	// VPCMPGTBZ256rrk
+    2U,	// VPCMPGTBZrm
+    50U,	// VPCMPGTBZrmk
+    2U,	// VPCMPGTBZrr
+    50U,	// VPCMPGTBZrrk
     2U,	// VPCMPGTBrm
     2U,	// VPCMPGTBrr
     2U,	// VPCMPGTDYrm
     2U,	// VPCMPGTDYrr
+    2U,	// VPCMPGTDZ128rm
+    20U,	// VPCMPGTDZ128rmb
+    50U,	// VPCMPGTDZ128rmbk
+    50U,	// VPCMPGTDZ128rmk
+    2U,	// VPCMPGTDZ128rr
+    50U,	// VPCMPGTDZ128rrk
+    2U,	// VPCMPGTDZ256rm
+    20U,	// VPCMPGTDZ256rmb
+    50U,	// VPCMPGTDZ256rmbk
+    50U,	// VPCMPGTDZ256rmk
+    2U,	// VPCMPGTDZ256rr
+    50U,	// VPCMPGTDZ256rrk
     2U,	// VPCMPGTDZrm
+    20U,	// VPCMPGTDZrmb
+    50U,	// VPCMPGTDZrmbk
+    50U,	// VPCMPGTDZrmk
     2U,	// VPCMPGTDZrr
+    50U,	// VPCMPGTDZrrk
     2U,	// VPCMPGTDrm
     2U,	// VPCMPGTDrr
     2U,	// VPCMPGTQYrm
     2U,	// VPCMPGTQYrr
+    2U,	// VPCMPGTQZ128rm
+    20U,	// VPCMPGTQZ128rmb
+    50U,	// VPCMPGTQZ128rmbk
+    50U,	// VPCMPGTQZ128rmk
+    2U,	// VPCMPGTQZ128rr
+    50U,	// VPCMPGTQZ128rrk
+    2U,	// VPCMPGTQZ256rm
+    20U,	// VPCMPGTQZ256rmb
+    50U,	// VPCMPGTQZ256rmbk
+    50U,	// VPCMPGTQZ256rmk
+    2U,	// VPCMPGTQZ256rr
+    50U,	// VPCMPGTQZ256rrk
     2U,	// VPCMPGTQZrm
+    20U,	// VPCMPGTQZrmb
+    50U,	// VPCMPGTQZrmbk
+    50U,	// VPCMPGTQZrmk
     2U,	// VPCMPGTQZrr
+    50U,	// VPCMPGTQZrrk
     2U,	// VPCMPGTQrm
     2U,	// VPCMPGTQrr
     2U,	// VPCMPGTWYrm
     2U,	// VPCMPGTWYrr
+    2U,	// VPCMPGTWZ128rm
+    50U,	// VPCMPGTWZ128rmk
+    2U,	// VPCMPGTWZ128rr
+    50U,	// VPCMPGTWZ128rrk
+    2U,	// VPCMPGTWZ256rm
+    50U,	// VPCMPGTWZ256rmk
+    2U,	// VPCMPGTWZ256rr
+    50U,	// VPCMPGTWZ256rrk
+    2U,	// VPCMPGTWZrm
+    50U,	// VPCMPGTWZrmk
+    2U,	// VPCMPGTWZrr
+    50U,	// VPCMPGTWZrrk
     2U,	// VPCMPGTWrm
     2U,	// VPCMPGTWrr
     0U,	// VPCMPISTRIMEM
@@ -10954,21 +11230,21 @@
     38U,	// VPCOMWri
     0U,	// VPCONFLICTDrm
     0U,	// VPCONFLICTDrmb
-    125U,	// VPCONFLICTDrmbk
-    392U,	// VPCONFLICTDrmbkz
-    125U,	// VPCONFLICTDrmk
+    74U,	// VPCONFLICTDrmbk
+    394U,	// VPCONFLICTDrmbkz
+    105U,	// VPCONFLICTDrmk
     87U,	// VPCONFLICTDrmkz
     1U,	// VPCONFLICTDrr
-    125U,	// VPCONFLICTDrrk
+    105U,	// VPCONFLICTDrrk
     87U,	// VPCONFLICTDrrkz
     0U,	// VPCONFLICTQrm
     0U,	// VPCONFLICTQrmb
-    125U,	// VPCONFLICTQrmbk
-    392U,	// VPCONFLICTQrmbkz
-    125U,	// VPCONFLICTQrmk
+    74U,	// VPCONFLICTQrmbk
+    394U,	// VPCONFLICTQrmbkz
+    105U,	// VPCONFLICTQrmk
     87U,	// VPCONFLICTQrmkz
     1U,	// VPCONFLICTQrr
-    125U,	// VPCONFLICTQrrk
+    105U,	// VPCONFLICTQrrk
     87U,	// VPCONFLICTQrrkz
     0U,	// VPERM2F128rm
     38U,	// VPERM2F128rr
@@ -10982,26 +11258,26 @@
     0U,	// VPERMI2Drmk
     0U,	// VPERMI2Drmkz
     2U,	// VPERMI2Drr
-    125U,	// VPERMI2Drrk
-    157U,	// VPERMI2Drrkz
+    105U,	// VPERMI2Drrk
+    153U,	// VPERMI2Drrkz
     2U,	// VPERMI2PDrm
     0U,	// VPERMI2PDrmk
     0U,	// VPERMI2PDrmkz
     2U,	// VPERMI2PDrr
-    125U,	// VPERMI2PDrrk
-    157U,	// VPERMI2PDrrkz
+    105U,	// VPERMI2PDrrk
+    153U,	// VPERMI2PDrrkz
     2U,	// VPERMI2PSrm
     0U,	// VPERMI2PSrmk
     0U,	// VPERMI2PSrmkz
     2U,	// VPERMI2PSrr
-    125U,	// VPERMI2PSrrk
-    157U,	// VPERMI2PSrrkz
+    105U,	// VPERMI2PSrrk
+    153U,	// VPERMI2PSrrkz
     2U,	// VPERMI2Qrm
     0U,	// VPERMI2Qrmk
     0U,	// VPERMI2Qrmkz
     2U,	// VPERMI2Qrr
-    125U,	// VPERMI2Qrrk
-    157U,	// VPERMI2Qrrkz
+    105U,	// VPERMI2Qrrk
+    153U,	// VPERMI2Qrrkz
     38U,	// VPERMIL2PDmr
     1U,	// VPERMIL2PDmrY
     0U,	// VPERMIL2PDrm
@@ -11054,26 +11330,26 @@
     0U,	// VPERMT2Drmk
     0U,	// VPERMT2Drmkz
     2U,	// VPERMT2Drr
-    125U,	// VPERMT2Drrk
-    157U,	// VPERMT2Drrkz
+    105U,	// VPERMT2Drrk
+    153U,	// VPERMT2Drrkz
     2U,	// VPERMT2PDrm
     0U,	// VPERMT2PDrmk
     0U,	// VPERMT2PDrmkz
     2U,	// VPERMT2PDrr
-    125U,	// VPERMT2PDrrk
-    157U,	// VPERMT2PDrrkz
+    105U,	// VPERMT2PDrrk
+    153U,	// VPERMT2PDrrkz
     2U,	// VPERMT2PSrm
     0U,	// VPERMT2PSrmk
     0U,	// VPERMT2PSrmkz
     2U,	// VPERMT2PSrr
-    125U,	// VPERMT2PSrrk
-    157U,	// VPERMT2PSrrkz
+    105U,	// VPERMT2PSrrk
+    153U,	// VPERMT2PSrrkz
     2U,	// VPERMT2Qrm
     0U,	// VPERMT2Qrmk
     0U,	// VPERMT2Qrmkz
     2U,	// VPERMT2Qrr
-    125U,	// VPERMT2Qrrk
-    157U,	// VPERMT2Qrrkz
+    105U,	// VPERMT2Qrrk
+    153U,	// VPERMT2Qrrkz
     0U,	// VPEXTRBmr
     2U,	// VPEXTRBrr
     0U,	// VPEXTRDmr
@@ -11084,16 +11360,16 @@
     2U,	// VPEXTRWri
     2U,	// VPEXTRWrr_REV
     0U,	// VPGATHERDDYrm
-    104U,	// VPGATHERDDZrm
+    122U,	// VPGATHERDDZrm
     0U,	// VPGATHERDDrm
     0U,	// VPGATHERDQYrm
-    104U,	// VPGATHERDQZrm
+    122U,	// VPGATHERDQZrm
     0U,	// VPGATHERDQrm
     0U,	// VPGATHERQDYrm
-    104U,	// VPGATHERQDZrm
+    122U,	// VPGATHERQDZrm
     0U,	// VPGATHERQDrm
     0U,	// VPGATHERQQYrm
-    104U,	// VPGATHERQQZrm
+    122U,	// VPGATHERQQZrm
     0U,	// VPGATHERQQrm
     0U,	// VPHADDBDrm
     0U,	// VPHADDBDrr
@@ -11161,21 +11437,21 @@
     38U,	// VPINSRWrri
     0U,	// VPLZCNTDrm
     0U,	// VPLZCNTDrmb
-    125U,	// VPLZCNTDrmbk
-    392U,	// VPLZCNTDrmbkz
-    125U,	// VPLZCNTDrmk
+    74U,	// VPLZCNTDrmbk
+    394U,	// VPLZCNTDrmbkz
+    105U,	// VPLZCNTDrmk
     87U,	// VPLZCNTDrmkz
     1U,	// VPLZCNTDrr
-    125U,	// VPLZCNTDrrk
+    105U,	// VPLZCNTDrrk
     87U,	// VPLZCNTDrrkz
     0U,	// VPLZCNTQrm
     0U,	// VPLZCNTQrmb
-    125U,	// VPLZCNTQrmbk
-    392U,	// VPLZCNTQrmbkz
-    125U,	// VPLZCNTQrmk
+    74U,	// VPLZCNTQrmbk
+    394U,	// VPLZCNTQrmbkz
+    105U,	// VPLZCNTQrmk
     87U,	// VPLZCNTQrmkz
     1U,	// VPLZCNTQrr
-    125U,	// VPLZCNTQrrk
+    105U,	// VPLZCNTQrrk
     87U,	// VPLZCNTQrrkz
     20U,	// VPMACSDDrm
     38U,	// VPMACSDDrr
@@ -11230,7 +11506,7 @@
     0U,	// VPMAXSDZrmk
     306U,	// VPMAXSDZrmkz
     2U,	// VPMAXSDZrr
-    125U,	// VPMAXSDZrrk
+    105U,	// VPMAXSDZrrk
     306U,	// VPMAXSDZrrkz
     2U,	// VPMAXSDrm
     2U,	// VPMAXSDrr
@@ -11241,7 +11517,7 @@
     0U,	// VPMAXSQZrmk
     306U,	// VPMAXSQZrmkz
     2U,	// VPMAXSQZrr
-    125U,	// VPMAXSQZrrk
+    105U,	// VPMAXSQZrrk
     306U,	// VPMAXSQZrrkz
     2U,	// VPMAXSWYrm
     2U,	// VPMAXSWYrr
@@ -11260,7 +11536,7 @@
     0U,	// VPMAXUDZrmk
     306U,	// VPMAXUDZrmkz
     2U,	// VPMAXUDZrr
-    125U,	// VPMAXUDZrrk
+    105U,	// VPMAXUDZrrk
     306U,	// VPMAXUDZrrkz
     2U,	// VPMAXUDrm
     2U,	// VPMAXUDrr
@@ -11271,7 +11547,7 @@
     0U,	// VPMAXUQZrmk
     306U,	// VPMAXUQZrmkz
     2U,	// VPMAXUQZrr
-    125U,	// VPMAXUQZrrk
+    105U,	// VPMAXUQZrrk
     306U,	// VPMAXUQZrrkz
     2U,	// VPMAXUWYrm
     2U,	// VPMAXUWYrr
@@ -11290,7 +11566,7 @@
     0U,	// VPMINSDZrmk
     306U,	// VPMINSDZrmkz
     2U,	// VPMINSDZrr
-    125U,	// VPMINSDZrrk
+    105U,	// VPMINSDZrrk
     306U,	// VPMINSDZrrkz
     2U,	// VPMINSDrm
     2U,	// VPMINSDrr
@@ -11301,7 +11577,7 @@
     0U,	// VPMINSQZrmk
     306U,	// VPMINSQZrmkz
     2U,	// VPMINSQZrr
-    125U,	// VPMINSQZrrk
+    105U,	// VPMINSQZrrk
     306U,	// VPMINSQZrrkz
     2U,	// VPMINSWYrm
     2U,	// VPMINSWYrr
@@ -11320,7 +11596,7 @@
     0U,	// VPMINUDZrmk
     306U,	// VPMINUDZrmkz
     2U,	// VPMINUDZrr
-    125U,	// VPMINUDZrrk
+    105U,	// VPMINUDZrrk
     306U,	// VPMINUDZrrkz
     2U,	// VPMINUDrm
     2U,	// VPMINUDrr
@@ -11331,63 +11607,63 @@
     0U,	// VPMINUQZrmk
     306U,	// VPMINUQZrmkz
     2U,	// VPMINUQZrr
-    125U,	// VPMINUQZrrk
+    105U,	// VPMINUQZrrk
     306U,	// VPMINUQZrrkz
     2U,	// VPMINUWYrm
     2U,	// VPMINUWYrr
     2U,	// VPMINUWrm
     2U,	// VPMINUWrr
     0U,	// VPMOVDBmr
-    11U,	// VPMOVDBmrk
+    13U,	// VPMOVDBmrk
     0U,	// VPMOVDBrr
-    119U,	// VPMOVDBrrk
+    103U,	// VPMOVDBrrk
     87U,	// VPMOVDBrrkz
     0U,	// VPMOVDWmr
-    11U,	// VPMOVDWmrk
+    13U,	// VPMOVDWmrk
     0U,	// VPMOVDWrr
-    119U,	// VPMOVDWrrk
+    103U,	// VPMOVDWrrk
     87U,	// VPMOVDWrrkz
     0U,	// VPMOVMSKBYrr
     0U,	// VPMOVMSKBrr
     0U,	// VPMOVQBmr
-    11U,	// VPMOVQBmrk
+    13U,	// VPMOVQBmrk
     0U,	// VPMOVQBrr
-    119U,	// VPMOVQBrrk
+    103U,	// VPMOVQBrrk
     87U,	// VPMOVQBrrkz
     0U,	// VPMOVQDmr
-    11U,	// VPMOVQDmrk
+    13U,	// VPMOVQDmrk
     0U,	// VPMOVQDrr
-    119U,	// VPMOVQDrrk
+    103U,	// VPMOVQDrrk
     87U,	// VPMOVQDrrkz
     0U,	// VPMOVQWmr
-    11U,	// VPMOVQWmrk
+    13U,	// VPMOVQWmrk
     0U,	// VPMOVQWrr
-    119U,	// VPMOVQWrrk
+    103U,	// VPMOVQWrrk
     87U,	// VPMOVQWrrkz
     0U,	// VPMOVSDBmr
-    11U,	// VPMOVSDBmrk
+    13U,	// VPMOVSDBmrk
     0U,	// VPMOVSDBrr
-    119U,	// VPMOVSDBrrk
+    103U,	// VPMOVSDBrrk
     87U,	// VPMOVSDBrrkz
     0U,	// VPMOVSDWmr
-    11U,	// VPMOVSDWmrk
+    13U,	// VPMOVSDWmrk
     0U,	// VPMOVSDWrr
-    119U,	// VPMOVSDWrrk
+    103U,	// VPMOVSDWrrk
     87U,	// VPMOVSDWrrkz
     0U,	// VPMOVSQBmr
-    11U,	// VPMOVSQBmrk
+    13U,	// VPMOVSQBmrk
     0U,	// VPMOVSQBrr
-    119U,	// VPMOVSQBrrk
+    103U,	// VPMOVSQBrrk
     87U,	// VPMOVSQBrrkz
     0U,	// VPMOVSQDmr
-    11U,	// VPMOVSQDmrk
+    13U,	// VPMOVSQDmrk
     0U,	// VPMOVSQDrr
-    119U,	// VPMOVSQDrrk
+    103U,	// VPMOVSQDrrk
     87U,	// VPMOVSQDrrkz
     0U,	// VPMOVSQWmr
-    11U,	// VPMOVSQWmrk
+    13U,	// VPMOVSQWmrk
     0U,	// VPMOVSQWrr
-    119U,	// VPMOVSQWrrk
+    103U,	// VPMOVSQWrrk
     87U,	// VPMOVSQWrrkz
     0U,	// VPMOVSXBDYrm
     0U,	// VPMOVSXBDYrr
@@ -11444,29 +11720,29 @@
     0U,	// VPMOVSXWQrm
     0U,	// VPMOVSXWQrr
     0U,	// VPMOVUSDBmr
-    11U,	// VPMOVUSDBmrk
+    13U,	// VPMOVUSDBmrk
     0U,	// VPMOVUSDBrr
-    119U,	// VPMOVUSDBrrk
+    103U,	// VPMOVUSDBrrk
     87U,	// VPMOVUSDBrrkz
     0U,	// VPMOVUSDWmr
-    11U,	// VPMOVUSDWmrk
+    13U,	// VPMOVUSDWmrk
     0U,	// VPMOVUSDWrr
-    119U,	// VPMOVUSDWrrk
+    103U,	// VPMOVUSDWrrk
     87U,	// VPMOVUSDWrrkz
     0U,	// VPMOVUSQBmr
-    11U,	// VPMOVUSQBmrk
+    13U,	// VPMOVUSQBmrk
     0U,	// VPMOVUSQBrr
-    119U,	// VPMOVUSQBrrk
+    103U,	// VPMOVUSQBrrk
     87U,	// VPMOVUSQBrrkz
     0U,	// VPMOVUSQDmr
-    11U,	// VPMOVUSQDmrk
+    13U,	// VPMOVUSQDmrk
     0U,	// VPMOVUSQDrr
-    119U,	// VPMOVUSQDrrk
+    103U,	// VPMOVUSQDrrk
     87U,	// VPMOVUSQDrrkz
     0U,	// VPMOVUSQWmr
-    11U,	// VPMOVUSQWmrk
+    13U,	// VPMOVUSQWmrk
     0U,	// VPMOVUSQWrr
-    119U,	// VPMOVUSQWrrk
+    103U,	// VPMOVUSQWrrk
     87U,	// VPMOVUSQWrrkz
     0U,	// VPMOVZXBDYrm
     0U,	// VPMOVZXBDYrr
@@ -11556,7 +11832,7 @@
     0U,	// VPMULLDZrmk
     306U,	// VPMULLDZrmkz
     2U,	// VPMULLDZrr
-    125U,	// VPMULLDZrrk
+    105U,	// VPMULLDZrrk
     306U,	// VPMULLDZrrkz
     2U,	// VPMULLDrm
     2U,	// VPMULLDrr
@@ -11584,7 +11860,7 @@
     0U,	// VPORDZrmk
     306U,	// VPORDZrmkz
     2U,	// VPORDZrr
-    125U,	// VPORDZrrk
+    105U,	// VPORDZrrk
     306U,	// VPORDZrrkz
     2U,	// VPORQZrm
     20U,	// VPORQZrmb
@@ -11593,7 +11869,7 @@
     0U,	// VPORQZrmk
     306U,	// VPORQZrmkz
     2U,	// VPORQZrr
-    125U,	// VPORQZrrk
+    105U,	// VPORQZrrk
     306U,	// VPORQZrrkz
     2U,	// VPORYrm
     2U,	// VPORYrr
@@ -11690,7 +11966,7 @@
     2U,	// VPSLLDYrm
     2U,	// VPSLLDYrr
     0U,	// VPSLLDZmi
-    136U,	// VPSLLDZmik
+    138U,	// VPSLLDZmik
     2U,	// VPSLLDZri
     50U,	// VPSLLDZrik
     2U,	// VPSLLDZrm
@@ -11704,7 +11980,7 @@
     2U,	// VPSLLQYrm
     2U,	// VPSLLQYrr
     0U,	// VPSLLQZmi
-    136U,	// VPSLLQZmik
+    138U,	// VPSLLQZmik
     2U,	// VPSLLQZri
     50U,	// VPSLLQZrik
     2U,	// VPSLLQZrm
@@ -11736,7 +12012,7 @@
     2U,	// VPSRADYrm
     2U,	// VPSRADYrr
     0U,	// VPSRADZmi
-    136U,	// VPSRADZmik
+    138U,	// VPSRADZmik
     2U,	// VPSRADZri
     50U,	// VPSRADZrik
     2U,	// VPSRADZrm
@@ -11747,7 +12023,7 @@
     2U,	// VPSRADrm
     2U,	// VPSRADrr
     0U,	// VPSRAQZmi
-    136U,	// VPSRAQZmik
+    138U,	// VPSRAQZmik
     2U,	// VPSRAQZri
     50U,	// VPSRAQZrik
     2U,	// VPSRAQZrm
@@ -11774,7 +12050,7 @@
     2U,	// VPSRLDYrm
     2U,	// VPSRLDYrr
     0U,	// VPSRLDZmi
-    136U,	// VPSRLDZmik
+    138U,	// VPSRLDZmik
     2U,	// VPSRLDZri
     50U,	// VPSRLDZrik
     2U,	// VPSRLDZrm
@@ -11788,7 +12064,7 @@
     2U,	// VPSRLQYrm
     2U,	// VPSRLQYrr
     0U,	// VPSRLQZmi
-    136U,	// VPSRLQZmik
+    138U,	// VPSRLQZmik
     2U,	// VPSRLQZri
     50U,	// VPSRLQZrik
     2U,	// VPSRLQZrm
@@ -11829,7 +12105,7 @@
     0U,	// VPSUBDZrmk
     306U,	// VPSUBDZrmkz
     2U,	// VPSUBDZrr
-    125U,	// VPSUBDZrrk
+    105U,	// VPSUBDZrrk
     306U,	// VPSUBDZrrkz
     2U,	// VPSUBDrm
     2U,	// VPSUBDrr
@@ -11842,7 +12118,7 @@
     0U,	// VPSUBQZrmk
     306U,	// VPSUBQZrmkz
     2U,	// VPSUBQZrr
-    125U,	// VPSUBQZrrk
+    105U,	// VPSUBQZrrk
     306U,	// VPSUBQZrrkz
     2U,	// VPSUBQrm
     2U,	// VPSUBQrr
@@ -11925,7 +12201,7 @@
     0U,	// VPXORDZrmk
     306U,	// VPXORDZrmkz
     2U,	// VPXORDZrr
-    125U,	// VPXORDZrrk
+    105U,	// VPXORDZrrk
     306U,	// VPXORDZrrkz
     2U,	// VPXORQZrm
     20U,	// VPXORQZrmb
@@ -11934,7 +12210,7 @@
     0U,	// VPXORQZrmk
     306U,	// VPXORQZrmkz
     2U,	// VPXORQZrr
-    125U,	// VPXORQZrrk
+    105U,	// VPXORQZrrk
     306U,	// VPXORQZrrkz
     2U,	// VPXORYrm
     2U,	// VPXORYrr
@@ -12348,1495 +12624,1491 @@
   /* 973 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
   /* 987 */ 'v', 'd', 'i', 'v', 'p', 'd', 32, 9, 0,
   /* 996 */ 'v', 'm', 'a', 'x', 'p', 'd', 32, 9, 0,
-  /* 1005 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 32, 9, 0,
-  /* 1016 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 32, 9, 0,
-  /* 1029 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 32, 9, 0,
-  /* 1043 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 32, 9, 0,
-  /* 1055 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 32, 9, 0,
-  /* 1066 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 32, 9, 0,
-  /* 1076 */ 'k', 'o', 'r', 'd', 32, 9, 0,
-  /* 1083 */ 'k', 'x', 'n', 'o', 'r', 'd', 32, 9, 0,
-  /* 1092 */ 'v', 'p', 'o', 'r', 'd', 32, 9, 0,
-  /* 1100 */ 'k', 'x', 'o', 'r', 'd', 32, 9, 0,
-  /* 1108 */ 'v', 'p', 'x', 'o', 'r', 'd', 32, 9, 0,
-  /* 1117 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 1131 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 1146 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 1160 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 1175 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 32, 9, 0,
-  /* 1186 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 32, 9, 0,
-  /* 1199 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, 0,
-  /* 1210 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, 0,
-  /* 1223 */ 'v', 'p', 'a', 'b', 's', 'd', 32, 9, 0,
-  /* 1232 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 32, 9, 0,
-  /* 1246 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 32, 9, 0,
-  /* 1256 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 32, 9, 0,
-  /* 1271 */ 'v', 'm', 'o', 'v', 's', 'd', 32, 9, 0,
-  /* 1280 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 32, 9, 0,
-  /* 1290 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'd', 32, 9, 0,
-  /* 1304 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 32, 9, 0,
-  /* 1315 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'd', 32, 9, 0,
-  /* 1326 */ 'k', 'n', 'o', 't', 'd', 32, 9, 0,
-  /* 1334 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 32, 9, 0,
-  /* 1349 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 32, 9, 0,
-  /* 1359 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 32, 9, 0,
-  /* 1369 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 32, 9, 0,
-  /* 1379 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 32, 9, 0,
-  /* 1389 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 32, 9, 0,
-  /* 1399 */ 'k', 'm', 'o', 'v', 'd', 32, 9, 0,
-  /* 1407 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 32, 9, 0,
-  /* 1419 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 32, 9, 0,
-  /* 1431 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 32, 9, 0,
-  /* 1443 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
-  /* 1456 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
-  /* 1468 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
-  /* 1481 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
-  /* 1493 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1507 */ 'v', 'c', 'v', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1520 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1534 */ 'v', 'c', 'v', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1547 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'l', 32, 9, 0,
-  /* 1560 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'l', 32, 9, 0,
-  /* 1574 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'l', 32, 9, 0,
-  /* 1587 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'l', 32, 9, 0,
-  /* 1601 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 32, 9, 0,
-  /* 1612 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 32, 9, 0,
-  /* 1624 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 32, 9, 0,
-  /* 1636 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 32, 9, 0,
-  /* 1654 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 32, 9, 0,
-  /* 1665 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'q', 32, 9, 0,
-  /* 1676 */ 'v', 'p', 's', 'r', 'a', 'q', 32, 9, 0,
-  /* 1685 */ 'v', 'p', 's', 'u', 'b', 'q', 32, 9, 0,
-  /* 1694 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 32, 9, 0,
-  /* 1706 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 32, 9, 0,
-  /* 1718 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
-  /* 1731 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
-  /* 1743 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
-  /* 1756 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
-  /* 1768 */ 'v', 'p', 'a', 'd', 'd', 'q', 32, 9, 0,
-  /* 1777 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 32, 9, 0,
-  /* 1790 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 32, 9, 0,
-  /* 1803 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 32, 9, 0,
-  /* 1813 */ 'k', 'a', 'n', 'd', 'q', 32, 9, 0,
-  /* 1821 */ 'v', 'p', 'a', 'n', 'd', 'q', 32, 9, 0,
-  /* 1830 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 32, 9, 0,
-  /* 1844 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 32, 9, 0,
-  /* 1858 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 32, 9, 0,
-  /* 1871 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 32, 9, 0,
-  /* 1885 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'q', 32, 9, 0,
-  /* 1898 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'q', 32, 9, 0,
-  /* 1912 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1926 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1939 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1953 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1966 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 32, 9, 0,
-  /* 1977 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 32, 9, 0,
-  /* 1989 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 32, 9, 0,
-  /* 2001 */ 'v', 'p', 's', 'l', 'l', 'q', 32, 9, 0,
-  /* 2010 */ 'v', 'p', 's', 'r', 'l', 'q', 32, 9, 0,
-  /* 2019 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 32, 9, 0,
-  /* 2031 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'q', 32, 9, 0,
-  /* 2043 */ 'v', 'p', 'e', 'r', 'm', 'q', 32, 9, 0,
-  /* 2052 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 32, 9, 0,
-  /* 2063 */ 'k', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
-  /* 2072 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
-  /* 2082 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 32, 9, 0,
-  /* 2092 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 32, 9, 0,
-  /* 2103 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 32, 9, 0,
-  /* 2116 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 32, 9, 0,
-  /* 2130 */ 'k', 'o', 'r', 'q', 32, 9, 0,
-  /* 2137 */ 'k', 'x', 'n', 'o', 'r', 'q', 32, 9, 0,
-  /* 2146 */ 'v', 'p', 'o', 'r', 'q', 32, 9, 0,
-  /* 2154 */ 'k', 'x', 'o', 'r', 'q', 32, 9, 0,
-  /* 2162 */ 'v', 'p', 'x', 'o', 'r', 'q', 32, 9, 0,
-  /* 2171 */ 'v', 'p', 'a', 'b', 's', 'q', 32, 9, 0,
-  /* 2180 */ 'v', 'p', 'm', 'i', 'n', 's', 'q', 32, 9, 0,
-  /* 2190 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'q', 32, 9, 0,
-  /* 2203 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'q', 32, 9, 0,
-  /* 2217 */ 'v', 'p', 'm', 'a', 'x', 's', 'q', 32, 9, 0,
-  /* 2227 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'q', 32, 9, 0,
-  /* 2241 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 32, 9, 0,
-  /* 2252 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'q', 32, 9, 0,
-  /* 2263 */ 'k', 'n', 'o', 't', 'q', 32, 9, 0,
-  /* 2271 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 32, 9, 0,
-  /* 2286 */ 'v', 'p', 'm', 'i', 'n', 'u', 'q', 32, 9, 0,
-  /* 2296 */ 'v', 'p', 'm', 'a', 'x', 'u', 'q', 32, 9, 0,
-  /* 2306 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 32, 9, 0,
-  /* 2316 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 32, 9, 0,
-  /* 2326 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 32, 9, 0,
-  /* 2336 */ 'k', 'm', 'o', 'v', 'q', 32, 9, 0,
-  /* 2344 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 32, 9, 0,
-  /* 2356 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 32, 9, 0,
-  /* 2368 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2385 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2399 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2414 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2431 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2445 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2460 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 32, 9, 0,
-  /* 2472 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 32, 9, 0,
-  /* 2484 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 32, 9, 0,
-  /* 2496 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 32, 9, 0,
-  /* 2509 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 's', 32, 9, 0,
-  /* 2521 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2538 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2552 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2567 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2584 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2598 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2613 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 32, 9, 0,
-  /* 2624 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 32, 9, 0,
-  /* 2637 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, 0,
-  /* 2648 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, 0,
-  /* 2661 */ 'v', 's', 'u', 'b', 'p', 's', 32, 9, 0,
-  /* 2670 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, 0,
-  /* 2686 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, 0,
-  /* 2703 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, 0,
-  /* 2719 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, 0,
-  /* 2736 */ 'v', 'a', 'd', 'd', 'p', 's', 32, 9, 0,
-  /* 2745 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
-  /* 2758 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
-  /* 2772 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 32, 9, 0,
-  /* 2786 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 32, 9, 0,
-  /* 2796 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 32, 9, 0,
-  /* 2808 */ 'v', 'm', 'u', 'l', 'p', 's', 32, 9, 0,
-  /* 2817 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 32, 9, 0,
-  /* 2829 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 32, 9, 0,
-  /* 2839 */ 'v', 'm', 'i', 'n', 'p', 's', 32, 9, 0,
-  /* 2848 */ 'v', 'c', 'm', 'p', 'p', 's', 32, 9, 0,
-  /* 2857 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, 0,
-  /* 2873 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, 0,
-  /* 2890 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, 0,
-  /* 2906 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, 0,
-  /* 2923 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
-  /* 2936 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
-  /* 2950 */ 'v', 'd', 'i', 'v', 'p', 's', 32, 9, 0,
-  /* 2959 */ 'v', 'm', 'a', 'x', 'p', 's', 32, 9, 0,
-  /* 2968 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2982 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2997 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 3011 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 3026 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 32, 9, 0,
-  /* 3037 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 32, 9, 0,
-  /* 3050 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, 0,
-  /* 3061 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, 0,
-  /* 3074 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 32, 9, 0,
-  /* 3088 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 32, 9, 0,
-  /* 3103 */ 'v', 'm', 'o', 'v', 's', 's', 32, 9, 0,
-  /* 3112 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 32, 9, 0,
-  /* 3123 */ 'k', 'a', 'n', 'd', 'w', 32, 9, 0,
-  /* 3131 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 32, 9, 0,
-  /* 3143 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 32, 9, 0,
-  /* 3154 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 32, 9, 0,
-  /* 3164 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 32, 9, 0,
-  /* 3175 */ 'k', 'a', 'n', 'd', 'n', 'w', 32, 9, 0,
-  /* 3184 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 32, 9, 0,
-  /* 3196 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 32, 9, 0,
-  /* 3207 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 32, 9, 0,
-  /* 3217 */ 'k', 'o', 'r', 'w', 32, 9, 0,
-  /* 3224 */ 'k', 'x', 'n', 'o', 'r', 'w', 32, 9, 0,
-  /* 3233 */ 'k', 'x', 'o', 'r', 'w', 32, 9, 0,
-  /* 3241 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 32, 9, 0,
-  /* 3252 */ 'k', 'n', 'o', 't', 'w', 32, 9, 0,
-  /* 3260 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 32, 9, 0,
-  /* 3271 */ 'k', 'm', 'o', 'v', 'w', 32, 9, 0,
-  /* 3279 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
-  /* 3291 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
-  /* 3301 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
-  /* 3313 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
-  /* 3325 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
-  /* 3335 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
-  /* 3345 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
-  /* 3356 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 9, 0,
-  /* 3367 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
-  /* 3377 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
-  /* 3389 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
-  /* 3402 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
-  /* 3414 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
-  /* 3424 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
-  /* 3435 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 9, 0,
-  /* 3446 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
-  /* 3457 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
-  /* 3472 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
-  /* 3486 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
-  /* 3501 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
-  /* 3515 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
-  /* 3530 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
-  /* 3544 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
-  /* 3559 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
-  /* 3573 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '1', '6', 9, 0,
-  /* 3584 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
-  /* 3596 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3610 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3623 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3639 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
-  /* 3651 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3665 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3678 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3694 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '8', 9, 0,
-  /* 3704 */ 'j', 'a', 9, 0,
-  /* 3708 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
-  /* 3719 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
-  /* 3728 */ 's', 'e', 't', 'a', 9, 0,
-  /* 3734 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
-  /* 3747 */ 'c', 'r', 'c', '3', '2', 'b', 9, 0,
-  /* 3755 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
-  /* 3767 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
-  /* 3778 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
-  /* 3786 */ 's', 'b', 'b', 'b', 9, 0,
-  /* 3792 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
-  /* 3800 */ 'a', 'd', 'c', 'b', 9, 0,
-  /* 3806 */ 'd', 'e', 'c', 'b', 9, 0,
-  /* 3812 */ 'i', 'n', 'c', 'b', 9, 0,
-  /* 3818 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
-  /* 3826 */ 'x', 'a', 'd', 'd', 'b', 9, 0,
-  /* 3833 */ 'a', 'n', 'd', 'b', 9, 0,
-  /* 3839 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
-  /* 3848 */ 'n', 'e', 'g', 'b', 9, 0,
-  /* 3854 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'b', 9, 0,
-  /* 3864 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
-  /* 3872 */ 'j', 'b', 9, 0,
-  /* 3876 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
-  /* 3887 */ 's', 'a', 'l', 'b', 9, 0,
-  /* 3893 */ 'r', 'c', 'l', 'b', 9, 0,
-  /* 3899 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
-  /* 3907 */ 'r', 'o', 'l', 'b', 9, 0,
-  /* 3913 */ 'i', 'm', 'u', 'l', 'b', 9, 0,
-  /* 3920 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
-  /* 3928 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
-  /* 3937 */ 'i', 'n', 'b', 9, 0,
-  /* 3942 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 0,
-  /* 3951 */ 'c', 'm', 'p', 'b', 9, 0,
-  /* 3957 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
-  /* 3967 */ 's', 'a', 'r', 'b', 9, 0,
-  /* 3973 */ 'r', 'c', 'r', 'b', 9, 0,
-  /* 3979 */ 's', 'h', 'r', 'b', 9, 0,
-  /* 3985 */ 'r', 'o', 'r', 'b', 9, 0,
-  /* 3991 */ 'x', 'o', 'r', 'b', 9, 0,
-  /* 3997 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
-  /* 4006 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
-  /* 4015 */ 's', 'c', 'a', 's', 'b', 9, 0,
-  /* 4022 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
-  /* 4030 */ 'm', 'o', 'v', 'a', 'b', 's', 'b', 9, 0,
-  /* 4039 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
-  /* 4048 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
-  /* 4057 */ 'l', 'o', 'd', 's', 'b', 9, 0,
-  /* 4064 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
-  /* 4073 */ 'c', 'm', 'p', 's', 'b', 9, 0,
-  /* 4080 */ 'o', 'u', 't', 's', 'b', 9, 0,
-  /* 4087 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
-  /* 4097 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
-  /* 4107 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
-  /* 4116 */ 'm', 'o', 'v', 's', 'b', 9, 0,
-  /* 4123 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
-  /* 4132 */ 's', 'e', 't', 'b', 9, 0,
-  /* 4138 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
-  /* 4148 */ 'n', 'o', 't', 'b', 9, 0,
-  /* 4154 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
-  /* 4162 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
-  /* 4176 */ 't', 'e', 's', 't', 'b', 9, 0,
-  /* 4183 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
-  /* 4192 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
-  /* 4201 */ 'p', 'f', 's', 'u', 'b', 9, 0,
-  /* 4208 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
-  /* 4217 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
-  /* 4228 */ 'i', 'd', 'i', 'v', 'b', 9, 0,
-  /* 4235 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 0,
-  /* 4243 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
-  /* 4254 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
-  /* 4265 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
-  /* 4272 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
-  /* 4280 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
-  /* 4289 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
-  /* 4298 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
-  /* 4305 */ 'b', 'l', 's', 'i', 'c', 9, 0,
-  /* 4312 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
-  /* 4320 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
-  /* 4329 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
-  /* 4338 */ 'a', 'a', 'd', 9, 0,
-  /* 4343 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
-  /* 4351 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
-  /* 4359 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
-  /* 4369 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
-  /* 4380 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
-  /* 4389 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
-  /* 4397 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
-  /* 4408 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
-  /* 4419 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
-  /* 4426 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
-  /* 4435 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
-  /* 4443 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
-  /* 4453 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
-  /* 4465 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
-  /* 4475 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
-  /* 4486 */ 'p', 'i', '2', 'f', 'd', 9, 0,
-  /* 4493 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
-  /* 4502 */ 'p', 'f', '2', 'i', 'd', 9, 0,
-  /* 4509 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
-  /* 4518 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
-  /* 4527 */ 'f', 'b', 'l', 'd', 9, 0,
-  /* 4533 */ 'f', 'l', 'd', 9, 0,
-  /* 4538 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
-  /* 4546 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
-  /* 4554 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
-  /* 4563 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
-  /* 4571 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
-  /* 4580 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
-  /* 4588 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
-  /* 4596 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
-  /* 4603 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
-  /* 4612 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
-  /* 4619 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4635 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4648 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4662 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4678 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4691 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4705 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4721 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4734 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4748 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4764 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4777 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4791 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
-  /* 4801 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
-  /* 4813 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
-  /* 4824 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
-  /* 4835 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4851 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4864 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4878 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4894 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4907 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4921 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
-  /* 4930 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
-  /* 4938 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4951 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4962 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4971 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4981 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4992 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 5000 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 5013 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 5022 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 5032 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 5043 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 5051 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
-  /* 5059 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
-  /* 5069 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
-  /* 5079 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
-  /* 5091 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
-  /* 5100 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
-  /* 5111 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
-  /* 5120 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
-  /* 5131 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
-  /* 5142 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
-  /* 5153 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
-  /* 5161 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
-  /* 5170 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
-  /* 5178 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
-  /* 5187 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
-  /* 5196 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
-  /* 5204 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
-  /* 5211 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
-  /* 5219 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
-  /* 5231 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
-  /* 5238 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
-  /* 5246 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
-  /* 5256 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
-  /* 5265 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
-  /* 5274 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
-  /* 5283 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
-  /* 5294 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
-  /* 5302 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
-  /* 5314 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
-  /* 5322 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
-  /* 5331 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
-  /* 5341 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
-  /* 5353 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
-  /* 5362 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
-  /* 5371 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 5384 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 5398 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 5411 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 5425 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 5438 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 5452 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 5465 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 5479 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
-  /* 5490 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 5503 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 5517 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 5530 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 5544 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
-  /* 5552 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5562 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5573 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5581 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5591 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5602 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5610 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
-  /* 5620 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 5630 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 5639 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
-  /* 5647 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 5656 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 5664 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
-  /* 5672 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
-  /* 5681 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
-  /* 5690 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
-  /* 5704 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
-  /* 5712 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
-  /* 5720 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 5729 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 5737 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
-  /* 5746 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
-  /* 5756 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
-  /* 5764 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
-  /* 5778 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
-  /* 5787 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
-  /* 5796 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
-  /* 5805 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
-  /* 5814 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
-  /* 5823 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
-  /* 5832 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
-  /* 5841 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
-  /* 5853 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
-  /* 5860 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
-  /* 5870 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 5880 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 5890 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
-  /* 5902 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
-  /* 5914 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
-  /* 5924 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
-  /* 5935 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 5946 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 5958 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
-  /* 5969 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
-  /* 5980 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
-  /* 5991 */ 'j', 'a', 'e', 9, 0,
-  /* 5996 */ 's', 'e', 't', 'a', 'e', 9, 0,
-  /* 6003 */ 'j', 'b', 'e', 9, 0,
-  /* 6008 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 0,
-  /* 6018 */ 's', 'e', 't', 'b', 'e', 9, 0,
-  /* 6025 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
-  /* 6034 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
-  /* 6041 */ 'j', 'g', 'e', 9, 0,
-  /* 6046 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
-  /* 6055 */ 's', 'e', 't', 'g', 'e', 9, 0,
-  /* 6062 */ 'j', 'e', 9, 0,
-  /* 6066 */ 'j', 'l', 'e', 9, 0,
-  /* 6071 */ 's', 'e', 't', 'l', 'e', 9, 0,
-  /* 6078 */ 'j', 'n', 'e', 9, 0,
-  /* 6083 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
-  /* 6091 */ 's', 'e', 't', 'n', 'e', 9, 0,
-  /* 6098 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
-  /* 6107 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
-  /* 6114 */ 's', 'e', 't', 'e', 9, 0,
-  /* 6120 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
-  /* 6131 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
-  /* 6139 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
-  /* 6147 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 0,
-  /* 6155 */ 'j', 'g', 9, 0,
-  /* 6159 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
-  /* 6167 */ 's', 'e', 't', 'g', 9, 0,
-  /* 6173 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
-  /* 6183 */ 'f', 'x', 'c', 'h', 9, 0,
-  /* 6189 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
-  /* 6200 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
-  /* 6211 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
-  /* 6223 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
-  /* 6232 */ 'b', 'l', 'c', 'i', 9, 0,
-  /* 6238 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
-  /* 6245 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
-  /* 6253 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 6264 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 6274 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 6285 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 6295 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 6303 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 6312 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
-  /* 6324 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
-  /* 6336 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 6348 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 6359 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 6371 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 6382 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
-  /* 6390 */ 't', 'z', 'm', 's', 'k', 9, 0,
-  /* 6397 */ 'c', 'r', 'c', '3', '2', 'l', 9, 0,
-  /* 6405 */ 'l', 'e', 'a', 'l', 9, 0,
-  /* 6411 */ 'c', 'm', 'o', 'v', 'a', 'l', 9, 0,
-  /* 6419 */ 's', 'b', 'b', 'l', 9, 0,
-  /* 6425 */ 'm', 'o', 'v', 's', 'b', 'l', 9, 0,
-  /* 6433 */ 'f', 's', 'u', 'b', 'l', 9, 0,
-  /* 6440 */ 'f', 'i', 's', 'u', 'b', 'l', 9, 0,
-  /* 6448 */ 'c', 'm', 'o', 'v', 'b', 'l', 9, 0,
-  /* 6456 */ 'm', 'o', 'v', 'z', 'b', 'l', 9, 0,
-  /* 6464 */ 'a', 'd', 'c', 'l', 9, 0,
-  /* 6470 */ 'd', 'e', 'c', 'l', 9, 0,
-  /* 6476 */ 'i', 'n', 'c', 'l', 9, 0,
-  /* 6482 */ 'b', 't', 'c', 'l', 9, 0,
-  /* 6488 */ 'v', 'm', 'r', 'e', 'a', 'd', 'l', 9, 0,
-  /* 6497 */ 'f', 'a', 'd', 'd', 'l', 9, 0,
-  /* 6504 */ 'f', 'i', 'a', 'd', 'd', 'l', 9, 0,
-  /* 6512 */ 'x', 'a', 'd', 'd', 'l', 9, 0,
-  /* 6519 */ 'r', 'd', 's', 'e', 'e', 'd', 'l', 9, 0,
-  /* 6528 */ 'f', 'l', 'd', 'l', 9, 0,
-  /* 6534 */ 's', 'h', 'l', 'd', 'l', 9, 0,
-  /* 6541 */ 'f', 'i', 'l', 'd', 'l', 9, 0,
-  /* 6548 */ 'r', 'd', 'r', 'a', 'n', 'd', 'l', 9, 0,
-  /* 6557 */ 's', 'h', 'r', 'd', 'l', 9, 0,
-  /* 6564 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'l', 9, 0,
-  /* 6576 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'l', 9, 0,
-  /* 6589 */ 'c', 'm', 'o', 'v', 'a', 'e', 'l', 9, 0,
-  /* 6598 */ 'c', 'm', 'o', 'v', 'b', 'e', 'l', 9, 0,
-  /* 6607 */ 'c', 'm', 'o', 'v', 'g', 'e', 'l', 9, 0,
-  /* 6616 */ 'c', 'm', 'o', 'v', 'l', 'e', 'l', 9, 0,
-  /* 6625 */ 'c', 'm', 'o', 'v', 'n', 'e', 'l', 9, 0,
-  /* 6634 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
-  /* 6645 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
-  /* 6656 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
-  /* 6667 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
-  /* 6678 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 'l', 9, 0,
-  /* 6688 */ 'c', 'm', 'o', 'v', 'e', 'l', 9, 0,
-  /* 6696 */ 'b', 's', 'f', 'l', 9, 0,
-  /* 6702 */ 'n', 'e', 'g', 'l', 9, 0,
-  /* 6708 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'l', 9, 0,
-  /* 6718 */ 'c', 'm', 'o', 'v', 'g', 'l', 9, 0,
-  /* 6726 */ 'p', 'u', 's', 'h', 'l', 9, 0,
-  /* 6733 */ 'b', 'z', 'h', 'i', 'l', 9, 0,
-  /* 6740 */ 'b', 'l', 's', 'i', 'l', 9, 0,
-  /* 6747 */ 'm', 'o', 'v', 'n', 't', 'i', 'l', 9, 0,
-  /* 6756 */ 'j', 'l', 9, 0,
-  /* 6760 */ 'b', 'l', 's', 'm', 's', 'k', 'l', 9, 0,
-  /* 6769 */ 's', 'a', 'l', 'l', 9, 0,
-  /* 6775 */ 'r', 'c', 'l', 'l', 9, 0,
-  /* 6781 */ 'f', 'i', 'l', 'd', 'l', 'l', 9, 0,
-  /* 6789 */ 's', 'h', 'l', 'l', 9, 0,
-  /* 6795 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
-  /* 6804 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
-  /* 6813 */ 'l', 'c', 'a', 'l', 'l', 'l', 9, 0,
-  /* 6821 */ 'r', 'o', 'l', 'l', 9, 0,
-  /* 6827 */ 'f', 'i', 's', 't', 'p', 'l', 'l', 9, 0,
-  /* 6836 */ 'f', 'i', 's', 't', 't', 'p', 'l', 'l', 9, 0,
-  /* 6846 */ 'l', 's', 'l', 'l', 9, 0,
-  /* 6852 */ 'f', 'm', 'u', 'l', 'l', 9, 0,
-  /* 6859 */ 'f', 'i', 'm', 'u', 'l', 'l', 9, 0,
-  /* 6867 */ 'c', 'm', 'o', 'v', 'l', 'l', 9, 0,
-  /* 6875 */ 'f', 'c', 'o', 'm', 'l', 9, 0,
-  /* 6882 */ 'f', 'i', 'c', 'o', 'm', 'l', 9, 0,
-  /* 6890 */ 'a', 'n', 'd', 'n', 'l', 9, 0,
-  /* 6897 */ 'i', 'n', 'l', 9, 0,
-  /* 6902 */ 'c', 'm', 'o', 'v', 'n', 'o', 'l', 9, 0,
-  /* 6911 */ 'c', 'm', 'o', 'v', 'o', 'l', 9, 0,
-  /* 6919 */ 'b', 's', 'w', 'a', 'p', 'l', 9, 0,
-  /* 6927 */ 'p', 'd', 'e', 'p', 'l', 9, 0,
-  /* 6934 */ 'c', 'm', 'p', 'l', 9, 0,
-  /* 6940 */ 'l', 'j', 'm', 'p', 'l', 9, 0,
-  /* 6947 */ 'f', 'c', 'o', 'm', 'p', 'l', 9, 0,
-  /* 6955 */ 'f', 'i', 'c', 'o', 'm', 'p', 'l', 9, 0,
-  /* 6964 */ 'c', 'm', 'o', 'v', 'n', 'p', 'l', 9, 0,
-  /* 6973 */ 'n', 'o', 'p', 'l', 9, 0,
-  /* 6979 */ 'p', 'o', 'p', 'l', 9, 0,
-  /* 6985 */ 'a', 'r', 'p', 'l', 9, 0,
-  /* 6991 */ 'f', 's', 't', 'p', 'l', 9, 0,
-  /* 6998 */ 'f', 'i', 's', 't', 'p', 'l', 9, 0,
-  /* 7006 */ 'f', 'i', 's', 't', 't', 'p', 'l', 9, 0,
-  /* 7015 */ 'c', 'm', 'o', 'v', 'p', 'l', 9, 0,
-  /* 7023 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
-  /* 7034 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
-  /* 7046 */ 'l', 'a', 'r', 'l', 9, 0,
-  /* 7052 */ 's', 'a', 'r', 'l', 9, 0,
-  /* 7058 */ 'f', 's', 'u', 'b', 'r', 'l', 9, 0,
-  /* 7066 */ 'f', 'i', 's', 'u', 'b', 'r', 'l', 9, 0,
-  /* 7075 */ 'r', 'c', 'r', 'l', 9, 0,
-  /* 7081 */ 's', 'h', 'r', 'l', 9, 0,
-  /* 7087 */ 'r', 'o', 'r', 'l', 9, 0,
-  /* 7093 */ 'x', 'o', 'r', 'l', 9, 0,
-  /* 7099 */ 'b', 's', 'r', 'l', 9, 0,
-  /* 7105 */ 'b', 'l', 's', 'r', 'l', 9, 0,
-  /* 7112 */ 'b', 't', 'r', 'l', 9, 0,
-  /* 7118 */ 's', 't', 'r', 'l', 9, 0,
-  /* 7124 */ 'b', 'e', 'x', 't', 'r', 'l', 9, 0,
-  /* 7132 */ 'f', 'd', 'i', 'v', 'r', 'l', 9, 0,
-  /* 7140 */ 'f', 'i', 'd', 'i', 'v', 'r', 'l', 9, 0,
-  /* 7149 */ 's', 'c', 'a', 's', 'l', 9, 0,
-  /* 7156 */ 'm', 'o', 'v', 'a', 'b', 's', 'l', 9, 0,
-  /* 7165 */ 'l', 'd', 's', 'l', 9, 0,
-  /* 7171 */ 'l', 'o', 'd', 's', 'l', 9, 0,
-  /* 7178 */ 'l', 'e', 's', 'l', 9, 0,
-  /* 7184 */ 'l', 'f', 's', 'l', 9, 0,
-  /* 7190 */ 'l', 'g', 's', 'l', 9, 0,
-  /* 7196 */ 'c', 'm', 'o', 'v', 'n', 's', 'l', 9, 0,
-  /* 7205 */ 'c', 'm', 'p', 's', 'l', 9, 0,
-  /* 7212 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'l', 9, 0,
-  /* 7224 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'l', 9, 0,
-  /* 7237 */ 'l', 's', 's', 'l', 9, 0,
-  /* 7243 */ 'b', 't', 's', 'l', 9, 0,
-  /* 7249 */ 'o', 'u', 't', 's', 'l', 9, 0,
-  /* 7256 */ 'c', 'm', 'o', 'v', 's', 'l', 9, 0,
-  /* 7264 */ 'b', 't', 'l', 9, 0,
-  /* 7269 */ 'l', 'g', 'd', 't', 'l', 9, 0,
-  /* 7276 */ 's', 'g', 'd', 't', 'l', 9, 0,
-  /* 7283 */ 'l', 'i', 'd', 't', 'l', 9, 0,
-  /* 7290 */ 's', 'i', 'd', 't', 'l', 9, 0,
-  /* 7297 */ 's', 'l', 'd', 't', 'l', 9, 0,
-  /* 7304 */ 'l', 'r', 'e', 't', 'l', 9, 0,
-  /* 7311 */ 's', 'e', 't', 'l', 9, 0,
-  /* 7317 */ 'p', 'o', 'p', 'c', 'n', 't', 'l', 9, 0,
-  /* 7326 */ 'l', 'z', 'c', 'n', 't', 'l', 9, 0,
-  /* 7334 */ 't', 'z', 'c', 'n', 't', 'l', 9, 0,
-  /* 7342 */ 'n', 'o', 't', 'l', 9, 0,
-  /* 7348 */ 't', 'e', 's', 't', 'l', 9, 0,
-  /* 7355 */ 'f', 's', 't', 'l', 9, 0,
-  /* 7361 */ 'f', 'i', 's', 't', 'l', 9, 0,
-  /* 7368 */ 'p', 'e', 'x', 't', 'l', 9, 0,
-  /* 7375 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
-  /* 7382 */ 'f', 'd', 'i', 'v', 'l', 9, 0,
-  /* 7389 */ 'f', 'i', 'd', 'i', 'v', 'l', 9, 0,
-  /* 7397 */ 'm', 'o', 'v', 'l', 9, 0,
-  /* 7403 */ 's', 'm', 's', 'w', 'l', 9, 0,
-  /* 7410 */ 'm', 'o', 'v', 's', 'w', 'l', 9, 0,
-  /* 7418 */ 'm', 'o', 'v', 'z', 'w', 'l', 9, 0,
-  /* 7426 */ 'a', 'd', 'c', 'x', 'l', 9, 0,
-  /* 7433 */ 's', 'h', 'l', 'x', 'l', 9, 0,
-  /* 7440 */ 'm', 'u', 'l', 'x', 'l', 9, 0,
-  /* 7447 */ 'a', 'd', 'o', 'x', 'l', 9, 0,
-  /* 7454 */ 's', 'a', 'r', 'x', 'l', 9, 0,
-  /* 7461 */ 's', 'h', 'r', 'x', 'l', 9, 0,
-  /* 7468 */ 'r', 'o', 'r', 'x', 'l', 9, 0,
-  /* 7475 */ 'a', 'a', 'm', 9, 0,
-  /* 7480 */ 'f', 'c', 'o', 'm', 9, 0,
-  /* 7486 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
-  /* 7493 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
-  /* 7501 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
-  /* 7513 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
-  /* 7525 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
-  /* 7533 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
-  /* 7541 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
-  /* 7548 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
-  /* 7555 */ 'j', 'o', 9, 0,
-  /* 7559 */ 'j', 'n', 'o', 9, 0,
-  /* 7564 */ 's', 'e', 't', 'n', 'o', 9, 0,
-  /* 7571 */ 's', 'e', 't', 'o', 9, 0,
-  /* 7577 */ 'f', 's', 'u', 'b', 'p', 9, 0,
-  /* 7584 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
-  /* 7591 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
-  /* 7598 */ 'j', 'p', 9, 0,
-  /* 7602 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
-  /* 7609 */ 'j', 'm', 'p', 9, 0,
-  /* 7614 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
-  /* 7621 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
-  /* 7629 */ 'j', 'n', 'p', 9, 0,
-  /* 7634 */ 's', 'e', 't', 'n', 'p', 9, 0,
-  /* 7641 */ 'l', 'o', 'o', 'p', 9, 0,
-  /* 7647 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
-  /* 7655 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
-  /* 7663 */ 's', 'e', 't', 'p', 9, 0,
-  /* 7669 */ 'f', 'b', 's', 't', 'p', 9, 0,
-  /* 7676 */ 'f', 's', 't', 'p', 9, 0,
-  /* 7682 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
-  /* 7692 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
-  /* 7703 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
-  /* 7714 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
-  /* 7730 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
-  /* 7737 */ 'c', 'r', 'c', '3', '2', 'q', 9, 0,
-  /* 7745 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
-  /* 7754 */ 'l', 'e', 'a', 'q', 9, 0,
-  /* 7760 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
-  /* 7768 */ 'c', 'm', 'o', 'v', 'a', 'q', 9, 0,
-  /* 7776 */ 's', 'b', 'b', 'q', 9, 0,
-  /* 7782 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
-  /* 7792 */ 'm', 'o', 'v', 's', 'b', 'q', 9, 0,
-  /* 7800 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
-  /* 7811 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
-  /* 7819 */ 'c', 'm', 'o', 'v', 'b', 'q', 9, 0,
-  /* 7827 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
-  /* 7838 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
-  /* 7849 */ 'm', 'o', 'v', 'z', 'b', 'q', 9, 0,
-  /* 7857 */ 'a', 'd', 'c', 'q', 9, 0,
-  /* 7863 */ 'd', 'e', 'c', 'q', 9, 0,
-  /* 7869 */ 'i', 'n', 'c', 'q', 9, 0,
-  /* 7875 */ 'b', 't', 'c', 'q', 9, 0,
-  /* 7881 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 7893 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 7904 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
-  /* 7913 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 7925 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 7936 */ 'v', 'm', 'r', 'e', 'a', 'd', 'q', 9, 0,
-  /* 7945 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
-  /* 7955 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
-  /* 7963 */ 'x', 'a', 'd', 'd', 'q', 9, 0,
-  /* 7970 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
-  /* 7980 */ 'r', 'd', 's', 'e', 'e', 'd', 'q', 9, 0,
-  /* 7989 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
-  /* 8001 */ 's', 'h', 'l', 'd', 'q', 9, 0,
-  /* 8008 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
-  /* 8020 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
-  /* 8029 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
-  /* 8038 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
-  /* 8047 */ 'r', 'd', 'r', 'a', 'n', 'd', 'q', 9, 0,
-  /* 8056 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
-  /* 8069 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
-  /* 8082 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
-  /* 8094 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
-  /* 8106 */ 's', 'h', 'r', 'd', 'q', 9, 0,
-  /* 8113 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'q', 9, 0,
-  /* 8125 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'q', 9, 0,
-  /* 8138 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
-  /* 8148 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
-  /* 8159 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
-  /* 8169 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
-  /* 8180 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
-  /* 8191 */ 'c', 'm', 'o', 'v', 'a', 'e', 'q', 9, 0,
-  /* 8200 */ 'c', 'm', 'o', 'v', 'b', 'e', 'q', 9, 0,
-  /* 8209 */ 'c', 'm', 'o', 'v', 'g', 'e', 'q', 9, 0,
-  /* 8218 */ 'c', 'm', 'o', 'v', 'l', 'e', 'q', 9, 0,
-  /* 8227 */ 'c', 'm', 'o', 'v', 'n', 'e', 'q', 9, 0,
-  /* 8236 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
-  /* 8245 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
-  /* 8256 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
-  /* 8267 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
-  /* 8278 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
-  /* 8289 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 'q', 9, 0,
-  /* 8299 */ 'f', 'x', 's', 'a', 'v', 'e', 'q', 9, 0,
-  /* 8308 */ 'c', 'm', 'o', 'v', 'e', 'q', 9, 0,
-  /* 8316 */ 'b', 's', 'f', 'q', 9, 0,
-  /* 8322 */ 'n', 'e', 'g', 'q', 9, 0,
-  /* 8328 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'q', 9, 0,
-  /* 8338 */ 'c', 'm', 'o', 'v', 'g', 'q', 9, 0,
-  /* 8346 */ 'p', 'u', 's', 'h', 'q', 9, 0,
-  /* 8353 */ 'b', 'z', 'h', 'i', 'q', 9, 0,
-  /* 8360 */ 'b', 'l', 's', 'i', 'q', 9, 0,
-  /* 8367 */ 'm', 'o', 'v', 'n', 't', 'i', 'q', 9, 0,
-  /* 8376 */ 'b', 'l', 's', 'm', 's', 'k', 'q', 9, 0,
-  /* 8385 */ 's', 'a', 'l', 'q', 9, 0,
-  /* 8391 */ 'r', 'c', 'l', 'q', 9, 0,
-  /* 8397 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
-  /* 8405 */ 'c', 'a', 'l', 'l', 'q', 9, 0,
-  /* 8412 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
-  /* 8420 */ 'r', 'o', 'l', 'q', 9, 0,
-  /* 8426 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
-  /* 8434 */ 'l', 's', 'l', 'q', 9, 0,
-  /* 8440 */ 'm', 'o', 'v', 's', 'l', 'q', 9, 0,
-  /* 8448 */ 'i', 'm', 'u', 'l', 'q', 9, 0,
-  /* 8455 */ 'c', 'm', 'o', 'v', 'l', 'q', 9, 0,
-  /* 8463 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
-  /* 8471 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
-  /* 8479 */ 'a', 'n', 'd', 'n', 'q', 9, 0,
-  /* 8486 */ 'c', 'm', 'o', 'v', 'n', 'o', 'q', 9, 0,
-  /* 8495 */ 'c', 'm', 'o', 'v', 'o', 'q', 9, 0,
-  /* 8503 */ 'b', 's', 'w', 'a', 'p', 'q', 9, 0,
-  /* 8511 */ 'p', 'd', 'e', 'p', 'q', 9, 0,
-  /* 8518 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
-  /* 8526 */ 'c', 'm', 'o', 'v', 'n', 'p', 'q', 9, 0,
-  /* 8535 */ 'p', 'o', 'p', 'q', 9, 0,
-  /* 8541 */ 'c', 'm', 'o', 'v', 'p', 'q', 9, 0,
-  /* 8549 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
-  /* 8559 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
-  /* 8571 */ 'l', 'a', 'r', 'q', 9, 0,
-  /* 8577 */ 's', 'a', 'r', 'q', 9, 0,
-  /* 8583 */ 'r', 'c', 'r', 'q', 9, 0,
-  /* 8589 */ 's', 'h', 'r', 'q', 9, 0,
-  /* 8595 */ 'r', 'o', 'r', 'q', 9, 0,
-  /* 8601 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 'q', 9, 0,
-  /* 8611 */ 'x', 'o', 'r', 'q', 9, 0,
-  /* 8617 */ 'b', 's', 'r', 'q', 9, 0,
-  /* 8623 */ 'b', 'l', 's', 'r', 'q', 9, 0,
-  /* 8630 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
-  /* 8639 */ 'b', 't', 'r', 'q', 9, 0,
-  /* 8645 */ 's', 't', 'r', 'q', 9, 0,
-  /* 8651 */ 'b', 'e', 'x', 't', 'r', 'q', 9, 0,
-  /* 8659 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
-  /* 8668 */ 's', 'c', 'a', 's', 'q', 9, 0,
-  /* 8675 */ 'm', 'o', 'v', 'a', 'b', 's', 'q', 9, 0,
-  /* 8684 */ 'l', 'o', 'd', 's', 'q', 9, 0,
-  /* 8691 */ 'l', 'f', 's', 'q', 9, 0,
-  /* 8697 */ 'l', 'g', 's', 'q', 9, 0,
-  /* 8703 */ 'c', 'm', 'o', 'v', 'n', 's', 'q', 9, 0,
-  /* 8712 */ 'c', 'm', 'p', 's', 'q', 9, 0,
-  /* 8719 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'q', 9, 0,
-  /* 8731 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'q', 9, 0,
-  /* 8744 */ 'l', 's', 's', 'q', 9, 0,
-  /* 8750 */ 'b', 't', 's', 'q', 9, 0,
-  /* 8756 */ 'c', 'm', 'o', 'v', 's', 'q', 9, 0,
-  /* 8764 */ 'b', 't', 'q', 9, 0,
-  /* 8769 */ 'l', 'g', 'd', 't', 'q', 9, 0,
-  /* 8776 */ 's', 'g', 'd', 't', 'q', 9, 0,
-  /* 8783 */ 'l', 'i', 'd', 't', 'q', 9, 0,
-  /* 8790 */ 's', 'i', 'd', 't', 'q', 9, 0,
-  /* 8797 */ 's', 'l', 'd', 't', 'q', 9, 0,
-  /* 8804 */ 'l', 'r', 'e', 't', 'q', 9, 0,
-  /* 8811 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
-  /* 8821 */ 'p', 'o', 'p', 'c', 'n', 't', 'q', 9, 0,
-  /* 8830 */ 'l', 'z', 'c', 'n', 't', 'q', 9, 0,
-  /* 8838 */ 't', 'z', 'c', 'n', 't', 'q', 9, 0,
-  /* 8846 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
-  /* 8854 */ 'n', 'o', 't', 'q', 9, 0,
-  /* 8860 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
-  /* 8868 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 'q', 9, 0,
-  /* 8879 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
-  /* 8888 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
-  /* 8902 */ 't', 'e', 's', 't', 'q', 9, 0,
-  /* 8909 */ 'p', 'e', 'x', 't', 'q', 9, 0,
-  /* 8916 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
-  /* 8925 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
-  /* 8934 */ 'i', 'd', 'i', 'v', 'q', 9, 0,
-  /* 8941 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
-  /* 8950 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
-  /* 8959 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
-  /* 8971 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
-  /* 8978 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
-  /* 8988 */ 's', 'm', 's', 'w', 'q', 9, 0,
-  /* 8995 */ 'm', 'o', 'v', 's', 'w', 'q', 9, 0,
-  /* 9003 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
-  /* 9014 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
-  /* 9025 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
-  /* 9036 */ 'm', 'o', 'v', 'z', 'w', 'q', 9, 0,
-  /* 9044 */ 'a', 'd', 'c', 'x', 'q', 9, 0,
-  /* 9051 */ 's', 'h', 'l', 'x', 'q', 9, 0,
-  /* 9058 */ 'm', 'u', 'l', 'x', 'q', 9, 0,
-  /* 9065 */ 'a', 'd', 'o', 'x', 'q', 9, 0,
-  /* 9072 */ 's', 'a', 'r', 'x', 'q', 9, 0,
-  /* 9079 */ 's', 'h', 'r', 'x', 'q', 9, 0,
-  /* 9086 */ 'r', 'o', 'r', 'x', 'q', 9, 0,
-  /* 9093 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
-  /* 9102 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
-  /* 9110 */ 'e', 'n', 't', 'e', 'r', 9, 0,
-  /* 9117 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
-  /* 9127 */ 'v', 'p', 'o', 'r', 9, 0,
-  /* 9133 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 9141 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 9150 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
-  /* 9157 */ 'v', 'e', 'r', 'r', 9, 0,
-  /* 9163 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 9173 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 9183 */ 'b', 'e', 'x', 't', 'r', 9, 0,
-  /* 9190 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
-  /* 9197 */ 'f', 's', 'u', 'b', 's', 9, 0,
-  /* 9204 */ 'f', 'i', 's', 'u', 'b', 's', 9, 0,
-  /* 9212 */ 'b', 'l', 'c', 's', 9, 0,
-  /* 9218 */ 'f', 'a', 'd', 'd', 's', 9, 0,
-  /* 9225 */ 'f', 'i', 'a', 'd', 'd', 's', 9, 0,
-  /* 9233 */ 'f', 'l', 'd', 's', 9, 0,
-  /* 9239 */ 'f', 'i', 'l', 'd', 's', 9, 0,
-  /* 9246 */ 'j', 's', 9, 0,
-  /* 9250 */ 'f', 'm', 'u', 'l', 's', 9, 0,
-  /* 9257 */ 'f', 'i', 'm', 'u', 'l', 's', 9, 0,
-  /* 9265 */ 'f', 'c', 'o', 'm', 's', 9, 0,
-  /* 9272 */ 'f', 'i', 'c', 'o', 'm', 's', 9, 0,
-  /* 9280 */ 'j', 'n', 's', 9, 0,
-  /* 9285 */ 's', 'e', 't', 'n', 's', 9, 0,
-  /* 9292 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 9308 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 9321 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 9335 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 9351 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 9364 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 9378 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 9394 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 9407 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 9421 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 9437 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 9450 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 9464 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
-  /* 9475 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
-  /* 9486 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
-  /* 9496 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
-  /* 9508 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
-  /* 9519 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9535 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9548 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9562 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9578 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9591 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9605 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
-  /* 9614 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9627 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9638 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9647 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9657 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9668 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9676 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 9689 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 9698 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 9708 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 9719 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 9727 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
-  /* 9735 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
-  /* 9745 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
-  /* 9755 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
-  /* 9767 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
-  /* 9776 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
-  /* 9787 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
-  /* 9797 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
-  /* 9806 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
-  /* 9817 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
-  /* 9827 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
-  /* 9838 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
-  /* 9849 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
-  /* 9857 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
-  /* 9866 */ 'f', 'c', 'o', 'm', 'p', 's', 9, 0,
-  /* 9874 */ 'f', 'i', 'c', 'o', 'm', 'p', 's', 9, 0,
-  /* 9883 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
-  /* 9892 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
-  /* 9901 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
-  /* 9909 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
-  /* 9917 */ 'v', 'd', 'p', 'p', 's', 9, 0,
-  /* 9924 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
-  /* 9932 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
-  /* 9944 */ 'v', 'o', 'r', 'p', 's', 9, 0,
-  /* 9951 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
-  /* 9959 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
-  /* 9971 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
-  /* 9981 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
-  /* 9992 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 10002 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 10011 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
-  /* 10020 */ 'f', 's', 't', 'p', 's', 9, 0,
-  /* 10027 */ 'f', 'i', 's', 't', 'p', 's', 9, 0,
-  /* 10035 */ 'f', 'i', 's', 't', 't', 'p', 's', 9, 0,
-  /* 10044 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
-  /* 10053 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
-  /* 10064 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
-  /* 10072 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
-  /* 10084 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
-  /* 10092 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
-  /* 10101 */ 'f', 's', 'u', 'b', 'r', 's', 9, 0,
-  /* 10109 */ 'f', 'i', 's', 'u', 'b', 'r', 's', 9, 0,
-  /* 10118 */ 'f', 'd', 'i', 'v', 'r', 's', 9, 0,
-  /* 10126 */ 'f', 'i', 'd', 'i', 'v', 'r', 's', 9, 0,
-  /* 10135 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 10148 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 10162 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 10175 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 10189 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 10202 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 10216 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 10229 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 10243 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
-  /* 10254 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 10267 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 10281 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 10294 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 10308 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 10318 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 10329 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 10337 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 10347 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 10358 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 10366 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
-  /* 10376 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 10386 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 10395 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
-  /* 10403 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
-  /* 10411 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
-  /* 10419 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
-  /* 10427 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
-  /* 10436 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 10446 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 10455 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
-  /* 10469 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
-  /* 10477 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
-  /* 10485 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
-  /* 10493 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
-  /* 10502 */ 's', 'e', 't', 's', 9, 0,
-  /* 10508 */ 'f', 's', 't', 's', 9, 0,
-  /* 10514 */ 'f', 'i', 's', 't', 's', 9, 0,
-  /* 10521 */ 'f', 'd', 'i', 'v', 's', 9, 0,
-  /* 10528 */ 'f', 'i', 'd', 'i', 'v', 's', 9, 0,
-  /* 10536 */ 'f', 'l', 'd', 't', 9, 0,
-  /* 10542 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
-  /* 10551 */ 'i', 'n', 't', 9, 0,
-  /* 10556 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
-  /* 10564 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
-  /* 10574 */ 'f', 's', 't', 'p', 't', 9, 0,
-  /* 10581 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
-  /* 10589 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
-  /* 10598 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 10611 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 10624 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
-  /* 10632 */ 'f', 's', 't', 9, 0,
-  /* 10637 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
-  /* 10655 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
-  /* 10664 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 0,
-  /* 10673 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
-  /* 10681 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 10694 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 10703 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 0,
-  /* 10711 */ 'f', 'd', 'i', 'v', 9, 0,
-  /* 10717 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
-  /* 10725 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
-  /* 10734 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
-  /* 10742 */ 'c', 'r', 'c', '3', '2', 'w', 9, 0,
-  /* 10750 */ 'l', 'e', 'a', 'w', 9, 0,
-  /* 10756 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
-  /* 10764 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
-  /* 10772 */ 'c', 'm', 'o', 'v', 'a', 'w', 9, 0,
-  /* 10780 */ 's', 'b', 'b', 'w', 9, 0,
-  /* 10786 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
-  /* 10796 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 10806 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 10815 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
-  /* 10825 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
-  /* 10837 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
-  /* 10849 */ 'm', 'o', 'v', 's', 'b', 'w', 9, 0,
-  /* 10857 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
-  /* 10868 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
-  /* 10877 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
-  /* 10885 */ 'c', 'm', 'o', 'v', 'b', 'w', 9, 0,
-  /* 10893 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
-  /* 10904 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
-  /* 10915 */ 'm', 'o', 'v', 'z', 'b', 'w', 9, 0,
-  /* 10923 */ 'a', 'd', 'c', 'w', 9, 0,
-  /* 10929 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
-  /* 10936 */ 'd', 'e', 'c', 'w', 9, 0,
-  /* 10942 */ 'i', 'n', 'c', 'w', 9, 0,
-  /* 10948 */ 'b', 't', 'c', 'w', 9, 0,
-  /* 10954 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
-  /* 10962 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
-  /* 10971 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
-  /* 10979 */ 'x', 'a', 'd', 'd', 'w', 9, 0,
-  /* 10986 */ 'r', 'd', 's', 'e', 'e', 'd', 'w', 9, 0,
-  /* 10995 */ 's', 'h', 'l', 'd', 'w', 9, 0,
-  /* 11002 */ 'r', 'd', 'r', 'a', 'n', 'd', 'w', 9, 0,
-  /* 11011 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
-  /* 11021 */ 's', 'h', 'r', 'd', 'w', 9, 0,
-  /* 11028 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
-  /* 11039 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
-  /* 11050 */ 'c', 'm', 'o', 'v', 'a', 'e', 'w', 9, 0,
-  /* 11059 */ 'c', 'm', 'o', 'v', 'b', 'e', 'w', 9, 0,
-  /* 11068 */ 'c', 'm', 'o', 'v', 'g', 'e', 'w', 9, 0,
-  /* 11077 */ 'c', 'm', 'o', 'v', 'l', 'e', 'w', 9, 0,
-  /* 11086 */ 'c', 'm', 'o', 'v', 'n', 'e', 'w', 9, 0,
-  /* 11095 */ 'c', 'm', 'o', 'v', 'e', 'w', 9, 0,
-  /* 11103 */ 'p', 'i', '2', 'f', 'w', 9, 0,
-  /* 11110 */ 'b', 's', 'f', 'w', 9, 0,
-  /* 11116 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
-  /* 11124 */ 'n', 'e', 'g', 'w', 9, 0,
-  /* 11130 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'w', 9, 0,
-  /* 11140 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
-  /* 11148 */ 'c', 'm', 'o', 'v', 'g', 'w', 9, 0,
-  /* 11156 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
-  /* 11167 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
-  /* 11177 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
-  /* 11186 */ 'p', 'u', 's', 'h', 'w', 9, 0,
-  /* 11193 */ 'p', 'f', '2', 'i', 'w', 9, 0,
-  /* 11200 */ 's', 'a', 'l', 'w', 9, 0,
-  /* 11206 */ 'r', 'c', 'l', 'w', 9, 0,
-  /* 11212 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
-  /* 11222 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
-  /* 11230 */ 'l', 'c', 'a', 'l', 'l', 'w', 9, 0,
-  /* 11238 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
-  /* 11246 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
-  /* 11255 */ 'r', 'o', 'l', 'w', 9, 0,
-  /* 11261 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
-  /* 11269 */ 'l', 's', 'l', 'w', 9, 0,
-  /* 11275 */ 'i', 'm', 'u', 'l', 'w', 9, 0,
-  /* 11282 */ 'c', 'm', 'o', 'v', 'l', 'w', 9, 0,
-  /* 11290 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
-  /* 11298 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
-  /* 11307 */ 'i', 'n', 'w', 9, 0,
-  /* 11312 */ 'c', 'm', 'o', 'v', 'n', 'o', 'w', 9, 0,
-  /* 11321 */ 'c', 'm', 'o', 'v', 'o', 'w', 9, 0,
-  /* 11329 */ 'c', 'm', 'p', 'w', 9, 0,
-  /* 11335 */ 'l', 'j', 'm', 'p', 'w', 9, 0,
-  /* 11342 */ 'c', 'm', 'o', 'v', 'n', 'p', 'w', 9, 0,
-  /* 11351 */ 'n', 'o', 'p', 'w', 9, 0,
-  /* 11357 */ 'p', 'o', 'p', 'w', 9, 0,
-  /* 11363 */ 'c', 'm', 'o', 'v', 'p', 'w', 9, 0,
-  /* 11371 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
-  /* 11381 */ 'l', 'a', 'r', 'w', 9, 0,
-  /* 11387 */ 's', 'a', 'r', 'w', 9, 0,
-  /* 11393 */ 'r', 'c', 'r', 'w', 9, 0,
-  /* 11399 */ 'v', 'e', 'r', 'w', 9, 0,
-  /* 11405 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
-  /* 11414 */ 's', 'h', 'r', 'w', 9, 0,
-  /* 11420 */ 'r', 'o', 'r', 'w', 9, 0,
-  /* 11426 */ 'x', 'o', 'r', 'w', 9, 0,
-  /* 11432 */ 'b', 's', 'r', 'w', 9, 0,
-  /* 11438 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
-  /* 11447 */ 'b', 't', 'r', 'w', 9, 0,
-  /* 11453 */ 'l', 't', 'r', 'w', 9, 0,
-  /* 11459 */ 's', 't', 'r', 'w', 9, 0,
-  /* 11465 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
-  /* 11474 */ 's', 'c', 'a', 's', 'w', 9, 0,
-  /* 11481 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
-  /* 11489 */ 'm', 'o', 'v', 'a', 'b', 's', 'w', 9, 0,
-  /* 11498 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
-  /* 11510 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 11520 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 11529 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 11539 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 11548 */ 'l', 'd', 's', 'w', 9, 0,
-  /* 11554 */ 'l', 'o', 'd', 's', 'w', 9, 0,
-  /* 11561 */ 'l', 'e', 's', 'w', 9, 0,
-  /* 11567 */ 'l', 'f', 's', 'w', 9, 0,
-  /* 11573 */ 'l', 'g', 's', 'w', 9, 0,
-  /* 11579 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
-  /* 11588 */ 'c', 'm', 'o', 'v', 'n', 's', 'w', 9, 0,
-  /* 11597 */ 'c', 'm', 'p', 's', 'w', 9, 0,
-  /* 11604 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
-  /* 11615 */ 'l', 's', 's', 'w', 9, 0,
-  /* 11621 */ 'b', 't', 's', 'w', 9, 0,
-  /* 11627 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
-  /* 11635 */ 'o', 'u', 't', 's', 'w', 9, 0,
-  /* 11642 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
-  /* 11652 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
-  /* 11662 */ 'c', 'm', 'o', 'v', 's', 'w', 9, 0,
-  /* 11670 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
-  /* 11679 */ 'b', 't', 'w', 9, 0,
-  /* 11684 */ 'l', 'g', 'd', 't', 'w', 9, 0,
-  /* 11691 */ 's', 'g', 'd', 't', 'w', 9, 0,
-  /* 11698 */ 'l', 'i', 'd', 't', 'w', 9, 0,
-  /* 11705 */ 's', 'i', 'd', 't', 'w', 9, 0,
-  /* 11712 */ 'l', 'l', 'd', 't', 'w', 9, 0,
-  /* 11719 */ 's', 'l', 'd', 't', 'w', 9, 0,
-  /* 11726 */ 'l', 'r', 'e', 't', 'w', 9, 0,
-  /* 11733 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
-  /* 11743 */ 'p', 'o', 'p', 'c', 'n', 't', 'w', 9, 0,
-  /* 11752 */ 'l', 'z', 'c', 'n', 't', 'w', 9, 0,
-  /* 11760 */ 't', 'z', 'c', 'n', 't', 'w', 9, 0,
-  /* 11768 */ 'n', 'o', 't', 'w', 9, 0,
-  /* 11774 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
-  /* 11782 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
-  /* 11796 */ 't', 'e', 's', 't', 'w', 9, 0,
-  /* 11803 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
-  /* 11813 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
-  /* 11822 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
-  /* 11831 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
-  /* 11844 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
-  /* 11853 */ 'i', 'd', 'i', 'v', 'w', 9, 0,
-  /* 11860 */ 'm', 'o', 'v', 'w', 9, 0,
-  /* 11866 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
-  /* 11876 */ 'l', 'm', 's', 'w', 'w', 9, 0,
-  /* 11883 */ 's', 'm', 's', 'w', 'w', 9, 0,
-  /* 11890 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
-  /* 11901 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
-  /* 11908 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 11921 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 11933 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
-  /* 11945 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'y', 9, 0,
-  /* 11958 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'y', 9, 0,
-  /* 11970 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'y', 9, 0,
-  /* 11982 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
-  /* 11989 */ 'j', 'c', 'x', 'z', 9, 0,
-  /* 11995 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
-  /* 12002 */ 'f', 's', 'u', 'b', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 12016 */ 'f', 'a', 'd', 'd', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 12030 */ 'f', 'm', 'u', 'l', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 12044 */ 'f', 's', 'u', 'b', 'r', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 12059 */ 'f', 'd', 'i', 'v', 'r', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 12074 */ 'f', 'd', 'i', 'v', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 12088 */ 'm', 'o', 'v', 'a', 'b', 's', 'b', 9, '%', 'a', 'l', ',', 32, 0,
-  /* 12102 */ 's', 't', 'o', 's', 'b', 9, '%', 'a', 'l', ',', 32, 0,
-  /* 12114 */ 'o', 'u', 't', 'b', 9, '%', 'a', 'l', ',', 32, 0,
-  /* 12125 */ 'm', 'o', 'v', 'b', 9, '%', 'a', 'l', ',', 32, 0,
-  /* 12136 */ 's', 'a', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12147 */ 'r', 'c', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12158 */ 's', 'h', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12169 */ 'r', 'o', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12180 */ 's', 'a', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12191 */ 'r', 'c', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12202 */ 's', 'h', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12213 */ 'r', 'o', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12224 */ 's', 'h', 'l', 'd', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12236 */ 's', 'h', 'r', 'd', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12248 */ 's', 'a', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12259 */ 'r', 'c', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12270 */ 's', 'h', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12281 */ 'r', 'o', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12292 */ 's', 'a', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12303 */ 'r', 'c', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12314 */ 's', 'h', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12325 */ 'r', 'o', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12336 */ 's', 'h', 'l', 'd', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12348 */ 's', 'h', 'r', 'd', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12360 */ 's', 'a', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12371 */ 'r', 'c', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12382 */ 's', 'h', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12393 */ 'r', 'o', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12404 */ 's', 'a', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12415 */ 'r', 'c', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12426 */ 's', 'h', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12437 */ 'r', 'o', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12448 */ 's', 'h', 'l', 'd', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12460 */ 's', 'h', 'r', 'd', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12472 */ 's', 'a', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12483 */ 'r', 'c', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12494 */ 's', 'h', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12505 */ 'r', 'o', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12516 */ 's', 'a', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12527 */ 'r', 'c', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12538 */ 's', 'h', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12549 */ 'r', 'o', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12560 */ 'm', 'o', 'v', 'a', 'b', 's', 'w', 9, '%', 'a', 'x', ',', 32, 0,
-  /* 12574 */ 's', 't', 'o', 's', 'w', 9, '%', 'a', 'x', ',', 32, 0,
-  /* 12586 */ 'o', 'u', 't', 'w', 9, '%', 'a', 'x', ',', 32, 0,
-  /* 12597 */ 'm', 'o', 'v', 'w', 9, '%', 'a', 'x', ',', 32, 0,
-  /* 12608 */ 'm', 'o', 'v', 'a', 'b', 's', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
-  /* 12623 */ 's', 't', 'o', 's', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
-  /* 12636 */ 'o', 'u', 't', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
-  /* 12648 */ 'm', 'o', 'v', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
-  /* 12660 */ 'm', 'o', 'v', 'a', 'b', 's', 'q', 9, '%', 'r', 'a', 'x', ',', 32, 0,
-  /* 12675 */ 's', 't', 'o', 's', 'q', 9, '%', 'r', 'a', 'x', ',', 32, 0,
-  /* 12688 */ 'i', 'n', 's', 'b', 9, '%', 'd', 'x', ',', 32, 0,
-  /* 12699 */ 'i', 'n', 's', 'l', 9, '%', 'd', 'x', ',', 32, 0,
-  /* 12710 */ 'i', 'n', 's', 'w', 9, '%', 'd', 'x', ',', 32, 0,
-  /* 12721 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12739 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12759 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12777 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12797 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12815 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12835 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12853 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12873 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
-  /* 12884 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
-  /* 12907 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'X', 'M', 'M', 32, 0,
-  /* 12921 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
-  /* 12945 */ '#', 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 32, 0,
-  /* 12962 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 32, 0,
-  /* 12978 */ '#', 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 32, 0,
-  /* 12993 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 32, 0,
-  /* 13007 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 32, 0,
-  /* 13021 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13041 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13061 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13081 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13101 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13122 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13142 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13162 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13181 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13201 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13221 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13241 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13261 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13281 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13301 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13321 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13340 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13358 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13379 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13400 */ 'f', 's', 't', 'p', 'n', 'c', 'e', 9, '%', 's', 't', '(', '0', ')', ',', 32, '%', 's', 't', '(', '0', ')', 0,
-  /* 13423 */ 'f', 's', 't', 'p', 9, '%', 's', 't', '(', '0', ')', ',', 32, '%', 's', 't', '(', '7', ')', 0,
-  /* 13443 */ 'l', 'c', 'a', 'l', 'l', 'l', 9, '*', 0,
-  /* 13452 */ 'l', 'j', 'm', 'p', 'l', 9, '*', 0,
-  /* 13460 */ 'l', 'c', 'a', 'l', 'l', 'q', 9, '*', 0,
-  /* 13469 */ 'l', 'j', 'm', 'p', 'q', 9, '*', 0,
-  /* 13477 */ 'l', 'c', 'a', 'l', 'l', 'w', 9, '*', 0,
-  /* 13486 */ 'l', 'j', 'm', 'p', 'w', 9, '*', 0,
-  /* 13494 */ 'x', 's', 'h', 'a', '1', 0,
-  /* 13500 */ 'f', 'l', 'd', '1', 0,
-  /* 13505 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
-  /* 13512 */ 'f', '2', 'x', 'm', '1', 0,
-  /* 13518 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
-  /* 13526 */ 'i', 'n', 't', '1', 0,
-  /* 13531 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
-  /* 13550 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
-  /* 13568 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 13581 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 13594 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 13612 */ 'u', 'd', '2', 0,
-  /* 13616 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
-  /* 13623 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
-  /* 13630 */ 'i', 'n', 't', '3', 0,
-  /* 13635 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
-  /* 13654 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
-  /* 13672 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 13685 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 13698 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 13716 */ 'r', 'e', 'x', '6', '4', 0,
-  /* 13722 */ 'd', 'a', 't', 'a', '1', '6', 0,
-  /* 13729 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
-  /* 13737 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 13750 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 13757 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 13767 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
-  /* 13776 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
-  /* 13794 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
-  /* 13810 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
-  /* 13822 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 13837 */ 'a', 'a', 'a', 0,
-  /* 13841 */ 'd', 'a', 'a', 0,
-  /* 13845 */ 'u', 'd', '2', 'b', 0,
-  /* 13850 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
-  /* 13860 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
-  /* 13870 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
-  /* 13880 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'b', 0,
-  /* 13890 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'b', 0,
-  /* 13900 */ 'x', 'l', 'a', 't', 'b', 0,
-  /* 13906 */ 'c', 'l', 'a', 'c', 0,
-  /* 13911 */ 's', 't', 'a', 'c', 0,
-  /* 13916 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
-  /* 13926 */ 'g', 'e', 't', 's', 'e', 'c', 0,
-  /* 13933 */ 's', 'a', 'l', 'c', 0,
-  /* 13938 */ 'c', 'l', 'c', 0,
-  /* 13942 */ 'c', 'm', 'c', 0,
-  /* 13946 */ 'r', 'd', 'p', 'm', 'c', 0,
-  /* 13952 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
-  /* 13959 */ 'r', 'd', 't', 's', 'c', 0,
-  /* 13965 */ 's', 't', 'c', 0,
-  /* 13969 */ 'c', 'p', 'u', 'i', 'd', 0,
-  /* 13975 */ 'c', 'l', 'd', 0,
-  /* 13979 */ 'x', 'e', 'n', 'd', 0,
-  /* 13984 */ 'c', 'l', 't', 'd', 0,
-  /* 13989 */ 's', 't', 'd', 0,
-  /* 13993 */ 'c', 'w', 't', 'd', 0,
-  /* 13998 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
-  /* 14005 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
-  /* 14012 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 14019 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 14026 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 14033 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
-  /* 14040 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
-  /* 14049 */ 'r', 'e', 'p', 'n', 'e', 0,
-  /* 14055 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
-  /* 14064 */ 'x', 's', 't', 'o', 'r', 'e', 0,
-  /* 14071 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
-  /* 14080 */ 'p', 'a', 'u', 's', 'e', 0,
-  /* 14086 */ '#', 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
-  /* 14100 */ '#', 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
-  /* 14117 */ 'l', 'e', 'a', 'v', 'e', 0,
-  /* 14123 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
-  /* 14130 */ 'l', 'a', 'h', 'f', 0,
-  /* 14135 */ 's', 'a', 'h', 'f', 0,
-  /* 14140 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
-  /* 14149 */ 'c', 'l', 'g', 'i', 0,
-  /* 14154 */ 's', 't', 'g', 'i', 0,
-  /* 14159 */ 'c', 'l', 'i', 0,
-  /* 14163 */ 'f', 'l', 'd', 'p', 'i', 0,
-  /* 14169 */ 's', 't', 'i', 0,
-  /* 14173 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
-  /* 14188 */ 'l', 'o', 'c', 'k', 0,
-  /* 14193 */ 'i', 'n', 'b', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'l', 0,
-  /* 14206 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
-  /* 14213 */ 'p', 'o', 'p', 'a', 'l', 0,
-  /* 14219 */ 'p', 'u', 's', 'h', 'f', 'l', 0,
-  /* 14226 */ 'p', 'o', 'p', 'f', 'l', 0,
-  /* 14232 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 14240 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 14247 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
-  /* 14255 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
-  /* 14264 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'l', 0,
-  /* 14274 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'l', 0,
-  /* 14284 */ 'i', 'r', 'e', 't', 'l', 0,
-  /* 14290 */ 'l', 'r', 'e', 't', 'l', 0,
-  /* 14296 */ 's', 'y', 's', 'r', 'e', 't', 'l', 0,
-  /* 14304 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'l', 0,
-  /* 14313 */ 'c', 'w', 't', 'l', 0,
-  /* 14318 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
-  /* 14326 */ 'f', 'x', 'a', 'm', 0,
-  /* 14331 */ 'f', 'p', 'r', 'e', 'm', 0,
-  /* 14337 */ 'f', 's', 'e', 't', 'p', 'm', 0,
-  /* 14344 */ 'r', 's', 'm', 0,
-  /* 14348 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
-  /* 14355 */ 'f', 'p', 't', 'a', 'n', 0,
-  /* 14361 */ 'f', 's', 'i', 'n', 0,
-  /* 14366 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
-  /* 14393 */ 'i', 'n', 't', 'o', 0,
-  /* 14398 */ 'c', 'q', 't', 'o', 0,
-  /* 14403 */ 'r', 'd', 't', 's', 'c', 'p', 0,
-  /* 14410 */ 'r', 'e', 'p', 0,
-  /* 14414 */ 'v', 'p', 'c', 'm', 'p', 0,
-  /* 14420 */ 'v', 'c', 'm', 'p', 0,
-  /* 14425 */ 'f', 'n', 'o', 'p', 0,
-  /* 14430 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 14437 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 14445 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
-  /* 14453 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
-  /* 14461 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
-  /* 14468 */ 'p', 'o', 'p', 'f', 'q', 0,
-  /* 14474 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'q', 0,
-  /* 14484 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'q', 0,
-  /* 14494 */ 'i', 'r', 'e', 't', 'q', 0,
-  /* 14500 */ 'l', 'r', 'e', 't', 'q', 0,
-  /* 14506 */ 's', 'y', 's', 'r', 'e', 't', 'q', 0,
-  /* 14514 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'q', 0,
-  /* 14523 */ 'c', 'l', 't', 'q', 0,
-  /* 14528 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
-  /* 14539 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
-  /* 14548 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
-  /* 14556 */ 'r', 'd', 'm', 's', 'r', 0,
-  /* 14562 */ 'w', 'r', 'm', 's', 'r', 0,
-  /* 14568 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
-  /* 14578 */ 'a', 'a', 's', 0,
-  /* 14582 */ 'd', 'a', 's', 0,
-  /* 14586 */ 'f', 'a', 'b', 's', 0,
-  /* 14591 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'c', 's', 0,
-  /* 14601 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'c', 's', 0,
-  /* 14611 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'd', 's', 0,
-  /* 14621 */ 'p', 'o', 'p', 'l', 9, '%', 'd', 's', 0,
-  /* 14630 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'd', 's', 0,
-  /* 14640 */ 'p', 'o', 'p', 'w', 9, '%', 'd', 's', 0,
-  /* 14649 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'e', 's', 0,
-  /* 14659 */ 'p', 'o', 'p', 'l', 9, '%', 'e', 's', 0,
-  /* 14668 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'e', 's', 0,
-  /* 14678 */ 'p', 'o', 'p', 'w', 9, '%', 'e', 's', 0,
-  /* 14687 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'f', 's', 0,
-  /* 14697 */ 'p', 'o', 'p', 'l', 9, '%', 'f', 's', 0,
-  /* 14706 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'f', 's', 0,
-  /* 14716 */ 'p', 'o', 'p', 'q', 9, '%', 'f', 's', 0,
-  /* 14725 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'f', 's', 0,
-  /* 14735 */ 'p', 'o', 'p', 'w', 9, '%', 'f', 's', 0,
-  /* 14744 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'g', 's', 0,
-  /* 14754 */ 'p', 'o', 'p', 'l', 9, '%', 'g', 's', 0,
-  /* 14763 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'g', 's', 0,
-  /* 14773 */ 'p', 'o', 'p', 'q', 9, '%', 'g', 's', 0,
-  /* 14782 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'g', 's', 0,
-  /* 14792 */ 'p', 'o', 'p', 'w', 9, '%', 'g', 's', 0,
-  /* 14801 */ 's', 'w', 'a', 'p', 'g', 's', 0,
-  /* 14808 */ 'f', 'c', 'h', 's', 0,
-  /* 14813 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
-  /* 14858 */ 'e', 'n', 'c', 'l', 's', 0,
-  /* 14864 */ 'f', 'e', 'm', 'm', 's', 0,
-  /* 14870 */ 'f', 'c', 'o', 's', 0,
-  /* 14875 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
-  /* 14883 */ 'p', 'u', 's', 'h', 'l', 9, '%', 's', 's', 0,
-  /* 14893 */ 'p', 'o', 'p', 'l', 9, '%', 's', 's', 0,
-  /* 14902 */ 'p', 'u', 's', 'h', 'w', 9, '%', 's', 's', 0,
-  /* 14912 */ 'p', 'o', 'p', 'w', 9, '%', 's', 's', 0,
-  /* 14921 */ 'c', 'l', 't', 's', 0,
-  /* 14926 */ 'f', 'l', 'd', 'l', '2', 't', 0,
-  /* 14933 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
-  /* 14941 */ 'm', 'w', 'a', 'i', 't', 0,
-  /* 14947 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
-  /* 14954 */ 'h', 'l', 't', 0,
-  /* 14958 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
-  /* 14966 */ 'f', 's', 'q', 'r', 't', 0,
-  /* 14972 */ 'x', 't', 'e', 's', 't', 0,
-  /* 14978 */ 'f', 't', 's', 't', 0,
-  /* 14983 */ 'e', 'n', 'c', 'l', 'u', 0,
-  /* 14989 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
-  /* 14996 */ 'x', 's', 'e', 't', 'b', 'v', 0,
-  /* 15003 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
-  /* 15010 */ 'p', 'o', 'p', 'a', 'w', 0,
-  /* 15016 */ 'p', 'u', 's', 'h', 'f', 'w', 0,
-  /* 15023 */ 'p', 'o', 'p', 'f', 'w', 0,
-  /* 15029 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'w', 0,
-  /* 15039 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'w', 0,
-  /* 15049 */ 'c', 'b', 't', 'w', 0,
-  /* 15054 */ 'i', 'r', 'e', 't', 'w', 0,
-  /* 15060 */ 'l', 'r', 'e', 't', 'w', 0,
-  /* 15066 */ 'f', 'y', 'l', '2', 'x', 0,
-  /* 15072 */ 'f', 'n', 's', 't', 's', 'w', 9, '%', 'a', 'x', 0,
-  /* 15083 */ 'i', 'n', 'w', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'x', 0,
-  /* 15096 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'e', 'a', 'x', 0,
-  /* 15108 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'e', 'a', 'x', 0,
-  /* 15120 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'e', 'a', 'x', 0,
-  /* 15131 */ 's', 'k', 'i', 'n', 'i', 't', 9, '%', 'e', 'a', 'x', 0,
-  /* 15143 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
-  /* 15162 */ 'i', 'n', 'l', 9, '%', 'd', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
-  /* 15176 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'r', 'a', 'x', 0,
-  /* 15188 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'r', 'a', 'x', 0,
-  /* 15200 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'r', 'a', 'x', 0,
-  /* 15211 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'r', 'a', 'x', 0,
-  /* 15230 */ 'o', 'u', 't', 'b', 9, '%', 'a', 'l', ',', 32, '%', 'd', 'x', 0,
-  /* 15244 */ 'o', 'u', 't', 'w', 9, '%', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
-  /* 15258 */ 'o', 'u', 't', 'l', 9, '%', 'e', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
-  /* 15273 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
-  /* 15280 */ 'f', 'l', 'd', 'z', 0,
+  /* 1005 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 32, 9, 0,
+  /* 1018 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 32, 9, 0,
+  /* 1032 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 32, 9, 0,
+  /* 1044 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 32, 9, 0,
+  /* 1055 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 32, 9, 0,
+  /* 1065 */ 'k', 'o', 'r', 'd', 32, 9, 0,
+  /* 1072 */ 'k', 'x', 'n', 'o', 'r', 'd', 32, 9, 0,
+  /* 1081 */ 'v', 'p', 'o', 'r', 'd', 32, 9, 0,
+  /* 1089 */ 'k', 'x', 'o', 'r', 'd', 32, 9, 0,
+  /* 1097 */ 'v', 'p', 'x', 'o', 'r', 'd', 32, 9, 0,
+  /* 1106 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1120 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1135 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1149 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1164 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 32, 9, 0,
+  /* 1175 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 32, 9, 0,
+  /* 1188 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, 0,
+  /* 1199 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, 0,
+  /* 1212 */ 'v', 'p', 'a', 'b', 's', 'd', 32, 9, 0,
+  /* 1221 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 32, 9, 0,
+  /* 1235 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 32, 9, 0,
+  /* 1245 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 32, 9, 0,
+  /* 1260 */ 'v', 'm', 'o', 'v', 's', 'd', 32, 9, 0,
+  /* 1269 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 32, 9, 0,
+  /* 1279 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'd', 32, 9, 0,
+  /* 1293 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'd', 32, 9, 0,
+  /* 1304 */ 'k', 'n', 'o', 't', 'd', 32, 9, 0,
+  /* 1312 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 32, 9, 0,
+  /* 1327 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 32, 9, 0,
+  /* 1337 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 32, 9, 0,
+  /* 1347 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 32, 9, 0,
+  /* 1357 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 32, 9, 0,
+  /* 1367 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 32, 9, 0,
+  /* 1377 */ 'k', 'm', 'o', 'v', 'd', 32, 9, 0,
+  /* 1385 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 32, 9, 0,
+  /* 1397 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 32, 9, 0,
+  /* 1409 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 32, 9, 0,
+  /* 1421 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
+  /* 1434 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
+  /* 1446 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
+  /* 1459 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
+  /* 1471 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1485 */ 'v', 'c', 'v', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1498 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1512 */ 'v', 'c', 'v', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1525 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'l', 32, 9, 0,
+  /* 1538 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'l', 32, 9, 0,
+  /* 1552 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'l', 32, 9, 0,
+  /* 1565 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'l', 32, 9, 0,
+  /* 1579 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 32, 9, 0,
+  /* 1590 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 32, 9, 0,
+  /* 1602 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 32, 9, 0,
+  /* 1614 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 32, 9, 0,
+  /* 1632 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 32, 9, 0,
+  /* 1643 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'q', 32, 9, 0,
+  /* 1654 */ 'v', 'p', 's', 'r', 'a', 'q', 32, 9, 0,
+  /* 1663 */ 'v', 'p', 's', 'u', 'b', 'q', 32, 9, 0,
+  /* 1672 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 32, 9, 0,
+  /* 1684 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 32, 9, 0,
+  /* 1696 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
+  /* 1709 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
+  /* 1721 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
+  /* 1734 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
+  /* 1746 */ 'v', 'p', 'a', 'd', 'd', 'q', 32, 9, 0,
+  /* 1755 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 32, 9, 0,
+  /* 1768 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 32, 9, 0,
+  /* 1781 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 32, 9, 0,
+  /* 1791 */ 'k', 'a', 'n', 'd', 'q', 32, 9, 0,
+  /* 1799 */ 'v', 'p', 'a', 'n', 'd', 'q', 32, 9, 0,
+  /* 1808 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 32, 9, 0,
+  /* 1822 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 32, 9, 0,
+  /* 1836 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 32, 9, 0,
+  /* 1849 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 32, 9, 0,
+  /* 1863 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'q', 32, 9, 0,
+  /* 1876 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'q', 32, 9, 0,
+  /* 1890 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1904 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1917 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1931 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1944 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 32, 9, 0,
+  /* 1955 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 32, 9, 0,
+  /* 1967 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 32, 9, 0,
+  /* 1979 */ 'v', 'p', 's', 'l', 'l', 'q', 32, 9, 0,
+  /* 1988 */ 'v', 'p', 's', 'r', 'l', 'q', 32, 9, 0,
+  /* 1997 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 32, 9, 0,
+  /* 2009 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'q', 32, 9, 0,
+  /* 2021 */ 'v', 'p', 'e', 'r', 'm', 'q', 32, 9, 0,
+  /* 2030 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 32, 9, 0,
+  /* 2041 */ 'k', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
+  /* 2050 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
+  /* 2060 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 32, 9, 0,
+  /* 2070 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 32, 9, 0,
+  /* 2083 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 32, 9, 0,
+  /* 2097 */ 'k', 'o', 'r', 'q', 32, 9, 0,
+  /* 2104 */ 'k', 'x', 'n', 'o', 'r', 'q', 32, 9, 0,
+  /* 2113 */ 'v', 'p', 'o', 'r', 'q', 32, 9, 0,
+  /* 2121 */ 'k', 'x', 'o', 'r', 'q', 32, 9, 0,
+  /* 2129 */ 'v', 'p', 'x', 'o', 'r', 'q', 32, 9, 0,
+  /* 2138 */ 'v', 'p', 'a', 'b', 's', 'q', 32, 9, 0,
+  /* 2147 */ 'v', 'p', 'm', 'i', 'n', 's', 'q', 32, 9, 0,
+  /* 2157 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'q', 32, 9, 0,
+  /* 2170 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'q', 32, 9, 0,
+  /* 2184 */ 'v', 'p', 'm', 'a', 'x', 's', 'q', 32, 9, 0,
+  /* 2194 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'q', 32, 9, 0,
+  /* 2208 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'q', 32, 9, 0,
+  /* 2219 */ 'k', 'n', 'o', 't', 'q', 32, 9, 0,
+  /* 2227 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 32, 9, 0,
+  /* 2242 */ 'v', 'p', 'm', 'i', 'n', 'u', 'q', 32, 9, 0,
+  /* 2252 */ 'v', 'p', 'm', 'a', 'x', 'u', 'q', 32, 9, 0,
+  /* 2262 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 32, 9, 0,
+  /* 2272 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 32, 9, 0,
+  /* 2282 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 32, 9, 0,
+  /* 2292 */ 'k', 'm', 'o', 'v', 'q', 32, 9, 0,
+  /* 2300 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 32, 9, 0,
+  /* 2312 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 32, 9, 0,
+  /* 2324 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2341 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2355 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2370 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2387 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2401 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2416 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 32, 9, 0,
+  /* 2428 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 32, 9, 0,
+  /* 2440 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 32, 9, 0,
+  /* 2452 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 32, 9, 0,
+  /* 2465 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 's', 32, 9, 0,
+  /* 2477 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2494 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2508 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2523 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2540 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2554 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2569 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 32, 9, 0,
+  /* 2580 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 32, 9, 0,
+  /* 2593 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, 0,
+  /* 2604 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, 0,
+  /* 2617 */ 'v', 's', 'u', 'b', 'p', 's', 32, 9, 0,
+  /* 2626 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, 0,
+  /* 2642 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, 0,
+  /* 2659 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, 0,
+  /* 2675 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, 0,
+  /* 2692 */ 'v', 'a', 'd', 'd', 'p', 's', 32, 9, 0,
+  /* 2701 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
+  /* 2714 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
+  /* 2728 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 32, 9, 0,
+  /* 2742 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 32, 9, 0,
+  /* 2752 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 32, 9, 0,
+  /* 2764 */ 'v', 'm', 'u', 'l', 'p', 's', 32, 9, 0,
+  /* 2773 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 32, 9, 0,
+  /* 2785 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 32, 9, 0,
+  /* 2795 */ 'v', 'm', 'i', 'n', 'p', 's', 32, 9, 0,
+  /* 2804 */ 'v', 'c', 'm', 'p', 'p', 's', 32, 9, 0,
+  /* 2813 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, 0,
+  /* 2829 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, 0,
+  /* 2846 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, 0,
+  /* 2862 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, 0,
+  /* 2879 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
+  /* 2892 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
+  /* 2906 */ 'v', 'd', 'i', 'v', 'p', 's', 32, 9, 0,
+  /* 2915 */ 'v', 'm', 'a', 'x', 'p', 's', 32, 9, 0,
+  /* 2924 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2938 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2953 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2967 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2982 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 32, 9, 0,
+  /* 2993 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 32, 9, 0,
+  /* 3006 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, 0,
+  /* 3017 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, 0,
+  /* 3030 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 32, 9, 0,
+  /* 3044 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 32, 9, 0,
+  /* 3059 */ 'v', 'm', 'o', 'v', 's', 's', 32, 9, 0,
+  /* 3068 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 32, 9, 0,
+  /* 3079 */ 'k', 'a', 'n', 'd', 'w', 32, 9, 0,
+  /* 3087 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 32, 9, 0,
+  /* 3099 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 32, 9, 0,
+  /* 3110 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 32, 9, 0,
+  /* 3120 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 32, 9, 0,
+  /* 3131 */ 'k', 'a', 'n', 'd', 'n', 'w', 32, 9, 0,
+  /* 3140 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 32, 9, 0,
+  /* 3152 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 32, 9, 0,
+  /* 3163 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 32, 9, 0,
+  /* 3173 */ 'k', 'o', 'r', 'w', 32, 9, 0,
+  /* 3180 */ 'k', 'x', 'n', 'o', 'r', 'w', 32, 9, 0,
+  /* 3189 */ 'k', 'x', 'o', 'r', 'w', 32, 9, 0,
+  /* 3197 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 32, 9, 0,
+  /* 3208 */ 'k', 'n', 'o', 't', 'w', 32, 9, 0,
+  /* 3216 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 32, 9, 0,
+  /* 3227 */ 'k', 'm', 'o', 'v', 'w', 32, 9, 0,
+  /* 3235 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
+  /* 3247 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
+  /* 3257 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
+  /* 3269 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
+  /* 3281 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
+  /* 3291 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
+  /* 3301 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
+  /* 3312 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 9, 0,
+  /* 3323 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
+  /* 3333 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
+  /* 3345 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
+  /* 3358 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
+  /* 3370 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
+  /* 3380 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
+  /* 3391 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 9, 0,
+  /* 3402 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
+  /* 3413 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 3428 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 3442 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 3457 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 3471 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 3486 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 3500 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 3515 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 3529 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '1', '6', 9, 0,
+  /* 3540 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
+  /* 3552 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3566 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3579 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3595 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
+  /* 3607 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3621 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3634 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3650 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '8', 9, 0,
+  /* 3660 */ 'j', 'a', 9, 0,
+  /* 3664 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
+  /* 3675 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
+  /* 3684 */ 's', 'e', 't', 'a', 9, 0,
+  /* 3690 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
+  /* 3703 */ 'c', 'r', 'c', '3', '2', 'b', 9, 0,
+  /* 3711 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
+  /* 3723 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
+  /* 3734 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
+  /* 3742 */ 's', 'b', 'b', 'b', 9, 0,
+  /* 3748 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
+  /* 3756 */ 'a', 'd', 'c', 'b', 9, 0,
+  /* 3762 */ 'd', 'e', 'c', 'b', 9, 0,
+  /* 3768 */ 'i', 'n', 'c', 'b', 9, 0,
+  /* 3774 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
+  /* 3782 */ 'x', 'a', 'd', 'd', 'b', 9, 0,
+  /* 3789 */ 'a', 'n', 'd', 'b', 9, 0,
+  /* 3795 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
+  /* 3804 */ 'n', 'e', 'g', 'b', 9, 0,
+  /* 3810 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'b', 9, 0,
+  /* 3820 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
+  /* 3828 */ 'j', 'b', 9, 0,
+  /* 3832 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
+  /* 3843 */ 's', 'a', 'l', 'b', 9, 0,
+  /* 3849 */ 'r', 'c', 'l', 'b', 9, 0,
+  /* 3855 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
+  /* 3863 */ 'r', 'o', 'l', 'b', 9, 0,
+  /* 3869 */ 'i', 'm', 'u', 'l', 'b', 9, 0,
+  /* 3876 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
+  /* 3884 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
+  /* 3893 */ 'i', 'n', 'b', 9, 0,
+  /* 3898 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 0,
+  /* 3907 */ 'c', 'm', 'p', 'b', 9, 0,
+  /* 3913 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
+  /* 3923 */ 's', 'a', 'r', 'b', 9, 0,
+  /* 3929 */ 'r', 'c', 'r', 'b', 9, 0,
+  /* 3935 */ 's', 'h', 'r', 'b', 9, 0,
+  /* 3941 */ 'r', 'o', 'r', 'b', 9, 0,
+  /* 3947 */ 'x', 'o', 'r', 'b', 9, 0,
+  /* 3953 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
+  /* 3962 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
+  /* 3971 */ 's', 'c', 'a', 's', 'b', 9, 0,
+  /* 3978 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
+  /* 3986 */ 'm', 'o', 'v', 'a', 'b', 's', 'b', 9, 0,
+  /* 3995 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
+  /* 4004 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
+  /* 4013 */ 'l', 'o', 'd', 's', 'b', 9, 0,
+  /* 4020 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
+  /* 4029 */ 'c', 'm', 'p', 's', 'b', 9, 0,
+  /* 4036 */ 'o', 'u', 't', 's', 'b', 9, 0,
+  /* 4043 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
+  /* 4053 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
+  /* 4063 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
+  /* 4072 */ 'm', 'o', 'v', 's', 'b', 9, 0,
+  /* 4079 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
+  /* 4088 */ 's', 'e', 't', 'b', 9, 0,
+  /* 4094 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
+  /* 4104 */ 'n', 'o', 't', 'b', 9, 0,
+  /* 4110 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
+  /* 4118 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
+  /* 4132 */ 't', 'e', 's', 't', 'b', 9, 0,
+  /* 4139 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
+  /* 4148 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
+  /* 4157 */ 'p', 'f', 's', 'u', 'b', 9, 0,
+  /* 4164 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
+  /* 4173 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
+  /* 4184 */ 'i', 'd', 'i', 'v', 'b', 9, 0,
+  /* 4191 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 0,
+  /* 4199 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
+  /* 4210 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
+  /* 4221 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
+  /* 4228 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
+  /* 4236 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
+  /* 4245 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
+  /* 4254 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
+  /* 4261 */ 'b', 'l', 's', 'i', 'c', 9, 0,
+  /* 4268 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
+  /* 4276 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
+  /* 4285 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
+  /* 4294 */ 'a', 'a', 'd', 9, 0,
+  /* 4299 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
+  /* 4307 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
+  /* 4315 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
+  /* 4325 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
+  /* 4336 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
+  /* 4345 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
+  /* 4353 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
+  /* 4364 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
+  /* 4375 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
+  /* 4382 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
+  /* 4391 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
+  /* 4399 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
+  /* 4409 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
+  /* 4421 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
+  /* 4431 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
+  /* 4442 */ 'p', 'i', '2', 'f', 'd', 9, 0,
+  /* 4449 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
+  /* 4458 */ 'p', 'f', '2', 'i', 'd', 9, 0,
+  /* 4465 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
+  /* 4474 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
+  /* 4483 */ 'f', 'b', 'l', 'd', 9, 0,
+  /* 4489 */ 'f', 'l', 'd', 9, 0,
+  /* 4494 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
+  /* 4502 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
+  /* 4510 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
+  /* 4519 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
+  /* 4527 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
+  /* 4536 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
+  /* 4544 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
+  /* 4552 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
+  /* 4559 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
+  /* 4568 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
+  /* 4575 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4591 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4604 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4618 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4634 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4647 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4661 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4677 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4690 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4704 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4720 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4733 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4747 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
+  /* 4757 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
+  /* 4769 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
+  /* 4780 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
+  /* 4791 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4807 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4820 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4834 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4850 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4863 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4877 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
+  /* 4886 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
+  /* 4894 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4907 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4918 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4927 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4937 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4948 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4956 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4969 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4978 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4988 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4999 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 5007 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
+  /* 5015 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
+  /* 5025 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
+  /* 5035 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
+  /* 5047 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
+  /* 5056 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
+  /* 5067 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
+  /* 5076 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
+  /* 5087 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
+  /* 5098 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
+  /* 5109 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
+  /* 5117 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
+  /* 5126 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
+  /* 5134 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
+  /* 5143 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
+  /* 5152 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
+  /* 5160 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
+  /* 5167 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
+  /* 5175 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
+  /* 5187 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
+  /* 5194 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
+  /* 5202 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
+  /* 5212 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
+  /* 5221 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
+  /* 5230 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
+  /* 5239 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
+  /* 5250 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
+  /* 5258 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
+  /* 5270 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
+  /* 5278 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
+  /* 5287 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
+  /* 5297 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
+  /* 5309 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
+  /* 5318 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
+  /* 5327 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5340 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5354 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5367 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5381 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5394 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5408 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5421 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5435 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
+  /* 5446 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5459 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5473 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5486 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5500 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
+  /* 5508 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5518 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5529 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5537 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5547 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5558 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5566 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
+  /* 5576 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 5586 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 5595 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
+  /* 5603 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 5612 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 5620 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
+  /* 5628 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
+  /* 5637 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
+  /* 5646 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
+  /* 5660 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
+  /* 5668 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
+  /* 5676 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 5685 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 5693 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
+  /* 5702 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
+  /* 5712 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
+  /* 5720 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
+  /* 5734 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
+  /* 5743 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
+  /* 5752 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
+  /* 5761 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
+  /* 5770 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
+  /* 5779 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
+  /* 5788 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
+  /* 5797 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
+  /* 5809 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
+  /* 5816 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
+  /* 5826 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 5836 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 5846 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
+  /* 5858 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
+  /* 5870 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
+  /* 5880 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
+  /* 5891 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 5902 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 5914 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
+  /* 5925 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
+  /* 5936 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
+  /* 5947 */ 'j', 'a', 'e', 9, 0,
+  /* 5952 */ 's', 'e', 't', 'a', 'e', 9, 0,
+  /* 5959 */ 'j', 'b', 'e', 9, 0,
+  /* 5964 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 0,
+  /* 5974 */ 's', 'e', 't', 'b', 'e', 9, 0,
+  /* 5981 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
+  /* 5990 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
+  /* 5997 */ 'j', 'g', 'e', 9, 0,
+  /* 6002 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
+  /* 6011 */ 's', 'e', 't', 'g', 'e', 9, 0,
+  /* 6018 */ 'j', 'e', 9, 0,
+  /* 6022 */ 'j', 'l', 'e', 9, 0,
+  /* 6027 */ 's', 'e', 't', 'l', 'e', 9, 0,
+  /* 6034 */ 'j', 'n', 'e', 9, 0,
+  /* 6039 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
+  /* 6047 */ 's', 'e', 't', 'n', 'e', 9, 0,
+  /* 6054 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
+  /* 6063 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
+  /* 6070 */ 's', 'e', 't', 'e', 9, 0,
+  /* 6076 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
+  /* 6087 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
+  /* 6095 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
+  /* 6103 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 0,
+  /* 6111 */ 'j', 'g', 9, 0,
+  /* 6115 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
+  /* 6123 */ 's', 'e', 't', 'g', 9, 0,
+  /* 6129 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
+  /* 6139 */ 'f', 'x', 'c', 'h', 9, 0,
+  /* 6145 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
+  /* 6156 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
+  /* 6167 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
+  /* 6179 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
+  /* 6188 */ 'b', 'l', 'c', 'i', 9, 0,
+  /* 6194 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
+  /* 6201 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
+  /* 6209 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 6220 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 6230 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 6241 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 6251 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 6259 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 6268 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
+  /* 6280 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
+  /* 6292 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 6304 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 6315 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 6327 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 6338 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
+  /* 6346 */ 't', 'z', 'm', 's', 'k', 9, 0,
+  /* 6353 */ 'c', 'r', 'c', '3', '2', 'l', 9, 0,
+  /* 6361 */ 'l', 'e', 'a', 'l', 9, 0,
+  /* 6367 */ 'c', 'm', 'o', 'v', 'a', 'l', 9, 0,
+  /* 6375 */ 's', 'b', 'b', 'l', 9, 0,
+  /* 6381 */ 'm', 'o', 'v', 's', 'b', 'l', 9, 0,
+  /* 6389 */ 'f', 's', 'u', 'b', 'l', 9, 0,
+  /* 6396 */ 'f', 'i', 's', 'u', 'b', 'l', 9, 0,
+  /* 6404 */ 'c', 'm', 'o', 'v', 'b', 'l', 9, 0,
+  /* 6412 */ 'm', 'o', 'v', 'z', 'b', 'l', 9, 0,
+  /* 6420 */ 'a', 'd', 'c', 'l', 9, 0,
+  /* 6426 */ 'd', 'e', 'c', 'l', 9, 0,
+  /* 6432 */ 'i', 'n', 'c', 'l', 9, 0,
+  /* 6438 */ 'b', 't', 'c', 'l', 9, 0,
+  /* 6444 */ 'v', 'm', 'r', 'e', 'a', 'd', 'l', 9, 0,
+  /* 6453 */ 'f', 'a', 'd', 'd', 'l', 9, 0,
+  /* 6460 */ 'f', 'i', 'a', 'd', 'd', 'l', 9, 0,
+  /* 6468 */ 'x', 'a', 'd', 'd', 'l', 9, 0,
+  /* 6475 */ 'r', 'd', 's', 'e', 'e', 'd', 'l', 9, 0,
+  /* 6484 */ 'f', 'l', 'd', 'l', 9, 0,
+  /* 6490 */ 's', 'h', 'l', 'd', 'l', 9, 0,
+  /* 6497 */ 'f', 'i', 'l', 'd', 'l', 9, 0,
+  /* 6504 */ 'r', 'd', 'r', 'a', 'n', 'd', 'l', 9, 0,
+  /* 6513 */ 's', 'h', 'r', 'd', 'l', 9, 0,
+  /* 6520 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'l', 9, 0,
+  /* 6532 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'l', 9, 0,
+  /* 6545 */ 'c', 'm', 'o', 'v', 'a', 'e', 'l', 9, 0,
+  /* 6554 */ 'c', 'm', 'o', 'v', 'b', 'e', 'l', 9, 0,
+  /* 6563 */ 'c', 'm', 'o', 'v', 'g', 'e', 'l', 9, 0,
+  /* 6572 */ 'c', 'm', 'o', 'v', 'l', 'e', 'l', 9, 0,
+  /* 6581 */ 'c', 'm', 'o', 'v', 'n', 'e', 'l', 9, 0,
+  /* 6590 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
+  /* 6601 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
+  /* 6612 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
+  /* 6623 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
+  /* 6634 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 'l', 9, 0,
+  /* 6644 */ 'c', 'm', 'o', 'v', 'e', 'l', 9, 0,
+  /* 6652 */ 'b', 's', 'f', 'l', 9, 0,
+  /* 6658 */ 'n', 'e', 'g', 'l', 9, 0,
+  /* 6664 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'l', 9, 0,
+  /* 6674 */ 'c', 'm', 'o', 'v', 'g', 'l', 9, 0,
+  /* 6682 */ 'p', 'u', 's', 'h', 'l', 9, 0,
+  /* 6689 */ 'b', 'z', 'h', 'i', 'l', 9, 0,
+  /* 6696 */ 'b', 'l', 's', 'i', 'l', 9, 0,
+  /* 6703 */ 'm', 'o', 'v', 'n', 't', 'i', 'l', 9, 0,
+  /* 6712 */ 'j', 'l', 9, 0,
+  /* 6716 */ 'b', 'l', 's', 'm', 's', 'k', 'l', 9, 0,
+  /* 6725 */ 's', 'a', 'l', 'l', 9, 0,
+  /* 6731 */ 'r', 'c', 'l', 'l', 9, 0,
+  /* 6737 */ 'f', 'i', 'l', 'd', 'l', 'l', 9, 0,
+  /* 6745 */ 's', 'h', 'l', 'l', 9, 0,
+  /* 6751 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6760 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6769 */ 'l', 'c', 'a', 'l', 'l', 'l', 9, 0,
+  /* 6777 */ 'r', 'o', 'l', 'l', 9, 0,
+  /* 6783 */ 'f', 'i', 's', 't', 'p', 'l', 'l', 9, 0,
+  /* 6792 */ 'f', 'i', 's', 't', 't', 'p', 'l', 'l', 9, 0,
+  /* 6802 */ 'l', 's', 'l', 'l', 9, 0,
+  /* 6808 */ 'f', 'm', 'u', 'l', 'l', 9, 0,
+  /* 6815 */ 'f', 'i', 'm', 'u', 'l', 'l', 9, 0,
+  /* 6823 */ 'c', 'm', 'o', 'v', 'l', 'l', 9, 0,
+  /* 6831 */ 'f', 'c', 'o', 'm', 'l', 9, 0,
+  /* 6838 */ 'f', 'i', 'c', 'o', 'm', 'l', 9, 0,
+  /* 6846 */ 'a', 'n', 'd', 'n', 'l', 9, 0,
+  /* 6853 */ 'i', 'n', 'l', 9, 0,
+  /* 6858 */ 'c', 'm', 'o', 'v', 'n', 'o', 'l', 9, 0,
+  /* 6867 */ 'c', 'm', 'o', 'v', 'o', 'l', 9, 0,
+  /* 6875 */ 'b', 's', 'w', 'a', 'p', 'l', 9, 0,
+  /* 6883 */ 'p', 'd', 'e', 'p', 'l', 9, 0,
+  /* 6890 */ 'c', 'm', 'p', 'l', 9, 0,
+  /* 6896 */ 'l', 'j', 'm', 'p', 'l', 9, 0,
+  /* 6903 */ 'f', 'c', 'o', 'm', 'p', 'l', 9, 0,
+  /* 6911 */ 'f', 'i', 'c', 'o', 'm', 'p', 'l', 9, 0,
+  /* 6920 */ 'c', 'm', 'o', 'v', 'n', 'p', 'l', 9, 0,
+  /* 6929 */ 'n', 'o', 'p', 'l', 9, 0,
+  /* 6935 */ 'p', 'o', 'p', 'l', 9, 0,
+  /* 6941 */ 'a', 'r', 'p', 'l', 9, 0,
+  /* 6947 */ 'f', 's', 't', 'p', 'l', 9, 0,
+  /* 6954 */ 'f', 'i', 's', 't', 'p', 'l', 9, 0,
+  /* 6962 */ 'f', 'i', 's', 't', 't', 'p', 'l', 9, 0,
+  /* 6971 */ 'c', 'm', 'o', 'v', 'p', 'l', 9, 0,
+  /* 6979 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
+  /* 6990 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
+  /* 7002 */ 'l', 'a', 'r', 'l', 9, 0,
+  /* 7008 */ 's', 'a', 'r', 'l', 9, 0,
+  /* 7014 */ 'f', 's', 'u', 'b', 'r', 'l', 9, 0,
+  /* 7022 */ 'f', 'i', 's', 'u', 'b', 'r', 'l', 9, 0,
+  /* 7031 */ 'r', 'c', 'r', 'l', 9, 0,
+  /* 7037 */ 's', 'h', 'r', 'l', 9, 0,
+  /* 7043 */ 'r', 'o', 'r', 'l', 9, 0,
+  /* 7049 */ 'x', 'o', 'r', 'l', 9, 0,
+  /* 7055 */ 'b', 's', 'r', 'l', 9, 0,
+  /* 7061 */ 'b', 'l', 's', 'r', 'l', 9, 0,
+  /* 7068 */ 'b', 't', 'r', 'l', 9, 0,
+  /* 7074 */ 's', 't', 'r', 'l', 9, 0,
+  /* 7080 */ 'b', 'e', 'x', 't', 'r', 'l', 9, 0,
+  /* 7088 */ 'f', 'd', 'i', 'v', 'r', 'l', 9, 0,
+  /* 7096 */ 'f', 'i', 'd', 'i', 'v', 'r', 'l', 9, 0,
+  /* 7105 */ 's', 'c', 'a', 's', 'l', 9, 0,
+  /* 7112 */ 'm', 'o', 'v', 'a', 'b', 's', 'l', 9, 0,
+  /* 7121 */ 'l', 'd', 's', 'l', 9, 0,
+  /* 7127 */ 'l', 'o', 'd', 's', 'l', 9, 0,
+  /* 7134 */ 'l', 'e', 's', 'l', 9, 0,
+  /* 7140 */ 'l', 'f', 's', 'l', 9, 0,
+  /* 7146 */ 'l', 'g', 's', 'l', 9, 0,
+  /* 7152 */ 'c', 'm', 'o', 'v', 'n', 's', 'l', 9, 0,
+  /* 7161 */ 'c', 'm', 'p', 's', 'l', 9, 0,
+  /* 7168 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'l', 9, 0,
+  /* 7180 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'l', 9, 0,
+  /* 7193 */ 'l', 's', 's', 'l', 9, 0,
+  /* 7199 */ 'b', 't', 's', 'l', 9, 0,
+  /* 7205 */ 'o', 'u', 't', 's', 'l', 9, 0,
+  /* 7212 */ 'c', 'm', 'o', 'v', 's', 'l', 9, 0,
+  /* 7220 */ 'b', 't', 'l', 9, 0,
+  /* 7225 */ 'l', 'g', 'd', 't', 'l', 9, 0,
+  /* 7232 */ 's', 'g', 'd', 't', 'l', 9, 0,
+  /* 7239 */ 'l', 'i', 'd', 't', 'l', 9, 0,
+  /* 7246 */ 's', 'i', 'd', 't', 'l', 9, 0,
+  /* 7253 */ 's', 'l', 'd', 't', 'l', 9, 0,
+  /* 7260 */ 'l', 'r', 'e', 't', 'l', 9, 0,
+  /* 7267 */ 's', 'e', 't', 'l', 9, 0,
+  /* 7273 */ 'p', 'o', 'p', 'c', 'n', 't', 'l', 9, 0,
+  /* 7282 */ 'l', 'z', 'c', 'n', 't', 'l', 9, 0,
+  /* 7290 */ 't', 'z', 'c', 'n', 't', 'l', 9, 0,
+  /* 7298 */ 'n', 'o', 't', 'l', 9, 0,
+  /* 7304 */ 't', 'e', 's', 't', 'l', 9, 0,
+  /* 7311 */ 'f', 's', 't', 'l', 9, 0,
+  /* 7317 */ 'f', 'i', 's', 't', 'l', 9, 0,
+  /* 7324 */ 'p', 'e', 'x', 't', 'l', 9, 0,
+  /* 7331 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
+  /* 7338 */ 'f', 'd', 'i', 'v', 'l', 9, 0,
+  /* 7345 */ 'f', 'i', 'd', 'i', 'v', 'l', 9, 0,
+  /* 7353 */ 'm', 'o', 'v', 'l', 9, 0,
+  /* 7359 */ 's', 'm', 's', 'w', 'l', 9, 0,
+  /* 7366 */ 'm', 'o', 'v', 's', 'w', 'l', 9, 0,
+  /* 7374 */ 'm', 'o', 'v', 'z', 'w', 'l', 9, 0,
+  /* 7382 */ 'a', 'd', 'c', 'x', 'l', 9, 0,
+  /* 7389 */ 's', 'h', 'l', 'x', 'l', 9, 0,
+  /* 7396 */ 'm', 'u', 'l', 'x', 'l', 9, 0,
+  /* 7403 */ 'a', 'd', 'o', 'x', 'l', 9, 0,
+  /* 7410 */ 's', 'a', 'r', 'x', 'l', 9, 0,
+  /* 7417 */ 's', 'h', 'r', 'x', 'l', 9, 0,
+  /* 7424 */ 'r', 'o', 'r', 'x', 'l', 9, 0,
+  /* 7431 */ 'a', 'a', 'm', 9, 0,
+  /* 7436 */ 'f', 'c', 'o', 'm', 9, 0,
+  /* 7442 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
+  /* 7449 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
+  /* 7457 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
+  /* 7469 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
+  /* 7481 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
+  /* 7489 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
+  /* 7497 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
+  /* 7504 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
+  /* 7511 */ 'j', 'o', 9, 0,
+  /* 7515 */ 'j', 'n', 'o', 9, 0,
+  /* 7520 */ 's', 'e', 't', 'n', 'o', 9, 0,
+  /* 7527 */ 's', 'e', 't', 'o', 9, 0,
+  /* 7533 */ 'f', 's', 'u', 'b', 'p', 9, 0,
+  /* 7540 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
+  /* 7547 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
+  /* 7554 */ 'j', 'p', 9, 0,
+  /* 7558 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
+  /* 7565 */ 'j', 'm', 'p', 9, 0,
+  /* 7570 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
+  /* 7577 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
+  /* 7585 */ 'j', 'n', 'p', 9, 0,
+  /* 7590 */ 's', 'e', 't', 'n', 'p', 9, 0,
+  /* 7597 */ 'l', 'o', 'o', 'p', 9, 0,
+  /* 7603 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
+  /* 7611 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
+  /* 7619 */ 's', 'e', 't', 'p', 9, 0,
+  /* 7625 */ 'f', 'b', 's', 't', 'p', 9, 0,
+  /* 7632 */ 'f', 's', 't', 'p', 9, 0,
+  /* 7638 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
+  /* 7648 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
+  /* 7659 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
+  /* 7670 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
+  /* 7686 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
+  /* 7693 */ 'c', 'r', 'c', '3', '2', 'q', 9, 0,
+  /* 7701 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
+  /* 7710 */ 'l', 'e', 'a', 'q', 9, 0,
+  /* 7716 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
+  /* 7724 */ 'c', 'm', 'o', 'v', 'a', 'q', 9, 0,
+  /* 7732 */ 's', 'b', 'b', 'q', 9, 0,
+  /* 7738 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
+  /* 7748 */ 'm', 'o', 'v', 's', 'b', 'q', 9, 0,
+  /* 7756 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
+  /* 7767 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
+  /* 7775 */ 'c', 'm', 'o', 'v', 'b', 'q', 9, 0,
+  /* 7783 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
+  /* 7794 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
+  /* 7805 */ 'm', 'o', 'v', 'z', 'b', 'q', 9, 0,
+  /* 7813 */ 'a', 'd', 'c', 'q', 9, 0,
+  /* 7819 */ 'd', 'e', 'c', 'q', 9, 0,
+  /* 7825 */ 'i', 'n', 'c', 'q', 9, 0,
+  /* 7831 */ 'b', 't', 'c', 'q', 9, 0,
+  /* 7837 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 7849 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 7860 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
+  /* 7869 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 7881 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 7892 */ 'v', 'm', 'r', 'e', 'a', 'd', 'q', 9, 0,
+  /* 7901 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
+  /* 7911 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
+  /* 7919 */ 'x', 'a', 'd', 'd', 'q', 9, 0,
+  /* 7926 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
+  /* 7936 */ 'r', 'd', 's', 'e', 'e', 'd', 'q', 9, 0,
+  /* 7945 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
+  /* 7957 */ 's', 'h', 'l', 'd', 'q', 9, 0,
+  /* 7964 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
+  /* 7976 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
+  /* 7985 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
+  /* 7994 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
+  /* 8003 */ 'r', 'd', 'r', 'a', 'n', 'd', 'q', 9, 0,
+  /* 8012 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
+  /* 8025 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
+  /* 8038 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
+  /* 8050 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
+  /* 8062 */ 's', 'h', 'r', 'd', 'q', 9, 0,
+  /* 8069 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'q', 9, 0,
+  /* 8081 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'q', 9, 0,
+  /* 8094 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
+  /* 8104 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
+  /* 8115 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
+  /* 8125 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
+  /* 8136 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
+  /* 8147 */ 'c', 'm', 'o', 'v', 'a', 'e', 'q', 9, 0,
+  /* 8156 */ 'c', 'm', 'o', 'v', 'b', 'e', 'q', 9, 0,
+  /* 8165 */ 'c', 'm', 'o', 'v', 'g', 'e', 'q', 9, 0,
+  /* 8174 */ 'c', 'm', 'o', 'v', 'l', 'e', 'q', 9, 0,
+  /* 8183 */ 'c', 'm', 'o', 'v', 'n', 'e', 'q', 9, 0,
+  /* 8192 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
+  /* 8201 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
+  /* 8212 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
+  /* 8223 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
+  /* 8234 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
+  /* 8245 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 'q', 9, 0,
+  /* 8255 */ 'f', 'x', 's', 'a', 'v', 'e', 'q', 9, 0,
+  /* 8264 */ 'c', 'm', 'o', 'v', 'e', 'q', 9, 0,
+  /* 8272 */ 'b', 's', 'f', 'q', 9, 0,
+  /* 8278 */ 'n', 'e', 'g', 'q', 9, 0,
+  /* 8284 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'q', 9, 0,
+  /* 8294 */ 'c', 'm', 'o', 'v', 'g', 'q', 9, 0,
+  /* 8302 */ 'p', 'u', 's', 'h', 'q', 9, 0,
+  /* 8309 */ 'b', 'z', 'h', 'i', 'q', 9, 0,
+  /* 8316 */ 'b', 'l', 's', 'i', 'q', 9, 0,
+  /* 8323 */ 'm', 'o', 'v', 'n', 't', 'i', 'q', 9, 0,
+  /* 8332 */ 'b', 'l', 's', 'm', 's', 'k', 'q', 9, 0,
+  /* 8341 */ 's', 'a', 'l', 'q', 9, 0,
+  /* 8347 */ 'r', 'c', 'l', 'q', 9, 0,
+  /* 8353 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
+  /* 8361 */ 'c', 'a', 'l', 'l', 'q', 9, 0,
+  /* 8368 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
+  /* 8376 */ 'r', 'o', 'l', 'q', 9, 0,
+  /* 8382 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
+  /* 8390 */ 'l', 's', 'l', 'q', 9, 0,
+  /* 8396 */ 'm', 'o', 'v', 's', 'l', 'q', 9, 0,
+  /* 8404 */ 'i', 'm', 'u', 'l', 'q', 9, 0,
+  /* 8411 */ 'c', 'm', 'o', 'v', 'l', 'q', 9, 0,
+  /* 8419 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
+  /* 8427 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
+  /* 8435 */ 'a', 'n', 'd', 'n', 'q', 9, 0,
+  /* 8442 */ 'c', 'm', 'o', 'v', 'n', 'o', 'q', 9, 0,
+  /* 8451 */ 'c', 'm', 'o', 'v', 'o', 'q', 9, 0,
+  /* 8459 */ 'b', 's', 'w', 'a', 'p', 'q', 9, 0,
+  /* 8467 */ 'p', 'd', 'e', 'p', 'q', 9, 0,
+  /* 8474 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
+  /* 8482 */ 'c', 'm', 'o', 'v', 'n', 'p', 'q', 9, 0,
+  /* 8491 */ 'p', 'o', 'p', 'q', 9, 0,
+  /* 8497 */ 'c', 'm', 'o', 'v', 'p', 'q', 9, 0,
+  /* 8505 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
+  /* 8515 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
+  /* 8527 */ 'l', 'a', 'r', 'q', 9, 0,
+  /* 8533 */ 's', 'a', 'r', 'q', 9, 0,
+  /* 8539 */ 'r', 'c', 'r', 'q', 9, 0,
+  /* 8545 */ 's', 'h', 'r', 'q', 9, 0,
+  /* 8551 */ 'r', 'o', 'r', 'q', 9, 0,
+  /* 8557 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 'q', 9, 0,
+  /* 8567 */ 'x', 'o', 'r', 'q', 9, 0,
+  /* 8573 */ 'b', 's', 'r', 'q', 9, 0,
+  /* 8579 */ 'b', 'l', 's', 'r', 'q', 9, 0,
+  /* 8586 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
+  /* 8595 */ 'b', 't', 'r', 'q', 9, 0,
+  /* 8601 */ 's', 't', 'r', 'q', 9, 0,
+  /* 8607 */ 'b', 'e', 'x', 't', 'r', 'q', 9, 0,
+  /* 8615 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
+  /* 8624 */ 's', 'c', 'a', 's', 'q', 9, 0,
+  /* 8631 */ 'm', 'o', 'v', 'a', 'b', 's', 'q', 9, 0,
+  /* 8640 */ 'l', 'o', 'd', 's', 'q', 9, 0,
+  /* 8647 */ 'l', 'f', 's', 'q', 9, 0,
+  /* 8653 */ 'l', 'g', 's', 'q', 9, 0,
+  /* 8659 */ 'c', 'm', 'o', 'v', 'n', 's', 'q', 9, 0,
+  /* 8668 */ 'c', 'm', 'p', 's', 'q', 9, 0,
+  /* 8675 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'q', 9, 0,
+  /* 8687 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'q', 9, 0,
+  /* 8700 */ 'l', 's', 's', 'q', 9, 0,
+  /* 8706 */ 'b', 't', 's', 'q', 9, 0,
+  /* 8712 */ 'c', 'm', 'o', 'v', 's', 'q', 9, 0,
+  /* 8720 */ 'b', 't', 'q', 9, 0,
+  /* 8725 */ 'l', 'g', 'd', 't', 'q', 9, 0,
+  /* 8732 */ 's', 'g', 'd', 't', 'q', 9, 0,
+  /* 8739 */ 'l', 'i', 'd', 't', 'q', 9, 0,
+  /* 8746 */ 's', 'i', 'd', 't', 'q', 9, 0,
+  /* 8753 */ 's', 'l', 'd', 't', 'q', 9, 0,
+  /* 8760 */ 'l', 'r', 'e', 't', 'q', 9, 0,
+  /* 8767 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
+  /* 8777 */ 'p', 'o', 'p', 'c', 'n', 't', 'q', 9, 0,
+  /* 8786 */ 'l', 'z', 'c', 'n', 't', 'q', 9, 0,
+  /* 8794 */ 't', 'z', 'c', 'n', 't', 'q', 9, 0,
+  /* 8802 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
+  /* 8810 */ 'n', 'o', 't', 'q', 9, 0,
+  /* 8816 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
+  /* 8824 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 'q', 9, 0,
+  /* 8835 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
+  /* 8844 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
+  /* 8858 */ 't', 'e', 's', 't', 'q', 9, 0,
+  /* 8865 */ 'p', 'e', 'x', 't', 'q', 9, 0,
+  /* 8872 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
+  /* 8881 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
+  /* 8890 */ 'i', 'd', 'i', 'v', 'q', 9, 0,
+  /* 8897 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
+  /* 8906 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
+  /* 8915 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
+  /* 8927 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
+  /* 8934 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
+  /* 8944 */ 's', 'm', 's', 'w', 'q', 9, 0,
+  /* 8951 */ 'm', 'o', 'v', 's', 'w', 'q', 9, 0,
+  /* 8959 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
+  /* 8970 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
+  /* 8981 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
+  /* 8992 */ 'm', 'o', 'v', 'z', 'w', 'q', 9, 0,
+  /* 9000 */ 'a', 'd', 'c', 'x', 'q', 9, 0,
+  /* 9007 */ 's', 'h', 'l', 'x', 'q', 9, 0,
+  /* 9014 */ 'm', 'u', 'l', 'x', 'q', 9, 0,
+  /* 9021 */ 'a', 'd', 'o', 'x', 'q', 9, 0,
+  /* 9028 */ 's', 'a', 'r', 'x', 'q', 9, 0,
+  /* 9035 */ 's', 'h', 'r', 'x', 'q', 9, 0,
+  /* 9042 */ 'r', 'o', 'r', 'x', 'q', 9, 0,
+  /* 9049 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
+  /* 9058 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
+  /* 9066 */ 'e', 'n', 't', 'e', 'r', 9, 0,
+  /* 9073 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
+  /* 9083 */ 'v', 'p', 'o', 'r', 9, 0,
+  /* 9089 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 9097 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 9106 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
+  /* 9113 */ 'v', 'e', 'r', 'r', 9, 0,
+  /* 9119 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 9129 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 9139 */ 'b', 'e', 'x', 't', 'r', 9, 0,
+  /* 9146 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
+  /* 9153 */ 'f', 's', 'u', 'b', 's', 9, 0,
+  /* 9160 */ 'f', 'i', 's', 'u', 'b', 's', 9, 0,
+  /* 9168 */ 'b', 'l', 'c', 's', 9, 0,
+  /* 9174 */ 'f', 'a', 'd', 'd', 's', 9, 0,
+  /* 9181 */ 'f', 'i', 'a', 'd', 'd', 's', 9, 0,
+  /* 9189 */ 'f', 'l', 'd', 's', 9, 0,
+  /* 9195 */ 'f', 'i', 'l', 'd', 's', 9, 0,
+  /* 9202 */ 'j', 's', 9, 0,
+  /* 9206 */ 'f', 'm', 'u', 'l', 's', 9, 0,
+  /* 9213 */ 'f', 'i', 'm', 'u', 'l', 's', 9, 0,
+  /* 9221 */ 'f', 'c', 'o', 'm', 's', 9, 0,
+  /* 9228 */ 'f', 'i', 'c', 'o', 'm', 's', 9, 0,
+  /* 9236 */ 'j', 'n', 's', 9, 0,
+  /* 9241 */ 's', 'e', 't', 'n', 's', 9, 0,
+  /* 9248 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9264 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9277 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9291 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9307 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9320 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9334 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9350 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9363 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9377 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9393 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9406 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9420 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
+  /* 9431 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
+  /* 9442 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
+  /* 9452 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
+  /* 9464 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
+  /* 9475 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9491 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9504 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9518 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9534 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9547 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9561 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
+  /* 9570 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9583 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9594 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9603 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9613 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9624 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9632 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 9645 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 9654 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 9664 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 9675 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 9683 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
+  /* 9691 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
+  /* 9701 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
+  /* 9711 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
+  /* 9723 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
+  /* 9732 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
+  /* 9743 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
+  /* 9753 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
+  /* 9762 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
+  /* 9773 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
+  /* 9783 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
+  /* 9794 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
+  /* 9805 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
+  /* 9813 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
+  /* 9822 */ 'f', 'c', 'o', 'm', 'p', 's', 9, 0,
+  /* 9830 */ 'f', 'i', 'c', 'o', 'm', 'p', 's', 9, 0,
+  /* 9839 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
+  /* 9848 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
+  /* 9857 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
+  /* 9865 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
+  /* 9873 */ 'v', 'd', 'p', 'p', 's', 9, 0,
+  /* 9880 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
+  /* 9888 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
+  /* 9900 */ 'v', 'o', 'r', 'p', 's', 9, 0,
+  /* 9907 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
+  /* 9915 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
+  /* 9927 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
+  /* 9937 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
+  /* 9948 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 9958 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 9967 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
+  /* 9976 */ 'f', 's', 't', 'p', 's', 9, 0,
+  /* 9983 */ 'f', 'i', 's', 't', 'p', 's', 9, 0,
+  /* 9991 */ 'f', 'i', 's', 't', 't', 'p', 's', 9, 0,
+  /* 10000 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
+  /* 10009 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
+  /* 10020 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
+  /* 10028 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
+  /* 10040 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
+  /* 10048 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
+  /* 10057 */ 'f', 's', 'u', 'b', 'r', 's', 9, 0,
+  /* 10065 */ 'f', 'i', 's', 'u', 'b', 'r', 's', 9, 0,
+  /* 10074 */ 'f', 'd', 'i', 'v', 'r', 's', 9, 0,
+  /* 10082 */ 'f', 'i', 'd', 'i', 'v', 'r', 's', 9, 0,
+  /* 10091 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 10104 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 10118 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 10131 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 10145 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 10158 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 10172 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 10185 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 10199 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
+  /* 10210 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 10223 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 10237 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 10250 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 10264 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 10274 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 10285 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 10293 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 10303 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 10314 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 10322 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
+  /* 10332 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 10342 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 10351 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
+  /* 10359 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
+  /* 10367 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
+  /* 10375 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
+  /* 10383 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
+  /* 10392 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 10402 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 10411 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
+  /* 10425 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
+  /* 10433 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
+  /* 10441 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
+  /* 10449 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
+  /* 10458 */ 's', 'e', 't', 's', 9, 0,
+  /* 10464 */ 'f', 's', 't', 's', 9, 0,
+  /* 10470 */ 'f', 'i', 's', 't', 's', 9, 0,
+  /* 10477 */ 'f', 'd', 'i', 'v', 's', 9, 0,
+  /* 10484 */ 'f', 'i', 'd', 'i', 'v', 's', 9, 0,
+  /* 10492 */ 'f', 'l', 'd', 't', 9, 0,
+  /* 10498 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
+  /* 10507 */ 'i', 'n', 't', 9, 0,
+  /* 10512 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
+  /* 10520 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
+  /* 10530 */ 'f', 's', 't', 'p', 't', 9, 0,
+  /* 10537 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
+  /* 10545 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
+  /* 10554 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 10567 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 10580 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
+  /* 10588 */ 'f', 's', 't', 9, 0,
+  /* 10593 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
+  /* 10611 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
+  /* 10620 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 0,
+  /* 10629 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
+  /* 10637 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 10650 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 10659 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 0,
+  /* 10667 */ 'f', 'd', 'i', 'v', 9, 0,
+  /* 10673 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
+  /* 10681 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
+  /* 10690 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
+  /* 10698 */ 'c', 'r', 'c', '3', '2', 'w', 9, 0,
+  /* 10706 */ 'l', 'e', 'a', 'w', 9, 0,
+  /* 10712 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
+  /* 10720 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
+  /* 10728 */ 'c', 'm', 'o', 'v', 'a', 'w', 9, 0,
+  /* 10736 */ 's', 'b', 'b', 'w', 9, 0,
+  /* 10742 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
+  /* 10752 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 10762 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 10771 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
+  /* 10781 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
+  /* 10793 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
+  /* 10805 */ 'm', 'o', 'v', 's', 'b', 'w', 9, 0,
+  /* 10813 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
+  /* 10824 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
+  /* 10833 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
+  /* 10841 */ 'c', 'm', 'o', 'v', 'b', 'w', 9, 0,
+  /* 10849 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
+  /* 10860 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
+  /* 10871 */ 'm', 'o', 'v', 'z', 'b', 'w', 9, 0,
+  /* 10879 */ 'a', 'd', 'c', 'w', 9, 0,
+  /* 10885 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
+  /* 10892 */ 'd', 'e', 'c', 'w', 9, 0,
+  /* 10898 */ 'i', 'n', 'c', 'w', 9, 0,
+  /* 10904 */ 'b', 't', 'c', 'w', 9, 0,
+  /* 10910 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
+  /* 10918 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
+  /* 10927 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
+  /* 10935 */ 'x', 'a', 'd', 'd', 'w', 9, 0,
+  /* 10942 */ 'r', 'd', 's', 'e', 'e', 'd', 'w', 9, 0,
+  /* 10951 */ 's', 'h', 'l', 'd', 'w', 9, 0,
+  /* 10958 */ 'r', 'd', 'r', 'a', 'n', 'd', 'w', 9, 0,
+  /* 10967 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
+  /* 10977 */ 's', 'h', 'r', 'd', 'w', 9, 0,
+  /* 10984 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
+  /* 10995 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
+  /* 11006 */ 'c', 'm', 'o', 'v', 'a', 'e', 'w', 9, 0,
+  /* 11015 */ 'c', 'm', 'o', 'v', 'b', 'e', 'w', 9, 0,
+  /* 11024 */ 'c', 'm', 'o', 'v', 'g', 'e', 'w', 9, 0,
+  /* 11033 */ 'c', 'm', 'o', 'v', 'l', 'e', 'w', 9, 0,
+  /* 11042 */ 'c', 'm', 'o', 'v', 'n', 'e', 'w', 9, 0,
+  /* 11051 */ 'c', 'm', 'o', 'v', 'e', 'w', 9, 0,
+  /* 11059 */ 'p', 'i', '2', 'f', 'w', 9, 0,
+  /* 11066 */ 'b', 's', 'f', 'w', 9, 0,
+  /* 11072 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
+  /* 11080 */ 'n', 'e', 'g', 'w', 9, 0,
+  /* 11086 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'w', 9, 0,
+  /* 11096 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
+  /* 11104 */ 'c', 'm', 'o', 'v', 'g', 'w', 9, 0,
+  /* 11112 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
+  /* 11123 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
+  /* 11133 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
+  /* 11142 */ 'p', 'u', 's', 'h', 'w', 9, 0,
+  /* 11149 */ 'p', 'f', '2', 'i', 'w', 9, 0,
+  /* 11156 */ 's', 'a', 'l', 'w', 9, 0,
+  /* 11162 */ 'r', 'c', 'l', 'w', 9, 0,
+  /* 11168 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
+  /* 11178 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
+  /* 11186 */ 'l', 'c', 'a', 'l', 'l', 'w', 9, 0,
+  /* 11194 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
+  /* 11202 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
+  /* 11211 */ 'r', 'o', 'l', 'w', 9, 0,
+  /* 11217 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
+  /* 11225 */ 'l', 's', 'l', 'w', 9, 0,
+  /* 11231 */ 'i', 'm', 'u', 'l', 'w', 9, 0,
+  /* 11238 */ 'c', 'm', 'o', 'v', 'l', 'w', 9, 0,
+  /* 11246 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
+  /* 11254 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
+  /* 11263 */ 'i', 'n', 'w', 9, 0,
+  /* 11268 */ 'c', 'm', 'o', 'v', 'n', 'o', 'w', 9, 0,
+  /* 11277 */ 'c', 'm', 'o', 'v', 'o', 'w', 9, 0,
+  /* 11285 */ 'c', 'm', 'p', 'w', 9, 0,
+  /* 11291 */ 'l', 'j', 'm', 'p', 'w', 9, 0,
+  /* 11298 */ 'c', 'm', 'o', 'v', 'n', 'p', 'w', 9, 0,
+  /* 11307 */ 'n', 'o', 'p', 'w', 9, 0,
+  /* 11313 */ 'p', 'o', 'p', 'w', 9, 0,
+  /* 11319 */ 'c', 'm', 'o', 'v', 'p', 'w', 9, 0,
+  /* 11327 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
+  /* 11337 */ 'l', 'a', 'r', 'w', 9, 0,
+  /* 11343 */ 's', 'a', 'r', 'w', 9, 0,
+  /* 11349 */ 'r', 'c', 'r', 'w', 9, 0,
+  /* 11355 */ 'v', 'e', 'r', 'w', 9, 0,
+  /* 11361 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
+  /* 11370 */ 's', 'h', 'r', 'w', 9, 0,
+  /* 11376 */ 'r', 'o', 'r', 'w', 9, 0,
+  /* 11382 */ 'x', 'o', 'r', 'w', 9, 0,
+  /* 11388 */ 'b', 's', 'r', 'w', 9, 0,
+  /* 11394 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
+  /* 11403 */ 'b', 't', 'r', 'w', 9, 0,
+  /* 11409 */ 'l', 't', 'r', 'w', 9, 0,
+  /* 11415 */ 's', 't', 'r', 'w', 9, 0,
+  /* 11421 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
+  /* 11430 */ 's', 'c', 'a', 's', 'w', 9, 0,
+  /* 11437 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
+  /* 11445 */ 'm', 'o', 'v', 'a', 'b', 's', 'w', 9, 0,
+  /* 11454 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
+  /* 11466 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 11476 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 11485 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 11495 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 11504 */ 'l', 'd', 's', 'w', 9, 0,
+  /* 11510 */ 'l', 'o', 'd', 's', 'w', 9, 0,
+  /* 11517 */ 'l', 'e', 's', 'w', 9, 0,
+  /* 11523 */ 'l', 'f', 's', 'w', 9, 0,
+  /* 11529 */ 'l', 'g', 's', 'w', 9, 0,
+  /* 11535 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
+  /* 11544 */ 'c', 'm', 'o', 'v', 'n', 's', 'w', 9, 0,
+  /* 11553 */ 'c', 'm', 'p', 's', 'w', 9, 0,
+  /* 11560 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
+  /* 11571 */ 'l', 's', 's', 'w', 9, 0,
+  /* 11577 */ 'b', 't', 's', 'w', 9, 0,
+  /* 11583 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
+  /* 11591 */ 'o', 'u', 't', 's', 'w', 9, 0,
+  /* 11598 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
+  /* 11608 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
+  /* 11618 */ 'c', 'm', 'o', 'v', 's', 'w', 9, 0,
+  /* 11626 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
+  /* 11635 */ 'b', 't', 'w', 9, 0,
+  /* 11640 */ 'l', 'g', 'd', 't', 'w', 9, 0,
+  /* 11647 */ 's', 'g', 'd', 't', 'w', 9, 0,
+  /* 11654 */ 'l', 'i', 'd', 't', 'w', 9, 0,
+  /* 11661 */ 's', 'i', 'd', 't', 'w', 9, 0,
+  /* 11668 */ 'l', 'l', 'd', 't', 'w', 9, 0,
+  /* 11675 */ 's', 'l', 'd', 't', 'w', 9, 0,
+  /* 11682 */ 'l', 'r', 'e', 't', 'w', 9, 0,
+  /* 11689 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
+  /* 11699 */ 'p', 'o', 'p', 'c', 'n', 't', 'w', 9, 0,
+  /* 11708 */ 'l', 'z', 'c', 'n', 't', 'w', 9, 0,
+  /* 11716 */ 't', 'z', 'c', 'n', 't', 'w', 9, 0,
+  /* 11724 */ 'n', 'o', 't', 'w', 9, 0,
+  /* 11730 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
+  /* 11738 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
+  /* 11752 */ 't', 'e', 's', 't', 'w', 9, 0,
+  /* 11759 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
+  /* 11769 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
+  /* 11778 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
+  /* 11787 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
+  /* 11800 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
+  /* 11809 */ 'i', 'd', 'i', 'v', 'w', 9, 0,
+  /* 11816 */ 'm', 'o', 'v', 'w', 9, 0,
+  /* 11822 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
+  /* 11832 */ 'l', 'm', 's', 'w', 'w', 9, 0,
+  /* 11839 */ 's', 'm', 's', 'w', 'w', 9, 0,
+  /* 11846 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
+  /* 11857 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
+  /* 11864 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 11877 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 11889 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
+  /* 11901 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'y', 9, 0,
+  /* 11914 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'y', 9, 0,
+  /* 11926 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'y', 9, 0,
+  /* 11938 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
+  /* 11945 */ 'j', 'c', 'x', 'z', 9, 0,
+  /* 11951 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
+  /* 11958 */ 'f', 's', 'u', 'b', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 11972 */ 'f', 'a', 'd', 'd', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 11986 */ 'f', 'm', 'u', 'l', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 12000 */ 'f', 's', 'u', 'b', 'r', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 12015 */ 'f', 'd', 'i', 'v', 'r', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 12030 */ 'f', 'd', 'i', 'v', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 12044 */ 'm', 'o', 'v', 'a', 'b', 's', 'b', 9, '%', 'a', 'l', ',', 32, 0,
+  /* 12058 */ 's', 't', 'o', 's', 'b', 9, '%', 'a', 'l', ',', 32, 0,
+  /* 12070 */ 'o', 'u', 't', 'b', 9, '%', 'a', 'l', ',', 32, 0,
+  /* 12081 */ 'm', 'o', 'v', 'b', 9, '%', 'a', 'l', ',', 32, 0,
+  /* 12092 */ 's', 'a', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12103 */ 'r', 'c', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12114 */ 's', 'h', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12125 */ 'r', 'o', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12136 */ 's', 'a', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12147 */ 'r', 'c', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12158 */ 's', 'h', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12169 */ 'r', 'o', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12180 */ 's', 'h', 'l', 'd', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12192 */ 's', 'h', 'r', 'd', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12204 */ 's', 'a', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12215 */ 'r', 'c', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12226 */ 's', 'h', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12237 */ 'r', 'o', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12248 */ 's', 'a', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12259 */ 'r', 'c', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12270 */ 's', 'h', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12281 */ 'r', 'o', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12292 */ 's', 'h', 'l', 'd', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12304 */ 's', 'h', 'r', 'd', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12316 */ 's', 'a', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12327 */ 'r', 'c', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12338 */ 's', 'h', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12349 */ 'r', 'o', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12360 */ 's', 'a', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12371 */ 'r', 'c', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12382 */ 's', 'h', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12393 */ 'r', 'o', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12404 */ 's', 'h', 'l', 'd', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12416 */ 's', 'h', 'r', 'd', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12428 */ 's', 'a', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12439 */ 'r', 'c', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12450 */ 's', 'h', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12461 */ 'r', 'o', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12472 */ 's', 'a', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12483 */ 'r', 'c', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12494 */ 's', 'h', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12505 */ 'r', 'o', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12516 */ 'm', 'o', 'v', 'a', 'b', 's', 'w', 9, '%', 'a', 'x', ',', 32, 0,
+  /* 12530 */ 's', 't', 'o', 's', 'w', 9, '%', 'a', 'x', ',', 32, 0,
+  /* 12542 */ 'o', 'u', 't', 'w', 9, '%', 'a', 'x', ',', 32, 0,
+  /* 12553 */ 'm', 'o', 'v', 'w', 9, '%', 'a', 'x', ',', 32, 0,
+  /* 12564 */ 'm', 'o', 'v', 'a', 'b', 's', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
+  /* 12579 */ 's', 't', 'o', 's', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
+  /* 12592 */ 'o', 'u', 't', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
+  /* 12604 */ 'm', 'o', 'v', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
+  /* 12616 */ 'm', 'o', 'v', 'a', 'b', 's', 'q', 9, '%', 'r', 'a', 'x', ',', 32, 0,
+  /* 12631 */ 's', 't', 'o', 's', 'q', 9, '%', 'r', 'a', 'x', ',', 32, 0,
+  /* 12644 */ 'i', 'n', 's', 'b', 9, '%', 'd', 'x', ',', 32, 0,
+  /* 12655 */ 'i', 'n', 's', 'l', 9, '%', 'd', 'x', ',', 32, 0,
+  /* 12666 */ 'i', 'n', 's', 'w', 9, '%', 'd', 'x', ',', 32, 0,
+  /* 12677 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12695 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12715 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12733 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12753 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12771 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12791 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12809 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12829 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
+  /* 12840 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
+  /* 12863 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'X', 'M', 'M', 32, 0,
+  /* 12877 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
+  /* 12901 */ '#', 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 32, 0,
+  /* 12918 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 12934 */ '#', 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 12949 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 32, 0,
+  /* 12963 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 32, 0,
+  /* 12977 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 12997 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13017 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13037 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13057 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13078 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13098 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13118 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13137 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13157 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13177 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13197 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13217 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13237 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13257 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13277 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13296 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13314 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13335 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13356 */ 'f', 's', 't', 'p', 'n', 'c', 'e', 9, '%', 's', 't', '(', '0', ')', ',', 32, '%', 's', 't', '(', '0', ')', 0,
+  /* 13379 */ 'f', 's', 't', 'p', 9, '%', 's', 't', '(', '0', ')', ',', 32, '%', 's', 't', '(', '7', ')', 0,
+  /* 13399 */ 'l', 'c', 'a', 'l', 'l', 'l', 9, '*', 0,
+  /* 13408 */ 'l', 'j', 'm', 'p', 'l', 9, '*', 0,
+  /* 13416 */ 'l', 'c', 'a', 'l', 'l', 'q', 9, '*', 0,
+  /* 13425 */ 'l', 'j', 'm', 'p', 'q', 9, '*', 0,
+  /* 13433 */ 'l', 'c', 'a', 'l', 'l', 'w', 9, '*', 0,
+  /* 13442 */ 'l', 'j', 'm', 'p', 'w', 9, '*', 0,
+  /* 13450 */ 'x', 's', 'h', 'a', '1', 0,
+  /* 13456 */ 'f', 'l', 'd', '1', 0,
+  /* 13461 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
+  /* 13468 */ 'f', '2', 'x', 'm', '1', 0,
+  /* 13474 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
+  /* 13482 */ 'i', 'n', 't', '1', 0,
+  /* 13487 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
+  /* 13506 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
+  /* 13524 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 13537 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 13550 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 13568 */ 'u', 'd', '2', 0,
+  /* 13572 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
+  /* 13579 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
+  /* 13586 */ 'i', 'n', 't', '3', 0,
+  /* 13591 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
+  /* 13610 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
+  /* 13628 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 13641 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 13654 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 13672 */ 'r', 'e', 'x', '6', '4', 0,
+  /* 13678 */ 'd', 'a', 't', 'a', '1', '6', 0,
+  /* 13685 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
+  /* 13693 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 13706 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 13713 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 13723 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
+  /* 13732 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
+  /* 13750 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
+  /* 13766 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
+  /* 13778 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 13793 */ 'a', 'a', 'a', 0,
+  /* 13797 */ 'd', 'a', 'a', 0,
+  /* 13801 */ 'u', 'd', '2', 'b', 0,
+  /* 13806 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
+  /* 13816 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
+  /* 13826 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
+  /* 13836 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'b', 0,
+  /* 13846 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'b', 0,
+  /* 13856 */ 'x', 'l', 'a', 't', 'b', 0,
+  /* 13862 */ 'c', 'l', 'a', 'c', 0,
+  /* 13867 */ 's', 't', 'a', 'c', 0,
+  /* 13872 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
+  /* 13882 */ 'g', 'e', 't', 's', 'e', 'c', 0,
+  /* 13889 */ 's', 'a', 'l', 'c', 0,
+  /* 13894 */ 'c', 'l', 'c', 0,
+  /* 13898 */ 'c', 'm', 'c', 0,
+  /* 13902 */ 'r', 'd', 'p', 'm', 'c', 0,
+  /* 13908 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
+  /* 13915 */ 'r', 'd', 't', 's', 'c', 0,
+  /* 13921 */ 's', 't', 'c', 0,
+  /* 13925 */ 'c', 'p', 'u', 'i', 'd', 0,
+  /* 13931 */ 'c', 'l', 'd', 0,
+  /* 13935 */ 'x', 'e', 'n', 'd', 0,
+  /* 13940 */ 'c', 'l', 't', 'd', 0,
+  /* 13945 */ 's', 't', 'd', 0,
+  /* 13949 */ 'c', 'w', 't', 'd', 0,
+  /* 13954 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
+  /* 13961 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
+  /* 13968 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 13975 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 13982 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 13989 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
+  /* 13996 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
+  /* 14005 */ 'r', 'e', 'p', 'n', 'e', 0,
+  /* 14011 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
+  /* 14020 */ 'x', 's', 't', 'o', 'r', 'e', 0,
+  /* 14027 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
+  /* 14036 */ 'p', 'a', 'u', 's', 'e', 0,
+  /* 14042 */ '#', 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 14056 */ '#', 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 14073 */ 'l', 'e', 'a', 'v', 'e', 0,
+  /* 14079 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
+  /* 14086 */ 'l', 'a', 'h', 'f', 0,
+  /* 14091 */ 's', 'a', 'h', 'f', 0,
+  /* 14096 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
+  /* 14105 */ 'c', 'l', 'g', 'i', 0,
+  /* 14110 */ 's', 't', 'g', 'i', 0,
+  /* 14115 */ 'c', 'l', 'i', 0,
+  /* 14119 */ 'f', 'l', 'd', 'p', 'i', 0,
+  /* 14125 */ 's', 't', 'i', 0,
+  /* 14129 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
+  /* 14144 */ 'l', 'o', 'c', 'k', 0,
+  /* 14149 */ 'i', 'n', 'b', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'l', 0,
+  /* 14162 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
+  /* 14169 */ 'p', 'o', 'p', 'a', 'l', 0,
+  /* 14175 */ 'p', 'u', 's', 'h', 'f', 'l', 0,
+  /* 14182 */ 'p', 'o', 'p', 'f', 'l', 0,
+  /* 14188 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 14196 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 14203 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
+  /* 14211 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
+  /* 14220 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'l', 0,
+  /* 14230 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'l', 0,
+  /* 14240 */ 'i', 'r', 'e', 't', 'l', 0,
+  /* 14246 */ 'l', 'r', 'e', 't', 'l', 0,
+  /* 14252 */ 's', 'y', 's', 'r', 'e', 't', 'l', 0,
+  /* 14260 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'l', 0,
+  /* 14269 */ 'c', 'w', 't', 'l', 0,
+  /* 14274 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
+  /* 14282 */ 'f', 'x', 'a', 'm', 0,
+  /* 14287 */ 'f', 'p', 'r', 'e', 'm', 0,
+  /* 14293 */ 'f', 's', 'e', 't', 'p', 'm', 0,
+  /* 14300 */ 'r', 's', 'm', 0,
+  /* 14304 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
+  /* 14311 */ 'f', 'p', 't', 'a', 'n', 0,
+  /* 14317 */ 'f', 's', 'i', 'n', 0,
+  /* 14322 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
+  /* 14349 */ 'i', 'n', 't', 'o', 0,
+  /* 14354 */ 'c', 'q', 't', 'o', 0,
+  /* 14359 */ 'r', 'd', 't', 's', 'c', 'p', 0,
+  /* 14366 */ 'r', 'e', 'p', 0,
+  /* 14370 */ 'v', 'p', 'c', 'm', 'p', 0,
+  /* 14376 */ 'v', 'c', 'm', 'p', 0,
+  /* 14381 */ 'f', 'n', 'o', 'p', 0,
+  /* 14386 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 14393 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 14401 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
+  /* 14409 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
+  /* 14417 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
+  /* 14424 */ 'p', 'o', 'p', 'f', 'q', 0,
+  /* 14430 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'q', 0,
+  /* 14440 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'q', 0,
+  /* 14450 */ 'i', 'r', 'e', 't', 'q', 0,
+  /* 14456 */ 'l', 'r', 'e', 't', 'q', 0,
+  /* 14462 */ 's', 'y', 's', 'r', 'e', 't', 'q', 0,
+  /* 14470 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'q', 0,
+  /* 14479 */ 'c', 'l', 't', 'q', 0,
+  /* 14484 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
+  /* 14495 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
+  /* 14504 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
+  /* 14512 */ 'r', 'd', 'm', 's', 'r', 0,
+  /* 14518 */ 'w', 'r', 'm', 's', 'r', 0,
+  /* 14524 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
+  /* 14534 */ 'a', 'a', 's', 0,
+  /* 14538 */ 'd', 'a', 's', 0,
+  /* 14542 */ 'f', 'a', 'b', 's', 0,
+  /* 14547 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'c', 's', 0,
+  /* 14557 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'c', 's', 0,
+  /* 14567 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'd', 's', 0,
+  /* 14577 */ 'p', 'o', 'p', 'l', 9, '%', 'd', 's', 0,
+  /* 14586 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'd', 's', 0,
+  /* 14596 */ 'p', 'o', 'p', 'w', 9, '%', 'd', 's', 0,
+  /* 14605 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'e', 's', 0,
+  /* 14615 */ 'p', 'o', 'p', 'l', 9, '%', 'e', 's', 0,
+  /* 14624 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'e', 's', 0,
+  /* 14634 */ 'p', 'o', 'p', 'w', 9, '%', 'e', 's', 0,
+  /* 14643 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'f', 's', 0,
+  /* 14653 */ 'p', 'o', 'p', 'l', 9, '%', 'f', 's', 0,
+  /* 14662 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'f', 's', 0,
+  /* 14672 */ 'p', 'o', 'p', 'q', 9, '%', 'f', 's', 0,
+  /* 14681 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'f', 's', 0,
+  /* 14691 */ 'p', 'o', 'p', 'w', 9, '%', 'f', 's', 0,
+  /* 14700 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'g', 's', 0,
+  /* 14710 */ 'p', 'o', 'p', 'l', 9, '%', 'g', 's', 0,
+  /* 14719 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'g', 's', 0,
+  /* 14729 */ 'p', 'o', 'p', 'q', 9, '%', 'g', 's', 0,
+  /* 14738 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'g', 's', 0,
+  /* 14748 */ 'p', 'o', 'p', 'w', 9, '%', 'g', 's', 0,
+  /* 14757 */ 's', 'w', 'a', 'p', 'g', 's', 0,
+  /* 14764 */ 'f', 'c', 'h', 's', 0,
+  /* 14769 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
+  /* 14814 */ 'e', 'n', 'c', 'l', 's', 0,
+  /* 14820 */ 'f', 'e', 'm', 'm', 's', 0,
+  /* 14826 */ 'f', 'c', 'o', 's', 0,
+  /* 14831 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
+  /* 14839 */ 'p', 'u', 's', 'h', 'l', 9, '%', 's', 's', 0,
+  /* 14849 */ 'p', 'o', 'p', 'l', 9, '%', 's', 's', 0,
+  /* 14858 */ 'p', 'u', 's', 'h', 'w', 9, '%', 's', 's', 0,
+  /* 14868 */ 'p', 'o', 'p', 'w', 9, '%', 's', 's', 0,
+  /* 14877 */ 'c', 'l', 't', 's', 0,
+  /* 14882 */ 'f', 'l', 'd', 'l', '2', 't', 0,
+  /* 14889 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
+  /* 14897 */ 'm', 'w', 'a', 'i', 't', 0,
+  /* 14903 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
+  /* 14910 */ 'h', 'l', 't', 0,
+  /* 14914 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
+  /* 14922 */ 'f', 's', 'q', 'r', 't', 0,
+  /* 14928 */ 'x', 't', 'e', 's', 't', 0,
+  /* 14934 */ 'f', 't', 's', 't', 0,
+  /* 14939 */ 'e', 'n', 'c', 'l', 'u', 0,
+  /* 14945 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
+  /* 14952 */ 'x', 's', 'e', 't', 'b', 'v', 0,
+  /* 14959 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
+  /* 14966 */ 'p', 'o', 'p', 'a', 'w', 0,
+  /* 14972 */ 'p', 'u', 's', 'h', 'f', 'w', 0,
+  /* 14979 */ 'p', 'o', 'p', 'f', 'w', 0,
+  /* 14985 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'w', 0,
+  /* 14995 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'w', 0,
+  /* 15005 */ 'c', 'b', 't', 'w', 0,
+  /* 15010 */ 'i', 'r', 'e', 't', 'w', 0,
+  /* 15016 */ 'l', 'r', 'e', 't', 'w', 0,
+  /* 15022 */ 'f', 'y', 'l', '2', 'x', 0,
+  /* 15028 */ 'f', 'n', 's', 't', 's', 'w', 9, '%', 'a', 'x', 0,
+  /* 15039 */ 'i', 'n', 'w', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'x', 0,
+  /* 15052 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'e', 'a', 'x', 0,
+  /* 15064 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'e', 'a', 'x', 0,
+  /* 15076 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'e', 'a', 'x', 0,
+  /* 15087 */ 's', 'k', 'i', 'n', 'i', 't', 9, '%', 'e', 'a', 'x', 0,
+  /* 15099 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
+  /* 15118 */ 'i', 'n', 'l', 9, '%', 'd', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
+  /* 15132 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'r', 'a', 'x', 0,
+  /* 15144 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'r', 'a', 'x', 0,
+  /* 15156 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'r', 'a', 'x', 0,
+  /* 15167 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'r', 'a', 'x', 0,
+  /* 15186 */ 'o', 'u', 't', 'b', 9, '%', 'a', 'l', ',', 32, '%', 'd', 'x', 0,
+  /* 15200 */ 'o', 'u', 't', 'w', 9, '%', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
+  /* 15214 */ 'o', 'u', 't', 'l', 9, '%', 'e', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
+  /* 15229 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
+  /* 15236 */ 'f', 'l', 'd', 'z', 0,
   };
 #endif
 
@@ -13852,6 +14124,7 @@
 	;
 #endif
 
+
   // Fragment 0 encoded into 7 bits for 79 unique commands.
   //printf("Frag-0: %"PRIu64"\n", (Bits >> 14) & 127);
   switch ((Bits >> 14) & 127) {
@@ -13880,11 +14153,11 @@
     SStream_concat0(O, ", "); 
     break;
   case 5:
-    // ADC32rm, ADD32rm, AND32rm, ANDN32rm, CMOVA32rm, CMOVAE32rm, CMOVB32rm,...
+    // ADC32rm, ADCX32rm, ADD32rm, AND32rm, ANDN32rm, CMOVA32rm, CMOVAE32rm, ...
     printi32mem(MI, 2, O); 
     break;
   case 6:
-    // ADC64rm, ADD64rm, AND64rm, ANDN64rm, CMOVA64rm, CMOVAE64rm, CMOVB64rm,...
+    // ADC64rm, ADCX64rm, ADD64rm, AND64rm, ANDN64rm, CMOVA64rm, CMOVAE64rm, ...
     printi64mem(MI, 2, O); 
     break;
   case 7:
@@ -13895,50 +14168,50 @@
     return;
     break;
   case 8:
-    // ADCX32rm, ADOX32rm, BLCFILL32rm, BLCI32rm, BLCIC32rm, BLCMSK32rm, BLCS...
-    printi32mem(MI, 1, O); 
-    break;
-  case 9:
-    // ADCX32rr, ADCX64rr, ADOX32rr, ADOX64rr, AESIMCrr, ARPL16rr, BLCFILL32r...
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, ", "); 
-    break;
-  case 10:
-    // ADCX64rm, ADOX64rm, BLCFILL64rm, BLCI64rm, BLCIC64rm, BLCMSK64rm, BLCS...
-    printi64mem(MI, 1, O); 
-    break;
-  case 11:
     // ADDPDrm, ADDPSrm, ADDSUBPDrm, ADDSUBPSrm, ANDNPDrm, ANDNPSrm, ANDPDrm,...
     printf128mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 12:
+  case 9:
     // ADDSDrm, ADDSDrm_Int, DIVSDrm, DIVSDrm_Int, Int_CVTSD2SSrm, Int_VCVTSD...
     printf64mem(MI, 2, O); 
     break;
-  case 13:
+  case 10:
     // ADDSSrm, ADDSSrm_Int, DIVSSrm, DIVSSrm_Int, Int_CVTSS2SDrm, Int_VCVTSS...
     printf32mem(MI, 2, O); 
     break;
-  case 14:
+  case 11:
     // ADD_F32m, DIVR_F32m, DIV_F32m, FBLDm, FBSTPm, FCOM32m, FCOMP32m, FLDEN...
     printf32mem(MI, 0, O); 
     return;
     break;
-  case 15:
+  case 12:
     // ADD_F64m, DIVR_F64m, DIV_F64m, FCOM64m, FCOMP64m, LD_F64m, MUL_F64m, S...
     printf64mem(MI, 0, O); 
     return;
     break;
-  case 16:
+  case 13:
     // ADD_FI16m, CALL16m, DEC16m, DEC64_16m, DIV16m, DIVR_FI16m, DIV_FI16m, ...
     printi16mem(MI, 0, O); 
     return;
     break;
-  case 17:
+  case 14:
     // ADD_FI32m, CALL32m, DEC32m, DEC64_32m, DIV32m, DIVR_FI32m, DIV_FI32m, ...
     printi32mem(MI, 0, O); 
     break;
+  case 15:
+    // ADOX32rm, BLCFILL32rm, BLCI32rm, BLCIC32rm, BLCMSK32rm, BLCS32rm, BLSF...
+    printi32mem(MI, 1, O); 
+    break;
+  case 16:
+    // ADOX32rr, ADOX64rr, AESIMCrr, ARPL16rr, BLCFILL32rr, BLCFILL64rr, BLCI...
+    printOperand(MI, 1, O); 
+    SStream_concat0(O, ", "); 
+    break;
+  case 17:
+    // ADOX64rm, BLCFILL64rm, BLCI64rm, BLCIC64rm, BLCMSK64rm, BLCS64rm, BLSF...
+    printi64mem(MI, 1, O); 
+    break;
   case 18:
     // AESDECLASTrm, AESDECrm, AESENCLASTrm, AESENCrm, PACKSSDWrm, PACKSSWBrm...
     printi128mem(MI, 2, O); 
@@ -14048,7 +14321,7 @@
     return;
     break;
   case 39:
-    // INSERTQI, VALIGNDrrikz, VALIGNQrrikz, VMOVSDZrrk, VMOVSSZrrk, VPADDDZr...
+    // INSERTQI, VALIGNDrrikz, VALIGNQrrikz, VFMADD213PDZrk, VFMADD213PDZrkz,...
     printOperand(MI, 4, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 3, O); 
@@ -14246,10 +14519,8 @@
     SStream_concat0(O, ", "); 
     break;
   case 76:
-    // VPADDDZrmbkz, VPANDDZrmbkz, VPANDNDZrmbkz, VPCONFLICTDrmbk, VPLZCNTDrm...
+    // VPADDDZrmbkz, VPANDDZrmbkz, VPANDNDZrmbkz, VPCMPEQDZ128rmbk, VPCMPEQDZ...
     printi32mem(MI, 3, O); 
-    SStream_concat0(O, "{1to16}, "); 
-	op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
     break;
   case 77:
     // VPADDDZrmk, VPADDQZrmk, VPANDDZrmk, VPANDNDZrmk, VPANDNQZrmk, VPANDQZr...
@@ -14262,15 +14533,13 @@
     printOperand(MI, 2, O); 
     break;
   case 78:
-    // VPADDQZrmbkz, VPANDNQZrmbkz, VPANDQZrmbkz, VPCONFLICTQrmbk, VPLZCNTQrm...
+    // VPADDQZrmbkz, VPANDNQZrmbkz, VPANDQZrmbkz, VPCMPEQQZ128rmbk, VPCMPEQQZ...
     printi64mem(MI, 3, O); 
-    SStream_concat0(O, "{1to8}, "); 
-	op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
     break;
   }
 
 
-  // Fragment 1 encoded into 7 bits for 71 unique commands.
+  // Fragment 1 encoded into 7 bits for 73 unique commands.
   //printf("Frag-1: %"PRIu64"\n", (Bits >> 21) & 127);
   switch ((Bits >> 21) & 127) {
   default:   // unreachable.
@@ -14606,29 +14875,39 @@
     return;
     break;
   case 60:
+    // VPCMPEQDZ128rmb, VPCMPEQDZ128rmbk, VPCMPEQQZ256rmb, VPCMPEQQZ256rmbk, ...
+    SStream_concat0(O, "{1to4}, "); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_4);
+    break;
+  case 61:
+    // VPCMPEQQZ128rmb, VPCMPEQQZ128rmbk, VPCMPGTQZ128rmb, VPCMPGTQZ128rmbk
+    SStream_concat0(O, "{1to2}, "); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_2);
+    break;
+  case 62:
     // VPCMPQZrmi, VPCMPQZrri
     SStream_concat0(O, "q\t"); 
     break;
-  case 61:
+  case 63:
     // VPCMPUDZrmi, VPCMPUDZrri
     SStream_concat0(O, "ud\t"); 
     break;
-  case 62:
+  case 64:
     // VPCMPUQZrmi, VPCMPUQZrri
     SStream_concat0(O, "uq\t"); 
     break;
-  case 63:
+  case 65:
     // VPERMI2Drmkz, VPERMI2PDrmkz, VPERMI2PSrmkz, VPERMI2Qrmkz, VPERMT2Drmkz...
     SStream_concat0(O, "} {z}"); 
 	op_addAvxZeroOpmask(MI);
     return;
     break;
-  case 64:
+  case 66:
     // VPERMIL2PDmr, VPERMIL2PDmrY, VPERMIL2PSmr, VPERMIL2PSmrY
     printOperand(MI, 7, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 65:
+  case 67:
     // VPERMIL2PDrm, VPERMIL2PSrm
     printf128mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
@@ -14639,7 +14918,7 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 66:
+  case 68:
     // VPERMIL2PDrmY, VPERMIL2PSrmY
     printf256mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
@@ -14650,28 +14929,28 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 67:
+  case 69:
     // VPERMILPDYmi, VPERMILPSYmi, VROUNDYPDm, VROUNDYPSm
     printf256mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 68:
+  case 70:
     // VPERMILPDZmi, VPERMILPSZmi, VPERMQZmi, VPSHUFDZmi, VPSLLDZmi, VPSLLQZm...
     printi512mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 69:
+  case 71:
     // VPERMPDYmi, VPERMQYmi, VPSHUFDYmi, VPSHUFHWYmi, VPSHUFLWYmi
     printi256mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 70:
+  case 72:
     // VPERMPDZmi, VRNDSCALEPDZm, VRNDSCALEPSZm
     printf512mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
@@ -14783,7 +15062,7 @@
     return;
     break;
   case 19:
-    // VBROADCASTI32X4krm, VBROADCASTI64X4krm, VMOVAPDZ128mrk, VMOVAPDZ128rmk...
+    // VBROADCASTI32X4krm, VBROADCASTI64X4krm, VFMADD213PDZrk, VFMADD213PDZrk...
     SStream_concat0(O, " {"); 
     break;
   case 20:
@@ -14877,19 +15156,19 @@
     printOperand(MI, 1, O); 
     break;
   case 4:
+    // VFMADD213PDZrk, VFMADD213PDZrkz, VFMADD213PSZrk, VFMADD213PSZrkz, VFMA...
+    printOperand(MI, 2, O); 
+    break;
+  case 5:
     // VGATHERDPDZrm, VGATHERDPSZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPABSDZrmb...
     SStream_concat0(O, " {"); 
     break;
-  case 5:
+  case 6:
     // VMOVAPDZ128mrk, VMOVAPDZ256mrk, VMOVAPDZmrk, VMOVAPSZ128mrk, VMOVAPSZ2...
     printOperand(MI, 5, O); 
     SStream_concat0(O, "}"); 
     return;
     break;
-  case 6:
-    // VMOVAPDZ128rmk, VMOVAPDZ128rrk, VMOVAPDZ128rrk_alt, VMOVAPDZ256rmk, VM...
-    printOperand(MI, 2, O); 
-    break;
   case 7:
     // VPSCATTERDDZmr, VPSCATTERDQZmr, VPSCATTERQDZmr, VPSCATTERQQZmr, VSCATT...
     printOperand(MI, 6, O); 
@@ -14924,24 +15203,23 @@
     printOperand(MI, 1, O); 
     break;
   case 4:
-    // VASTART_SAVE_XMM_REGS
+    // VASTART_SAVE_XMM_REGS, VPCONFLICTDrmbk, VPCONFLICTQrmbk, VPLZCNTDrmbk,...
     printOperand(MI, 2, O); 
-    return;
     break;
   case 5:
-    // VBROADCASTI32X4krm, VBROADCASTI64X4krm, VMOVAPDZ128rmkz, VMOVAPDZ128rr...
+    // VBROADCASTI32X4krm, VBROADCASTI64X4krm, VFMADD213PDZrkz, VFMADD213PSZr...
     SStream_concat0(O, "} {z}"); 
 	op_addAvxZeroOpmask(MI);
     return;
     break;
   case 6:
-    // VGATHERDPDZrm, VGATHERDPSZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDD...
-    printOperand(MI, 3, O); 
+    // VFMADD213PDZrk, VFMADD213PSZrk, VFMADDSUB213PDZrk, VFMADDSUB213PSZrk, ...
     SStream_concat0(O, "}"); 
     return;
     break;
   case 7:
-    // VMOVAPDZ128rmk, VMOVAPDZ128rrk, VMOVAPDZ128rrk_alt, VMOVAPDZ256rmk, VM...
+    // VGATHERDPDZrm, VGATHERDPSZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDD...
+    printOperand(MI, 3, O); 
     SStream_concat0(O, "}"); 
     return;
     break;
@@ -14963,7 +15241,7 @@
   }
 
 
-  // Fragment 5 encoded into 2 bits for 3 unique commands.
+  // Fragment 5 encoded into 2 bits for 4 unique commands.
   //printf("Frag-5: %"PRIu64"\n", (Bits >> 40) & 3);
   switch ((Bits >> 40) & 3) {
   default:   // unreachable.
@@ -14983,6 +15261,10 @@
     SStream_concat0(O, "} "); 
     return;
     break;
+  case 3:
+    // VASTART_SAVE_XMM_REGS
+    return;
+    break;
   }
 }
 
diff --git a/arch/X86/X86GenAsmWriter1.inc b/arch/X86/X86GenAsmWriter1.inc
index e81df54..42d231c 100644
--- a/arch/X86/X86GenAsmWriter1.inc
+++ b/arch/X86/X86GenAsmWriter1.inc
@@ -25,131 +25,131 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    11153U,	// DBG_VALUE
+    11109U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    11146U,	// BUNDLE
-    11218U,	// LIFETIME_START
-    11133U,	// LIFETIME_END
+    11102U,	// BUNDLE
+    11174U,	// LIFETIME_START
+    11089U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
     0U,	// LOAD_STACK_GUARD
-    11233U,	// AAA
-    20300U,	// AAD8i8
-    22682U,	// AAM8i8
-    11945U,	// AAS
-    11953U,	// ABS_F
+    11189U,	// AAA
+    20256U,	// AAD8i8
+    22638U,	// AAM8i8
+    11901U,	// AAS
+    11909U,	// ABS_F
     0U,	// ABS_Fp32
     0U,	// ABS_Fp64
     0U,	// ABS_Fp80
-    10809U,	// ACQUIRE_MOV16rm
-    10809U,	// ACQUIRE_MOV32rm
-    10809U,	// ACQUIRE_MOV64rm
-    10809U,	// ACQUIRE_MOV8rm
-    26282U,	// ADC16i16
-    1085196U,	// ADC16mi
-    1085196U,	// ADC16mi8
-    1085196U,	// ADC16mr
-    35704588U,	// ADC16ri
-    35704588U,	// ADC16ri8
-    3198732U,	// ADC16rm
-    35704588U,	// ADC16rr
-    68177676U,	// ADC16rr_REV
-    26418U,	// ADC32i32
-    1117964U,	// ADC32mi
-    1117964U,	// ADC32mi8
-    1117964U,	// ADC32mr
-    35704588U,	// ADC32ri
-    35704588U,	// ADC32ri8
-    4247308U,	// ADC32rm
-    35704588U,	// ADC32rr
-    68177676U,	// ADC32rr_REV
-    26566U,	// ADC64i32
-    1134348U,	// ADC64mi32
-    1134348U,	// ADC64mi8
-    1134348U,	// ADC64mr
-    35704588U,	// ADC64ri32
-    35704588U,	// ADC64ri8
-    5295884U,	// ADC64rm
-    35704588U,	// ADC64rr
-    68177676U,	// ADC64rr_REV
-    26180U,	// ADC8i8
-    1150732U,	// ADC8mi
-    1150732U,	// ADC8mr
-    35704588U,	// ADC8ri
-    6344460U,	// ADC8rm
-    35704588U,	// ADC8rr
-    68177676U,	// ADC8rr_REV
-    101737773U,	// ADCX32rm
-    135292205U,	// ADCX32rr
-    168846637U,	// ADCX64rm
-    135292205U,	// ADCX64rr
-    26291U,	// ADD16i16
-    1085351U,	// ADD16mi
-    1085351U,	// ADD16mi8
-    1085351U,	// ADD16mr
-    35704743U,	// ADD16ri
-    35704743U,	// ADD16ri8
+    10765U,	// ACQUIRE_MOV16rm
+    10765U,	// ACQUIRE_MOV32rm
+    10765U,	// ACQUIRE_MOV64rm
+    10765U,	// ACQUIRE_MOV8rm
+    26238U,	// ADC16i16
+    1085152U,	// ADC16mi
+    1085152U,	// ADC16mi8
+    1085152U,	// ADC16mr
+    35704544U,	// ADC16ri
+    35704544U,	// ADC16ri8
+    3198688U,	// ADC16rm
+    35704544U,	// ADC16rr
+    68177632U,	// ADC16rr_REV
+    26374U,	// ADC32i32
+    1117920U,	// ADC32mi
+    1117920U,	// ADC32mi8
+    1117920U,	// ADC32mr
+    35704544U,	// ADC32ri
+    35704544U,	// ADC32ri8
+    4247264U,	// ADC32rm
+    35704544U,	// ADC32rr
+    68177632U,	// ADC32rr_REV
+    26522U,	// ADC64i32
+    1134304U,	// ADC64mi32
+    1134304U,	// ADC64mi8
+    1134304U,	// ADC64mr
+    35704544U,	// ADC64ri32
+    35704544U,	// ADC64ri8
+    5295840U,	// ADC64rm
+    35704544U,	// ADC64rr
+    68177632U,	// ADC64rr_REV
+    26136U,	// ADC8i8
+    1150688U,	// ADC8mi
+    1150688U,	// ADC8mr
+    35704544U,	// ADC8ri
+    6344416U,	// ADC8rm
+    35704544U,	// ADC8rr
+    68177632U,	// ADC8rr_REV
+    101737729U,	// ADCX32rm
+    68183297U,	// ADCX32rr
+    135292161U,	// ADCX64rm
+    68183297U,	// ADCX64rr
+    26247U,	// ADD16i16
+    1085307U,	// ADD16mi
+    1085307U,	// ADD16mi8
+    1085307U,	// ADD16mr
+    35704699U,	// ADD16ri
+    35704699U,	// ADD16ri8
     0U,	// ADD16ri8_DB
     0U,	// ADD16ri_DB
-    3198887U,	// ADD16rm
-    35704743U,	// ADD16rr
+    3198843U,	// ADD16rm
+    35704699U,	// ADD16rr
     0U,	// ADD16rr_DB
-    68177831U,	// ADD16rr_REV
-    26428U,	// ADD32i32
-    1118119U,	// ADD32mi
-    1118119U,	// ADD32mi8
-    1118119U,	// ADD32mr
-    35704743U,	// ADD32ri
-    35704743U,	// ADD32ri8
+    68177787U,	// ADD16rr_REV
+    26384U,	// ADD32i32
+    1118075U,	// ADD32mi
+    1118075U,	// ADD32mi8
+    1118075U,	// ADD32mr
+    35704699U,	// ADD32ri
+    35704699U,	// ADD32ri8
     0U,	// ADD32ri8_DB
     0U,	// ADD32ri_DB
-    4247463U,	// ADD32rm
-    35704743U,	// ADD32rr
+    4247419U,	// ADD32rm
+    35704699U,	// ADD32rr
     0U,	// ADD32rr_DB
-    68177831U,	// ADD32rr_REV
-    26576U,	// ADD64i32
-    1134503U,	// ADD64mi32
-    1134503U,	// ADD64mi8
-    1134503U,	// ADD64mr
-    35704743U,	// ADD64ri32
+    68177787U,	// ADD32rr_REV
+    26532U,	// ADD64i32
+    1134459U,	// ADD64mi32
+    1134459U,	// ADD64mi8
+    1134459U,	// ADD64mr
+    35704699U,	// ADD64ri32
     0U,	// ADD64ri32_DB
-    35704743U,	// ADD64ri8
+    35704699U,	// ADD64ri8
     0U,	// ADD64ri8_DB
-    5296039U,	// ADD64rm
-    35704743U,	// ADD64rr
+    5295995U,	// ADD64rm
+    35704699U,	// ADD64rr
     0U,	// ADD64rr_DB
-    68177831U,	// ADD64rr_REV
-    26189U,	// ADD8i8
-    1150887U,	// ADD8mi
-    1150887U,	// ADD8mr
-    35704743U,	// ADD8ri
-    35704743U,	// ADD8ri8
-    6344615U,	// ADD8rm
-    35704743U,	// ADD8rr
-    68177831U,	// ADD8rr_REV
-    202396179U,	// ADDPDrm
-    68178451U,	// ADDPDrr
-    202399397U,	// ADDPSrm
-    68181669U,	// ADDPSrr
-    235951218U,	// ADDSDrm
-    235951218U,	// ADDSDrm_Int
-    68179058U,	// ADDSDrr
-    68179058U,	// ADDSDrr_Int
-    269508867U,	// ADDSSrm
-    269508867U,	// ADDSSrm_Int
-    68182275U,	// ADDSSrr
-    68182275U,	// ADDSSrr_Int
-    202396114U,	// ADDSUBPDrm
-    68178386U,	// ADDSUBPDrr
-    202399332U,	// ADDSUBPSrm
-    68181604U,	// ADDSUBPSrr
-    118694U,	// ADD_F32m
-    135078U,	// ADD_F64m
-    36780U,	// ADD_FI16m
-    69548U,	// ADD_FI32m
-    22827U,	// ADD_FPrST0
-    20390U,	// ADD_FST0r
+    68177787U,	// ADD64rr_REV
+    26145U,	// ADD8i8
+    1150843U,	// ADD8mi
+    1150843U,	// ADD8mr
+    35704699U,	// ADD8ri
+    35704699U,	// ADD8ri8
+    6344571U,	// ADD8rm
+    35704699U,	// ADD8rr
+    68177787U,	// ADD8rr_REV
+    168841703U,	// ADDPDrm
+    68178407U,	// ADDPDrr
+    168844921U,	// ADDPSrm
+    68181625U,	// ADDPSrr
+    202396742U,	// ADDSDrm
+    202396742U,	// ADDSDrm_Int
+    68179014U,	// ADDSDrr
+    68179014U,	// ADDSDrr_Int
+    235954391U,	// ADDSSrm
+    235954391U,	// ADDSSrm_Int
+    68182231U,	// ADDSSrr
+    68182231U,	// ADDSSrr_Int
+    168841638U,	// ADDSUBPDrm
+    68178342U,	// ADDSUBPDrr
+    168844856U,	// ADDSUBPSrm
+    68181560U,	// ADDSUBPSrr
+    118650U,	// ADD_F32m
+    135034U,	// ADD_F64m
+    36736U,	// ADD_FI16m
+    69504U,	// ADD_FI32m
+    22783U,	// ADD_FPrST0
+    20346U,	// ADD_FST0r
     0U,	// ADD_Fp32
     0U,	// ADD_Fp32m
     0U,	// ADD_Fp64
@@ -164,543 +164,542 @@
     0U,	// ADD_FpI32m32
     0U,	// ADD_FpI32m64
     0U,	// ADD_FpI32m80
-    7360422U,	// ADD_FrST0
-    11172U,	// ADJCALLSTACKDOWN32
-    11172U,	// ADJCALLSTACKDOWN64
-    11190U,	// ADJCALLSTACKUP32
-    11190U,	// ADJCALLSTACKUP64
-    101737791U,	// ADOX32rm
-    135292223U,	// ADOX32rr
-    168846655U,	// ADOX64rm
-    135292223U,	// ADOX64rr
-    303063601U,	// AESDECLASTrm
-    68182577U,	// AESDECLASTrr
-    303058706U,	// AESDECrm
-    68177682U,	// AESDECrr
-    303063614U,	// AESENCLASTrm
-    68182590U,	// AESENCLASTrr
-    303058746U,	// AESENCrm
-    68177722U,	// AESENCrr
-    336613169U,	// AESIMCrm
-    135286577U,	// AESIMCrr
-    2484101726U,	// AESKEYGENASSIST128rm
-    2282775134U,	// AESKEYGENASSIST128rr
-    26300U,	// AND16i16
-    1085555U,	// AND16mi
-    1085555U,	// AND16mi8
-    1085555U,	// AND16mr
-    35704947U,	// AND16ri
-    35704947U,	// AND16ri8
-    3199091U,	// AND16rm
-    35704947U,	// AND16rr
-    68178035U,	// AND16rr_REV
-    26438U,	// AND32i32
-    1118323U,	// AND32mi
-    1118323U,	// AND32mi8
-    1118323U,	// AND32mr
-    35704947U,	// AND32ri
-    35704947U,	// AND32ri8
-    4247667U,	// AND32rm
-    35704947U,	// AND32rr
-    68178035U,	// AND32rr_REV
-    26586U,	// AND64i32
-    1134707U,	// AND64mi32
-    1134707U,	// AND64mi8
-    1134707U,	// AND64mr
-    35704947U,	// AND64ri32
-    35704947U,	// AND64ri8
-    5296243U,	// AND64rm
-    35704947U,	// AND64rr
-    68178035U,	// AND64rr_REV
-    26198U,	// AND8i8
-    1151091U,	// AND8mi
-    1151091U,	// AND8mr
-    35704947U,	// AND8ri
-    35704947U,	// AND8ri8
-    6344819U,	// AND8rm
-    35704947U,	// AND8rr
-    68178035U,	// AND8rr_REV
-    2282772693U,	// ANDN32rm
-    2282772693U,	// ANDN32rr
-    2282772693U,	// ANDN64rm
-    2282772693U,	// ANDN64rr
-    202396361U,	// ANDNPDrm
-    68178633U,	// ANDNPDrr
-    202399591U,	// ANDNPSrm
-    68181863U,	// ANDNPSrr
-    202396225U,	// ANDPDrm
-    68178497U,	// ANDPDrr
-    202399443U,	// ANDPSrm
-    68181715U,	// ANDPSrr
-    1087581U,	// ARPL16mr
-    135288925U,	// ARPL16rr
+    7360378U,	// ADD_FrST0
+    11128U,	// ADJCALLSTACKDOWN32
+    11128U,	// ADJCALLSTACKDOWN64
+    11146U,	// ADJCALLSTACKUP32
+    11146U,	// ADJCALLSTACKUP64
+    269509907U,	// ADOX32rm
+    303064339U,	// ADOX32rr
+    336618771U,	// ADOX64rm
+    303064339U,	// ADOX64rr
+    370172421U,	// AESDECLASTrm
+    68182533U,	// AESDECLASTrr
+    370167526U,	// AESDECrm
+    68177638U,	// AESDECrr
+    370172434U,	// AESENCLASTrm
+    68182546U,	// AESENCLASTrr
+    370167566U,	// AESENCrm
+    68177678U,	// AESENCrr
+    403721989U,	// AESIMCrm
+    303058693U,	// AESIMCrr
+    2551210546U,	// AESKEYGENASSIST128rm
+    2450547250U,	// AESKEYGENASSIST128rr
+    26256U,	// AND16i16
+    1085511U,	// AND16mi
+    1085511U,	// AND16mi8
+    1085511U,	// AND16mr
+    35704903U,	// AND16ri
+    35704903U,	// AND16ri8
+    3199047U,	// AND16rm
+    35704903U,	// AND16rr
+    68177991U,	// AND16rr_REV
+    26394U,	// AND32i32
+    1118279U,	// AND32mi
+    1118279U,	// AND32mi8
+    1118279U,	// AND32mr
+    35704903U,	// AND32ri
+    35704903U,	// AND32ri8
+    4247623U,	// AND32rm
+    35704903U,	// AND32rr
+    68177991U,	// AND32rr_REV
+    26542U,	// AND64i32
+    1134663U,	// AND64mi32
+    1134663U,	// AND64mi8
+    1134663U,	// AND64mr
+    35704903U,	// AND64ri32
+    35704903U,	// AND64ri8
+    5296199U,	// AND64rm
+    35704903U,	// AND64rr
+    68177991U,	// AND64rr_REV
+    26154U,	// AND8i8
+    1151047U,	// AND8mi
+    1151047U,	// AND8mr
+    35704903U,	// AND8ri
+    35704903U,	// AND8ri8
+    6344775U,	// AND8rm
+    35704903U,	// AND8rr
+    68177991U,	// AND8rr_REV
+    2450544809U,	// ANDN32rm
+    2450544809U,	// ANDN32rr
+    2450544809U,	// ANDN64rm
+    2450544809U,	// ANDN64rr
+    168841885U,	// ANDNPDrm
+    68178589U,	// ANDNPDrr
+    168845115U,	// ANDNPSrm
+    68181819U,	// ANDNPSrr
+    168841749U,	// ANDPDrm
+    68178453U,	// ANDPDrr
+    168844967U,	// ANDPSrm
+    68181671U,	// ANDPSrr
+    1087537U,	// ARPL16mr
+    303061041U,	// ARPL16rr
     0U,	// AVX2_SETALLONES
     0U,	// AVX512_512_SET0
     0U,	// AVX_SET0
-    2249219279U,	// BEXTR32rm
-    2282773711U,	// BEXTR32rr
-    2316328143U,	// BEXTR64rm
-    2282773711U,	// BEXTR64rr
-    2249219279U,	// BEXTRI32mi
-    2282773711U,	// BEXTRI32ri
-    2316328143U,	// BEXTRI64mi
-    2282773711U,	// BEXTRI64ri
-    101734470U,	// BLCFILL32rm
-    135288902U,	// BLCFILL32rr
-    168843334U,	// BLCFILL64rm
-    135288902U,	// BLCFILL64rr
-    101734251U,	// BLCI32rm
-    135288683U,	// BLCI32rr
-    168843115U,	// BLCI64rm
-    135288683U,	// BLCI64rr
-    101732122U,	// BLCIC32rm
-    135286554U,	// BLCIC32rr
-    168840986U,	// BLCIC64rm
-    135286554U,	// BLCIC64rr
-    101734421U,	// BLCMSK32rm
-    135288853U,	// BLCMSK32rr
-    168843285U,	// BLCMSK64rm
-    135288853U,	// BLCMSK64rr
-    101735661U,	// BLCS32rm
-    135290093U,	// BLCS32rr
-    168844525U,	// BLCS64rm
-    135290093U,	// BLCS64rr
-    2349879881U,	// BLENDPDrmi
-    2215662153U,	// BLENDPDrri
-    2349883099U,	// BLENDPSrmi
-    2215665371U,	// BLENDPSrri
-    202396457U,	// BLENDVPDrm0
-    68178729U,	// BLENDVPDrr0
-    202399728U,	// BLENDVPSrm0
-    68182000U,	// BLENDVPSrr0
-    101734479U,	// BLSFILL32rm
-    135288911U,	// BLSFILL32rr
-    168843343U,	// BLSFILL64rm
-    135288911U,	// BLSFILL64rr
-    101734407U,	// BLSI32rm
-    135288839U,	// BLSI32rr
-    168843271U,	// BLSI64rm
-    135288839U,	// BLSI64rr
-    101732129U,	// BLSIC32rm
-    135286561U,	// BLSIC32rr
-    168840993U,	// BLSIC64rm
-    135286561U,	// BLSIC64rr
-    101734429U,	// BLSMSK32rm
-    135288861U,	// BLSMSK32rr
-    168843293U,	// BLSMSK64rm
-    135288861U,	// BLSMSK64rr
-    101735610U,	// BLSR32rm
-    135290042U,	// BLSR32rr
-    168844474U,	// BLSR64rm
-    135290042U,	// BLSR64rr
-    370167945U,	// BOUNDS16rm
-    101732489U,	// BOUNDS32rm
-    370169592U,	// BSF16rm
-    135288568U,	// BSF16rr
-    101734136U,	// BSF32rm
-    135288568U,	// BSF32rr
-    168843000U,	// BSF64rm
-    135288568U,	// BSF64rr
-    370171041U,	// BSR16rm
-    135290017U,	// BSR16rr
-    101735585U,	// BSR32rm
-    135290017U,	// BSR32rr
-    168844449U,	// BSR64rm
-    135290017U,	// BSR64rr
-    22806U,	// BSWAP32r
-    22806U,	// BSWAP64r
-    1089975U,	// BT16mi8
-    1089975U,	// BT16mr
-    135291319U,	// BT16ri8
-    135291319U,	// BT16rr
-    1122743U,	// BT32mi8
-    1122743U,	// BT32mr
-    135291319U,	// BT32ri8
-    135291319U,	// BT32rr
-    1139127U,	// BT64mi8
-    1139127U,	// BT64mr
-    135291319U,	// BT64ri8
-    135291319U,	// BT64rr
-    1085255U,	// BTC16mi8
-    1085255U,	// BTC16mr
-    135286599U,	// BTC16ri8
-    135286599U,	// BTC16rr
-    1118023U,	// BTC32mi8
-    1118023U,	// BTC32mr
-    135286599U,	// BTC32ri8
-    135286599U,	// BTC32rr
-    1134407U,	// BTC64mi8
-    1134407U,	// BTC64mr
-    135286599U,	// BTC64ri8
-    135286599U,	// BTC64rr
-    1088704U,	// BTR16mi8
-    1088704U,	// BTR16mr
-    135290048U,	// BTR16ri8
-    135290048U,	// BTR16rr
-    1121472U,	// BTR32mi8
-    1121472U,	// BTR32mr
-    135290048U,	// BTR32ri8
-    135290048U,	// BTR32rr
-    1137856U,	// BTR64mi8
-    1137856U,	// BTR64mr
-    135290048U,	// BTR64ri8
-    135290048U,	// BTR64rr
-    1089957U,	// BTS16mi8
-    1089957U,	// BTS16mr
-    135291301U,	// BTS16ri8
-    135291301U,	// BTS16rr
-    1122725U,	// BTS32mi8
-    1122725U,	// BTS32mr
-    135291301U,	// BTS32ri8
-    135291301U,	// BTS32rr
-    1139109U,	// BTS64mi8
-    1139109U,	// BTS64mr
-    135291301U,	// BTS64ri8
-    135291301U,	// BTS64rr
-    2249217905U,	// BZHI32rm
-    2282772337U,	// BZHI32rr
-    2316326769U,	// BZHI64rm
-    2282772337U,	// BZHI64rr
-    38976U,	// CALL16m
-    22592U,	// CALL16r
-    71744U,	// CALL32m
-    22592U,	// CALL32r
-    88128U,	// CALL64m
-    153664U,	// CALL64pcrel32
-    22592U,	// CALL64r
-    153664U,	// CALLpcrel16
-    153664U,	// CALLpcrel32
-    12238U,	// CBW
-    11846U,	// CDQ
-    11489U,	// CDQE
-    12033U,	// CHS_F
+    2416991395U,	// BEXTR32rm
+    2450545827U,	// BEXTR32rr
+    2484100259U,	// BEXTR64rm
+    2450545827U,	// BEXTR64rr
+    2416991395U,	// BEXTRI32mi
+    2450545827U,	// BEXTRI32ri
+    2484100259U,	// BEXTRI64mi
+    2450545827U,	// BEXTRI64ri
+    269506586U,	// BLCFILL32rm
+    303061018U,	// BLCFILL32rr
+    336615450U,	// BLCFILL64rm
+    303061018U,	// BLCFILL64rr
+    269506367U,	// BLCI32rm
+    303060799U,	// BLCI32rr
+    336615231U,	// BLCI64rm
+    303060799U,	// BLCI64rr
+    269504238U,	// BLCIC32rm
+    303058670U,	// BLCIC32rr
+    336613102U,	// BLCIC64rm
+    303058670U,	// BLCIC64rr
+    269506537U,	// BLCMSK32rm
+    303060969U,	// BLCMSK32rr
+    336615401U,	// BLCMSK64rm
+    303060969U,	// BLCMSK64rr
+    269507777U,	// BLCS32rm
+    303062209U,	// BLCS32rr
+    336616641U,	// BLCS64rm
+    303062209U,	// BLCS64rr
+    2316325405U,	// BLENDPDrmi
+    2215662109U,	// BLENDPDrri
+    2316328623U,	// BLENDPSrmi
+    2215665327U,	// BLENDPSrri
+    168841981U,	// BLENDVPDrm0
+    68178685U,	// BLENDVPDrr0
+    168845252U,	// BLENDVPSrm0
+    68181956U,	// BLENDVPSrr0
+    269506595U,	// BLSFILL32rm
+    303061027U,	// BLSFILL32rr
+    336615459U,	// BLSFILL64rm
+    303061027U,	// BLSFILL64rr
+    269506523U,	// BLSI32rm
+    303060955U,	// BLSI32rr
+    336615387U,	// BLSI64rm
+    303060955U,	// BLSI64rr
+    269504245U,	// BLSIC32rm
+    303058677U,	// BLSIC32rr
+    336613109U,	// BLSIC64rm
+    303058677U,	// BLSIC64rr
+    269506545U,	// BLSMSK32rm
+    303060977U,	// BLSMSK32rr
+    336615409U,	// BLSMSK64rm
+    303060977U,	// BLSMSK64rr
+    269507726U,	// BLSR32rm
+    303062158U,	// BLSR32rr
+    336616590U,	// BLSR64rm
+    303062158U,	// BLSR64rr
+    437276765U,	// BOUNDS16rm
+    269504605U,	// BOUNDS32rm
+    437278412U,	// BSF16rm
+    303060684U,	// BSF16rr
+    269506252U,	// BSF32rm
+    303060684U,	// BSF32rr
+    336615116U,	// BSF64rm
+    303060684U,	// BSF64rr
+    437279861U,	// BSR16rm
+    303062133U,	// BSR16rr
+    269507701U,	// BSR32rm
+    303062133U,	// BSR32rr
+    336616565U,	// BSR64rm
+    303062133U,	// BSR64rr
+    22762U,	// BSWAP32r
+    22762U,	// BSWAP64r
+    1089931U,	// BT16mi8
+    1089931U,	// BT16mr
+    303063435U,	// BT16ri8
+    303063435U,	// BT16rr
+    1122699U,	// BT32mi8
+    1122699U,	// BT32mr
+    303063435U,	// BT32ri8
+    303063435U,	// BT32rr
+    1139083U,	// BT64mi8
+    1139083U,	// BT64mr
+    303063435U,	// BT64ri8
+    303063435U,	// BT64rr
+    1085211U,	// BTC16mi8
+    1085211U,	// BTC16mr
+    303058715U,	// BTC16ri8
+    303058715U,	// BTC16rr
+    1117979U,	// BTC32mi8
+    1117979U,	// BTC32mr
+    303058715U,	// BTC32ri8
+    303058715U,	// BTC32rr
+    1134363U,	// BTC64mi8
+    1134363U,	// BTC64mr
+    303058715U,	// BTC64ri8
+    303058715U,	// BTC64rr
+    1088660U,	// BTR16mi8
+    1088660U,	// BTR16mr
+    303062164U,	// BTR16ri8
+    303062164U,	// BTR16rr
+    1121428U,	// BTR32mi8
+    1121428U,	// BTR32mr
+    303062164U,	// BTR32ri8
+    303062164U,	// BTR32rr
+    1137812U,	// BTR64mi8
+    1137812U,	// BTR64mr
+    303062164U,	// BTR64ri8
+    303062164U,	// BTR64rr
+    1089913U,	// BTS16mi8
+    1089913U,	// BTS16mr
+    303063417U,	// BTS16ri8
+    303063417U,	// BTS16rr
+    1122681U,	// BTS32mi8
+    1122681U,	// BTS32mr
+    303063417U,	// BTS32ri8
+    303063417U,	// BTS32rr
+    1139065U,	// BTS64mi8
+    1139065U,	// BTS64mr
+    303063417U,	// BTS64ri8
+    303063417U,	// BTS64rr
+    2416990021U,	// BZHI32rm
+    2450544453U,	// BZHI32rr
+    2484098885U,	// BZHI64rm
+    2450544453U,	// BZHI64rr
+    38932U,	// CALL16m
+    22548U,	// CALL16r
+    71700U,	// CALL32m
+    22548U,	// CALL32r
+    88084U,	// CALL64m
+    153620U,	// CALL64pcrel32
+    22548U,	// CALL64r
+    153620U,	// CALLpcrel16
+    153620U,	// CALLpcrel32
+    12194U,	// CBW
+    11802U,	// CDQ
+    11445U,	// CDQE
+    11989U,	// CHS_F
     0U,	// CHS_Fp32
     0U,	// CHS_Fp64
     0U,	// CHS_Fp80
-    11302U,	// CLAC
-    11334U,	// CLC
-    11384U,	// CLD
-    104284U,	// CLFLUSH
-    11604U,	// CLGI
-    11614U,	// CLI
-    12123U,	// CLTS
-    11338U,	// CMC
-    403721615U,	// CMOVA16rm
-    68177295U,	// CMOVA16rr
-    437276047U,	// CMOVA32rm
-    68177295U,	// CMOVA32rr
-    470830479U,	// CMOVA64rm
-    68177295U,	// CMOVA64rr
-    403723812U,	// CMOVAE16rm
-    68179492U,	// CMOVAE16rr
-    437278244U,	// CMOVAE32rm
-    68179492U,	// CMOVAE32rr
-    470832676U,	// CMOVAE64rm
-    68179492U,	// CMOVAE64rr
-    403721943U,	// CMOVB16rm
-    68177623U,	// CMOVB16rr
-    437276375U,	// CMOVB32rm
-    68177623U,	// CMOVB32rr
-    470830807U,	// CMOVB64rm
-    68177623U,	// CMOVB64rr
-    403723832U,	// CMOVBE16rm
-    68179512U,	// CMOVBE16rr
-    437278264U,	// CMOVBE32rm
-    68179512U,	// CMOVBE32rr
-    470832696U,	// CMOVBE64rm
-    68179512U,	// CMOVBE64rr
-    26062U,	// CMOVBE_F
+    11258U,	// CLAC
+    11290U,	// CLC
+    11340U,	// CLD
+    104240U,	// CLFLUSH
+    11560U,	// CLGI
+    11570U,	// CLI
+    12079U,	// CLTS
+    11294U,	// CMC
+    470830435U,	// CMOVA16rm
+    68177251U,	// CMOVA16rr
+    101731683U,	// CMOVA32rm
+    68177251U,	// CMOVA32rr
+    135286115U,	// CMOVA64rm
+    68177251U,	// CMOVA64rr
+    470832632U,	// CMOVAE16rm
+    68179448U,	// CMOVAE16rr
+    101733880U,	// CMOVAE32rm
+    68179448U,	// CMOVAE32rr
+    135288312U,	// CMOVAE64rm
+    68179448U,	// CMOVAE64rr
+    470830763U,	// CMOVB16rm
+    68177579U,	// CMOVB16rr
+    101732011U,	// CMOVB32rm
+    68177579U,	// CMOVB32rr
+    135286443U,	// CMOVB64rm
+    68177579U,	// CMOVB64rr
+    470832652U,	// CMOVBE16rm
+    68179468U,	// CMOVBE16rr
+    101733900U,	// CMOVBE32rm
+    68179468U,	// CMOVBE32rr
+    135288332U,	// CMOVBE64rm
+    68179468U,	// CMOVBE64rr
+    26018U,	// CMOVBE_F
     0U,	// CMOVBE_Fp32
     0U,	// CMOVBE_Fp64
     0U,	// CMOVBE_Fp80
-    26030U,	// CMOVB_F
+    25986U,	// CMOVB_F
     0U,	// CMOVB_Fp32
     0U,	// CMOVB_Fp64
     0U,	// CMOVB_Fp80
-    403724017U,	// CMOVE16rm
-    68179697U,	// CMOVE16rr
-    437278449U,	// CMOVE32rm
-    68179697U,	// CMOVE32rr
-    470832881U,	// CMOVE64rm
-    68179697U,	// CMOVE64rr
-    26094U,	// CMOVE_F
+    470832837U,	// CMOVE16rm
+    68179653U,	// CMOVE16rr
+    101734085U,	// CMOVE32rm
+    68179653U,	// CMOVE32rr
+    135288517U,	// CMOVE64rm
+    68179653U,	// CMOVE64rr
+    26050U,	// CMOVE_F
     0U,	// CMOVE_Fp32
     0U,	// CMOVE_Fp64
     0U,	// CMOVE_Fp80
-    403724067U,	// CMOVG16rm
-    68179747U,	// CMOVG16rr
-    437278499U,	// CMOVG32rm
-    68179747U,	// CMOVG32rr
-    470832931U,	// CMOVG64rm
-    68179747U,	// CMOVG64rr
-    403723868U,	// CMOVGE16rm
-    68179548U,	// CMOVGE16rr
-    437278300U,	// CMOVGE32rm
-    68179548U,	// CMOVGE32rr
-    470832732U,	// CMOVGE64rm
-    68179548U,	// CMOVGE64rr
-    403724435U,	// CMOVL16rm
-    68180115U,	// CMOVL16rr
-    437278867U,	// CMOVL32rm
-    68180115U,	// CMOVL32rr
-    470833299U,	// CMOVL64rm
-    68180115U,	// CMOVL64rr
-    403723892U,	// CMOVLE16rm
-    68179572U,	// CMOVLE16rr
-    437278324U,	// CMOVLE32rm
-    68179572U,	// CMOVLE32rr
-    470832756U,	// CMOVLE64rm
-    68179572U,	// CMOVLE64rr
-    26045U,	// CMOVNBE_F
+    470832887U,	// CMOVG16rm
+    68179703U,	// CMOVG16rr
+    101734135U,	// CMOVG32rm
+    68179703U,	// CMOVG32rr
+    135288567U,	// CMOVG64rm
+    68179703U,	// CMOVG64rr
+    470832688U,	// CMOVGE16rm
+    68179504U,	// CMOVGE16rr
+    101733936U,	// CMOVGE32rm
+    68179504U,	// CMOVGE32rr
+    135288368U,	// CMOVGE64rm
+    68179504U,	// CMOVGE64rr
+    470833255U,	// CMOVL16rm
+    68180071U,	// CMOVL16rr
+    101734503U,	// CMOVL32rm
+    68180071U,	// CMOVL32rr
+    135288935U,	// CMOVL64rm
+    68180071U,	// CMOVL64rr
+    470832712U,	// CMOVLE16rm
+    68179528U,	// CMOVLE16rr
+    101733960U,	// CMOVLE32rm
+    68179528U,	// CMOVLE32rr
+    135288392U,	// CMOVLE64rm
+    68179528U,	// CMOVLE64rr
+    26001U,	// CMOVNBE_F
     0U,	// CMOVNBE_Fp32
     0U,	// CMOVNBE_Fp64
     0U,	// CMOVNBE_Fp80
-    26014U,	// CMOVNB_F
+    25970U,	// CMOVNB_F
     0U,	// CMOVNB_Fp32
     0U,	// CMOVNB_Fp64
     0U,	// CMOVNB_Fp80
-    403723920U,	// CMOVNE16rm
-    68179600U,	// CMOVNE16rr
-    437278352U,	// CMOVNE32rm
-    68179600U,	// CMOVNE32rr
-    470832784U,	// CMOVNE64rm
-    68179600U,	// CMOVNE64rr
-    26078U,	// CMOVNE_F
+    470832740U,	// CMOVNE16rm
+    68179556U,	// CMOVNE16rr
+    101733988U,	// CMOVNE32rm
+    68179556U,	// CMOVNE32rr
+    135288420U,	// CMOVNE64rm
+    68179556U,	// CMOVNE64rr
+    26034U,	// CMOVNE_F
     0U,	// CMOVNE_Fp32
     0U,	// CMOVNE_Fp64
     0U,	// CMOVNE_Fp80
-    403724545U,	// CMOVNO16rm
-    68180225U,	// CMOVNO16rr
-    437278977U,	// CMOVNO32rm
-    68180225U,	// CMOVNO32rr
-    470833409U,	// CMOVNO64rm
-    68180225U,	// CMOVNO64rr
-    403724657U,	// CMOVNP16rm
-    68180337U,	// CMOVNP16rr
-    437279089U,	// CMOVNP32rm
-    68180337U,	// CMOVNP32rr
-    470833521U,	// CMOVNP64rm
-    68180337U,	// CMOVNP64rr
-    26109U,	// CMOVNP_F
+    470833365U,	// CMOVNO16rm
+    68180181U,	// CMOVNO16rr
+    101734613U,	// CMOVNO32rm
+    68180181U,	// CMOVNO32rr
+    135289045U,	// CMOVNO64rm
+    68180181U,	// CMOVNO64rr
+    470833477U,	// CMOVNP16rm
+    68180293U,	// CMOVNP16rr
+    101734725U,	// CMOVNP32rm
+    68180293U,	// CMOVNP32rr
+    135289157U,	// CMOVNP64rm
+    68180293U,	// CMOVNP64rr
+    26065U,	// CMOVNP_F
     0U,	// CMOVNP_Fp32
     0U,	// CMOVNP_Fp64
     0U,	// CMOVNP_Fp80
-    403725591U,	// CMOVNS16rm
-    68181271U,	// CMOVNS16rr
-    437280023U,	// CMOVNS32rm
-    68181271U,	// CMOVNS32rr
-    470834455U,	// CMOVNS64rm
-    68181271U,	// CMOVNS64rr
-    403724559U,	// CMOVO16rm
-    68180239U,	// CMOVO16rr
-    437278991U,	// CMOVO32rm
-    68180239U,	// CMOVO32rr
-    470833423U,	// CMOVO64rm
-    68180239U,	// CMOVO64rr
-    403724786U,	// CMOVP16rm
-    68180466U,	// CMOVP16rr
-    437279218U,	// CMOVP32rm
-    68180466U,	// CMOVP32rr
-    470833650U,	// CMOVP64rm
-    68180466U,	// CMOVP64rr
-    26125U,	// CMOVP_F
+    470834411U,	// CMOVNS16rm
+    68181227U,	// CMOVNS16rr
+    101735659U,	// CMOVNS32rm
+    68181227U,	// CMOVNS32rr
+    135290091U,	// CMOVNS64rm
+    68181227U,	// CMOVNS64rr
+    470833379U,	// CMOVO16rm
+    68180195U,	// CMOVO16rr
+    101734627U,	// CMOVO32rm
+    68180195U,	// CMOVO32rr
+    135289059U,	// CMOVO64rm
+    68180195U,	// CMOVO64rr
+    470833606U,	// CMOVP16rm
+    68180422U,	// CMOVP16rr
+    101734854U,	// CMOVP32rm
+    68180422U,	// CMOVP32rr
+    135289286U,	// CMOVP64rm
+    68180422U,	// CMOVP64rr
+    26081U,	// CMOVP_F
     0U,	// CMOVP_Fp32
     0U,	// CMOVP_Fp64
     0U,	// CMOVP_Fp80
-    403726768U,	// CMOVS16rm
-    68182448U,	// CMOVS16rr
-    437281200U,	// CMOVS32rm
-    68182448U,	// CMOVS32rr
-    470835632U,	// CMOVS64rm
-    68182448U,	// CMOVS64rr
-    10613U,	// CMOV_FR32
-    10772U,	// CMOV_FR64
-    10492U,	// CMOV_GR16
-    10472U,	// CMOV_GR32
-    10791U,	// CMOV_GR8
-    10593U,	// CMOV_RFP32
-    10752U,	// CMOV_RFP64
-    10512U,	// CMOV_RFP80
-    10552U,	// CMOV_V16F32
-    10632U,	// CMOV_V2F64
-    10692U,	// CMOV_V2I64
-    10532U,	// CMOV_V4F32
-    10652U,	// CMOV_V4F64
-    10712U,	// CMOV_V4I64
-    10573U,	// CMOV_V8F32
-    10672U,	// CMOV_V8F64
-    10732U,	// CMOV_V8I64
-    26327U,	// CMP16i16
-    1087811U,	// CMP16mi
-    1087811U,	// CMP16mi8
-    1087811U,	// CMP16mr
-    135289155U,	// CMP16ri
-    135289155U,	// CMP16ri8
-    370170179U,	// CMP16rm
-    135289155U,	// CMP16rr
-    135289155U,	// CMP16rr_REV
-    26492U,	// CMP32i32
-    1120579U,	// CMP32mi
-    1120579U,	// CMP32mi8
-    1120579U,	// CMP32mr
-    135289155U,	// CMP32ri
-    135289155U,	// CMP32ri8
-    101734723U,	// CMP32rm
-    135289155U,	// CMP32rr
-    135289155U,	// CMP32rr_REV
-    26607U,	// CMP64i32
-    1136963U,	// CMP64mi32
-    1136963U,	// CMP64mi8
-    1136963U,	// CMP64mr
-    135289155U,	// CMP64ri32
-    135289155U,	// CMP64ri8
-    168843587U,	// CMP64rm
-    135289155U,	// CMP64rr
-    135289155U,	// CMP64rr_REV
-    26215U,	// CMP8i8
-    1153347U,	// CMP8mi
-    1153347U,	// CMP8mr
-    135289155U,	// CMP8ri
-    504387907U,	// CMP8rm
-    135289155U,	// CMP8rr
-    135289155U,	// CMP8rr_REV
-    209890841U,	// CMPPDrmi
-    2349880033U,	// CMPPDrmi_alt
-    75689497U,	// CMPPDrri
-    2215662305U,	// CMPPDrri_alt
-    210939417U,	// CMPPSrmi
-    2349883271U,	// CMPPSrmi_alt
-    76738073U,	// CMPPSrri
-    2215665543U,	// CMPPSrri_alt
-    200265U,	// CMPSB
-    245542425U,	// CMPSDrm
-    2383434954U,	// CMPSDrm_alt
-    77786649U,	// CMPSDrr
-    2215662794U,	// CMPSDrr_alt
-    218314U,	// CMPSL
-    236441U,	// CMPSQ
-    280145433U,	// CMPSSrm
-    2416992595U,	// CMPSSrm_alt
-    78835225U,	// CMPSSrr
-    2215666003U,	// CMPSSrr_alt
-    255105U,	// CMPSW
-    265622U,	// CMPXCHG16B
-    1087240U,	// CMPXCHG16rm
-    135288584U,	// CMPXCHG16rr
-    1120008U,	// CMPXCHG32rm
-    135288584U,	// CMPXCHG32rr
-    1136392U,	// CMPXCHG64rm
-    135288584U,	// CMPXCHG64rr
-    85410U,	// CMPXCHG8B
-    1152776U,	// CMPXCHG8rm
-    135288584U,	// CMPXCHG8rr
-    537941144U,	// COMISDrm
-    135287960U,	// COMISDrr
-    537944361U,	// COMISSrm
-    135291177U,	// COMISSrr
-    22862U,	// COMP_FST0r
-    22448U,	// COM_FIPr
-    22391U,	// COM_FIr
-    22687U,	// COM_FST0r
-    12095U,	// COS_F
+    470835588U,	// CMOVS16rm
+    68182404U,	// CMOVS16rr
+    101736836U,	// CMOVS32rm
+    68182404U,	// CMOVS32rr
+    135291268U,	// CMOVS64rm
+    68182404U,	// CMOVS64rr
+    10569U,	// CMOV_FR32
+    10728U,	// CMOV_FR64
+    10448U,	// CMOV_GR16
+    10428U,	// CMOV_GR32
+    10747U,	// CMOV_GR8
+    10549U,	// CMOV_RFP32
+    10708U,	// CMOV_RFP64
+    10468U,	// CMOV_RFP80
+    10508U,	// CMOV_V16F32
+    10588U,	// CMOV_V2F64
+    10648U,	// CMOV_V2I64
+    10488U,	// CMOV_V4F32
+    10608U,	// CMOV_V4F64
+    10668U,	// CMOV_V4I64
+    10529U,	// CMOV_V8F32
+    10628U,	// CMOV_V8F64
+    10688U,	// CMOV_V8I64
+    26283U,	// CMP16i16
+    1087767U,	// CMP16mi
+    1087767U,	// CMP16mi8
+    1087767U,	// CMP16mr
+    303061271U,	// CMP16ri
+    303061271U,	// CMP16ri8
+    437278999U,	// CMP16rm
+    303061271U,	// CMP16rr
+    303061271U,	// CMP16rr_REV
+    26448U,	// CMP32i32
+    1120535U,	// CMP32mi
+    1120535U,	// CMP32mi8
+    1120535U,	// CMP32mr
+    303061271U,	// CMP32ri
+    303061271U,	// CMP32ri8
+    269506839U,	// CMP32rm
+    303061271U,	// CMP32rr
+    303061271U,	// CMP32rr_REV
+    26563U,	// CMP64i32
+    1136919U,	// CMP64mi32
+    1136919U,	// CMP64mi8
+    1136919U,	// CMP64mr
+    303061271U,	// CMP64ri32
+    303061271U,	// CMP64ri8
+    336615703U,	// CMP64rm
+    303061271U,	// CMP64rr
+    303061271U,	// CMP64rr_REV
+    26171U,	// CMP8i8
+    1153303U,	// CMP8mi
+    1153303U,	// CMP8mr
+    303061271U,	// CMP8ri
+    504387863U,	// CMP8rm
+    303061271U,	// CMP8rr
+    303061271U,	// CMP8rr_REV
+    176336365U,	// CMPPDrmi
+    2316325557U,	// CMPPDrmi_alt
+    75689453U,	// CMPPDrri
+    2215662261U,	// CMPPDrri_alt
+    177384941U,	// CMPPSrmi
+    2316328795U,	// CMPPSrmi_alt
+    76738029U,	// CMPPSrri
+    2215665499U,	// CMPPSrri_alt
+    200221U,	// CMPSB
+    211987949U,	// CMPSDrm
+    2349880478U,	// CMPSDrm_alt
+    77786605U,	// CMPSDrr
+    2215662750U,	// CMPSDrr_alt
+    218270U,	// CMPSL
+    236397U,	// CMPSQ
+    246590957U,	// CMPSSrm
+    2383438119U,	// CMPSSrm_alt
+    78835181U,	// CMPSSrr
+    2215665959U,	// CMPSSrr_alt
+    255061U,	// CMPSW
+    265578U,	// CMPXCHG16B
+    1087196U,	// CMPXCHG16rm
+    303060700U,	// CMPXCHG16rr
+    1119964U,	// CMPXCHG32rm
+    303060700U,	// CMPXCHG32rr
+    1136348U,	// CMPXCHG64rm
+    303060700U,	// CMPXCHG64rr
+    85366U,	// CMPXCHG8B
+    1152732U,	// CMPXCHG8rm
+    303060700U,	// CMPXCHG8rr
+    537941100U,	// COMISDrm
+    303060076U,	// COMISDrr
+    537944317U,	// COMISSrm
+    303063293U,	// COMISSrr
+    22818U,	// COMP_FST0r
+    22404U,	// COM_FIPr
+    22347U,	// COM_FIr
+    22643U,	// COM_FST0r
+    12051U,	// COS_F
     0U,	// COS_Fp32
     0U,	// COS_Fp64
     0U,	// COS_Fp80
-    11378U,	// CPUID32
-    11378U,	// CPUID64
-    11779U,	// CQO
-    3197915U,	// CRC32r32m16
-    4246491U,	// CRC32r32m32
-    6343643U,	// CRC32r32m8
-    35703771U,	// CRC32r32r16
-    35703771U,	// CRC32r32r32
-    35703771U,	// CRC32r32r8
-    5295067U,	// CRC32r64m64
-    6343643U,	// CRC32r64m8
-    35703771U,	// CRC32r64r64
-    35703771U,	// CRC32r64r8
-    11963U,	// CS_PREFIX
-    168841555U,	// CVTDQ2PDrm
-    135287123U,	// CVTDQ2PDrr
-    336616952U,	// CVTDQ2PSrm
-    135290360U,	// CVTDQ2PSrr
-    537942602U,	// CVTPD2DQrm
-    135289418U,	// CVTPD2DQrr
-    537943500U,	// CVTPD2PSrm
-    135290316U,	// CVTPD2PSrr
-    537942634U,	// CVTPS2DQrm
-    135289450U,	// CVTPS2DQrr
-    571494750U,	// CVTPS2PDrm
-    135287134U,	// CVTPS2PDrr
-    571496422U,	// CVTSD2SI64rm
-    135288806U,	// CVTSD2SI64rr
-    571496422U,	// CVTSD2SIrm
-    135288806U,	// CVTSD2SIrr
-    571498636U,	// CVTSD2SSrm
-    135291020U,	// CVTSD2SSrr
-    168842227U,	// CVTSI2SD64rm
-    135287795U,	// CVTSI2SD64rr
-    101733363U,	// CVTSI2SDrm
-    135287795U,	// CVTSI2SDrr
-    168845463U,	// CVTSI2SS64rm
-    135291031U,	// CVTSI2SS64rr
-    101736599U,	// CVTSI2SSrm
-    135291031U,	// CVTSI2SSrr
-    605049866U,	// CVTSS2SDrm
-    135287818U,	// CVTSS2SDrr
-    605050877U,	// CVTSS2SI64rm
-    135288829U,	// CVTSS2SI64rr
-    605050877U,	// CVTSS2SIrm
-    135288829U,	// CVTSS2SIrr
-    537942590U,	// CVTTPD2DQrm
-    135289406U,	// CVTTPD2DQrr
-    537942622U,	// CVTTPS2DQrm
-    135289438U,	// CVTTPS2DQrr
-    571496410U,	// CVTTSD2SI64rm
-    135288794U,	// CVTTSD2SI64rr
-    571496410U,	// CVTTSD2SIrm
-    135288794U,	// CVTTSD2SIrr
-    605050865U,	// CVTTSS2SI64rm
-    135288817U,	// CVTTSS2SI64rr
-    605050865U,	// CVTTSS2SIrm
-    135288817U,	// CVTTSS2SIrr
-    11430U,	// CWD
-    11462U,	// CWDE
-    11237U,	// DAA
-    11949U,	// DAS
-    11118U,	// DATA16_PREFIX
-    36629U,	// DEC16m
-    20245U,	// DEC16r
-    20245U,	// DEC32_16r
-    20245U,	// DEC32_32r
-    69397U,	// DEC32m
-    20245U,	// DEC32r
-    36629U,	// DEC64_16m
-    20245U,	// DEC64_16r
-    69397U,	// DEC64_32m
-    20245U,	// DEC64_32r
-    85781U,	// DEC64m
-    20245U,	// DEC64r
-    102165U,	// DEC8m
-    20245U,	// DEC8r
-    41634U,	// DIV16m
-    25250U,	// DIV16r
-    74402U,	// DIV32m
-    25250U,	// DIV32r
-    90786U,	// DIV64m
-    25250U,	// DIV64r
-    107170U,	// DIV8m
-    25250U,	// DIV8r
-    202396468U,	// DIVPDrm
-    68178740U,	// DIVPDrr
-    202399739U,	// DIVPSrm
-    68182011U,	// DIVPSrr
-    122070U,	// DIVR_F32m
-    138454U,	// DIVR_F64m
-    40157U,	// DIVR_FI16m
-    72925U,	// DIVR_FI32m
-    22929U,	// DIVR_FPrST0
-    23766U,	// DIVR_FST0r
+    11334U,	// CPUID32
+    11334U,	// CPUID64
+    11735U,	// CQO
+    3197871U,	// CRC32r32m16
+    4246447U,	// CRC32r32m32
+    6343599U,	// CRC32r32m8
+    35703727U,	// CRC32r32r16
+    35703727U,	// CRC32r32r32
+    35703727U,	// CRC32r32r8
+    5295023U,	// CRC32r64m64
+    6343599U,	// CRC32r64m8
+    35703727U,	// CRC32r64r64
+    35703727U,	// CRC32r64r8
+    336613671U,	// CVTDQ2PDrm
+    303059239U,	// CVTDQ2PDrr
+    403725772U,	// CVTDQ2PSrm
+    303062476U,	// CVTDQ2PSrr
+    537942558U,	// CVTPD2DQrm
+    303061534U,	// CVTPD2DQrr
+    537943456U,	// CVTPD2PSrm
+    303062432U,	// CVTPD2PSrr
+    537942590U,	// CVTPS2DQrm
+    303061566U,	// CVTPS2DQrr
+    571494706U,	// CVTPS2PDrm
+    303059250U,	// CVTPS2PDrr
+    571496378U,	// CVTSD2SI64rm
+    303060922U,	// CVTSD2SI64rr
+    571496378U,	// CVTSD2SIrm
+    303060922U,	// CVTSD2SIrr
+    571498592U,	// CVTSD2SSrm
+    303063136U,	// CVTSD2SSrr
+    336614343U,	// CVTSI2SD64rm
+    303059911U,	// CVTSI2SD64rr
+    269505479U,	// CVTSI2SDrm
+    303059911U,	// CVTSI2SDrr
+    336617579U,	// CVTSI2SS64rm
+    303063147U,	// CVTSI2SS64rr
+    269508715U,	// CVTSI2SSrm
+    303063147U,	// CVTSI2SSrr
+    605049822U,	// CVTSS2SDrm
+    303059934U,	// CVTSS2SDrr
+    605050833U,	// CVTSS2SI64rm
+    303060945U,	// CVTSS2SI64rr
+    605050833U,	// CVTSS2SIrm
+    303060945U,	// CVTSS2SIrr
+    537942546U,	// CVTTPD2DQrm
+    303061522U,	// CVTTPD2DQrr
+    537942578U,	// CVTTPS2DQrm
+    303061554U,	// CVTTPS2DQrr
+    571496366U,	// CVTTSD2SI64rm
+    303060910U,	// CVTTSD2SI64rr
+    571496366U,	// CVTTSD2SIrm
+    303060910U,	// CVTTSD2SIrr
+    605050821U,	// CVTTSS2SI64rm
+    303060933U,	// CVTTSS2SI64rr
+    605050821U,	// CVTTSS2SIrm
+    303060933U,	// CVTTSS2SIrr
+    11386U,	// CWD
+    11418U,	// CWDE
+    11193U,	// DAA
+    11905U,	// DAS
+    11074U,	// DATA16_PREFIX
+    36585U,	// DEC16m
+    20201U,	// DEC16r
+    20201U,	// DEC32_16r
+    20201U,	// DEC32_32r
+    69353U,	// DEC32m
+    20201U,	// DEC32r
+    36585U,	// DEC64_16m
+    20201U,	// DEC64_16r
+    69353U,	// DEC64_32m
+    20201U,	// DEC64_32r
+    85737U,	// DEC64m
+    20201U,	// DEC64r
+    102121U,	// DEC8m
+    20201U,	// DEC8r
+    41590U,	// DIV16m
+    25206U,	// DIV16r
+    74358U,	// DIV32m
+    25206U,	// DIV32r
+    90742U,	// DIV64m
+    25206U,	// DIV64r
+    107126U,	// DIV8m
+    25206U,	// DIV8r
+    168841992U,	// DIVPDrm
+    68178696U,	// DIVPDrr
+    168845263U,	// DIVPSrm
+    68181967U,	// DIVPSrr
+    122026U,	// DIVR_F32m
+    138410U,	// DIVR_F64m
+    40113U,	// DIVR_FI16m
+    72881U,	// DIVR_FI32m
+    22885U,	// DIVR_FPrST0
+    23722U,	// DIVR_FST0r
     0U,	// DIVR_Fp32m
     0U,	// DIVR_Fp64m
     0U,	// DIVR_Fp64m32
@@ -712,21 +711,21 @@
     0U,	// DIVR_FpI32m32
     0U,	// DIVR_FpI32m64
     0U,	// DIVR_FpI32m80
-    7363798U,	// DIVR_FrST0
-    235951346U,	// DIVSDrm
-    235951346U,	// DIVSDrm_Int
-    68179186U,	// DIVSDrr
-    68179186U,	// DIVSDrr_Int
-    269508997U,	// DIVSSrm
-    269508997U,	// DIVSSrm_Int
-    68182405U,	// DIVSSrr
-    68182405U,	// DIVSSrr_Int
-    123553U,	// DIV_F32m
-    139937U,	// DIV_F64m
-    41639U,	// DIV_FI16m
-    74407U,	// DIV_FI32m
-    23019U,	// DIV_FPrST0
-    25249U,	// DIV_FST0r
+    7363754U,	// DIVR_FrST0
+    202396870U,	// DIVSDrm
+    202396870U,	// DIVSDrm_Int
+    68179142U,	// DIVSDrr
+    68179142U,	// DIVSDrr_Int
+    235954521U,	// DIVSSrm
+    235954521U,	// DIVSSrm_Int
+    68182361U,	// DIVSSrr
+    68182361U,	// DIVSSrr_Int
+    123509U,	// DIV_F32m
+    139893U,	// DIV_F64m
+    41595U,	// DIV_FI16m
+    74363U,	// DIV_FI32m
+    22975U,	// DIV_FPrST0
+    25205U,	// DIV_FST0r
     0U,	// DIV_Fp32
     0U,	// DIV_Fp32m
     0U,	// DIV_Fp64
@@ -741,66 +740,64 @@
     0U,	// DIV_FpI32m32
     0U,	// DIV_FpI32m64
     0U,	// DIV_FpI32m80
-    7365281U,	// DIV_FrST0
-    2349880026U,	// DPPDrmi
-    2215662298U,	// DPPDrri
-    2349883264U,	// DPPSrmi
-    2215665536U,	// DPPSrri
-    11971U,	// DS_PREFIX
-    26719U,	// EH_RETURN
-    26719U,	// EH_RETURN64
-    10927U,	// EH_SjLj_LongJmp32
-    11031U,	// EH_SjLj_LongJmp64
-    10946U,	// EH_SjLj_SetJmp32
-    11050U,	// EH_SjLj_SetJmp64
-    154075U,	// EH_SjLj_Setup
-    12083U,	// ENCLS
-    12205U,	// ENCLU
-    135289954U,	// ENTER
-    11986U,	// ES_PREFIX
-    2148655018U,	// EXTRACTPSmr
-    2282774442U,	// EXTRACTPSrr
-    35707787U,	// EXTRQ
-    639687563U,	// EXTRQI
-    10908U,	// F2XM1
-    135288895U,	// FARCALL16i
-    284735U,	// FARCALL16m
-    135288895U,	// FARCALL32i
-    284735U,	// FARCALL32m
-    284735U,	// FARCALL64
-    135289160U,	// FARJMP16i
-    285000U,	// FARJMP16m
-    135289160U,	// FARJMP32i
-    285000U,	// FARJMP32m
-    285000U,	// FARJMP64
-    118822U,	// FBLDm
-    121247U,	// FBSTPm
-    120991U,	// FCOM32m
-    137375U,	// FCOM64m
-    121166U,	// FCOMP32m
-    137550U,	// FCOMP64m
-    11815U,	// FCOMPP
-    11830U,	// FDECSTP
-    12089U,	// FEMMS
-    22080U,	// FFREE
-    39077U,	// FICOM16m
-    71845U,	// FICOM32m
-    39253U,	// FICOMP16m
-    72021U,	// FICOMP32m
-    11838U,	// FINCSTP
-    41800U,	// FLDCW16m
-    123566U,	// FLDENVm
-    11434U,	// FLDL2E
-    12128U,	// FLDL2T
-    11012U,	// FLDLG2
-    11019U,	// FLDLN2
-    11618U,	// FLDPI
-    12441U,	// FNCLEX
-    12161U,	// FNINIT
-    11810U,	// FNOP
-    41807U,	// FNSTCW16m
-    12268U,	// FNSTSW16r
-    124051U,	// FNSTSWm
+    7365237U,	// DIV_FrST0
+    2316325550U,	// DPPDrmi
+    2215662254U,	// DPPDrri
+    2316328788U,	// DPPSrmi
+    2215665492U,	// DPPSrri
+    26675U,	// EH_RETURN
+    26675U,	// EH_RETURN64
+    10883U,	// EH_SjLj_LongJmp32
+    10987U,	// EH_SjLj_LongJmp64
+    10902U,	// EH_SjLj_SetJmp32
+    11006U,	// EH_SjLj_SetJmp64
+    154031U,	// EH_SjLj_Setup
+    12039U,	// ENCLS
+    12161U,	// ENCLU
+    303062070U,	// ENTER
+    2148654974U,	// EXTRACTPSmr
+    2450546558U,	// EXTRACTPSrr
+    35707743U,	// EXTRQ
+    639687519U,	// EXTRQI
+    10864U,	// F2XM1
+    303061011U,	// FARCALL16i
+    284691U,	// FARCALL16m
+    303061011U,	// FARCALL32i
+    284691U,	// FARCALL32m
+    284691U,	// FARCALL64
+    303061276U,	// FARJMP16i
+    284956U,	// FARJMP16m
+    303061276U,	// FARJMP32i
+    284956U,	// FARJMP32m
+    284956U,	// FARJMP64
+    118778U,	// FBLDm
+    121203U,	// FBSTPm
+    120947U,	// FCOM32m
+    137331U,	// FCOM64m
+    121122U,	// FCOMP32m
+    137506U,	// FCOMP64m
+    11771U,	// FCOMPP
+    11786U,	// FDECSTP
+    12045U,	// FEMMS
+    22036U,	// FFREE
+    39033U,	// FICOM16m
+    71801U,	// FICOM32m
+    39209U,	// FICOMP16m
+    71977U,	// FICOMP32m
+    11794U,	// FINCSTP
+    41756U,	// FLDCW16m
+    123522U,	// FLDENVm
+    11390U,	// FLDL2E
+    12084U,	// FLDL2T
+    10968U,	// FLDLG2
+    10975U,	// FLDLN2
+    11574U,	// FLDPI
+    12397U,	// FNCLEX
+    12117U,	// FNINIT
+    11766U,	// FNOP
+    41763U,	// FNSTCW16m
+    12224U,	// FNSTSW16r
+    124007U,	// FNSTSWm
     0U,	// FP32_TO_INT16_IN_MEM
     0U,	// FP32_TO_INT32_IN_MEM
     0U,	// FP32_TO_INT64_IN_MEM
@@ -810,70 +807,68 @@
     0U,	// FP80_TO_INT16_IN_MEM
     0U,	// FP80_TO_INT32_IN_MEM
     0U,	// FP80_TO_INT64_IN_MEM
-    11734U,	// FPATAN
-    11717U,	// FPREM
-    10901U,	// FPREM1
-    11741U,	// FPTAN
-    12180U,	// FRNDINT
-    121987U,	// FRSTORm
-    120545U,	// FSAVEm
-    11467U,	// FSCALE
-    11723U,	// FSETPM
-    12100U,	// FSINCOS
-    123574U,	// FSTENVm
-    12001U,	// FS_PREFIX
-    11712U,	// FXAM
-    285835U,	// FXRSTOR
-    281659U,	// FXRSTOR64
-    284393U,	// FXSAVE
-    281649U,	// FXSAVE64
-    12135U,	// FXTRACT
-    12262U,	// FYL2X
-    10914U,	// FYL2XP1
-    202396361U,	// FsANDNPDrm
-    68178633U,	// FsANDNPDrr
-    202399591U,	// FsANDNPSrm
-    68181863U,	// FsANDNPSrr
-    202396225U,	// FsANDPDrm
-    68178497U,	// FsANDPDrr
-    202399443U,	// FsANDPSrm
-    68181715U,	// FsANDPSrr
+    11690U,	// FPATAN
+    11673U,	// FPREM
+    10857U,	// FPREM1
+    11697U,	// FPTAN
+    12136U,	// FRNDINT
+    121943U,	// FRSTORm
+    120501U,	// FSAVEm
+    11423U,	// FSCALE
+    11679U,	// FSETPM
+    12056U,	// FSINCOS
+    123530U,	// FSTENVm
+    11668U,	// FXAM
+    285791U,	// FXRSTOR
+    281615U,	// FXRSTOR64
+    284349U,	// FXSAVE
+    281605U,	// FXSAVE64
+    12091U,	// FXTRACT
+    12218U,	// FYL2X
+    10870U,	// FYL2XP1
+    168841885U,	// FsANDNPDrm
+    68178589U,	// FsANDNPDrr
+    168845115U,	// FsANDNPSrm
+    68181819U,	// FsANDNPSrr
+    168841749U,	// FsANDPDrm
+    68178453U,	// FsANDPDrr
+    168844967U,	// FsANDPSrm
+    68181671U,	// FsANDPSrr
     0U,	// FsFLD0SD
     0U,	// FsFLD0SS
-    537940415U,	// FsMOVAPDrm
-    537943641U,	// FsMOVAPSrm
-    202396405U,	// FsORPDrm
-    68178677U,	// FsORPDrr
-    202399643U,	// FsORPSrm
-    68181915U,	// FsORPSrr
-    537940414U,	// FsVMOVAPDrm
-    537943640U,	// FsVMOVAPSrm
-    202396412U,	// FsXORPDrm
-    68178684U,	// FsXORPDrr
-    202399650U,	// FsXORPSrm
-    68181922U,	// FsXORPSrr
-    11322U,	// GETSEC
-    12016U,	// GS_PREFIX
-    202396187U,	// HADDPDrm
-    68178459U,	// HADDPDrr
-    202399405U,	// HADDPSrm
-    68181677U,	// HADDPSrr
-    12176U,	// HLT
-    202396136U,	// HSUBPDrm
-    68178408U,	// HSUBPDrr
-    202399354U,	// HSUBPSrm
-    68181626U,	// HSUBPSrr
-    41640U,	// IDIV16m
-    25256U,	// IDIV16r
-    74408U,	// IDIV32m
-    25256U,	// IDIV32r
-    90792U,	// IDIV64m
-    25256U,	// IDIV64r
-    107176U,	// IDIV8m
-    25256U,	// IDIV8r
-    36921U,	// ILD_F16m
-    69689U,	// ILD_F32m
-    86073U,	// ILD_F64m
+    537940371U,	// FsMOVAPDrm
+    537943597U,	// FsMOVAPSrm
+    168841929U,	// FsORPDrm
+    68178633U,	// FsORPDrr
+    168845167U,	// FsORPSrm
+    68181871U,	// FsORPSrr
+    537940370U,	// FsVMOVAPDrm
+    537943596U,	// FsVMOVAPSrm
+    168841936U,	// FsXORPDrm
+    68178640U,	// FsXORPDrr
+    168845174U,	// FsXORPSrm
+    68181878U,	// FsXORPSrr
+    11278U,	// GETSEC
+    168841711U,	// HADDPDrm
+    68178415U,	// HADDPDrr
+    168844929U,	// HADDPSrm
+    68181633U,	// HADDPSrr
+    12132U,	// HLT
+    168841660U,	// HSUBPDrm
+    68178364U,	// HSUBPDrr
+    168844878U,	// HSUBPSrm
+    68181582U,	// HSUBPSrr
+    41596U,	// IDIV16m
+    25212U,	// IDIV16r
+    74364U,	// IDIV32m
+    25212U,	// IDIV32r
+    90748U,	// IDIV64m
+    25212U,	// IDIV64r
+    107132U,	// IDIV8m
+    25212U,	// IDIV8r
+    36877U,	// ILD_F16m
+    69645U,	// ILD_F32m
+    86029U,	// ILD_F64m
     0U,	// ILD_Fp16m32
     0U,	// ILD_Fp16m64
     0U,	// ILD_Fp16m80
@@ -883,79 +878,79 @@
     0U,	// ILD_Fp64m32
     0U,	// ILD_Fp64m64
     0U,	// ILD_Fp64m80
-    39053U,	// IMUL16m
-    22669U,	// IMUL16r
-    403724429U,	// IMUL16rm
-    2517653645U,	// IMUL16rmi
-    2517653645U,	// IMUL16rmi8
-    68180109U,	// IMUL16rr
-    2282772621U,	// IMUL16rri
-    2282772621U,	// IMUL16rri8
-    71821U,	// IMUL32m
-    22669U,	// IMUL32r
-    437278861U,	// IMUL32rm
-    2249218189U,	// IMUL32rmi
-    2249218189U,	// IMUL32rmi8
-    68180109U,	// IMUL32rr
-    2282772621U,	// IMUL32rri
-    2282772621U,	// IMUL32rri8
-    88205U,	// IMUL64m
-    22669U,	// IMUL64r
-    470833293U,	// IMUL64rm
-    2316327053U,	// IMUL64rmi32
-    2316327053U,	// IMUL64rmi8
-    68180109U,	// IMUL64rr
-    2282772621U,	// IMUL64rri32
-    2282772621U,	// IMUL64rri8
-    104589U,	// IMUL8m
-    22669U,	// IMUL8r
-    26319U,	// IN16ri
-    12420U,	// IN16rr
-    26483U,	// IN32ri
-    12430U,	// IN32rr
-    26207U,	// IN8ri
-    12410U,	// IN8rr
-    36674U,	// INC16m
-    20290U,	// INC16r
-    20290U,	// INC32_16r
-    20290U,	// INC32_32r
-    69442U,	// INC32m
-    20290U,	// INC32r
-    36674U,	// INC64_16m
-    20290U,	// INC64_16r
-    69442U,	// INC64_32m
-    20290U,	// INC64_32r
-    85826U,	// INC64m
-    20290U,	// INC64r
-    102210U,	// INC8m
-    20290U,	// INC8r
-    12881468U,	// INSB
-    2416992192U,	// INSERTPSrm
-    2215665600U,	// INSERTPSrr
-    35707841U,	// INSERTQ
-    2787171265U,	// INSERTQI
-    12899508U,	// INSL
-    12919924U,	// INSW
-    25091U,	// INT
-    10922U,	// INT1
-    11026U,	// INT3
-    11783U,	// INTO
-    11425U,	// INVD
-    336617997U,	// INVEPT32
-    336617997U,	// INVEPT64
-    104213U,	// INVLPG
-    12376U,	// INVLPGA32
-    12393U,	// INVLPGA64
-    336613396U,	// INVPCID32
-    336613396U,	// INVPCID64
-    336613405U,	// INVVPID32
-    336613405U,	// INVVPID64
-    12143U,	// IRET16
-    11413U,	// IRET32
-    11889U,	// IRET64
-    39347U,	// ISTT_FP16m
-    72115U,	// ISTT_FP32m
-    88499U,	// ISTT_FP64m
+    39009U,	// IMUL16m
+    22625U,	// IMUL16r
+    470833249U,	// IMUL16rm
+    2584762465U,	// IMUL16rmi
+    2584762465U,	// IMUL16rmi8
+    68180065U,	// IMUL16rr
+    2450544737U,	// IMUL16rri
+    2450544737U,	// IMUL16rri8
+    71777U,	// IMUL32m
+    22625U,	// IMUL32r
+    101734497U,	// IMUL32rm
+    2416990305U,	// IMUL32rmi
+    2416990305U,	// IMUL32rmi8
+    68180065U,	// IMUL32rr
+    2450544737U,	// IMUL32rri
+    2450544737U,	// IMUL32rri8
+    88161U,	// IMUL64m
+    22625U,	// IMUL64r
+    135288929U,	// IMUL64rm
+    2484099169U,	// IMUL64rmi32
+    2484099169U,	// IMUL64rmi8
+    68180065U,	// IMUL64rr
+    2450544737U,	// IMUL64rri32
+    2450544737U,	// IMUL64rri8
+    104545U,	// IMUL8m
+    22625U,	// IMUL8r
+    26275U,	// IN16ri
+    12376U,	// IN16rr
+    26439U,	// IN32ri
+    12386U,	// IN32rr
+    26163U,	// IN8ri
+    12366U,	// IN8rr
+    36630U,	// INC16m
+    20246U,	// INC16r
+    20246U,	// INC32_16r
+    20246U,	// INC32_32r
+    69398U,	// INC32m
+    20246U,	// INC32r
+    36630U,	// INC64_16m
+    20246U,	// INC64_16r
+    69398U,	// INC64_32m
+    20246U,	// INC64_32r
+    85782U,	// INC64m
+    20246U,	// INC64r
+    102166U,	// INC8m
+    20246U,	// INC8r
+    12881424U,	// INSB
+    2383437716U,	// INSERTPSrm
+    2215665556U,	// INSERTPSrr
+    35707797U,	// INSERTQ
+    2787171221U,	// INSERTQI
+    12899464U,	// INSL
+    12919880U,	// INSW
+    25047U,	// INT
+    10878U,	// INT1
+    10982U,	// INT3
+    11739U,	// INTO
+    11381U,	// INVD
+    403726817U,	// INVEPT32
+    403726817U,	// INVEPT64
+    104169U,	// INVLPG
+    12332U,	// INVLPGA32
+    12349U,	// INVLPGA64
+    403722216U,	// INVPCID32
+    403722216U,	// INVPCID64
+    403722225U,	// INVVPID32
+    403722225U,	// INVVPID64
+    12099U,	// IRET16
+    11369U,	// IRET32
+    11845U,	// IRET64
+    39303U,	// ISTT_FP16m
+    72071U,	// ISTT_FP32m
+    88455U,	// ISTT_FP64m
     0U,	// ISTT_Fp16m32
     0U,	// ISTT_Fp16m64
     0U,	// ISTT_Fp16m80
@@ -965,11 +960,11 @@
     0U,	// ISTT_Fp64m32
     0U,	// ISTT_Fp64m64
     0U,	// ISTT_Fp64m80
-    41559U,	// IST_F16m
-    74327U,	// IST_F32m
-    39340U,	// IST_FP16m
-    72108U,	// IST_FP32m
-    88492U,	// IST_FP64m
+    41515U,	// IST_F16m
+    74283U,	// IST_F32m
+    39296U,	// IST_FP16m
+    72064U,	// IST_FP32m
+    88448U,	// IST_FP64m
     0U,	// IST_Fp16m32
     0U,	// IST_Fp16m64
     0U,	// IST_Fp16m80
@@ -979,246 +974,246 @@
     0U,	// IST_Fp64m32
     0U,	// IST_Fp64m64
     0U,	// IST_Fp64m80
-    245542425U,	// Int_CMPSDrm
-    77786649U,	// Int_CMPSDrr
-    280145433U,	// Int_CMPSSrm
-    78835225U,	// Int_CMPSSrr
-    537941144U,	// Int_COMISDrm
-    135287960U,	// Int_COMISDrr
-    537944361U,	// Int_COMISSrm
-    135291177U,	// Int_COMISSrr
-    235954316U,	// Int_CVTSD2SSrm
-    68182156U,	// Int_CVTSD2SSrr
-    470832115U,	// Int_CVTSI2SD64rm
-    68178931U,	// Int_CVTSI2SD64rr
-    437277683U,	// Int_CVTSI2SDrm
-    68178931U,	// Int_CVTSI2SDrr
-    470835351U,	// Int_CVTSI2SS64rm
-    68182167U,	// Int_CVTSI2SS64rr
-    437280919U,	// Int_CVTSI2SSrm
-    68182167U,	// Int_CVTSI2SSrr
-    269505546U,	// Int_CVTSS2SDrm
-    68178954U,	// Int_CVTSS2SDrr
-    571496410U,	// Int_CVTTSD2SI64rm
-    135288794U,	// Int_CVTTSD2SI64rr
-    571496410U,	// Int_CVTTSD2SIrm
-    135288794U,	// Int_CVTTSD2SIrr
-    605050865U,	// Int_CVTTSS2SI64rm
-    135288817U,	// Int_CVTTSS2SI64rr
-    605050865U,	// Int_CVTTSS2SIrm
-    135288817U,	// Int_CVTTSS2SIrr
-    11206U,	// Int_MemBarrier
-    537941143U,	// Int_UCOMISDrm
-    135287959U,	// Int_UCOMISDrr
-    537944360U,	// Int_UCOMISSrm
-    135291176U,	// Int_UCOMISSrr
-    2292543005U,	// Int_VCMPSDrm
-    2292559389U,	// Int_VCMPSDrr
-    2293591581U,	// Int_VCMPSSrm
-    2293607965U,	// Int_VCMPSSrr
-    537941152U,	// Int_VCOMISDZrm
-    135287968U,	// Int_VCOMISDZrr
-    537941152U,	// Int_VCOMISDrm
-    135287968U,	// Int_VCOMISDrr
-    537944369U,	// Int_VCOMISSZrm
-    135291185U,	// Int_VCOMISSZrr
-    537944369U,	// Int_VCOMISSrm
-    135291185U,	// Int_VCOMISSrr
-    2282774667U,	// Int_VCVTSD2SSrm
-    2282774667U,	// Int_VCVTSD2SSrr
-    2282771442U,	// Int_VCVTSI2SD64Zrm
-    2282771442U,	// Int_VCVTSI2SD64Zrr
-    2282771442U,	// Int_VCVTSI2SD64rm
-    2282771442U,	// Int_VCVTSI2SD64rr
-    2282771442U,	// Int_VCVTSI2SDZrm
-    2282771442U,	// Int_VCVTSI2SDZrr
-    2282771442U,	// Int_VCVTSI2SDrm
-    2282771442U,	// Int_VCVTSI2SDrr
-    2282774678U,	// Int_VCVTSI2SS64Zrm
-    2282774678U,	// Int_VCVTSI2SS64Zrr
-    2282774678U,	// Int_VCVTSI2SS64rm
-    2282774678U,	// Int_VCVTSI2SS64rr
-    2282774678U,	// Int_VCVTSI2SSZrm
-    2282774678U,	// Int_VCVTSI2SSZrr
-    2282774678U,	// Int_VCVTSI2SSrm
-    2282774678U,	// Int_VCVTSI2SSrr
-    2282771465U,	// Int_VCVTSS2SDrm
-    2282771465U,	// Int_VCVTSS2SDrr
-    571491641U,	// Int_VCVTTSD2SI64Zrm
-    135284025U,	// Int_VCVTTSD2SI64Zrr
-    571496409U,	// Int_VCVTTSD2SI64rm
-    135288793U,	// Int_VCVTTSD2SI64rr
-    571491641U,	// Int_VCVTTSD2SIZrm
-    135284025U,	// Int_VCVTTSD2SIZrr
-    571496409U,	// Int_VCVTTSD2SIrm
-    135288793U,	// Int_VCVTTSD2SIrr
-    571491691U,	// Int_VCVTTSD2USI64Zrm
-    135284075U,	// Int_VCVTTSD2USI64Zrr
-    571491691U,	// Int_VCVTTSD2USIZrm
-    135284075U,	// Int_VCVTTSD2USIZrr
-    605046098U,	// Int_VCVTTSS2SI64Zrm
-    135284050U,	// Int_VCVTTSS2SI64Zrr
-    605050864U,	// Int_VCVTTSS2SI64rm
-    135288816U,	// Int_VCVTTSS2SI64rr
-    605046098U,	// Int_VCVTTSS2SIZrm
-    135284050U,	// Int_VCVTTSS2SIZrr
-    605050864U,	// Int_VCVTTSS2SIrm
-    135288816U,	// Int_VCVTTSS2SIrr
-    605046150U,	// Int_VCVTTSS2USI64Zrm
-    135284102U,	// Int_VCVTTSS2USI64Zrr
-    605046150U,	// Int_VCVTTSS2USIZrm
-    135284102U,	// Int_VCVTTSS2USIZrr
-    2282771453U,	// Int_VCVTUSI2SD64Zrm
-    2282771453U,	// Int_VCVTUSI2SD64Zrr
-    2282771453U,	// Int_VCVTUSI2SDZrm
-    2282771453U,	// Int_VCVTUSI2SDZrr
-    2282774689U,	// Int_VCVTUSI2SS64Zrm
-    2282774689U,	// Int_VCVTUSI2SS64Zrr
-    2282774689U,	// Int_VCVTUSI2SSZrm
-    2282774689U,	// Int_VCVTUSI2SSZrr
-    537941142U,	// Int_VUCOMISDZrm
-    135287958U,	// Int_VUCOMISDZrr
-    537941142U,	// Int_VUCOMISDrm
-    135287958U,	// Int_VUCOMISDrr
-    537944359U,	// Int_VUCOMISSZrm
-    135291175U,	// Int_VUCOMISSZrr
-    537944359U,	// Int_VUCOMISSrm
-    135291175U,	// Int_VUCOMISSrr
-    153112U,	// JAE_1
-    153112U,	// JAE_2
-    153112U,	// JAE_4
-    150884U,	// JA_1
-    150884U,	// JA_2
-    150884U,	// JA_4
-    153132U,	// JBE_1
-    153132U,	// JBE_2
-    153132U,	// JBE_4
-    151003U,	// JB_1
-    151003U,	// JB_2
-    151003U,	// JB_4
-    157073U,	// JCXZ
-    157066U,	// JECXZ_32
-    157066U,	// JECXZ_64
-    153188U,	// JE_1
-    153188U,	// JE_2
-    153188U,	// JE_4
-    153159U,	// JGE_1
-    153159U,	// JGE_2
-    153159U,	// JGE_4
-    153361U,	// JG_1
-    153361U,	// JG_2
-    153361U,	// JG_4
-    153192U,	// JLE_1
-    153192U,	// JLE_2
-    153192U,	// JLE_4
-    153659U,	// JL_1
-    153659U,	// JL_2
-    153659U,	// JL_4
-    39241U,	// JMP16m
-    22857U,	// JMP16r
-    72009U,	// JMP32m
-    22857U,	// JMP32r
-    88393U,	// JMP64m
-    22857U,	// JMP64r
-    153929U,	// JMP_1
-    153929U,	// JMP_2
-    153929U,	// JMP_4
-    153212U,	// JNE_1
-    153212U,	// JNE_2
-    153212U,	// JNE_4
-    153845U,	// JNO_1
-    153845U,	// JNO_2
-    153845U,	// JNO_4
-    153957U,	// JNP_1
-    153957U,	// JNP_2
-    153957U,	// JNP_4
-    154891U,	// JNS_1
-    154891U,	// JNS_2
-    154891U,	// JNS_4
-    153841U,	// JO_1
-    153841U,	// JO_2
-    153841U,	// JO_4
-    153912U,	// JP_1
-    153912U,	// JP_2
-    153912U,	// JP_4
-    157079U,	// JRCXZ
-    154887U,	// JS_1
-    154887U,	// JS_2
-    154887U,	// JS_4
-    2282766373U,	// KANDBrr
-    2282766587U,	// KANDDrr
-    2282766414U,	// KANDNBrr
-    2282766713U,	// KANDNDrr
-    2282768212U,	// KANDNQrr
-    2282769190U,	// KANDNWrr
-    2282767989U,	// KANDQrr
-    2282769138U,	// KANDWrr
-    135282840U,	// KMOVBkk
+    211987949U,	// Int_CMPSDrm
+    77786605U,	// Int_CMPSDrr
+    246590957U,	// Int_CMPSSrm
+    78835181U,	// Int_CMPSSrr
+    537941100U,	// Int_COMISDrm
+    303060076U,	// Int_COMISDrr
+    537944317U,	// Int_COMISSrm
+    303063293U,	// Int_COMISSrr
+    202399840U,	// Int_CVTSD2SSrm
+    68182112U,	// Int_CVTSD2SSrr
+    135287751U,	// Int_CVTSI2SD64rm
+    68178887U,	// Int_CVTSI2SD64rr
+    101733319U,	// Int_CVTSI2SDrm
+    68178887U,	// Int_CVTSI2SDrr
+    135290987U,	// Int_CVTSI2SS64rm
+    68182123U,	// Int_CVTSI2SS64rr
+    101736555U,	// Int_CVTSI2SSrm
+    68182123U,	// Int_CVTSI2SSrr
+    235951070U,	// Int_CVTSS2SDrm
+    68178910U,	// Int_CVTSS2SDrr
+    571496366U,	// Int_CVTTSD2SI64rm
+    303060910U,	// Int_CVTTSD2SI64rr
+    571496366U,	// Int_CVTTSD2SIrm
+    303060910U,	// Int_CVTTSD2SIrr
+    605050821U,	// Int_CVTTSS2SI64rm
+    303060933U,	// Int_CVTTSS2SI64rr
+    605050821U,	// Int_CVTTSS2SIrm
+    303060933U,	// Int_CVTTSS2SIrr
+    11162U,	// Int_MemBarrier
+    537941099U,	// Int_UCOMISDrm
+    303060075U,	// Int_UCOMISDrr
+    537944316U,	// Int_UCOMISSrm
+    303063292U,	// Int_UCOMISSrr
+    2460315121U,	// Int_VCMPSDrm
+    2460331505U,	// Int_VCMPSDrr
+    2461363697U,	// Int_VCMPSSrm
+    2461380081U,	// Int_VCMPSSrr
+    537941108U,	// Int_VCOMISDZrm
+    303060084U,	// Int_VCOMISDZrr
+    537941108U,	// Int_VCOMISDrm
+    303060084U,	// Int_VCOMISDrr
+    537944325U,	// Int_VCOMISSZrm
+    303063301U,	// Int_VCOMISSZrr
+    537944325U,	// Int_VCOMISSrm
+    303063301U,	// Int_VCOMISSrr
+    2450546783U,	// Int_VCVTSD2SSrm
+    2450546783U,	// Int_VCVTSD2SSrr
+    2450543558U,	// Int_VCVTSI2SD64Zrm
+    2450543558U,	// Int_VCVTSI2SD64Zrr
+    2450543558U,	// Int_VCVTSI2SD64rm
+    2450543558U,	// Int_VCVTSI2SD64rr
+    2450543558U,	// Int_VCVTSI2SDZrm
+    2450543558U,	// Int_VCVTSI2SDZrr
+    2450543558U,	// Int_VCVTSI2SDrm
+    2450543558U,	// Int_VCVTSI2SDrr
+    2450546794U,	// Int_VCVTSI2SS64Zrm
+    2450546794U,	// Int_VCVTSI2SS64Zrr
+    2450546794U,	// Int_VCVTSI2SS64rm
+    2450546794U,	// Int_VCVTSI2SS64rr
+    2450546794U,	// Int_VCVTSI2SSZrm
+    2450546794U,	// Int_VCVTSI2SSZrr
+    2450546794U,	// Int_VCVTSI2SSrm
+    2450546794U,	// Int_VCVTSI2SSrr
+    2450543581U,	// Int_VCVTSS2SDrm
+    2450543581U,	// Int_VCVTSS2SDrr
+    571491619U,	// Int_VCVTTSD2SI64Zrm
+    303056163U,	// Int_VCVTTSD2SI64Zrr
+    571496365U,	// Int_VCVTTSD2SI64rm
+    303060909U,	// Int_VCVTTSD2SI64rr
+    571491619U,	// Int_VCVTTSD2SIZrm
+    303056163U,	// Int_VCVTTSD2SIZrr
+    571496365U,	// Int_VCVTTSD2SIrm
+    303060909U,	// Int_VCVTTSD2SIrr
+    571491669U,	// Int_VCVTTSD2USI64Zrm
+    303056213U,	// Int_VCVTTSD2USI64Zrr
+    571491669U,	// Int_VCVTTSD2USIZrm
+    303056213U,	// Int_VCVTTSD2USIZrr
+    605046076U,	// Int_VCVTTSS2SI64Zrm
+    303056188U,	// Int_VCVTTSS2SI64Zrr
+    605050820U,	// Int_VCVTTSS2SI64rm
+    303060932U,	// Int_VCVTTSS2SI64rr
+    605046076U,	// Int_VCVTTSS2SIZrm
+    303056188U,	// Int_VCVTTSS2SIZrr
+    605050820U,	// Int_VCVTTSS2SIrm
+    303060932U,	// Int_VCVTTSS2SIrr
+    605046128U,	// Int_VCVTTSS2USI64Zrm
+    303056240U,	// Int_VCVTTSS2USI64Zrr
+    605046128U,	// Int_VCVTTSS2USIZrm
+    303056240U,	// Int_VCVTTSS2USIZrr
+    2450543569U,	// Int_VCVTUSI2SD64Zrm
+    2450543569U,	// Int_VCVTUSI2SD64Zrr
+    2450543569U,	// Int_VCVTUSI2SDZrm
+    2450543569U,	// Int_VCVTUSI2SDZrr
+    2450546805U,	// Int_VCVTUSI2SS64Zrm
+    2450546805U,	// Int_VCVTUSI2SS64Zrr
+    2450546805U,	// Int_VCVTUSI2SSZrm
+    2450546805U,	// Int_VCVTUSI2SSZrr
+    537941098U,	// Int_VUCOMISDZrm
+    303060074U,	// Int_VUCOMISDZrr
+    537941098U,	// Int_VUCOMISDrm
+    303060074U,	// Int_VUCOMISDrr
+    537944315U,	// Int_VUCOMISSZrm
+    303063291U,	// Int_VUCOMISSZrr
+    537944315U,	// Int_VUCOMISSrm
+    303063291U,	// Int_VUCOMISSrr
+    153068U,	// JAE_1
+    153068U,	// JAE_2
+    153068U,	// JAE_4
+    150840U,	// JA_1
+    150840U,	// JA_2
+    150840U,	// JA_4
+    153088U,	// JBE_1
+    153088U,	// JBE_2
+    153088U,	// JBE_4
+    150959U,	// JB_1
+    150959U,	// JB_2
+    150959U,	// JB_4
+    157029U,	// JCXZ
+    157022U,	// JECXZ_32
+    157022U,	// JECXZ_64
+    153144U,	// JE_1
+    153144U,	// JE_2
+    153144U,	// JE_4
+    153115U,	// JGE_1
+    153115U,	// JGE_2
+    153115U,	// JGE_4
+    153317U,	// JG_1
+    153317U,	// JG_2
+    153317U,	// JG_4
+    153148U,	// JLE_1
+    153148U,	// JLE_2
+    153148U,	// JLE_4
+    153615U,	// JL_1
+    153615U,	// JL_2
+    153615U,	// JL_4
+    39197U,	// JMP16m
+    22813U,	// JMP16r
+    71965U,	// JMP32m
+    22813U,	// JMP32r
+    88349U,	// JMP64m
+    22813U,	// JMP64r
+    153885U,	// JMP_1
+    153885U,	// JMP_2
+    153885U,	// JMP_4
+    153168U,	// JNE_1
+    153168U,	// JNE_2
+    153168U,	// JNE_4
+    153801U,	// JNO_1
+    153801U,	// JNO_2
+    153801U,	// JNO_4
+    153913U,	// JNP_1
+    153913U,	// JNP_2
+    153913U,	// JNP_4
+    154847U,	// JNS_1
+    154847U,	// JNS_2
+    154847U,	// JNS_4
+    153797U,	// JO_1
+    153797U,	// JO_2
+    153797U,	// JO_4
+    153868U,	// JP_1
+    153868U,	// JP_2
+    153868U,	// JP_4
+    157035U,	// JRCXZ
+    154843U,	// JS_1
+    154843U,	// JS_2
+    154843U,	// JS_4
+    2450538533U,	// KANDBrr
+    2450538747U,	// KANDDrr
+    2450538574U,	// KANDNBrr
+    2450538873U,	// KANDNDrr
+    2450540350U,	// KANDNQrr
+    2450541306U,	// KANDNWrr
+    2450540127U,	// KANDQrr
+    2450541254U,	// KANDWrr
+    303055000U,	// KMOVBkk
     504381592U,	// KMOVBkm
-    135282840U,	// KMOVBkr
+    303055000U,	// KMOVBkr
     1147032U,	// KMOVBmk
-    135282840U,	// KMOVBrk
-    135283981U,	// KMOVDkk
-    101729549U,	// KMOVDkm
-    135283981U,	// KMOVDkr
-    1115405U,	// KMOVDmk
-    135283981U,	// KMOVDrk
-    135284810U,	// KMOVQkk
-    168839242U,	// KMOVQkm
-    135284810U,	// KMOVQkr
-    1132618U,	// KMOVQmk
-    135284810U,	// KMOVQrk
-    135285638U,	// KMOVWkk
-    370166662U,	// KMOVWkm
-    135285638U,	// KMOVWkr
-    1084294U,	// KMOVWmk
-    135285638U,	// KMOVWrk
-    135282832U,	// KNOTBrr
-    135283908U,	// KNOTDrr
-    135284737U,	// KNOTQrr
-    135285619U,	// KNOTWrr
-    2282766456U,	// KORBrr
-    2282767281U,	// KORDrr
-    2282768279U,	// KORQrr
-    135285627U,	// KORTESTWrr
-    2282769232U,	// KORWrr
+    303055000U,	// KMOVBrk
+    303056119U,	// KMOVDkk
+    269501687U,	// KMOVDkm
+    303056119U,	// KMOVDkr
+    1115383U,	// KMOVDmk
+    303056119U,	// KMOVDrk
+    303056926U,	// KMOVQkk
+    336611358U,	// KMOVQkm
+    303056926U,	// KMOVQkr
+    1132574U,	// KMOVQmk
+    303056926U,	// KMOVQrk
+    303057754U,	// KMOVWkk
+    437275482U,	// KMOVWkm
+    303057754U,	// KMOVWkr
+    1084250U,	// KMOVWmk
+    303057754U,	// KMOVWrk
+    303054992U,	// KNOTBrr
+    303056046U,	// KNOTDrr
+    303056853U,	// KNOTQrr
+    303057735U,	// KNOTWrr
+    2450538616U,	// KORBrr
+    2450539430U,	// KORDrr
+    2450540406U,	// KORQrr
+    303057743U,	// KORTESTWrr
+    2450541348U,	// KORWrr
     0U,	// KSET0B
     0U,	// KSET0W
     0U,	// KSET1B
     0U,	// KSET1W
-    2282769179U,	// KSHIFTLWri
-    2282769256U,	// KSHIFTRWri
-    2282769127U,	// KUNPCKBWrr
-    2282766463U,	// KXNORBrr
-    2282767288U,	// KXNORDrr
-    2282768286U,	// KXNORQrr
-    2282769239U,	// KXNORWrr
-    2282766472U,	// KXORBrr
-    2282767305U,	// KXORDrr
-    2282768303U,	// KXORQrr
-    2282769248U,	// KXORWrr
-    11569U,	// LAHF
-    370170947U,	// LAR16rm
-    135289923U,	// LAR16rr
-    370170947U,	// LAR32rm
-    135289923U,	// LAR32rr
-    370170947U,	// LAR64rm
-    135289923U,	// LAR64rr
-    1087240U,	// LCMPXCHG16
-    265622U,	// LCMPXCHG16B
-    1120008U,	// LCMPXCHG32
-    1136392U,	// LCMPXCHG64
-    1152776U,	// LCMPXCHG8
-    85410U,	// LCMPXCHG8B
-    336618116U,	// LDDQUrm
-    72871U,	// LDMXCSR
-    672161011U,	// LDS16rm
-    672161011U,	// LDS32rm
-    12448U,	// LD_F0
-    10896U,	// LD_F1
-    118828U,	// LD_F32m
-    135212U,	// LD_F64m
-    380972U,	// LD_F80m
+    2450541295U,	// KSHIFTLWri
+    2450541372U,	// KSHIFTRWri
+    2450541243U,	// KUNPCKBWrr
+    2450538623U,	// KXNORBrr
+    2450539437U,	// KXNORDrr
+    2450540413U,	// KXNORQrr
+    2450541355U,	// KXNORWrr
+    2450538632U,	// KXORBrr
+    2450539454U,	// KXORDrr
+    2450540430U,	// KXORQrr
+    2450541364U,	// KXORWrr
+    11525U,	// LAHF
+    437279767U,	// LAR16rm
+    303062039U,	// LAR16rr
+    437279767U,	// LAR32rm
+    303062039U,	// LAR32rr
+    437279767U,	// LAR64rm
+    303062039U,	// LAR64rr
+    1087196U,	// LCMPXCHG16
+    265578U,	// LCMPXCHG16B
+    1119964U,	// LCMPXCHG32
+    1136348U,	// LCMPXCHG64
+    1152732U,	// LCMPXCHG8
+    85366U,	// LCMPXCHG8B
+    403726936U,	// LDDQUrm
+    72827U,	// LDMXCSR
+    672160967U,	// LDS16rm
+    672160967U,	// LDS32rm
+    12404U,	// LD_F0
+    10852U,	// LD_F1
+    118784U,	// LD_F32m
+    135168U,	// LD_F64m
+    380928U,	// LD_F80m
     0U,	// LD_Fp032
     0U,	// LD_Fp064
     0U,	// LD_Fp080
@@ -1231,583 +1226,583 @@
     0U,	// LD_Fp64m
     0U,	// LD_Fp64m80
     0U,	// LD_Fp80m
-    20524U,	// LD_Frr
-    370167135U,	// LEA16r
-    101731679U,	// LEA32r
-    101731679U,	// LEA64_32r
-    168840543U,	// LEA64r
-    11556U,	// LEAVE
-    11556U,	// LEAVE64
-    672161016U,	// LES16rm
-    672161016U,	// LES32rm
-    11441U,	// LFENCE
-    672161021U,	// LFS16rm
-    672161021U,	// LFS32rm
-    672161021U,	// LFS64rm
-    287163U,	// LGDT16m
-    287163U,	// LGDT32m
-    287163U,	// LGDT64m
-    672161026U,	// LGS16rm
-    672161026U,	// LGS32rm
-    672161026U,	// LGS64rm
-    287175U,	// LIDT16m
-    287175U,	// LIDT32m
-    287175U,	// LIDT64m
-    41427U,	// LLDT16m
-    25043U,	// LLDT16r
-    42085U,	// LMSW16m
-    25701U,	// LMSW16r
-    1085351U,	// LOCK_ADD16mi
-    1085351U,	// LOCK_ADD16mi8
-    1085351U,	// LOCK_ADD16mr
-    1118119U,	// LOCK_ADD32mi
-    1118119U,	// LOCK_ADD32mi8
-    1118119U,	// LOCK_ADD32mr
-    1134503U,	// LOCK_ADD64mi32
-    1134503U,	// LOCK_ADD64mi8
-    1134503U,	// LOCK_ADD64mr
-    1150887U,	// LOCK_ADD8mi
-    1150887U,	// LOCK_ADD8mr
-    1085555U,	// LOCK_AND16mi
-    1085555U,	// LOCK_AND16mi8
-    1085555U,	// LOCK_AND16mr
-    1118323U,	// LOCK_AND32mi
-    1118323U,	// LOCK_AND32mi8
-    1118323U,	// LOCK_AND32mr
-    1134707U,	// LOCK_AND64mi32
-    1134707U,	// LOCK_AND64mi8
-    1134707U,	// LOCK_AND64mr
-    1151091U,	// LOCK_AND8mi
-    1151091U,	// LOCK_AND8mr
-    36629U,	// LOCK_DEC16m
-    69397U,	// LOCK_DEC32m
-    85781U,	// LOCK_DEC64m
-    102165U,	// LOCK_DEC8m
-    36674U,	// LOCK_INC16m
-    69442U,	// LOCK_INC32m
-    85826U,	// LOCK_INC64m
-    102210U,	// LOCK_INC8m
-    1088634U,	// LOCK_OR16mi
-    1088634U,	// LOCK_OR16mi8
-    1088634U,	// LOCK_OR16mr
-    1121402U,	// LOCK_OR32mi
-    1121402U,	// LOCK_OR32mi8
-    1121402U,	// LOCK_OR32mr
-    1137786U,	// LOCK_OR64mi32
-    1137786U,	// LOCK_OR64mi8
-    1137786U,	// LOCK_OR64mr
-    1154170U,	// LOCK_OR8mi
-    1154170U,	// LOCK_OR8mr
-    11643U,	// LOCK_PREFIX
-    1085111U,	// LOCK_SUB16mi
-    1085111U,	// LOCK_SUB16mi8
-    1085111U,	// LOCK_SUB16mr
-    1117879U,	// LOCK_SUB32mi
-    1117879U,	// LOCK_SUB32mi8
-    1117879U,	// LOCK_SUB32mr
-    1134263U,	// LOCK_SUB64mi32
-    1134263U,	// LOCK_SUB64mi8
-    1134263U,	// LOCK_SUB64mr
-    1150647U,	// LOCK_SUB8mi
-    1150647U,	// LOCK_SUB8mr
-    1088662U,	// LOCK_XOR16mi
-    1088662U,	// LOCK_XOR16mi8
-    1088662U,	// LOCK_XOR16mr
-    1121430U,	// LOCK_XOR32mi
-    1121430U,	// LOCK_XOR32mi8
-    1121430U,	// LOCK_XOR32mr
-    1137814U,	// LOCK_XOR64mi32
-    1137814U,	// LOCK_XOR64mi8
-    1137814U,	// LOCK_XOR64mr
-    1154198U,	// LOCK_XOR8mi
-    1154198U,	// LOCK_XOR8mr
-    402992U,	// LODSB
-    419676U,	// LODSL
-    436229U,	// LODSQ
-    452371U,	// LODSW
-    153982U,	// LOOP
-    153240U,	// LOOPE
-    153217U,	// LOOPNE
-    22269U,	// LRETIL
-    23347U,	// LRETIQ
-    22269U,	// LRETIW
-    11590U,	// LRETL
-    11863U,	// LRETQ
-    11590U,	// LRETW
-    370169978U,	// LSL16rm
-    135288954U,	// LSL16rr
-    101734522U,	// LSL32rm
-    135288954U,	// LSL32rr
-    168843386U,	// LSL64rm
-    135288954U,	// LSL64rr
-    672162109U,	// LSS16rm
-    672162109U,	// LSS32rm
-    672162109U,	// LSS64rm
-    40133U,	// LTRm
-    23749U,	// LTRr
-    462771U,	// LXADD16
-    479155U,	// LXADD32
-    495539U,	// LXADD64
-    511923U,	// LXADD8
-    370172405U,	// LZCNT16rm
-    135291381U,	// LZCNT16rr
-    101736949U,	// LZCNT32rm
-    135291381U,	// LZCNT32rr
-    168845813U,	// LZCNT64rm
-    135291381U,	// LZCNT64rr
-    135291532U,	// MASKMOVDQU
-    135291532U,	// MASKMOVDQU64
-    202396488U,	// MAXCPDrm
-    68178760U,	// MAXCPDrr
-    202399759U,	// MAXCPSrm
-    68182031U,	// MAXCPSrr
-    235951363U,	// MAXCSDrm
-    68179203U,	// MAXCSDrr
-    269509013U,	// MAXCSSrm
-    68182421U,	// MAXCSSrr
-    202396488U,	// MAXPDrm
-    68178760U,	// MAXPDrr
-    202399759U,	// MAXPSrm
-    68182031U,	// MAXPSrr
-    235951363U,	// MAXSDrm
-    235951363U,	// MAXSDrm_Int
-    68179203U,	// MAXSDrr
-    68179203U,	// MAXSDrr_Int
-    269509013U,	// MAXSSrm
-    269509013U,	// MAXSSrm_Int
-    68182421U,	// MAXSSrr
-    68182421U,	// MAXSSrr_Int
-    11448U,	// MFENCE
-    202396370U,	// MINCPDrm
-    68178642U,	// MINCPDrr
-    202399600U,	// MINCPSrm
-    68181872U,	// MINCPSrr
-    235951283U,	// MINCSDrm
-    68179123U,	// MINCSDrr
-    269508931U,	// MINCSSrm
-    68182339U,	// MINCSSrr
-    202396370U,	// MINPDrm
-    68178642U,	// MINPDrr
-    202399600U,	// MINPSrm
-    68181872U,	// MINPSrr
-    235951283U,	// MINSDrm
-    235951283U,	// MINSDrm_Int
-    68179123U,	// MINSDrr
-    68179123U,	// MINSDrr_Int
-    269508931U,	// MINSSrm
-    269508931U,	// MINSSrm_Int
-    68182339U,	// MINSSrr
-    68182339U,	// MINSSrr_Int
-    537941905U,	// MMX_CVTPD2PIirm
-    135288721U,	// MMX_CVTPD2PIirr
-    168841532U,	// MMX_CVTPI2PDirm
-    135287100U,	// MMX_CVTPI2PDirr
-    470834657U,	// MMX_CVTPI2PSirm
-    68181473U,	// MMX_CVTPI2PSirr
-    571496358U,	// MMX_CVTPS2PIirm
-    135288742U,	// MMX_CVTPS2PIirr
-    537941894U,	// MMX_CVTTPD2PIirm
-    135288710U,	// MMX_CVTTPD2PIirr
-    571496347U,	// MMX_CVTTPS2PIirm
-    135288731U,	// MMX_CVTTPS2PIirr
-    12090U,	// MMX_EMMS
-    135289854U,	// MMX_MASKMOVQ
-    135289854U,	// MMX_MASKMOVQ64
-    135288192U,	// MMX_MOVD64from64rr
-    135288192U,	// MMX_MOVD64grr
-    1119616U,	// MMX_MOVD64mr
-    101733760U,	// MMX_MOVD64rm
-    135288192U,	// MMX_MOVD64rr
-    135288192U,	// MMX_MOVD64to64rr
-    135289337U,	// MMX_MOVDQ2Qrr
-    135289337U,	// MMX_MOVFR642Qrr
-    1137585U,	// MMX_MOVNTQmr
-    135289428U,	// MMX_MOVQ2DQrr
-    135289428U,	// MMX_MOVQ2FR64rr
-    1137666U,	// MMX_MOVQ64mr
-    168844290U,	// MMX_MOVQ64rm
-    135289858U,	// MMX_MOVQ64rr
-    135289858U,	// MMX_MOVQ64rr_REV
-    168840736U,	// MMX_PABSBrm64
-    135286304U,	// MMX_PABSBrr64
-    168842315U,	// MMX_PABSDrm64
-    135287883U,	// MMX_PABSDrr64
-    168846380U,	// MMX_PABSWrm64
-    135291948U,	// MMX_PABSWrr64
-    470836083U,	// MMX_PACKSSDWirm
-    68182899U,	// MMX_PACKSSDWirr
-    470830815U,	// MMX_PACKSSWBirm
-    68177631U,	// MMX_PACKSSWBirr
-    470830826U,	// MMX_PACKUSWBirm
-    68177642U,	// MMX_PACKUSWBirr
-    470830531U,	// MMX_PADDBirm
-    68177347U,	// MMX_PADDBirr
-    470831043U,	// MMX_PADDDirm
-    68177859U,	// MMX_PADDDirr
-    470833791U,	// MMX_PADDQirm
-    68180607U,	// MMX_PADDQirr
-    470830641U,	// MMX_PADDSBirm
-    68177457U,	// MMX_PADDSBirr
-    470836317U,	// MMX_PADDSWirm
-    68183133U,	// MMX_PADDSWirr
-    470830683U,	// MMX_PADDUSBirm
-    68177499U,	// MMX_PADDUSBirr
-    470836390U,	// MMX_PADDUSWirm
-    68183206U,	// MMX_PADDUSWirr
-    470836065U,	// MMX_PADDWirm
-    68182881U,	// MMX_PADDWirr
-    2618317935U,	// MMX_PALIGNR64irm
-    2215664751U,	// MMX_PALIGNR64irr
-    470833364U,	// MMX_PANDNirm
-    68180180U,	// MMX_PANDNirr
-    470831218U,	// MMX_PANDirm
-    68178034U,	// MMX_PANDirr
-    470830548U,	// MMX_PAVGBirm
-    68177364U,	// MMX_PAVGBirr
-    470836120U,	// MMX_PAVGWirm
-    68182936U,	// MMX_PAVGWirr
-    470830596U,	// MMX_PCMPEQBirm
-    68177412U,	// MMX_PCMPEQBirr
-    470831961U,	// MMX_PCMPEQDirm
-    68178777U,	// MMX_PCMPEQDirr
-    470836225U,	// MMX_PCMPEQWirm
-    68183041U,	// MMX_PCMPEQWirr
-    470830724U,	// MMX_PCMPGTBirm
-    68177540U,	// MMX_PCMPGTBirr
-    470832412U,	// MMX_PCMPGTDirm
-    68179228U,	// MMX_PCMPGTDirr
-    470836416U,	// MMX_PCMPGTWirm
-    68183232U,	// MMX_PCMPGTWirr
-    2282775587U,	// MMX_PEXTRWirri
-    470836307U,	// MMX_PHADDSWrm64
-    68183123U,	// MMX_PHADDSWrr64
-    470836056U,	// MMX_PHADDWrm64
-    68182872U,	// MMX_PHADDWrr64
-    470831034U,	// MMX_PHADDrm64
-    68177850U,	// MMX_PHADDrr64
-    470830975U,	// MMX_PHSUBDrm64
-    68177791U,	// MMX_PHSUBDrr64
-    470836288U,	// MMX_PHSUBSWrm64
-    68183104U,	// MMX_PHSUBSWrr64
-    470836002U,	// MMX_PHSUBWrm64
-    68182818U,	// MMX_PHSUBWrr64
-    2551211034U,	// MMX_PINSRWirmi
-    2215666714U,	// MMX_PINSRWirri
-    470836276U,	// MMX_PMADDUBSWrm64
-    68183092U,	// MMX_PMADDUBSWrr64
-    470832546U,	// MMX_PMADDWDirm
-    68179362U,	// MMX_PMADDWDirr
-    470836407U,	// MMX_PMAXSWirm
-    68183223U,	// MMX_PMAXSWirr
-    470830788U,	// MMX_PMAXUBirm
-    68177604U,	// MMX_PMAXUBirr
-    470836338U,	// MMX_PMINSWirm
-    68183154U,	// MMX_PMINSWirr
-    470830765U,	// MMX_PMINUBirm
-    68177581U,	// MMX_PMINUBirr
-    135286240U,	// MMX_PMOVMSKBrr
-    470836361U,	// MMX_PMULHRSWrm64
-    68183177U,	// MMX_PMULHRSWrr64
-    470836448U,	// MMX_PMULHUWirm
-    68183264U,	// MMX_PMULHUWirr
-    470836149U,	// MMX_PMULHWirm
-    68182965U,	// MMX_PMULHWirr
-    470836191U,	// MMX_PMULLWirm
-    68183007U,	// MMX_PMULLWirr
-    470833931U,	// MMX_PMULUDQirm
-    68180747U,	// MMX_PMULUDQirr
-    470834297U,	// MMX_PORirm
-    68181113U,	// MMX_PORirr
-    470835939U,	// MMX_PSADBWirm
-    68182755U,	// MMX_PSADBWirr
-    470830539U,	// MMX_PSHUFBrm64
-    68177355U,	// MMX_PSHUFBrr64
-    2316329871U,	// MMX_PSHUFWmi
-    2282775439U,	// MMX_PSHUFWri
-    470830587U,	// MMX_PSIGNBrm64
-    68177403U,	// MMX_PSIGNBrr64
-    470831233U,	// MMX_PSIGNDrm64
-    68178049U,	// MMX_PSIGNDrr64
-    470836216U,	// MMX_PSIGNWrm64
-    68183032U,	// MMX_PSIGNWrr64
-    68177984U,	// MMX_PSLLDri
-    470831168U,	// MMX_PSLLDrm
-    68177984U,	// MMX_PSLLDrr
-    68180803U,	// MMX_PSLLQri
-    470833987U,	// MMX_PSLLQrm
-    68180803U,	// MMX_PSLLQrr
-    68182999U,	// MMX_PSLLWri
-    470836183U,	// MMX_PSLLWrm
-    68182999U,	// MMX_PSLLWrr
-    68177762U,	// MMX_PSRADri
-    470830946U,	// MMX_PSRADrm
-    68177762U,	// MMX_PSRADrr
-    68182736U,	// MMX_PSRAWri
-    470835920U,	// MMX_PSRAWrm
-    68182736U,	// MMX_PSRAWrr
-    68178001U,	// MMX_PSRLDri
-    470831185U,	// MMX_PSRLDrm
-    68178001U,	// MMX_PSRLDrr
-    68180811U,	// MMX_PSRLQri
-    470833995U,	// MMX_PSRLQrm
-    68180811U,	// MMX_PSRLQrr
-    68183016U,	// MMX_PSRLWri
-    470836200U,	// MMX_PSRLWrm
-    68183016U,	// MMX_PSRLWrr
-    470830523U,	// MMX_PSUBBirm
-    68177339U,	// MMX_PSUBBirr
-    470830984U,	// MMX_PSUBDirm
-    68177800U,	// MMX_PSUBDirr
-    470833696U,	// MMX_PSUBQirm
-    68180512U,	// MMX_PSUBQirr
-    470830632U,	// MMX_PSUBSBirm
-    68177448U,	// MMX_PSUBSBirr
-    470836298U,	// MMX_PSUBSWirm
-    68183114U,	// MMX_PSUBSWirr
-    470830673U,	// MMX_PSUBUSBirm
-    68177489U,	// MMX_PSUBUSBirr
-    470836380U,	// MMX_PSUBUSWirm
-    68183196U,	// MMX_PSUBUSWirr
-    470836011U,	// MMX_PSUBWirm
-    68182827U,	// MMX_PSUBWirr
-    470835967U,	// MMX_PUNPCKHBWirm
-    68182783U,	// MMX_PUNPCKHBWirr
-    470833809U,	// MMX_PUNPCKHDQirm
-    68180625U,	// MMX_PUNPCKHDQirr
-    470832556U,	// MMX_PUNPCKHWDirm
-    68179372U,	// MMX_PUNPCKHWDirr
-    470835979U,	// MMX_PUNPCKLBWirm
-    68182795U,	// MMX_PUNPCKLBWirr
-    470833821U,	// MMX_PUNPCKLDQirm
-    68180637U,	// MMX_PUNPCKLDQirr
-    470832568U,	// MMX_PUNPCKLWDirm
-    68179384U,	// MMX_PUNPCKLWDirr
-    470834325U,	// MMX_PXORirm
-    68181141U,	// MMX_PXORirr
+    20480U,	// LD_Frr
+    437275955U,	// LEA16r
+    269503795U,	// LEA32r
+    269503795U,	// LEA64_32r
+    336612659U,	// LEA64r
+    11512U,	// LEAVE
+    11512U,	// LEAVE64
+    672160972U,	// LES16rm
+    672160972U,	// LES32rm
+    11397U,	// LFENCE
+    672160977U,	// LFS16rm
+    672160977U,	// LFS32rm
+    672160977U,	// LFS64rm
+    287119U,	// LGDT16m
+    287119U,	// LGDT32m
+    287119U,	// LGDT64m
+    672160982U,	// LGS16rm
+    672160982U,	// LGS32rm
+    672160982U,	// LGS64rm
+    287131U,	// LIDT16m
+    287131U,	// LIDT32m
+    287131U,	// LIDT64m
+    41383U,	// LLDT16m
+    24999U,	// LLDT16r
+    42041U,	// LMSW16m
+    25657U,	// LMSW16r
+    1085307U,	// LOCK_ADD16mi
+    1085307U,	// LOCK_ADD16mi8
+    1085307U,	// LOCK_ADD16mr
+    1118075U,	// LOCK_ADD32mi
+    1118075U,	// LOCK_ADD32mi8
+    1118075U,	// LOCK_ADD32mr
+    1134459U,	// LOCK_ADD64mi32
+    1134459U,	// LOCK_ADD64mi8
+    1134459U,	// LOCK_ADD64mr
+    1150843U,	// LOCK_ADD8mi
+    1150843U,	// LOCK_ADD8mr
+    1085511U,	// LOCK_AND16mi
+    1085511U,	// LOCK_AND16mi8
+    1085511U,	// LOCK_AND16mr
+    1118279U,	// LOCK_AND32mi
+    1118279U,	// LOCK_AND32mi8
+    1118279U,	// LOCK_AND32mr
+    1134663U,	// LOCK_AND64mi32
+    1134663U,	// LOCK_AND64mi8
+    1134663U,	// LOCK_AND64mr
+    1151047U,	// LOCK_AND8mi
+    1151047U,	// LOCK_AND8mr
+    36585U,	// LOCK_DEC16m
+    69353U,	// LOCK_DEC32m
+    85737U,	// LOCK_DEC64m
+    102121U,	// LOCK_DEC8m
+    36630U,	// LOCK_INC16m
+    69398U,	// LOCK_INC32m
+    85782U,	// LOCK_INC64m
+    102166U,	// LOCK_INC8m
+    1088590U,	// LOCK_OR16mi
+    1088590U,	// LOCK_OR16mi8
+    1088590U,	// LOCK_OR16mr
+    1121358U,	// LOCK_OR32mi
+    1121358U,	// LOCK_OR32mi8
+    1121358U,	// LOCK_OR32mr
+    1137742U,	// LOCK_OR64mi32
+    1137742U,	// LOCK_OR64mi8
+    1137742U,	// LOCK_OR64mr
+    1154126U,	// LOCK_OR8mi
+    1154126U,	// LOCK_OR8mr
+    11599U,	// LOCK_PREFIX
+    1085067U,	// LOCK_SUB16mi
+    1085067U,	// LOCK_SUB16mi8
+    1085067U,	// LOCK_SUB16mr
+    1117835U,	// LOCK_SUB32mi
+    1117835U,	// LOCK_SUB32mi8
+    1117835U,	// LOCK_SUB32mr
+    1134219U,	// LOCK_SUB64mi32
+    1134219U,	// LOCK_SUB64mi8
+    1134219U,	// LOCK_SUB64mr
+    1150603U,	// LOCK_SUB8mi
+    1150603U,	// LOCK_SUB8mr
+    1088618U,	// LOCK_XOR16mi
+    1088618U,	// LOCK_XOR16mi8
+    1088618U,	// LOCK_XOR16mr
+    1121386U,	// LOCK_XOR32mi
+    1121386U,	// LOCK_XOR32mi8
+    1121386U,	// LOCK_XOR32mr
+    1137770U,	// LOCK_XOR64mi32
+    1137770U,	// LOCK_XOR64mi8
+    1137770U,	// LOCK_XOR64mr
+    1154154U,	// LOCK_XOR8mi
+    1154154U,	// LOCK_XOR8mr
+    402948U,	// LODSB
+    419632U,	// LODSL
+    436185U,	// LODSQ
+    452327U,	// LODSW
+    153938U,	// LOOP
+    153196U,	// LOOPE
+    153173U,	// LOOPNE
+    22225U,	// LRETIL
+    23303U,	// LRETIQ
+    22225U,	// LRETIW
+    11546U,	// LRETL
+    11819U,	// LRETQ
+    11546U,	// LRETW
+    437278798U,	// LSL16rm
+    303061070U,	// LSL16rr
+    269506638U,	// LSL32rm
+    303061070U,	// LSL32rr
+    336615502U,	// LSL64rm
+    303061070U,	// LSL64rr
+    672162065U,	// LSS16rm
+    672162065U,	// LSS32rm
+    672162065U,	// LSS64rm
+    40089U,	// LTRm
+    23705U,	// LTRr
+    462727U,	// LXADD16
+    479111U,	// LXADD32
+    495495U,	// LXADD64
+    511879U,	// LXADD8
+    437281225U,	// LZCNT16rm
+    303063497U,	// LZCNT16rr
+    269509065U,	// LZCNT32rm
+    303063497U,	// LZCNT32rr
+    336617929U,	// LZCNT64rm
+    303063497U,	// LZCNT64rr
+    303063648U,	// MASKMOVDQU
+    303063648U,	// MASKMOVDQU64
+    168842012U,	// MAXCPDrm
+    68178716U,	// MAXCPDrr
+    168845283U,	// MAXCPSrm
+    68181987U,	// MAXCPSrr
+    202396887U,	// MAXCSDrm
+    68179159U,	// MAXCSDrr
+    235954537U,	// MAXCSSrm
+    68182377U,	// MAXCSSrr
+    168842012U,	// MAXPDrm
+    68178716U,	// MAXPDrr
+    168845283U,	// MAXPSrm
+    68181987U,	// MAXPSrr
+    202396887U,	// MAXSDrm
+    202396887U,	// MAXSDrm_Int
+    68179159U,	// MAXSDrr
+    68179159U,	// MAXSDrr_Int
+    235954537U,	// MAXSSrm
+    235954537U,	// MAXSSrm_Int
+    68182377U,	// MAXSSrr
+    68182377U,	// MAXSSrr_Int
+    11404U,	// MFENCE
+    168841894U,	// MINCPDrm
+    68178598U,	// MINCPDrr
+    168845124U,	// MINCPSrm
+    68181828U,	// MINCPSrr
+    202396807U,	// MINCSDrm
+    68179079U,	// MINCSDrr
+    235954455U,	// MINCSSrm
+    68182295U,	// MINCSSrr
+    168841894U,	// MINPDrm
+    68178598U,	// MINPDrr
+    168845124U,	// MINPSrm
+    68181828U,	// MINPSrr
+    202396807U,	// MINSDrm
+    202396807U,	// MINSDrm_Int
+    68179079U,	// MINSDrr
+    68179079U,	// MINSDrr_Int
+    235954455U,	// MINSSrm
+    235954455U,	// MINSSrm_Int
+    68182295U,	// MINSSrr
+    68182295U,	// MINSSrr_Int
+    537941861U,	// MMX_CVTPD2PIirm
+    303060837U,	// MMX_CVTPD2PIirr
+    336613648U,	// MMX_CVTPI2PDirm
+    303059216U,	// MMX_CVTPI2PDirr
+    135290293U,	// MMX_CVTPI2PSirm
+    68181429U,	// MMX_CVTPI2PSirr
+    571496314U,	// MMX_CVTPS2PIirm
+    303060858U,	// MMX_CVTPS2PIirr
+    537941850U,	// MMX_CVTTPD2PIirm
+    303060826U,	// MMX_CVTTPD2PIirr
+    571496303U,	// MMX_CVTTPS2PIirm
+    303060847U,	// MMX_CVTTPS2PIirr
+    12046U,	// MMX_EMMS
+    303061970U,	// MMX_MASKMOVQ
+    303061970U,	// MMX_MASKMOVQ64
+    303060308U,	// MMX_MOVD64from64rr
+    303060308U,	// MMX_MOVD64grr
+    1119572U,	// MMX_MOVD64mr
+    269505876U,	// MMX_MOVD64rm
+    303060308U,	// MMX_MOVD64rr
+    303060308U,	// MMX_MOVD64to64rr
+    303061453U,	// MMX_MOVDQ2Qrr
+    303061453U,	// MMX_MOVFR642Qrr
+    1137541U,	// MMX_MOVNTQmr
+    303061544U,	// MMX_MOVQ2DQrr
+    303061544U,	// MMX_MOVQ2FR64rr
+    1137622U,	// MMX_MOVQ64mr
+    336616406U,	// MMX_MOVQ64rm
+    303061974U,	// MMX_MOVQ64rr
+    303061974U,	// MMX_MOVQ64rr_REV
+    336612852U,	// MMX_PABSBrm64
+    303058420U,	// MMX_PABSBrr64
+    336614431U,	// MMX_PABSDrm64
+    303059999U,	// MMX_PABSDrr64
+    336618496U,	// MMX_PABSWrm64
+    303064064U,	// MMX_PABSWrr64
+    135291719U,	// MMX_PACKSSDWirm
+    68182855U,	// MMX_PACKSSDWirr
+    135286451U,	// MMX_PACKSSWBirm
+    68177587U,	// MMX_PACKSSWBirr
+    135286462U,	// MMX_PACKUSWBirm
+    68177598U,	// MMX_PACKUSWBirr
+    135286167U,	// MMX_PADDBirm
+    68177303U,	// MMX_PADDBirr
+    135286679U,	// MMX_PADDDirm
+    68177815U,	// MMX_PADDDirr
+    135289427U,	// MMX_PADDQirm
+    68180563U,	// MMX_PADDQirr
+    135286277U,	// MMX_PADDSBirm
+    68177413U,	// MMX_PADDSBirr
+    135291953U,	// MMX_PADDSWirm
+    68183089U,	// MMX_PADDSWirr
+    135286319U,	// MMX_PADDUSBirm
+    68177455U,	// MMX_PADDUSBirr
+    135292026U,	// MMX_PADDUSWirm
+    68183162U,	// MMX_PADDUSWirr
+    135291701U,	// MMX_PADDWirm
+    68182837U,	// MMX_PADDWirr
+    2282773571U,	// MMX_PALIGNR64irm
+    2215664707U,	// MMX_PALIGNR64irr
+    135289000U,	// MMX_PANDNirm
+    68180136U,	// MMX_PANDNirr
+    135286854U,	// MMX_PANDirm
+    68177990U,	// MMX_PANDirr
+    135286184U,	// MMX_PAVGBirm
+    68177320U,	// MMX_PAVGBirr
+    135291756U,	// MMX_PAVGWirm
+    68182892U,	// MMX_PAVGWirr
+    135286232U,	// MMX_PCMPEQBirm
+    68177368U,	// MMX_PCMPEQBirr
+    135287597U,	// MMX_PCMPEQDirm
+    68178733U,	// MMX_PCMPEQDirr
+    135291861U,	// MMX_PCMPEQWirm
+    68182997U,	// MMX_PCMPEQWirr
+    135286360U,	// MMX_PCMPGTBirm
+    68177496U,	// MMX_PCMPGTBirr
+    135288048U,	// MMX_PCMPGTDirm
+    68179184U,	// MMX_PCMPGTDirr
+    135292052U,	// MMX_PCMPGTWirm
+    68183188U,	// MMX_PCMPGTWirr
+    2450547703U,	// MMX_PEXTRWirri
+    135291943U,	// MMX_PHADDSWrm64
+    68183079U,	// MMX_PHADDSWrr64
+    135291692U,	// MMX_PHADDWrm64
+    68182828U,	// MMX_PHADDWrr64
+    135286670U,	// MMX_PHADDrm64
+    68177806U,	// MMX_PHADDrr64
+    135286611U,	// MMX_PHSUBDrm64
+    68177747U,	// MMX_PHSUBDrr64
+    135291924U,	// MMX_PHSUBSWrm64
+    68183060U,	// MMX_PHSUBSWrr64
+    135291638U,	// MMX_PHSUBWrm64
+    68182774U,	// MMX_PHSUBWrr64
+    2618319854U,	// MMX_PINSRWirmi
+    2215666670U,	// MMX_PINSRWirri
+    135291912U,	// MMX_PMADDUBSWrm64
+    68183048U,	// MMX_PMADDUBSWrr64
+    135288182U,	// MMX_PMADDWDirm
+    68179318U,	// MMX_PMADDWDirr
+    135292043U,	// MMX_PMAXSWirm
+    68183179U,	// MMX_PMAXSWirr
+    135286424U,	// MMX_PMAXUBirm
+    68177560U,	// MMX_PMAXUBirr
+    135291974U,	// MMX_PMINSWirm
+    68183110U,	// MMX_PMINSWirr
+    135286401U,	// MMX_PMINUBirm
+    68177537U,	// MMX_PMINUBirr
+    303058356U,	// MMX_PMOVMSKBrr
+    135291997U,	// MMX_PMULHRSWrm64
+    68183133U,	// MMX_PMULHRSWrr64
+    135292084U,	// MMX_PMULHUWirm
+    68183220U,	// MMX_PMULHUWirr
+    135291785U,	// MMX_PMULHWirm
+    68182921U,	// MMX_PMULHWirr
+    135291827U,	// MMX_PMULLWirm
+    68182963U,	// MMX_PMULLWirr
+    135289567U,	// MMX_PMULUDQirm
+    68180703U,	// MMX_PMULUDQirr
+    135289933U,	// MMX_PORirm
+    68181069U,	// MMX_PORirr
+    135291575U,	// MMX_PSADBWirm
+    68182711U,	// MMX_PSADBWirr
+    135286175U,	// MMX_PSHUFBrm64
+    68177311U,	// MMX_PSHUFBrr64
+    2484101987U,	// MMX_PSHUFWmi
+    2450547555U,	// MMX_PSHUFWri
+    135286223U,	// MMX_PSIGNBrm64
+    68177359U,	// MMX_PSIGNBrr64
+    135286869U,	// MMX_PSIGNDrm64
+    68178005U,	// MMX_PSIGNDrr64
+    135291852U,	// MMX_PSIGNWrm64
+    68182988U,	// MMX_PSIGNWrr64
+    68177940U,	// MMX_PSLLDri
+    135286804U,	// MMX_PSLLDrm
+    68177940U,	// MMX_PSLLDrr
+    68180759U,	// MMX_PSLLQri
+    135289623U,	// MMX_PSLLQrm
+    68180759U,	// MMX_PSLLQrr
+    68182955U,	// MMX_PSLLWri
+    135291819U,	// MMX_PSLLWrm
+    68182955U,	// MMX_PSLLWrr
+    68177718U,	// MMX_PSRADri
+    135286582U,	// MMX_PSRADrm
+    68177718U,	// MMX_PSRADrr
+    68182692U,	// MMX_PSRAWri
+    135291556U,	// MMX_PSRAWrm
+    68182692U,	// MMX_PSRAWrr
+    68177957U,	// MMX_PSRLDri
+    135286821U,	// MMX_PSRLDrm
+    68177957U,	// MMX_PSRLDrr
+    68180767U,	// MMX_PSRLQri
+    135289631U,	// MMX_PSRLQrm
+    68180767U,	// MMX_PSRLQrr
+    68182972U,	// MMX_PSRLWri
+    135291836U,	// MMX_PSRLWrm
+    68182972U,	// MMX_PSRLWrr
+    135286159U,	// MMX_PSUBBirm
+    68177295U,	// MMX_PSUBBirr
+    135286620U,	// MMX_PSUBDirm
+    68177756U,	// MMX_PSUBDirr
+    135289332U,	// MMX_PSUBQirm
+    68180468U,	// MMX_PSUBQirr
+    135286268U,	// MMX_PSUBSBirm
+    68177404U,	// MMX_PSUBSBirr
+    135291934U,	// MMX_PSUBSWirm
+    68183070U,	// MMX_PSUBSWirr
+    135286309U,	// MMX_PSUBUSBirm
+    68177445U,	// MMX_PSUBUSBirr
+    135292016U,	// MMX_PSUBUSWirm
+    68183152U,	// MMX_PSUBUSWirr
+    135291647U,	// MMX_PSUBWirm
+    68182783U,	// MMX_PSUBWirr
+    135291603U,	// MMX_PUNPCKHBWirm
+    68182739U,	// MMX_PUNPCKHBWirr
+    135289445U,	// MMX_PUNPCKHDQirm
+    68180581U,	// MMX_PUNPCKHDQirr
+    135288192U,	// MMX_PUNPCKHWDirm
+    68179328U,	// MMX_PUNPCKHWDirr
+    135291615U,	// MMX_PUNPCKLBWirm
+    68182751U,	// MMX_PUNPCKLBWirr
+    135289457U,	// MMX_PUNPCKLDQirm
+    68180593U,	// MMX_PUNPCKLDQirr
+    135288204U,	// MMX_PUNPCKLWDirm
+    68179340U,	// MMX_PUNPCKLWDirr
+    135289961U,	// MMX_PXORirm
+    68181097U,	// MMX_PXORirr
     0U,	// MONITOR
-    11915U,	// MONITORrrr
-    11704U,	// MONTMUL
+    11871U,	// MONITORrrr
+    11660U,	// MONTMUL
     0U,	// MORESTACK_RET
     0U,	// MORESTACK_RET_RESTORE_R10
-    14164674U,	// MOV16ao16
-    14164674U,	// MOV16ao16_16
-    1090242U,	// MOV16mi
-    1090242U,	// MOV16mr
-    1090242U,	// MOV16ms
-    534271U,	// MOV16o16a
-    534271U,	// MOV16o16a_16
-    135291586U,	// MOV16ri
-    135291586U,	// MOV16ri_alt
-    370172610U,	// MOV16rm
-    135291586U,	// MOV16rr
-    135291586U,	// MOV16rr_REV
-    135291586U,	// MOV16rs
-    370172610U,	// MOV16sm
-    135291586U,	// MOV16sr
-    15229634U,	// MOV32ao32
-    15229634U,	// MOV32ao32_16
-    135291586U,	// MOV32cr
-    135291586U,	// MOV32dr
-    1123010U,	// MOV32mi
-    1123010U,	// MOV32mr
-    1123010U,	// MOV32ms
-    550824U,	// MOV32o32a
-    550824U,	// MOV32o32a_16
+    14164630U,	// MOV16ao16
+    14164630U,	// MOV16ao16_16
+    1090198U,	// MOV16mi
+    1090198U,	// MOV16mr
+    1090198U,	// MOV16ms
+    534227U,	// MOV16o16a
+    534227U,	// MOV16o16a_16
+    303063702U,	// MOV16ri
+    303063702U,	// MOV16ri_alt
+    437281430U,	// MOV16rm
+    303063702U,	// MOV16rr
+    303063702U,	// MOV16rr_REV
+    303063702U,	// MOV16rs
+    437281430U,	// MOV16sm
+    303063702U,	// MOV16sr
+    15229590U,	// MOV32ao32
+    15229590U,	// MOV32ao32_16
+    303063702U,	// MOV32cr
+    303063702U,	// MOV32dr
+    1122966U,	// MOV32mi
+    1122966U,	// MOV32mr
+    1122966U,	// MOV32ms
+    550780U,	// MOV32o32a
+    550780U,	// MOV32o32a_16
     0U,	// MOV32r0
-    135291586U,	// MOV32rc
-    135291586U,	// MOV32rd
-    135291586U,	// MOV32ri
+    303063702U,	// MOV32rc
+    303063702U,	// MOV32rd
+    303063702U,	// MOV32ri
     0U,	// MOV32ri64
-    135291586U,	// MOV32ri_alt
-    101737154U,	// MOV32rm
-    135291586U,	// MOV32rr
-    135291586U,	// MOV32rr_REV
-    135291586U,	// MOV32rs
-    101737154U,	// MOV32sm
-    135291586U,	// MOV32sr
-    14163173U,	// MOV64ao16
-    15228133U,	// MOV64ao32
-    16293093U,	// MOV64ao64
-    17358053U,	// MOV64ao8
-    135291586U,	// MOV64cr
-    135291586U,	// MOV64dr
-    1139394U,	// MOV64mi32
-    1139394U,	// MOV64mr
-    1139394U,	// MOV64ms
-    534249U,	// MOV64o16a
-    550800U,	// MOV64o32a
-    567323U,	// MOV64o64a
-    583289U,	// MOV64o8a
-    135291586U,	// MOV64rc
-    135291586U,	// MOV64rd
-    135290085U,	// MOV64ri
-    135291586U,	// MOV64ri32
-    168846018U,	// MOV64rm
-    135291586U,	// MOV64rr
-    135291586U,	// MOV64rr_REV
-    135291586U,	// MOV64rs
-    168846018U,	// MOV64sm
-    135291586U,	// MOV64sr
-    135289858U,	// MOV64toPQIrr
-    168844290U,	// MOV64toSDrm
-    135289858U,	// MOV64toSDrr
-    17359554U,	// MOV8ao8
-    17359554U,	// MOV8ao8_16
-    1155778U,	// MOV8mi
-    1155778U,	// MOV8mr
-    1155778U,	// MOV8mr_NOREX
-    583311U,	// MOV8o8a
-    583311U,	// MOV8o8a_16
-    135291586U,	// MOV8ri
-    135291586U,	// MOV8ri_alt
-    504390338U,	// MOV8rm
-    504390338U,	// MOV8rm_NOREX
-    135291586U,	// MOV8rr
-    135291586U,	// MOV8rr_NOREX
-    135291586U,	// MOV8rr_REV
-    1642943U,	// MOVAPDmr
-    537940415U,	// MOVAPDrm
-    135287231U,	// MOVAPDrr
-    135287231U,	// MOVAPDrr_REV
-    1646169U,	// MOVAPSmr
-    537943641U,	// MOVAPSrm
-    135290457U,	// MOVAPSrr
-    135290457U,	// MOVAPSrr_REV
-    1087033U,	// MOVBE16mr
-    370169401U,	// MOVBE16rm
-    1119801U,	// MOVBE32mr
-    101733945U,	// MOVBE32rm
-    1136185U,	// MOVBE64mr
-    168842809U,	// MOVBE64rm
-    571496892U,	// MOVDDUPrm
-    135289276U,	// MOVDDUPrr
-    101733760U,	// MOVDI2PDIrm
-    135288192U,	// MOVDI2PDIrr
-    101733760U,	// MOVDI2SSrm
-    135288192U,	// MOVDI2SSrr
-    1314164U,	// MOVDQAmr
-    336612724U,	// MOVDQArm
-    135286132U,	// MOVDQArr
-    135286132U,	// MOVDQArr_REV
-    1319568U,	// MOVDQUmr
-    336618128U,	// MOVDQUrm
-    135291536U,	// MOVDQUrr
-    135291536U,	// MOVDQUrr_REV
-    68181805U,	// MOVHLPSrr
-    1184381U,	// MOVHPDmr
-    235950717U,	// MOVHPDrm
-    1187609U,	// MOVHPSmr
-    235953945U,	// MOVHPSrm
-    68181775U,	// MOVLHPSrr
-    1184431U,	// MOVLPDmr
-    235950767U,	// MOVLPDrm
-    1187669U,	// MOVLPSmr
-    235954005U,	// MOVLPSrm
-    135287430U,	// MOVMSKPDrr
-    135290658U,	// MOVMSKPSrr
-    336612713U,	// MOVNTDQArm
-    1645302U,	// MOVNTDQmr
-    1136653U,	// MOVNTI_64mr
-    1120269U,	// MOVNTImr
-    1643268U,	// MOVNTPDmr
-    1646518U,	// MOVNTPSmr
-    1184977U,	// MOVNTSD
-    1171802U,	// MOVNTSS
+    303063702U,	// MOV32ri_alt
+    269509270U,	// MOV32rm
+    303063702U,	// MOV32rr
+    303063702U,	// MOV32rr_REV
+    303063702U,	// MOV32rs
+    269509270U,	// MOV32sm
+    303063702U,	// MOV32sr
+    14163129U,	// MOV64ao16
+    15228089U,	// MOV64ao32
+    16293049U,	// MOV64ao64
+    17358009U,	// MOV64ao8
+    303063702U,	// MOV64cr
+    303063702U,	// MOV64dr
+    1139350U,	// MOV64mi32
+    1139350U,	// MOV64mr
+    1139350U,	// MOV64ms
+    534205U,	// MOV64o16a
+    550756U,	// MOV64o32a
+    567279U,	// MOV64o64a
+    583245U,	// MOV64o8a
+    303063702U,	// MOV64rc
+    303063702U,	// MOV64rd
+    303062201U,	// MOV64ri
+    303063702U,	// MOV64ri32
+    336618134U,	// MOV64rm
+    303063702U,	// MOV64rr
+    303063702U,	// MOV64rr_REV
+    303063702U,	// MOV64rs
+    336618134U,	// MOV64sm
+    303063702U,	// MOV64sr
+    303061974U,	// MOV64toPQIrr
+    336616406U,	// MOV64toSDrm
+    303061974U,	// MOV64toSDrr
+    17359510U,	// MOV8ao8
+    17359510U,	// MOV8ao8_16
+    1155734U,	// MOV8mi
+    1155734U,	// MOV8mr
+    1155734U,	// MOV8mr_NOREX
+    583267U,	// MOV8o8a
+    583267U,	// MOV8o8a_16
+    303063702U,	// MOV8ri
+    303063702U,	// MOV8ri_alt
+    504390294U,	// MOV8rm
+    504390294U,	// MOV8rm_NOREX
+    303063702U,	// MOV8rr
+    303063702U,	// MOV8rr_NOREX
+    303063702U,	// MOV8rr_REV
+    1642899U,	// MOVAPDmr
+    537940371U,	// MOVAPDrm
+    303059347U,	// MOVAPDrr
+    303059347U,	// MOVAPDrr_REV
+    1646125U,	// MOVAPSmr
+    537943597U,	// MOVAPSrm
+    303062573U,	// MOVAPSrr
+    303062573U,	// MOVAPSrr_REV
+    1086989U,	// MOVBE16mr
+    437278221U,	// MOVBE16rm
+    1119757U,	// MOVBE32mr
+    269506061U,	// MOVBE32rm
+    1136141U,	// MOVBE64mr
+    336614925U,	// MOVBE64rm
+    571496848U,	// MOVDDUPrm
+    303061392U,	// MOVDDUPrr
+    269505876U,	// MOVDI2PDIrm
+    303060308U,	// MOVDI2PDIrr
+    269505876U,	// MOVDI2SSrm
+    303060308U,	// MOVDI2SSrr
+    1314120U,	// MOVDQAmr
+    403721544U,	// MOVDQArm
+    303058248U,	// MOVDQArr
+    303058248U,	// MOVDQArr_REV
+    1319524U,	// MOVDQUmr
+    403726948U,	// MOVDQUrm
+    303063652U,	// MOVDQUrr
+    303063652U,	// MOVDQUrr_REV
+    68181761U,	// MOVHLPSrr
+    1184337U,	// MOVHPDmr
+    202396241U,	// MOVHPDrm
+    1187565U,	// MOVHPSmr
+    202399469U,	// MOVHPSrm
+    68181731U,	// MOVLHPSrr
+    1184387U,	// MOVLPDmr
+    202396291U,	// MOVLPDrm
+    1187625U,	// MOVLPSmr
+    202399529U,	// MOVLPSrm
+    303059546U,	// MOVMSKPDrr
+    303062774U,	// MOVMSKPSrr
+    403721533U,	// MOVNTDQArm
+    1645258U,	// MOVNTDQmr
+    1136609U,	// MOVNTI_64mr
+    1120225U,	// MOVNTImr
+    1643224U,	// MOVNTPDmr
+    1646474U,	// MOVNTPSmr
+    1184933U,	// MOVNTSD
+    1171758U,	// MOVNTSS
     0U,	// MOVPC32r
-    1119616U,	// MOVPDI2DImr
-    135288192U,	// MOVPDI2DIrr
-    1137666U,	// MOVPQI2QImr
-    135289858U,	// MOVPQI2QIrr
-    135289858U,	// MOVPQIto64rr
-    168844290U,	// MOVQI2PQIrm
-    705990253U,	// MOVSB
-    1185018U,	// MOVSDmr
-    571495674U,	// MOVSDrm
-    68179194U,	// MOVSDrr
-    68179194U,	// MOVSDrr_REV
-    1137666U,	// MOVSDto64mr
-    135289858U,	// MOVSDto64rr
-    537942470U,	// MOVSHDUPrm
-    135289286U,	// MOVSHDUPrr
-    739562746U,	// MOVSL
-    537942481U,	// MOVSLDUPrm
-    135289297U,	// MOVSLDUPrr
-    773413792U,	// MOVSQ
-    1119616U,	// MOVSS2DImr
-    135288192U,	// MOVSS2DIrr
-    1171853U,	// MOVSSmr
-    605053325U,	// MOVSSrm
-    68182413U,	// MOVSSrr
-    68182413U,	// MOVSSrr_REV
-    806692015U,	// MOVSW
-    504391036U,	// MOVSX16rm8
-    135292284U,	// MOVSX16rr8
-    370173308U,	// MOVSX32rm16
-    504391036U,	// MOVSX32rm8
-    135292284U,	// MOVSX32rr16
-    135292284U,	// MOVSX32rr8
-    370173308U,	// MOVSX64rm16
-    101733904U,	// MOVSX64rm32
-    504391036U,	// MOVSX64rm8
-    135292284U,	// MOVSX64rr16
-    135288336U,	// MOVSX64rr32
-    135292284U,	// MOVSX64rr8
-    1643296U,	// MOVUPDmr
-    537940768U,	// MOVUPDrm
-    135287584U,	// MOVUPDrr
-    135287584U,	// MOVUPDrr_REV
-    1646567U,	// MOVUPSmr
-    537944039U,	// MOVUPSrm
-    135290855U,	// MOVUPSrr
-    135290855U,	// MOVUPSrr_REV
-    336616450U,	// MOVZPQILo2PQIrm
-    135289858U,	// MOVZPQILo2PQIrr
-    168844290U,	// MOVZQI2PQIrm
-    135289858U,	// MOVZQI2PQIrr
-    504391043U,	// MOVZX16rm8
-    135292291U,	// MOVZX16rr8
-    504391043U,	// MOVZX32_NOREXrm8
-    135292291U,	// MOVZX32_NOREXrr8
-    370173315U,	// MOVZX32rm16
-    504391043U,	// MOVZX32rm8
-    135292291U,	// MOVZX32rr16
-    135292291U,	// MOVZX32rr8
-    370173315U,	// MOVZX64rm16_Q
-    504391043U,	// MOVZX64rm8_Q
-    135292291U,	// MOVZX64rr16_Q
-    135292291U,	// MOVZX64rr8_Q
-    2450547426U,	// MPSADBWrmi
-    2215666402U,	// MPSADBWrri
-    39047U,	// MUL16m
-    22663U,	// MUL16r
-    71815U,	// MUL32m
-    22663U,	// MUL32r
-    88199U,	// MUL64m
-    22663U,	// MUL64r
-    104583U,	// MUL8m
-    22663U,	// MUL8r
-    202396327U,	// MULPDrm
-    68178599U,	// MULPDrr
-    202399565U,	// MULPSrm
-    68181837U,	// MULPSrr
-    235951274U,	// MULSDrm
-    235951274U,	// MULSDrm_Int
-    68179114U,	// MULSDrr
-    68179114U,	// MULSDrr_Int
-    269508923U,	// MULSSrm
-    269508923U,	// MULSSrm_Int
-    68182331U,	// MULSSrr
-    68182331U,	// MULSSrr_Int
-    2282775865U,	// MULX32rm
-    2282775865U,	// MULX32rr
-    2282775865U,	// MULX64rm
-    2282775865U,	// MULX64rr
-    120966U,	// MUL_F32m
-    137350U,	// MUL_F64m
-    39052U,	// MUL_FI16m
-    71820U,	// MUL_FI32m
-    22844U,	// MUL_FPrST0
-    22662U,	// MUL_FST0r
+    1119572U,	// MOVPDI2DImr
+    303060308U,	// MOVPDI2DIrr
+    1137622U,	// MOVPQI2QImr
+    303061974U,	// MOVPQI2QIrr
+    303061974U,	// MOVPQIto64rr
+    336616406U,	// MOVQI2PQIrm
+    705990209U,	// MOVSB
+    1184974U,	// MOVSDmr
+    571495630U,	// MOVSDrm
+    68179150U,	// MOVSDrr
+    68179150U,	// MOVSDrr_REV
+    1137622U,	// MOVSDto64mr
+    303061974U,	// MOVSDto64rr
+    537942426U,	// MOVSHDUPrm
+    303061402U,	// MOVSHDUPrr
+    739562702U,	// MOVSL
+    537942437U,	// MOVSLDUPrm
+    303061413U,	// MOVSLDUPrr
+    773413748U,	// MOVSQ
+    1119572U,	// MOVSS2DImr
+    303060308U,	// MOVSS2DIrr
+    1171809U,	// MOVSSmr
+    605053281U,	// MOVSSrm
+    68182369U,	// MOVSSrr
+    68182369U,	// MOVSSrr_REV
+    806691971U,	// MOVSW
+    504390992U,	// MOVSX16rm8
+    303064400U,	// MOVSX16rr8
+    437282128U,	// MOVSX32rm16
+    504390992U,	// MOVSX32rm8
+    303064400U,	// MOVSX32rr16
+    303064400U,	// MOVSX32rr8
+    437282128U,	// MOVSX64rm16
+    269506020U,	// MOVSX64rm32
+    504390992U,	// MOVSX64rm8
+    303064400U,	// MOVSX64rr16
+    303060452U,	// MOVSX64rr32
+    303064400U,	// MOVSX64rr8
+    1643252U,	// MOVUPDmr
+    537940724U,	// MOVUPDrm
+    303059700U,	// MOVUPDrr
+    303059700U,	// MOVUPDrr_REV
+    1646523U,	// MOVUPSmr
+    537943995U,	// MOVUPSrm
+    303062971U,	// MOVUPSrr
+    303062971U,	// MOVUPSrr_REV
+    403725270U,	// MOVZPQILo2PQIrm
+    303061974U,	// MOVZPQILo2PQIrr
+    336616406U,	// MOVZQI2PQIrm
+    303061974U,	// MOVZQI2PQIrr
+    504390999U,	// MOVZX16rm8
+    303064407U,	// MOVZX16rr8
+    504390999U,	// MOVZX32_NOREXrm8
+    303064407U,	// MOVZX32_NOREXrr8
+    437282135U,	// MOVZX32rm16
+    504390999U,	// MOVZX32rm8
+    303064407U,	// MOVZX32rr16
+    303064407U,	// MOVZX32rr8
+    437282135U,	// MOVZX64rm16_Q
+    504390999U,	// MOVZX64rm8_Q
+    303064407U,	// MOVZX64rr16_Q
+    303064407U,	// MOVZX64rr8_Q
+    2517656246U,	// MPSADBWrmi
+    2215666358U,	// MPSADBWrri
+    39003U,	// MUL16m
+    22619U,	// MUL16r
+    71771U,	// MUL32m
+    22619U,	// MUL32r
+    88155U,	// MUL64m
+    22619U,	// MUL64r
+    104539U,	// MUL8m
+    22619U,	// MUL8r
+    168841851U,	// MULPDrm
+    68178555U,	// MULPDrr
+    168845089U,	// MULPSrm
+    68181793U,	// MULPSrr
+    202396798U,	// MULSDrm
+    202396798U,	// MULSDrm_Int
+    68179070U,	// MULSDrr
+    68179070U,	// MULSDrr_Int
+    235954447U,	// MULSSrm
+    235954447U,	// MULSSrm_Int
+    68182287U,	// MULSSrr
+    68182287U,	// MULSSrr_Int
+    2450547981U,	// MULX32rm
+    2450547981U,	// MULX32rr
+    2450547981U,	// MULX64rm
+    2450547981U,	// MULX64rr
+    120922U,	// MUL_F32m
+    137306U,	// MUL_F64m
+    39008U,	// MUL_FI16m
+    71776U,	// MUL_FI32m
+    22800U,	// MUL_FPrST0
+    22618U,	// MUL_FST0r
     0U,	// MUL_Fp32
     0U,	// MUL_Fp32m
     0U,	// MUL_Fp64
@@ -1822,954 +1817,953 @@
     0U,	// MUL_FpI32m32
     0U,	// MUL_FpI32m64
     0U,	// MUL_FpI32m80
-    7362694U,	// MUL_FrST0
-    12155U,	// MWAITrr
-    38659U,	// NEG16m
-    22275U,	// NEG16r
-    71427U,	// NEG32m
-    22275U,	// NEG32r
-    87811U,	// NEG64m
-    22275U,	// NEG64r
-    104195U,	// NEG8m
-    22275U,	// NEG8r
-    11811U,	// NOOP
-    39289U,	// NOOP18_16m4
-    39289U,	// NOOP18_16m5
-    39289U,	// NOOP18_16m6
-    39289U,	// NOOP18_16m7
-    22905U,	// NOOP18_16r4
-    22905U,	// NOOP18_16r5
-    22905U,	// NOOP18_16r6
-    22905U,	// NOOP18_16r7
-    72057U,	// NOOP18_m4
-    72057U,	// NOOP18_m5
-    72057U,	// NOOP18_m6
-    72057U,	// NOOP18_m7
-    22905U,	// NOOP18_r4
-    22905U,	// NOOP18_r5
-    22905U,	// NOOP18_r6
-    22905U,	// NOOP18_r7
-    72057U,	// NOOPL
-    72057U,	// NOOPL_19
-    72057U,	// NOOPL_1a
-    72057U,	// NOOPL_1b
-    72057U,	// NOOPL_1c
-    72057U,	// NOOPL_1d
-    72057U,	// NOOPL_1e
-    39289U,	// NOOPW
-    39289U,	// NOOPW_19
-    39289U,	// NOOPW_1a
-    39289U,	// NOOPW_1b
-    39289U,	// NOOPW_1c
-    39289U,	// NOOPW_1d
-    39289U,	// NOOPW_1e
-    41480U,	// NOT16m
-    25096U,	// NOT16r
-    74248U,	// NOT32m
-    25096U,	// NOT32r
-    90632U,	// NOT64m
-    25096U,	// NOT64r
-    107016U,	// NOT8m
-    25096U,	// NOT8r
-    26337U,	// OR16i16
-    1088634U,	// OR16mi
-    1088634U,	// OR16mi8
-    1088634U,	// OR16mr
-    35708026U,	// OR16ri
-    35708026U,	// OR16ri8
-    3202170U,	// OR16rm
-    35708026U,	// OR16rr
-    68181114U,	// OR16rr_REV
-    26503U,	// OR32i32
-    1121402U,	// OR32mi
-    1121402U,	// OR32mi8
-    1121402U,	// OR32mr
-    1121402U,	// OR32mrLocked
-    35708026U,	// OR32ri
-    35708026U,	// OR32ri8
-    4250746U,	// OR32rm
-    35708026U,	// OR32rr
-    68181114U,	// OR32rr_REV
-    26642U,	// OR64i32
-    1137786U,	// OR64mi32
-    1137786U,	// OR64mi8
-    1137786U,	// OR64mr
-    35708026U,	// OR64ri32
-    35708026U,	// OR64ri8
-    5299322U,	// OR64rm
-    35708026U,	// OR64rr
-    68181114U,	// OR64rr_REV
-    26225U,	// OR8i8
-    1154170U,	// OR8mi
-    1154170U,	// OR8mr
-    35708026U,	// OR8ri
-    35708026U,	// OR8ri8
-    6347898U,	// OR8rm
-    35708026U,	// OR8rr
-    68181114U,	// OR8rr_REV
-    202396405U,	// ORPDrm
-    68178677U,	// ORPDrr
-    202399643U,	// ORPSrm
-    68181915U,	// ORPSrr
-    13656696U,	// OUT16ir
-    12278U,	// OUT16rr
-    14705272U,	// OUT32ir
-    12332U,	// OUT32rr
-    16802424U,	// OUT8ir
-    11648U,	// OUT8rr
-    403507U,	// OUTSB
-    419902U,	// OUTSL
-    452681U,	// OUTSW
-    336612896U,	// PABSBrm128
-    135286304U,	// PABSBrr128
-    336614475U,	// PABSDrm128
-    135287883U,	// PABSDrr128
-    336618540U,	// PABSWrm128
-    135291948U,	// PABSWrr128
-    303063923U,	// PACKSSDWrm
-    68182899U,	// PACKSSDWrr
-    303058655U,	// PACKSSWBrm
-    68177631U,	// PACKSSWBrr
-    303063934U,	// PACKUSDWrm
-    68182910U,	// PACKUSDWrr
-    303058666U,	// PACKUSWBrm
-    68177642U,	// PACKUSWBrr
-    303058371U,	// PADDBrm
-    68177347U,	// PADDBrr
-    303058883U,	// PADDDrm
-    68177859U,	// PADDDrr
-    303061631U,	// PADDQrm
-    68180607U,	// PADDQrr
-    303058481U,	// PADDSBrm
-    68177457U,	// PADDSBrr
-    303064157U,	// PADDSWrm
-    68183133U,	// PADDSWrr
-    303058523U,	// PADDUSBrm
-    68177499U,	// PADDUSBrr
-    303064230U,	// PADDUSWrm
-    68183206U,	// PADDUSWrr
-    303063905U,	// PADDWrm
-    68182881U,	// PADDWrr
-    2450545775U,	// PALIGNR128rm
-    2215664751U,	// PALIGNR128rr
-    303061204U,	// PANDNrm
-    68180180U,	// PANDNrr
-    303059058U,	// PANDrm
-    68178034U,	// PANDrr
-    11519U,	// PAUSE
-    303058388U,	// PAVGBrm
-    68177364U,	// PAVGBrr
-    470830692U,	// PAVGUSBrm
-    68177508U,	// PAVGUSBrr
-    303063960U,	// PAVGWrm
-    68182936U,	// PAVGWrr
-    303058637U,	// PBLENDVBrm0
-    68177613U,	// PBLENDVBrr0
-    2450547561U,	// PBLENDWrmi
-    2215666537U,	// PBLENDWrri
-    2450545374U,	// PCLMULQDQrm
-    2215664350U,	// PCLMULQDQrr
-    303058436U,	// PCMPEQBrm
-    68177412U,	// PCMPEQBrr
-    303059801U,	// PCMPEQDrm
-    68178777U,	// PCMPEQDrr
-    303061867U,	// PCMPEQQrm
-    68180843U,	// PCMPEQQrr
-    303064065U,	// PCMPEQWrm
-    68183041U,	// PCMPEQWrr
+    7362650U,	// MUL_FrST0
+    12111U,	// MWAITrr
+    38615U,	// NEG16m
+    22231U,	// NEG16r
+    71383U,	// NEG32m
+    22231U,	// NEG32r
+    87767U,	// NEG64m
+    22231U,	// NEG64r
+    104151U,	// NEG8m
+    22231U,	// NEG8r
+    11767U,	// NOOP
+    39245U,	// NOOP18_16m4
+    39245U,	// NOOP18_16m5
+    39245U,	// NOOP18_16m6
+    39245U,	// NOOP18_16m7
+    22861U,	// NOOP18_16r4
+    22861U,	// NOOP18_16r5
+    22861U,	// NOOP18_16r6
+    22861U,	// NOOP18_16r7
+    72013U,	// NOOP18_m4
+    72013U,	// NOOP18_m5
+    72013U,	// NOOP18_m6
+    72013U,	// NOOP18_m7
+    22861U,	// NOOP18_r4
+    22861U,	// NOOP18_r5
+    22861U,	// NOOP18_r6
+    22861U,	// NOOP18_r7
+    72013U,	// NOOPL
+    72013U,	// NOOPL_19
+    72013U,	// NOOPL_1a
+    72013U,	// NOOPL_1b
+    72013U,	// NOOPL_1c
+    72013U,	// NOOPL_1d
+    72013U,	// NOOPL_1e
+    39245U,	// NOOPW
+    39245U,	// NOOPW_19
+    39245U,	// NOOPW_1a
+    39245U,	// NOOPW_1b
+    39245U,	// NOOPW_1c
+    39245U,	// NOOPW_1d
+    39245U,	// NOOPW_1e
+    41436U,	// NOT16m
+    25052U,	// NOT16r
+    74204U,	// NOT32m
+    25052U,	// NOT32r
+    90588U,	// NOT64m
+    25052U,	// NOT64r
+    106972U,	// NOT8m
+    25052U,	// NOT8r
+    26293U,	// OR16i16
+    1088590U,	// OR16mi
+    1088590U,	// OR16mi8
+    1088590U,	// OR16mr
+    35707982U,	// OR16ri
+    35707982U,	// OR16ri8
+    3202126U,	// OR16rm
+    35707982U,	// OR16rr
+    68181070U,	// OR16rr_REV
+    26459U,	// OR32i32
+    1121358U,	// OR32mi
+    1121358U,	// OR32mi8
+    1121358U,	// OR32mr
+    1121358U,	// OR32mrLocked
+    35707982U,	// OR32ri
+    35707982U,	// OR32ri8
+    4250702U,	// OR32rm
+    35707982U,	// OR32rr
+    68181070U,	// OR32rr_REV
+    26598U,	// OR64i32
+    1137742U,	// OR64mi32
+    1137742U,	// OR64mi8
+    1137742U,	// OR64mr
+    35707982U,	// OR64ri32
+    35707982U,	// OR64ri8
+    5299278U,	// OR64rm
+    35707982U,	// OR64rr
+    68181070U,	// OR64rr_REV
+    26181U,	// OR8i8
+    1154126U,	// OR8mi
+    1154126U,	// OR8mr
+    35707982U,	// OR8ri
+    35707982U,	// OR8ri8
+    6347854U,	// OR8rm
+    35707982U,	// OR8rr
+    68181070U,	// OR8rr_REV
+    168841929U,	// ORPDrm
+    68178633U,	// ORPDrr
+    168845167U,	// ORPSrm
+    68181871U,	// ORPSrr
+    13656652U,	// OUT16ir
+    12234U,	// OUT16rr
+    14705228U,	// OUT32ir
+    12288U,	// OUT32rr
+    16802380U,	// OUT8ir
+    11604U,	// OUT8rr
+    403463U,	// OUTSB
+    419858U,	// OUTSL
+    452637U,	// OUTSW
+    403721716U,	// PABSBrm128
+    303058420U,	// PABSBrr128
+    403723295U,	// PABSDrm128
+    303059999U,	// PABSDrr128
+    403727360U,	// PABSWrm128
+    303064064U,	// PABSWrr128
+    370172743U,	// PACKSSDWrm
+    68182855U,	// PACKSSDWrr
+    370167475U,	// PACKSSWBrm
+    68177587U,	// PACKSSWBrr
+    370172754U,	// PACKUSDWrm
+    68182866U,	// PACKUSDWrr
+    370167486U,	// PACKUSWBrm
+    68177598U,	// PACKUSWBrr
+    370167191U,	// PADDBrm
+    68177303U,	// PADDBrr
+    370167703U,	// PADDDrm
+    68177815U,	// PADDDrr
+    370170451U,	// PADDQrm
+    68180563U,	// PADDQrr
+    370167301U,	// PADDSBrm
+    68177413U,	// PADDSBrr
+    370172977U,	// PADDSWrm
+    68183089U,	// PADDSWrr
+    370167343U,	// PADDUSBrm
+    68177455U,	// PADDUSBrr
+    370173050U,	// PADDUSWrm
+    68183162U,	// PADDUSWrr
+    370172725U,	// PADDWrm
+    68182837U,	// PADDWrr
+    2517654595U,	// PALIGNR128rm
+    2215664707U,	// PALIGNR128rr
+    370170024U,	// PANDNrm
+    68180136U,	// PANDNrr
+    370167878U,	// PANDrm
+    68177990U,	// PANDrr
+    11475U,	// PAUSE
+    370167208U,	// PAVGBrm
+    68177320U,	// PAVGBrr
+    135286328U,	// PAVGUSBrm
+    68177464U,	// PAVGUSBrr
+    370172780U,	// PAVGWrm
+    68182892U,	// PAVGWrr
+    370167457U,	// PBLENDVBrm0
+    68177569U,	// PBLENDVBrr0
+    2517656381U,	// PBLENDWrmi
+    2215666493U,	// PBLENDWrri
+    2517654194U,	// PCLMULQDQrm
+    2215664306U,	// PCLMULQDQrr
+    370167256U,	// PCMPEQBrm
+    68177368U,	// PCMPEQBrr
+    370168621U,	// PCMPEQDrm
+    68178733U,	// PCMPEQDrr
+    370170687U,	// PCMPEQQrm
+    68180799U,	// PCMPEQQrr
+    370172885U,	// PCMPEQWrm
+    68182997U,	// PCMPEQWrr
     0U,	// PCMPESTRIMEM
     0U,	// PCMPESTRIREG
-    2484099010U,	// PCMPESTRIrm
-    2282772418U,	// PCMPESTRIrr
+    2551207830U,	// PCMPESTRIrm
+    2450544534U,	// PCMPESTRIrr
     0U,	// PCMPESTRM128MEM
     0U,	// PCMPESTRM128REG
-    2484099260U,	// PCMPESTRM128rm
-    2282772668U,	// PCMPESTRM128rr
-    303058564U,	// PCMPGTBrm
-    68177540U,	// PCMPGTBrr
-    303060252U,	// PCMPGTDrm
-    68179228U,	// PCMPGTDrr
-    303061928U,	// PCMPGTQrm
-    68180904U,	// PCMPGTQrr
-    303064256U,	// PCMPGTWrm
-    68183232U,	// PCMPGTWrr
+    2551208080U,	// PCMPESTRM128rm
+    2450544784U,	// PCMPESTRM128rr
+    370167384U,	// PCMPGTBrm
+    68177496U,	// PCMPGTBrr
+    370169072U,	// PCMPGTDrm
+    68179184U,	// PCMPGTDrr
+    370170748U,	// PCMPGTQrm
+    68180860U,	// PCMPGTQrr
+    370173076U,	// PCMPGTWrm
+    68183188U,	// PCMPGTWrr
     0U,	// PCMPISTRIMEM
     0U,	// PCMPISTRIREG
-    2484099022U,	// PCMPISTRIrm
-    2282772430U,	// PCMPISTRIrr
+    2551207842U,	// PCMPISTRIrm
+    2450544546U,	// PCMPISTRIrr
     0U,	// PCMPISTRM128MEM
     0U,	// PCMPISTRM128REG
-    2484099272U,	// PCMPISTRM128rm
-    2282772680U,	// PCMPISTRM128rr
-    2282772786U,	// PDEP32rm
-    2282772786U,	// PDEP32rr
-    2282772786U,	// PDEP64rm
-    2282772786U,	// PDEP64rr
-    2282775165U,	// PEXT32rm
-    2282775165U,	// PEXT32rr
-    2282775165U,	// PEXT64rm
-    2282775165U,	// PEXT64rr
-    2148634135U,	// PEXTRBmr
-    2282769943U,	// PEXTRBrr
-    2148602750U,	// PEXTRDmr
-    2282771326U,	// PEXTRDrr
-    2148621194U,	// PEXTRQmr
-    2282773386U,	// PEXTRQrr
-    2148574243U,	// PEXTRWmr
-    2282775587U,	// PEXTRWri
-    2282775587U,	// PEXTRWrr_REV
-    168841229U,	// PF2IDrm
-    135286797U,	// PF2IDrr
-    168846269U,	// PF2IWrm
-    135291837U,	// PF2IWrr
-    470830836U,	// PFACCrm
-    68177652U,	// PFACCrr
-    470831013U,	// PFADDrm
-    68177829U,	// PFADDrr
-    470833962U,	// PFCMPEQrm
-    68180778U,	// PFCMPEQrr
-    470832716U,	// PFCMPGErm
-    68179532U,	// PFCMPGErr
-    470835684U,	// PFCMPGTrm
-    68182500U,	// PFCMPGTrr
-    470836518U,	// PFMAXrm
-    68183334U,	// PFMAXrr
-    470833379U,	// PFMINrm
-    68180195U,	// PFMINrr
-    470833285U,	// PFMULrm
-    68180101U,	// PFMULrr
-    470830843U,	// PFNACCrm
-    68177659U,	// PFNACCrr
-    470830851U,	// PFPNACCrm
-    68177667U,	// PFPNACCrr
-    470830012U,	// PFRCPIT1rm
-    68176828U,	// PFRCPIT1rr
-    470830108U,	// PFRCPIT2rm
-    68176924U,	// PFRCPIT2rr
-    168843556U,	// PFRCPrm
-    135289124U,	// PFRCPrr
-    470830022U,	// PFRSQIT1rm
-    68176838U,	// PFRSQIT1rr
-    168845863U,	// PFRSQRTrm
-    135291431U,	// PFRSQRTrr
-    470834253U,	// PFSUBRrm
-    68181069U,	// PFSUBRrr
-    470830773U,	// PFSUBrm
-    68177589U,	// PFSUBrr
-    303058874U,	// PHADDDrm
-    68177850U,	// PHADDDrr
-    303064147U,	// PHADDSWrm128
-    68183123U,	// PHADDSWrr128
-    303063896U,	// PHADDWrm
-    68182872U,	// PHADDWrr
-    336618748U,	// PHMINPOSUWrm128
-    135292156U,	// PHMINPOSUWrr128
-    303058815U,	// PHSUBDrm
-    68177791U,	// PHSUBDrr
-    303064128U,	// PHSUBSWrm128
-    68183104U,	// PHSUBSWrr128
-    303063842U,	// PHSUBWrm
-    68182818U,	// PHSUBWrr
-    168841213U,	// PI2FDrm
-    135286781U,	// PI2FDrr
-    168846216U,	// PI2FWrm
-    135291784U,	// PI2FWrr
-    839929358U,	// PINSRBrm
-    2215661070U,	// PINSRBrr
-    2584761205U,	// PINSRDrm
-    2215662453U,	// PINSRDrr
-    2618317697U,	// PINSRQrm
-    2215664513U,	// PINSRQrr
-    2551211034U,	// PINSRWrmi
-    2215666714U,	// PINSRWrri
-    303064116U,	// PMADDUBSWrm128
-    68183092U,	// PMADDUBSWrr128
-    303060386U,	// PMADDWDrm
-    68179362U,	// PMADDWDrr
-    303058549U,	// PMAXSBrm
-    68177525U,	// PMAXSBrr
-    303060226U,	// PMAXSDrm
-    68179202U,	// PMAXSDrr
-    303064247U,	// PMAXSWrm
-    68183223U,	// PMAXSWrr
-    303058628U,	// PMAXUBrm
-    68177604U,	// PMAXUBrr
-    303060311U,	// PMAXUDrm
-    68179287U,	// PMAXUDrr
-    303064329U,	// PMAXUWrm
-    68183305U,	// PMAXUWrr
-    303058490U,	// PMINSBrm
-    68177466U,	// PMINSBrr
-    303060146U,	// PMINSDrm
-    68179122U,	// PMINSDrr
-    303064178U,	// PMINSWrm
-    68183154U,	// PMINSWrr
-    303058605U,	// PMINUBrm
-    68177581U,	// PMINUBrr
-    303060293U,	// PMINUDrm
-    68179269U,	// PMINUDrr
-    303064307U,	// PMINUWrm
-    68183283U,	// PMINUWrr
-    135286240U,	// PMOVMSKBrr
-    101732240U,	// PMOVSXBDrm
-    135286672U,	// PMOVSXBDrr
-    370170408U,	// PMOVSXBQrm
-    135289384U,	// PMOVSXBQrr
-    168846131U,	// PMOVSXBWrm
-    135291699U,	// PMOVSXBWrr
-    168844053U,	// PMOVSXDQrm
-    135289621U,	// PMOVSXDQrr
-    168842747U,	// PMOVSXWDrm
-    135288315U,	// PMOVSXWDrr
-    101735461U,	// PMOVSXWQrm
-    135289893U,	// PMOVSXWQrr
-    101732251U,	// PMOVZXBDrm
-    135286683U,	// PMOVZXBDrr
-    370170419U,	// PMOVZXBQrm
-    135289395U,	// PMOVZXBQrr
-    168846142U,	// PMOVZXBWrm
-    135291710U,	// PMOVZXBWrr
-    168844064U,	// PMOVZXDQrm
-    135289632U,	// PMOVZXDQrr
-    168842758U,	// PMOVZXWDrm
-    135288326U,	// PMOVZXWDrr
-    101735472U,	// PMOVZXWQrm
-    135289904U,	// PMOVZXWQrr
-    303061691U,	// PMULDQrm
-    68180667U,	// PMULDQrr
-    303064201U,	// PMULHRSWrm128
-    68183177U,	// PMULHRSWrr128
-    470836240U,	// PMULHRWrm
-    68183056U,	// PMULHRWrr
-    303064288U,	// PMULHUWrm
-    68183264U,	// PMULHUWrr
-    303063989U,	// PMULHWrm
-    68182965U,	// PMULHWrr
-    303059016U,	// PMULLDrm
-    68177992U,	// PMULLDrr
-    303064031U,	// PMULLWrm
-    68183007U,	// PMULLWrr
-    303061771U,	// PMULUDQrm
-    68180747U,	// PMULUDQrr
-    22916U,	// POP16r
-    39300U,	// POP16rmm
-    22916U,	// POP16rmr
-    22916U,	// POP32r
-    72068U,	// POP32rmm
-    22916U,	// POP32rmr
-    22916U,	// POP64r
-    88452U,	// POP64rmm
-    22916U,	// POP64rmr
-    12232U,	// POPA16
-    11666U,	// POPA32
-    370172397U,	// POPCNT16rm
-    135291373U,	// POPCNT16rr
-    101736941U,	// POPCNT32rm
-    135291373U,	// POPCNT32rr
-    168845805U,	// POPCNT64rm
-    135291373U,	// POPCNT64rr
-    11974U,	// POPDS16
-    11974U,	// POPDS32
-    11989U,	// POPES16
-    11989U,	// POPES32
-    11585U,	// POPF16
-    11372U,	// POPF32
-    11857U,	// POPF64
-    12004U,	// POPFS16
-    12004U,	// POPFS32
-    12004U,	// POPFS64
-    12019U,	// POPGS16
-    12019U,	// POPGS32
-    12019U,	// POPGS64
-    12116U,	// POPSS16
-    12116U,	// POPSS32
-    303062137U,	// PORrm
-    68181113U,	// PORrr
-    104234U,	// PREFETCH
-    101762U,	// PREFETCHNTA
-    101262U,	// PREFETCHT0
-    101296U,	// PREFETCHT1
-    101392U,	// PREFETCHT2
-    107423U,	// PREFETCHW
-    303063779U,	// PSADBWrm
-    68182755U,	// PSADBWrr
-    303058379U,	// PSHUFBrm
-    68177355U,	// PSHUFBrr
-    2484097029U,	// PSHUFDmi
-    2282770437U,	// PSHUFDri
-    2484102059U,	// PSHUFHWmi
-    2282775467U,	// PSHUFHWri
-    2484102085U,	// PSHUFLWmi
-    2282775493U,	// PSHUFLWri
-    303058427U,	// PSIGNBrm
-    68177403U,	// PSIGNBrr
-    303059073U,	// PSIGNDrm
-    68178049U,	// PSIGNDrr
-    303064056U,	// PSIGNWrm
-    68183032U,	// PSIGNWrr
-    68180649U,	// PSLLDQri
-    68177984U,	// PSLLDri
-    303059008U,	// PSLLDrm
-    68177984U,	// PSLLDrr
-    68180803U,	// PSLLQri
-    303061827U,	// PSLLQrm
-    68180803U,	// PSLLQrr
-    68182999U,	// PSLLWri
-    303064023U,	// PSLLWrm
-    68182999U,	// PSLLWrr
-    68177762U,	// PSRADri
-    303058786U,	// PSRADrm
-    68177762U,	// PSRADrr
-    68182736U,	// PSRAWri
-    303063760U,	// PSRAWrm
-    68182736U,	// PSRAWrr
-    68180658U,	// PSRLDQri
-    68178001U,	// PSRLDri
-    303059025U,	// PSRLDrm
-    68178001U,	// PSRLDrr
-    68180811U,	// PSRLQri
-    303061835U,	// PSRLQrm
-    68180811U,	// PSRLQrr
-    68183016U,	// PSRLWri
-    303064040U,	// PSRLWrm
-    68183016U,	// PSRLWrr
-    303058363U,	// PSUBBrm
-    68177339U,	// PSUBBrr
-    303058824U,	// PSUBDrm
-    68177800U,	// PSUBDrr
-    303061536U,	// PSUBQrm
-    68180512U,	// PSUBQrr
-    303058472U,	// PSUBSBrm
-    68177448U,	// PSUBSBrr
-    303064138U,	// PSUBSWrm
-    68183114U,	// PSUBSWrr
-    303058513U,	// PSUBUSBrm
-    68177489U,	// PSUBUSBrr
-    303064220U,	// PSUBUSWrm
-    68183196U,	// PSUBUSWrr
-    303063851U,	// PSUBWrm
-    68182827U,	// PSUBWrr
-    168841671U,	// PSWAPDrm
-    135287239U,	// PSWAPDrr
-    537944651U,	// PTESTrm
-    135291467U,	// PTESTrr
-    303063807U,	// PUNPCKHBWrm
-    68182783U,	// PUNPCKHBWrr
-    303061649U,	// PUNPCKHDQrm
-    68180625U,	// PUNPCKHDQrr
-    303061700U,	// PUNPCKHQDQrm
-    68180676U,	// PUNPCKHQDQrr
-    303060396U,	// PUNPCKHWDrm
-    68179372U,	// PUNPCKHWDrr
-    303063819U,	// PUNPCKLBWrm
-    68182795U,	// PUNPCKLBWrr
-    303061661U,	// PUNPCKLDQrm
-    68180637U,	// PUNPCKLDQrr
-    303061713U,	// PUNPCKLQDQrm
-    68180689U,	// PUNPCKLQDQrr
-    303060408U,	// PUNPCKLWDrm
-    68179384U,	// PUNPCKLWDrr
-    22373U,	// PUSH16i8
-    22373U,	// PUSH16r
-    38757U,	// PUSH16rmm
-    22373U,	// PUSH16rmr
-    22373U,	// PUSH32i8
-    22373U,	// PUSH32r
-    71525U,	// PUSH32rmm
-    22373U,	// PUSH32rmr
-    22373U,	// PUSH64i16
-    22373U,	// PUSH64i32
-    22373U,	// PUSH64i8
-    22373U,	// PUSH64r
-    87909U,	// PUSH64rmm
-    22373U,	// PUSH64rmr
-    12225U,	// PUSHA16
-    11659U,	// PUSHA32
-    11958U,	// PUSHCS16
-    11958U,	// PUSHCS32
-    11966U,	// PUSHDS16
-    11966U,	// PUSHDS32
-    11981U,	// PUSHES16
-    11981U,	// PUSHES32
-    11579U,	// PUSHF16
-    11365U,	// PUSHF32
-    11850U,	// PUSHF64
-    11996U,	// PUSHFS16
-    11996U,	// PUSHFS32
-    11996U,	// PUSHFS64
-    12011U,	// PUSHGS16
-    12011U,	// PUSHGS32
-    12011U,	// PUSHGS64
-    12108U,	// PUSHSS16
-    12108U,	// PUSHSS32
-    22373U,	// PUSHi16
-    22373U,	// PUSHi32
-    303062165U,	// PXORrm
-    68181141U,	// PXORrr
-    38961U,	// RCL16m1
-    17864753U,	// RCL16mCL
-    1087537U,	// RCL16mi
-    22577U,	// RCL16r1
-    17848369U,	// RCL16rCL
-    68180017U,	// RCL16ri
-    71729U,	// RCL32m1
-    17897521U,	// RCL32mCL
-    1120305U,	// RCL32mi
-    22577U,	// RCL32r1
-    17848369U,	// RCL32rCL
-    68180017U,	// RCL32ri
-    88113U,	// RCL64m1
-    17913905U,	// RCL64mCL
-    1136689U,	// RCL64mi
-    22577U,	// RCL64r1
-    17848369U,	// RCL64rCL
-    68180017U,	// RCL64ri
-    104497U,	// RCL8m1
-    17930289U,	// RCL8mCL
-    1153073U,	// RCL8mi
-    22577U,	// RCL8r1
-    17848369U,	// RCL8rCL
-    68180017U,	// RCL8ri
-    537943928U,	// RCPPSm
-    537943928U,	// RCPPSm_Int
-    135290744U,	// RCPPSr
-    135290744U,	// RCPPSr_Int
-    605053259U,	// RCPSSm
-    269508939U,	// RCPSSm_Int
-    135291211U,	// RCPSSr
-    68182347U,	// RCPSSr_Int
-    40029U,	// RCR16m1
-    17865821U,	// RCR16mCL
-    1088605U,	// RCR16mi
-    23645U,	// RCR16r1
-    17849437U,	// RCR16rCL
-    68181085U,	// RCR16ri
-    72797U,	// RCR32m1
-    17898589U,	// RCR32mCL
-    1121373U,	// RCR32mi
-    23645U,	// RCR32r1
-    17849437U,	// RCR32rCL
-    68181085U,	// RCR32ri
-    89181U,	// RCR64m1
-    17914973U,	// RCR64mCL
-    1137757U,	// RCR64mi
-    23645U,	// RCR64r1
-    17849437U,	// RCR64rCL
-    68181085U,	// RCR64ri
-    105565U,	// RCR8m1
-    17931357U,	// RCR8mCL
-    1154141U,	// RCR8mi
-    23645U,	// RCR8r1
-    17849437U,	// RCR8rCL
-    68181085U,	// RCR8ri
-    22175U,	// RDFSBASE
-    22175U,	// RDFSBASE64
-    22195U,	// RDGSBASE
-    22195U,	// RDGSBASE64
-    11923U,	// RDMSR
-    11342U,	// RDPMC
-    20600U,	// RDRAND16r
-    20600U,	// RDRAND32r
-    20600U,	// RDRAND64r
-    20469U,	// RDSEED16r
-    20469U,	// RDSEED32r
-    20469U,	// RDSEED64r
-    11355U,	// RDTSC
-    11788U,	// RDTSCP
-    10830U,	// RELEASE_MOV16mr
-    10830U,	// RELEASE_MOV32mr
-    10830U,	// RELEASE_MOV64mr
-    10830U,	// RELEASE_MOV8mr
-    11483U,	// REPNE_PREFIX
-    11286U,	// REP_MOVSB_32
-    11286U,	// REP_MOVSB_64
-    11403U,	// REP_MOVSD_32
-    11403U,	// REP_MOVSD_64
-    11879U,	// REP_MOVSQ_64
-    12252U,	// REP_MOVSW_32
-    12252U,	// REP_MOVSW_64
-    11795U,	// REP_PREFIX
-    11276U,	// REP_STOSB_32
-    11276U,	// REP_STOSB_64
-    11393U,	// REP_STOSD_32
-    11393U,	// REP_STOSD_64
-    11869U,	// REP_STOSQ_64
-    12242U,	// REP_STOSW_32
-    12242U,	// REP_STOSW_64
-    25055U,	// RETIL
-    25055U,	// RETIQ
-    25055U,	// RETIW
-    12144U,	// RETL
-    12144U,	// RETQ
-    12144U,	// RETW
-    11112U,	// REX64_PREFIX
-    18913368U,	// ROL16m1
-    17864792U,	// ROL16mCL
-    1087576U,	// ROL16mi
-    18896984U,	// ROL16r1
-    17848408U,	// ROL16rCL
-    68180056U,	// ROL16ri
-    18946136U,	// ROL32m1
-    17897560U,	// ROL32mCL
-    1120344U,	// ROL32mi
-    18896984U,	// ROL32r1
-    17848408U,	// ROL32rCL
-    68180056U,	// ROL32ri
-    18962520U,	// ROL64m1
-    17913944U,	// ROL64mCL
-    1136728U,	// ROL64mi
-    18896984U,	// ROL64r1
-    17848408U,	// ROL64rCL
-    68180056U,	// ROL64ri
-    18978904U,	// ROL8m1
-    17930328U,	// ROL8mCL
-    1153112U,	// ROL8mi
-    18896984U,	// ROL8r1
-    17848408U,	// ROL8rCL
-    68180056U,	// ROL8ri
-    18914430U,	// ROR16m1
-    17865854U,	// ROR16mCL
-    1088638U,	// ROR16mi
-    18898046U,	// ROR16r1
-    17849470U,	// ROR16rCL
-    68181118U,	// ROR16ri
-    18947198U,	// ROR32m1
-    17898622U,	// ROR32mCL
-    1121406U,	// ROR32mi
-    18898046U,	// ROR32r1
-    17849470U,	// ROR32rCL
-    68181118U,	// ROR32ri
-    18963582U,	// ROR64m1
-    17915006U,	// ROR64mCL
-    1137790U,	// ROR64mi
-    18898046U,	// ROR64r1
-    17849470U,	// ROR64rCL
-    68181118U,	// ROR64ri
-    18979966U,	// ROR8m1
-    17931390U,	// ROR8mCL
-    1154174U,	// ROR8mi
-    18898046U,	// ROR8r1
-    17849470U,	// ROR8rCL
-    68181118U,	// ROR8ri
-    2249221482U,	// RORX32mi
-    2282775914U,	// RORX32ri
-    2316330346U,	// RORX64mi
-    2282775914U,	// RORX64ri
-    2685424211U,	// ROUNDPDm
-    2282771027U,	// ROUNDPDr
-    2685427429U,	// ROUNDPSm
-    2282774245U,	// ROUNDPSr
-    2383434893U,	// ROUNDSDm
-    2215662733U,	// ROUNDSDr
-    2215662733U,	// ROUNDSDr_Int
-    2416992542U,	// ROUNDSSm
-    2215665950U,	// ROUNDSSr
-    2215665950U,	// ROUNDSSr_Int
-    11730U,	// RSM
-    537944011U,	// RSQRTPSm
-    537944011U,	// RSQRTPSm_Int
-    135290827U,	// RSQRTPSr
-    135290827U,	// RSQRTPSr_Int
-    605053284U,	// RSQRTSSm
-    269508964U,	// RSQRTSSm_Int
-    135291236U,	// RSQRTSSr
-    68182372U,	// RSQRTSSr_Int
-    11574U,	// SAHF
-    18913324U,	// SAL16m1
-    17864748U,	// SAL16mCL
-    1087532U,	// SAL16mi
-    18896940U,	// SAL16r1
-    17848364U,	// SAL16rCL
-    68180012U,	// SAL16ri
-    18946092U,	// SAL32m1
-    17897516U,	// SAL32mCL
-    1120300U,	// SAL32mi
-    18896940U,	// SAL32r1
-    17848364U,	// SAL32rCL
-    68180012U,	// SAL32ri
-    18962476U,	// SAL64m1
-    17913900U,	// SAL64mCL
-    1136684U,	// SAL64mi
-    18896940U,	// SAL64r1
-    17848364U,	// SAL64rCL
-    68180012U,	// SAL64ri
-    18978860U,	// SAL8m1
-    17930284U,	// SAL8mCL
-    1153068U,	// SAL8mi
-    18896940U,	// SAL8r1
-    17848364U,	// SAL8rCL
-    68180012U,	// SAL8ri
-    11329U,	// SALC
-    18914376U,	// SAR16m1
-    17865800U,	// SAR16mCL
-    1088584U,	// SAR16mi
-    18897992U,	// SAR16r1
-    17849416U,	// SAR16rCL
-    68181064U,	// SAR16ri
-    18947144U,	// SAR32m1
-    17898568U,	// SAR32mCL
-    1121352U,	// SAR32mi
-    18897992U,	// SAR32r1
-    17849416U,	// SAR32rCL
-    68181064U,	// SAR32ri
-    18963528U,	// SAR64m1
-    17914952U,	// SAR64mCL
-    1137736U,	// SAR64mi
-    18897992U,	// SAR64r1
-    17849416U,	// SAR64rCL
-    68181064U,	// SAR64ri
-    18979912U,	// SAR8m1
-    17931336U,	// SAR8mCL
-    1154120U,	// SAR8mi
-    18897992U,	// SAR8r1
-    17849416U,	// SAR8rCL
-    68181064U,	// SAR8ri
-    2249221470U,	// SARX32rm
-    2282775902U,	// SARX32rr
-    2316330334U,	// SARX64rm
-    2282775902U,	// SARX64rr
-    26264U,	// SBB16i16
-    1084853U,	// SBB16mi
-    1084853U,	// SBB16mi8
-    1084853U,	// SBB16mr
-    35704245U,	// SBB16ri
-    35704245U,	// SBB16ri8
-    3198389U,	// SBB16rm
-    35704245U,	// SBB16rr
-    68177333U,	// SBB16rr_REV
-    26398U,	// SBB32i32
-    1117621U,	// SBB32mi
-    1117621U,	// SBB32mi8
-    1117621U,	// SBB32mr
-    35704245U,	// SBB32ri
-    35704245U,	// SBB32ri8
-    4246965U,	// SBB32rm
-    35704245U,	// SBB32rr
-    68177333U,	// SBB32rr_REV
-    26546U,	// SBB64i32
-    1134005U,	// SBB64mi32
-    1134005U,	// SBB64mi8
-    1134005U,	// SBB64mr
-    35704245U,	// SBB64ri32
-    35704245U,	// SBB64ri8
-    5295541U,	// SBB64rm
-    35704245U,	// SBB64rr
-    68177333U,	// SBB64rr_REV
-    26140U,	// SBB8i8
-    1150389U,	// SBB8mi
-    1150389U,	// SBB8mr
-    35704245U,	// SBB8ri
-    6344117U,	// SBB8rm
-    35704245U,	// SBB8rr
-    68177333U,	// SBB8rr_REV
-    304677U,	// SCASB
-    321360U,	// SCASL
-    616441U,	// SCASQ
-    337672U,	// SCASW
-    12038U,	// SEG_ALLOCA_32
-    12038U,	// SEG_ALLOCA_64
-    11539U,	// SEH_EndPrologue
-    11525U,	// SEH_Epilogue
-    26797U,	// SEH_PushFrame
-    26842U,	// SEH_PushReg
-    135293132U,	// SEH_SaveReg
-    135293046U,	// SEH_SaveXMM
-    135293117U,	// SEH_SetFrame
-    26780U,	// SEH_StackAlloc
-    103965U,	// SETAEm
-    22045U,	// SETAEr
-    101756U,	// SETAm
-    19836U,	// SETAr
-    103985U,	// SETBEm
-    22065U,	// SETBEr
+    2551208092U,	// PCMPISTRM128rm
+    2450544796U,	// PCMPISTRM128rr
+    2450544902U,	// PDEP32rm
+    2450544902U,	// PDEP32rr
+    2450544902U,	// PDEP64rm
+    2450544902U,	// PDEP64rr
+    2450547281U,	// PEXT32rm
+    2450547281U,	// PEXT32rr
+    2450547281U,	// PEXT64rm
+    2450547281U,	// PEXT64rr
+    2148634091U,	// PEXTRBmr
+    2450542059U,	// PEXTRBrr
+    2148602706U,	// PEXTRDmr
+    2450543442U,	// PEXTRDrr
+    2148621150U,	// PEXTRQmr
+    2450545502U,	// PEXTRQrr
+    2148574199U,	// PEXTRWmr
+    2450547703U,	// PEXTRWri
+    2450547703U,	// PEXTRWrr_REV
+    336613345U,	// PF2IDrm
+    303058913U,	// PF2IDrr
+    336618385U,	// PF2IWrm
+    303063953U,	// PF2IWrr
+    135286472U,	// PFACCrm
+    68177608U,	// PFACCrr
+    135286649U,	// PFADDrm
+    68177785U,	// PFADDrr
+    135289598U,	// PFCMPEQrm
+    68180734U,	// PFCMPEQrr
+    135288352U,	// PFCMPGErm
+    68179488U,	// PFCMPGErr
+    135291320U,	// PFCMPGTrm
+    68182456U,	// PFCMPGTrr
+    135292154U,	// PFMAXrm
+    68183290U,	// PFMAXrr
+    135289015U,	// PFMINrm
+    68180151U,	// PFMINrr
+    135288921U,	// PFMULrm
+    68180057U,	// PFMULrr
+    135286479U,	// PFNACCrm
+    68177615U,	// PFNACCrr
+    135286487U,	// PFPNACCrm
+    68177623U,	// PFPNACCrr
+    135285648U,	// PFRCPIT1rm
+    68176784U,	// PFRCPIT1rr
+    135285744U,	// PFRCPIT2rm
+    68176880U,	// PFRCPIT2rr
+    336615672U,	// PFRCPrm
+    303061240U,	// PFRCPrr
+    135285658U,	// PFRSQIT1rm
+    68176794U,	// PFRSQIT1rr
+    336617979U,	// PFRSQRTrm
+    303063547U,	// PFRSQRTrr
+    135289889U,	// PFSUBRrm
+    68181025U,	// PFSUBRrr
+    135286409U,	// PFSUBrm
+    68177545U,	// PFSUBrr
+    370167694U,	// PHADDDrm
+    68177806U,	// PHADDDrr
+    370172967U,	// PHADDSWrm128
+    68183079U,	// PHADDSWrr128
+    370172716U,	// PHADDWrm
+    68182828U,	// PHADDWrr
+    403727568U,	// PHMINPOSUWrm128
+    303064272U,	// PHMINPOSUWrr128
+    370167635U,	// PHSUBDrm
+    68177747U,	// PHSUBDrr
+    370172948U,	// PHSUBSWrm128
+    68183060U,	// PHSUBSWrr128
+    370172662U,	// PHSUBWrm
+    68182774U,	// PHSUBWrr
+    336613329U,	// PI2FDrm
+    303058897U,	// PI2FDrr
+    336618332U,	// PI2FWrm
+    303063900U,	// PI2FWrr
+    839929314U,	// PINSRBrm
+    2215661026U,	// PINSRBrr
+    2249216841U,	// PINSRDrm
+    2215662409U,	// PINSRDrr
+    2282773333U,	// PINSRQrm
+    2215664469U,	// PINSRQrr
+    2618319854U,	// PINSRWrmi
+    2215666670U,	// PINSRWrri
+    370172936U,	// PMADDUBSWrm128
+    68183048U,	// PMADDUBSWrr128
+    370169206U,	// PMADDWDrm
+    68179318U,	// PMADDWDrr
+    370167369U,	// PMAXSBrm
+    68177481U,	// PMAXSBrr
+    370169046U,	// PMAXSDrm
+    68179158U,	// PMAXSDrr
+    370173067U,	// PMAXSWrm
+    68183179U,	// PMAXSWrr
+    370167448U,	// PMAXUBrm
+    68177560U,	// PMAXUBrr
+    370169131U,	// PMAXUDrm
+    68179243U,	// PMAXUDrr
+    370173149U,	// PMAXUWrm
+    68183261U,	// PMAXUWrr
+    370167310U,	// PMINSBrm
+    68177422U,	// PMINSBrr
+    370168966U,	// PMINSDrm
+    68179078U,	// PMINSDrr
+    370172998U,	// PMINSWrm
+    68183110U,	// PMINSWrr
+    370167425U,	// PMINUBrm
+    68177537U,	// PMINUBrr
+    370169113U,	// PMINUDrm
+    68179225U,	// PMINUDrr
+    370173127U,	// PMINUWrm
+    68183239U,	// PMINUWrr
+    303058356U,	// PMOVMSKBrr
+    269504356U,	// PMOVSXBDrm
+    303058788U,	// PMOVSXBDrr
+    437279228U,	// PMOVSXBQrm
+    303061500U,	// PMOVSXBQrr
+    336618247U,	// PMOVSXBWrm
+    303063815U,	// PMOVSXBWrr
+    336616169U,	// PMOVSXDQrm
+    303061737U,	// PMOVSXDQrr
+    336614863U,	// PMOVSXWDrm
+    303060431U,	// PMOVSXWDrr
+    269507577U,	// PMOVSXWQrm
+    303062009U,	// PMOVSXWQrr
+    269504367U,	// PMOVZXBDrm
+    303058799U,	// PMOVZXBDrr
+    437279239U,	// PMOVZXBQrm
+    303061511U,	// PMOVZXBQrr
+    336618258U,	// PMOVZXBWrm
+    303063826U,	// PMOVZXBWrr
+    336616180U,	// PMOVZXDQrm
+    303061748U,	// PMOVZXDQrr
+    336614874U,	// PMOVZXWDrm
+    303060442U,	// PMOVZXWDrr
+    269507588U,	// PMOVZXWQrm
+    303062020U,	// PMOVZXWQrr
+    370170511U,	// PMULDQrm
+    68180623U,	// PMULDQrr
+    370173021U,	// PMULHRSWrm128
+    68183133U,	// PMULHRSWrr128
+    135291876U,	// PMULHRWrm
+    68183012U,	// PMULHRWrr
+    370173108U,	// PMULHUWrm
+    68183220U,	// PMULHUWrr
+    370172809U,	// PMULHWrm
+    68182921U,	// PMULHWrr
+    370167836U,	// PMULLDrm
+    68177948U,	// PMULLDrr
+    370172851U,	// PMULLWrm
+    68182963U,	// PMULLWrr
+    370170591U,	// PMULUDQrm
+    68180703U,	// PMULUDQrr
+    22872U,	// POP16r
+    39256U,	// POP16rmm
+    22872U,	// POP16rmr
+    22872U,	// POP32r
+    72024U,	// POP32rmm
+    22872U,	// POP32rmr
+    22872U,	// POP64r
+    88408U,	// POP64rmm
+    22872U,	// POP64rmr
+    12188U,	// POPA16
+    11622U,	// POPA32
+    437281217U,	// POPCNT16rm
+    303063489U,	// POPCNT16rr
+    269509057U,	// POPCNT32rm
+    303063489U,	// POPCNT32rr
+    336617921U,	// POPCNT64rm
+    303063489U,	// POPCNT64rr
+    11930U,	// POPDS16
+    11930U,	// POPDS32
+    11945U,	// POPES16
+    11945U,	// POPES32
+    11541U,	// POPF16
+    11328U,	// POPF32
+    11813U,	// POPF64
+    11960U,	// POPFS16
+    11960U,	// POPFS32
+    11960U,	// POPFS64
+    11975U,	// POPGS16
+    11975U,	// POPGS32
+    11975U,	// POPGS64
+    12072U,	// POPSS16
+    12072U,	// POPSS32
+    370170957U,	// PORrm
+    68181069U,	// PORrr
+    104190U,	// PREFETCH
+    101718U,	// PREFETCHNTA
+    101218U,	// PREFETCHT0
+    101252U,	// PREFETCHT1
+    101348U,	// PREFETCHT2
+    107379U,	// PREFETCHW
+    370172599U,	// PSADBWrm
+    68182711U,	// PSADBWrr
+    370167199U,	// PSHUFBrm
+    68177311U,	// PSHUFBrr
+    2551205849U,	// PSHUFDmi
+    2450542553U,	// PSHUFDri
+    2551210879U,	// PSHUFHWmi
+    2450547583U,	// PSHUFHWri
+    2551210905U,	// PSHUFLWmi
+    2450547609U,	// PSHUFLWri
+    370167247U,	// PSIGNBrm
+    68177359U,	// PSIGNBrr
+    370167893U,	// PSIGNDrm
+    68178005U,	// PSIGNDrr
+    370172876U,	// PSIGNWrm
+    68182988U,	// PSIGNWrr
+    68180605U,	// PSLLDQri
+    68177940U,	// PSLLDri
+    370167828U,	// PSLLDrm
+    68177940U,	// PSLLDrr
+    68180759U,	// PSLLQri
+    370170647U,	// PSLLQrm
+    68180759U,	// PSLLQrr
+    68182955U,	// PSLLWri
+    370172843U,	// PSLLWrm
+    68182955U,	// PSLLWrr
+    68177718U,	// PSRADri
+    370167606U,	// PSRADrm
+    68177718U,	// PSRADrr
+    68182692U,	// PSRAWri
+    370172580U,	// PSRAWrm
+    68182692U,	// PSRAWrr
+    68180614U,	// PSRLDQri
+    68177957U,	// PSRLDri
+    370167845U,	// PSRLDrm
+    68177957U,	// PSRLDrr
+    68180767U,	// PSRLQri
+    370170655U,	// PSRLQrm
+    68180767U,	// PSRLQrr
+    68182972U,	// PSRLWri
+    370172860U,	// PSRLWrm
+    68182972U,	// PSRLWrr
+    370167183U,	// PSUBBrm
+    68177295U,	// PSUBBrr
+    370167644U,	// PSUBDrm
+    68177756U,	// PSUBDrr
+    370170356U,	// PSUBQrm
+    68180468U,	// PSUBQrr
+    370167292U,	// PSUBSBrm
+    68177404U,	// PSUBSBrr
+    370172958U,	// PSUBSWrm
+    68183070U,	// PSUBSWrr
+    370167333U,	// PSUBUSBrm
+    68177445U,	// PSUBUSBrr
+    370173040U,	// PSUBUSWrm
+    68183152U,	// PSUBUSWrr
+    370172671U,	// PSUBWrm
+    68182783U,	// PSUBWrr
+    336613787U,	// PSWAPDrm
+    303059355U,	// PSWAPDrr
+    537944607U,	// PTESTrm
+    303063583U,	// PTESTrr
+    370172627U,	// PUNPCKHBWrm
+    68182739U,	// PUNPCKHBWrr
+    370170469U,	// PUNPCKHDQrm
+    68180581U,	// PUNPCKHDQrr
+    370170520U,	// PUNPCKHQDQrm
+    68180632U,	// PUNPCKHQDQrr
+    370169216U,	// PUNPCKHWDrm
+    68179328U,	// PUNPCKHWDrr
+    370172639U,	// PUNPCKLBWrm
+    68182751U,	// PUNPCKLBWrr
+    370170481U,	// PUNPCKLDQrm
+    68180593U,	// PUNPCKLDQrr
+    370170533U,	// PUNPCKLQDQrm
+    68180645U,	// PUNPCKLQDQrr
+    370169228U,	// PUNPCKLWDrm
+    68179340U,	// PUNPCKLWDrr
+    22329U,	// PUSH16i8
+    22329U,	// PUSH16r
+    38713U,	// PUSH16rmm
+    22329U,	// PUSH16rmr
+    22329U,	// PUSH32i8
+    22329U,	// PUSH32r
+    71481U,	// PUSH32rmm
+    22329U,	// PUSH32rmr
+    22329U,	// PUSH64i16
+    22329U,	// PUSH64i32
+    22329U,	// PUSH64i8
+    22329U,	// PUSH64r
+    87865U,	// PUSH64rmm
+    22329U,	// PUSH64rmr
+    12181U,	// PUSHA16
+    11615U,	// PUSHA32
+    11914U,	// PUSHCS16
+    11914U,	// PUSHCS32
+    11922U,	// PUSHDS16
+    11922U,	// PUSHDS32
+    11937U,	// PUSHES16
+    11937U,	// PUSHES32
+    11535U,	// PUSHF16
+    11321U,	// PUSHF32
+    11806U,	// PUSHF64
+    11952U,	// PUSHFS16
+    11952U,	// PUSHFS32
+    11952U,	// PUSHFS64
+    11967U,	// PUSHGS16
+    11967U,	// PUSHGS32
+    11967U,	// PUSHGS64
+    12064U,	// PUSHSS16
+    12064U,	// PUSHSS32
+    22329U,	// PUSHi16
+    22329U,	// PUSHi32
+    370170985U,	// PXORrm
+    68181097U,	// PXORrr
+    38917U,	// RCL16m1
+    17864709U,	// RCL16mCL
+    1087493U,	// RCL16mi
+    22533U,	// RCL16r1
+    17848325U,	// RCL16rCL
+    68179973U,	// RCL16ri
+    71685U,	// RCL32m1
+    17897477U,	// RCL32mCL
+    1120261U,	// RCL32mi
+    22533U,	// RCL32r1
+    17848325U,	// RCL32rCL
+    68179973U,	// RCL32ri
+    88069U,	// RCL64m1
+    17913861U,	// RCL64mCL
+    1136645U,	// RCL64mi
+    22533U,	// RCL64r1
+    17848325U,	// RCL64rCL
+    68179973U,	// RCL64ri
+    104453U,	// RCL8m1
+    17930245U,	// RCL8mCL
+    1153029U,	// RCL8mi
+    22533U,	// RCL8r1
+    17848325U,	// RCL8rCL
+    68179973U,	// RCL8ri
+    537943884U,	// RCPPSm
+    537943884U,	// RCPPSm_Int
+    303062860U,	// RCPPSr
+    303062860U,	// RCPPSr_Int
+    605053215U,	// RCPSSm
+    235954463U,	// RCPSSm_Int
+    303063327U,	// RCPSSr
+    68182303U,	// RCPSSr_Int
+    39985U,	// RCR16m1
+    17865777U,	// RCR16mCL
+    1088561U,	// RCR16mi
+    23601U,	// RCR16r1
+    17849393U,	// RCR16rCL
+    68181041U,	// RCR16ri
+    72753U,	// RCR32m1
+    17898545U,	// RCR32mCL
+    1121329U,	// RCR32mi
+    23601U,	// RCR32r1
+    17849393U,	// RCR32rCL
+    68181041U,	// RCR32ri
+    89137U,	// RCR64m1
+    17914929U,	// RCR64mCL
+    1137713U,	// RCR64mi
+    23601U,	// RCR64r1
+    17849393U,	// RCR64rCL
+    68181041U,	// RCR64ri
+    105521U,	// RCR8m1
+    17931313U,	// RCR8mCL
+    1154097U,	// RCR8mi
+    23601U,	// RCR8r1
+    17849393U,	// RCR8rCL
+    68181041U,	// RCR8ri
+    22131U,	// RDFSBASE
+    22131U,	// RDFSBASE64
+    22151U,	// RDGSBASE
+    22151U,	// RDGSBASE64
+    11879U,	// RDMSR
+    11298U,	// RDPMC
+    20556U,	// RDRAND16r
+    20556U,	// RDRAND32r
+    20556U,	// RDRAND64r
+    20425U,	// RDSEED16r
+    20425U,	// RDSEED32r
+    20425U,	// RDSEED64r
+    11311U,	// RDTSC
+    11744U,	// RDTSCP
+    10786U,	// RELEASE_MOV16mr
+    10786U,	// RELEASE_MOV32mr
+    10786U,	// RELEASE_MOV64mr
+    10786U,	// RELEASE_MOV8mr
+    11439U,	// REPNE_PREFIX
+    11242U,	// REP_MOVSB_32
+    11242U,	// REP_MOVSB_64
+    11359U,	// REP_MOVSD_32
+    11359U,	// REP_MOVSD_64
+    11835U,	// REP_MOVSQ_64
+    12208U,	// REP_MOVSW_32
+    12208U,	// REP_MOVSW_64
+    11751U,	// REP_PREFIX
+    11232U,	// REP_STOSB_32
+    11232U,	// REP_STOSB_64
+    11349U,	// REP_STOSD_32
+    11349U,	// REP_STOSD_64
+    11825U,	// REP_STOSQ_64
+    12198U,	// REP_STOSW_32
+    12198U,	// REP_STOSW_64
+    25011U,	// RETIL
+    25011U,	// RETIQ
+    25011U,	// RETIW
+    12100U,	// RETL
+    12100U,	// RETQ
+    12100U,	// RETW
+    11068U,	// REX64_PREFIX
+    18913324U,	// ROL16m1
+    17864748U,	// ROL16mCL
+    1087532U,	// ROL16mi
+    18896940U,	// ROL16r1
+    17848364U,	// ROL16rCL
+    68180012U,	// ROL16ri
+    18946092U,	// ROL32m1
+    17897516U,	// ROL32mCL
+    1120300U,	// ROL32mi
+    18896940U,	// ROL32r1
+    17848364U,	// ROL32rCL
+    68180012U,	// ROL32ri
+    18962476U,	// ROL64m1
+    17913900U,	// ROL64mCL
+    1136684U,	// ROL64mi
+    18896940U,	// ROL64r1
+    17848364U,	// ROL64rCL
+    68180012U,	// ROL64ri
+    18978860U,	// ROL8m1
+    17930284U,	// ROL8mCL
+    1153068U,	// ROL8mi
+    18896940U,	// ROL8r1
+    17848364U,	// ROL8rCL
+    68180012U,	// ROL8ri
+    18914386U,	// ROR16m1
+    17865810U,	// ROR16mCL
+    1088594U,	// ROR16mi
+    18898002U,	// ROR16r1
+    17849426U,	// ROR16rCL
+    68181074U,	// ROR16ri
+    18947154U,	// ROR32m1
+    17898578U,	// ROR32mCL
+    1121362U,	// ROR32mi
+    18898002U,	// ROR32r1
+    17849426U,	// ROR32rCL
+    68181074U,	// ROR32ri
+    18963538U,	// ROR64m1
+    17914962U,	// ROR64mCL
+    1137746U,	// ROR64mi
+    18898002U,	// ROR64r1
+    17849426U,	// ROR64rCL
+    68181074U,	// ROR64ri
+    18979922U,	// ROR8m1
+    17931346U,	// ROR8mCL
+    1154130U,	// ROR8mi
+    18898002U,	// ROR8r1
+    17849426U,	// ROR8rCL
+    68181074U,	// ROR8ri
+    2416993598U,	// RORX32mi
+    2450548030U,	// RORX32ri
+    2484102462U,	// RORX64mi
+    2450548030U,	// RORX64ri
+    2685424167U,	// ROUNDPDm
+    2450543143U,	// ROUNDPDr
+    2685427385U,	// ROUNDPSm
+    2450546361U,	// ROUNDPSr
+    2349880417U,	// ROUNDSDm
+    2215662689U,	// ROUNDSDr
+    2215662689U,	// ROUNDSDr_Int
+    2383438066U,	// ROUNDSSm
+    2215665906U,	// ROUNDSSr
+    2215665906U,	// ROUNDSSr_Int
+    11686U,	// RSM
+    537943967U,	// RSQRTPSm
+    537943967U,	// RSQRTPSm_Int
+    303062943U,	// RSQRTPSr
+    303062943U,	// RSQRTPSr_Int
+    605053240U,	// RSQRTSSm
+    235954488U,	// RSQRTSSm_Int
+    303063352U,	// RSQRTSSr
+    68182328U,	// RSQRTSSr_Int
+    11530U,	// SAHF
+    18913280U,	// SAL16m1
+    17864704U,	// SAL16mCL
+    1087488U,	// SAL16mi
+    18896896U,	// SAL16r1
+    17848320U,	// SAL16rCL
+    68179968U,	// SAL16ri
+    18946048U,	// SAL32m1
+    17897472U,	// SAL32mCL
+    1120256U,	// SAL32mi
+    18896896U,	// SAL32r1
+    17848320U,	// SAL32rCL
+    68179968U,	// SAL32ri
+    18962432U,	// SAL64m1
+    17913856U,	// SAL64mCL
+    1136640U,	// SAL64mi
+    18896896U,	// SAL64r1
+    17848320U,	// SAL64rCL
+    68179968U,	// SAL64ri
+    18978816U,	// SAL8m1
+    17930240U,	// SAL8mCL
+    1153024U,	// SAL8mi
+    18896896U,	// SAL8r1
+    17848320U,	// SAL8rCL
+    68179968U,	// SAL8ri
+    11285U,	// SALC
+    18914332U,	// SAR16m1
+    17865756U,	// SAR16mCL
+    1088540U,	// SAR16mi
+    18897948U,	// SAR16r1
+    17849372U,	// SAR16rCL
+    68181020U,	// SAR16ri
+    18947100U,	// SAR32m1
+    17898524U,	// SAR32mCL
+    1121308U,	// SAR32mi
+    18897948U,	// SAR32r1
+    17849372U,	// SAR32rCL
+    68181020U,	// SAR32ri
+    18963484U,	// SAR64m1
+    17914908U,	// SAR64mCL
+    1137692U,	// SAR64mi
+    18897948U,	// SAR64r1
+    17849372U,	// SAR64rCL
+    68181020U,	// SAR64ri
+    18979868U,	// SAR8m1
+    17931292U,	// SAR8mCL
+    1154076U,	// SAR8mi
+    18897948U,	// SAR8r1
+    17849372U,	// SAR8rCL
+    68181020U,	// SAR8ri
+    2416993586U,	// SARX32rm
+    2450548018U,	// SARX32rr
+    2484102450U,	// SARX64rm
+    2450548018U,	// SARX64rr
+    26220U,	// SBB16i16
+    1084809U,	// SBB16mi
+    1084809U,	// SBB16mi8
+    1084809U,	// SBB16mr
+    35704201U,	// SBB16ri
+    35704201U,	// SBB16ri8
+    3198345U,	// SBB16rm
+    35704201U,	// SBB16rr
+    68177289U,	// SBB16rr_REV
+    26354U,	// SBB32i32
+    1117577U,	// SBB32mi
+    1117577U,	// SBB32mi8
+    1117577U,	// SBB32mr
+    35704201U,	// SBB32ri
+    35704201U,	// SBB32ri8
+    4246921U,	// SBB32rm
+    35704201U,	// SBB32rr
+    68177289U,	// SBB32rr_REV
+    26502U,	// SBB64i32
+    1133961U,	// SBB64mi32
+    1133961U,	// SBB64mi8
+    1133961U,	// SBB64mr
+    35704201U,	// SBB64ri32
+    35704201U,	// SBB64ri8
+    5295497U,	// SBB64rm
+    35704201U,	// SBB64rr
+    68177289U,	// SBB64rr_REV
+    26096U,	// SBB8i8
+    1150345U,	// SBB8mi
+    1150345U,	// SBB8mr
+    35704201U,	// SBB8ri
+    6344073U,	// SBB8rm
+    35704201U,	// SBB8rr
+    68177289U,	// SBB8rr_REV
+    304633U,	// SCASB
+    321316U,	// SCASL
+    616397U,	// SCASQ
+    337628U,	// SCASW
+    11994U,	// SEG_ALLOCA_32
+    11994U,	// SEG_ALLOCA_64
+    11495U,	// SEH_EndPrologue
+    11481U,	// SEH_Epilogue
+    26753U,	// SEH_PushFrame
+    26798U,	// SEH_PushReg
+    303065248U,	// SEH_SaveReg
+    303065162U,	// SEH_SaveXMM
+    303065233U,	// SEH_SetFrame
+    26736U,	// SEH_StackAlloc
+    103921U,	// SETAEm
+    22001U,	// SETAEr
+    101712U,	// SETAm
+    19792U,	// SETAr
+    103941U,	// SETBEm
+    22021U,	// SETBEr
     0U,	// SETB_C16r
     0U,	// SETB_C32r
     0U,	// SETB_C64r
     0U,	// SETB_C8r
-    102013U,	// SETBm
-    20093U,	// SETBr
-    104135U,	// SETEm
-    22215U,	// SETEr
-    104021U,	// SETGEm
-    22101U,	// SETGEr
-    104221U,	// SETGm
-    22301U,	// SETGr
-    104045U,	// SETLEm
-    22125U,	// SETLEr
-    104575U,	// SETLm
-    22655U,	// SETLr
-    104073U,	// SETNEm
-    22153U,	// SETNEr
-    104698U,	// SETNOm
-    22778U,	// SETNOr
-    104810U,	// SETNPm
-    22890U,	// SETNPr
-    105744U,	// SETNSm
-    23824U,	// SETNSr
-    104713U,	// SETOm
-    22793U,	// SETOr
-    104857U,	// SETPm
-    22937U,	// SETPr
-    106922U,	// SETSm
-    25002U,	// SETSr
-    11455U,	// SFENCE
-    287169U,	// SGDT16m
-    287169U,	// SGDT32m
-    287169U,	// SGDT64m
-    303057818U,	// SHA1MSG1rm
-    68176794U,	// SHA1MSG1rr
-    303057901U,	// SHA1MSG2rm
-    68176877U,	// SHA1MSG2rr
-    303060694U,	// SHA1NEXTErm
-    68179670U,	// SHA1NEXTErr
-    2450541661U,	// SHA1RNDS4rmi
-    2215660637U,	// SHA1RNDS4rri
-    303057828U,	// SHA256MSG1rm
-    68176804U,	// SHA256MSG1rr
-    303057911U,	// SHA256MSG2rm
-    68176887U,	// SHA256MSG2rr
-    303057923U,	// SHA256RNDS2rm
-    68176899U,	// SHA256RNDS2rr
-    18913334U,	// SHL16m1
-    17864758U,	// SHL16mCL
-    1087542U,	// SHL16mi
-    18896950U,	// SHL16r1
-    17848374U,	// SHL16rCL
-    68180022U,	// SHL16ri
-    18946102U,	// SHL32m1
-    17897526U,	// SHL32mCL
-    1120310U,	// SHL32mi
-    18896950U,	// SHL32r1
-    17848374U,	// SHL32rCL
-    68180022U,	// SHL32ri
-    18962486U,	// SHL64m1
-    17913910U,	// SHL64mCL
-    1136694U,	// SHL64mi
-    18896950U,	// SHL64r1
-    17848374U,	// SHL64rCL
-    68180022U,	// SHL64ri
-    18978870U,	// SHL8m1
-    17930294U,	// SHL8mCL
-    1153078U,	// SHL8mi
-    18896950U,	// SHL8r1
-    17848374U,	// SHL8rCL
-    68180022U,	// SHL8ri
-    2148569139U,	// SHLD16mrCL
-    2148569139U,	// SHLD16mri8
-    2215661619U,	// SHLD16rrCL
-    2215661619U,	// SHLD16rri8
-    2148601907U,	// SHLD32mrCL
-    2148601907U,	// SHLD32mri8
-    2215661619U,	// SHLD32rrCL
-    2215661619U,	// SHLD32rri8
-    2148618291U,	// SHLD64mrCL
-    2148618291U,	// SHLD64mri8
-    2215661619U,	// SHLD64rrCL
-    2215661619U,	// SHLD64rri8
-    2249221427U,	// SHLX32rm
-    2282775859U,	// SHLX32rr
-    2316330291U,	// SHLX64rm
-    2282775859U,	// SHLX64rr
-    18914409U,	// SHR16m1
-    17865833U,	// SHR16mCL
-    1088617U,	// SHR16mi
-    18898025U,	// SHR16r1
-    17849449U,	// SHR16rCL
-    68181097U,	// SHR16ri
-    18947177U,	// SHR32m1
-    17898601U,	// SHR32mCL
-    1121385U,	// SHR32mi
-    18898025U,	// SHR32r1
-    17849449U,	// SHR32rCL
-    68181097U,	// SHR32ri
-    18963561U,	// SHR64m1
-    17914985U,	// SHR64mCL
-    1137769U,	// SHR64mi
-    18898025U,	// SHR64r1
-    17849449U,	// SHR64rCL
-    68181097U,	// SHR64ri
-    18979945U,	// SHR8m1
-    17931369U,	// SHR8mCL
-    1154153U,	// SHR8mi
-    18898025U,	// SHR8r1
-    17849449U,	// SHR8rCL
-    68181097U,	// SHR8ri
-    2148569966U,	// SHRD16mrCL
-    2148569966U,	// SHRD16mri8
-    2215662446U,	// SHRD16rrCL
-    2215662446U,	// SHRD16rri8
-    2148602734U,	// SHRD32mrCL
-    2148602734U,	// SHRD32mri8
-    2215662446U,	// SHRD32rrCL
-    2215662446U,	// SHRD32rri8
-    2148619118U,	// SHRD64mrCL
-    2148619118U,	// SHRD64mri8
-    2215662446U,	// SHRD64rrCL
-    2215662446U,	// SHRD64rri8
-    2249221476U,	// SHRX32rm
-    2282775908U,	// SHRX32rr
-    2316330340U,	// SHRX64rm
-    2282775908U,	// SHRX64rr
-    2349879913U,	// SHUFPDrmi
-    2215662185U,	// SHUFPDrri
-    2349883131U,	// SHUFPSrmi
-    2215665403U,	// SHUFPSrri
-    287181U,	// SIDT16m
-    287181U,	// SIDT32m
-    287181U,	// SIDT64m
-    11747U,	// SIN_F
+    101969U,	// SETBm
+    20049U,	// SETBr
+    104091U,	// SETEm
+    22171U,	// SETEr
+    103977U,	// SETGEm
+    22057U,	// SETGEr
+    104177U,	// SETGm
+    22257U,	// SETGr
+    104001U,	// SETLEm
+    22081U,	// SETLEr
+    104531U,	// SETLm
+    22611U,	// SETLr
+    104029U,	// SETNEm
+    22109U,	// SETNEr
+    104654U,	// SETNOm
+    22734U,	// SETNOr
+    104766U,	// SETNPm
+    22846U,	// SETNPr
+    105700U,	// SETNSm
+    23780U,	// SETNSr
+    104669U,	// SETOm
+    22749U,	// SETOr
+    104813U,	// SETPm
+    22893U,	// SETPr
+    106878U,	// SETSm
+    24958U,	// SETSr
+    11411U,	// SFENCE
+    287125U,	// SGDT16m
+    287125U,	// SGDT32m
+    287125U,	// SGDT64m
+    370166638U,	// SHA1MSG1rm
+    68176750U,	// SHA1MSG1rr
+    370166721U,	// SHA1MSG2rm
+    68176833U,	// SHA1MSG2rr
+    370169514U,	// SHA1NEXTErm
+    68179626U,	// SHA1NEXTErr
+    2517650481U,	// SHA1RNDS4rmi
+    2215660593U,	// SHA1RNDS4rri
+    370166648U,	// SHA256MSG1rm
+    68176760U,	// SHA256MSG1rr
+    370166731U,	// SHA256MSG2rm
+    68176843U,	// SHA256MSG2rr
+    370166743U,	// SHA256RNDS2rm
+    68176855U,	// SHA256RNDS2rr
+    18913290U,	// SHL16m1
+    17864714U,	// SHL16mCL
+    1087498U,	// SHL16mi
+    18896906U,	// SHL16r1
+    17848330U,	// SHL16rCL
+    68179978U,	// SHL16ri
+    18946058U,	// SHL32m1
+    17897482U,	// SHL32mCL
+    1120266U,	// SHL32mi
+    18896906U,	// SHL32r1
+    17848330U,	// SHL32rCL
+    68179978U,	// SHL32ri
+    18962442U,	// SHL64m1
+    17913866U,	// SHL64mCL
+    1136650U,	// SHL64mi
+    18896906U,	// SHL64r1
+    17848330U,	// SHL64rCL
+    68179978U,	// SHL64ri
+    18978826U,	// SHL8m1
+    17930250U,	// SHL8mCL
+    1153034U,	// SHL8mi
+    18896906U,	// SHL8r1
+    17848330U,	// SHL8rCL
+    68179978U,	// SHL8ri
+    2148569095U,	// SHLD16mrCL
+    2148569095U,	// SHLD16mri8
+    2215661575U,	// SHLD16rrCL
+    2215661575U,	// SHLD16rri8
+    2148601863U,	// SHLD32mrCL
+    2148601863U,	// SHLD32mri8
+    2215661575U,	// SHLD32rrCL
+    2215661575U,	// SHLD32rri8
+    2148618247U,	// SHLD64mrCL
+    2148618247U,	// SHLD64mri8
+    2215661575U,	// SHLD64rrCL
+    2215661575U,	// SHLD64rri8
+    2416993543U,	// SHLX32rm
+    2450547975U,	// SHLX32rr
+    2484102407U,	// SHLX64rm
+    2450547975U,	// SHLX64rr
+    18914365U,	// SHR16m1
+    17865789U,	// SHR16mCL
+    1088573U,	// SHR16mi
+    18897981U,	// SHR16r1
+    17849405U,	// SHR16rCL
+    68181053U,	// SHR16ri
+    18947133U,	// SHR32m1
+    17898557U,	// SHR32mCL
+    1121341U,	// SHR32mi
+    18897981U,	// SHR32r1
+    17849405U,	// SHR32rCL
+    68181053U,	// SHR32ri
+    18963517U,	// SHR64m1
+    17914941U,	// SHR64mCL
+    1137725U,	// SHR64mi
+    18897981U,	// SHR64r1
+    17849405U,	// SHR64rCL
+    68181053U,	// SHR64ri
+    18979901U,	// SHR8m1
+    17931325U,	// SHR8mCL
+    1154109U,	// SHR8mi
+    18897981U,	// SHR8r1
+    17849405U,	// SHR8rCL
+    68181053U,	// SHR8ri
+    2148569922U,	// SHRD16mrCL
+    2148569922U,	// SHRD16mri8
+    2215662402U,	// SHRD16rrCL
+    2215662402U,	// SHRD16rri8
+    2148602690U,	// SHRD32mrCL
+    2148602690U,	// SHRD32mri8
+    2215662402U,	// SHRD32rrCL
+    2215662402U,	// SHRD32rri8
+    2148619074U,	// SHRD64mrCL
+    2148619074U,	// SHRD64mri8
+    2215662402U,	// SHRD64rrCL
+    2215662402U,	// SHRD64rri8
+    2416993592U,	// SHRX32rm
+    2450548024U,	// SHRX32rr
+    2484102456U,	// SHRX64rm
+    2450548024U,	// SHRX64rr
+    2316325437U,	// SHUFPDrmi
+    2215662141U,	// SHUFPDrri
+    2316328655U,	// SHUFPSrmi
+    2215665359U,	// SHUFPSrri
+    287137U,	// SIDT16m
+    287137U,	// SIDT32m
+    287137U,	// SIDT64m
+    11703U,	// SIN_F
     0U,	// SIN_Fp32
     0U,	// SIN_Fp64
     0U,	// SIN_Fp80
-    12321U,	// SKINIT
-    41433U,	// SLDT16m
-    25049U,	// SLDT16r
-    25049U,	// SLDT32r
-    41433U,	// SLDT64m
-    25049U,	// SLDT64r
-    42091U,	// SMSW16m
-    25707U,	// SMSW16r
-    25707U,	// SMSW32r
-    25707U,	// SMSW64r
-    537940750U,	// SQRTPDm
-    135287566U,	// SQRTPDr
-    537944012U,	// SQRTPSm
-    135290828U,	// SQRTPSr
-    571495643U,	// SQRTSDm
-    571495643U,	// SQRTSDm_Int
-    135288027U,	// SQRTSDr
-    135288027U,	// SQRTSDr_Int
-    605053285U,	// SQRTSSm
-    605053285U,	// SQRTSSm_Int
-    135291237U,	// SQRTSSr
-    135291237U,	// SQRTSSr_Int
-    12188U,	// SQRT_F
+    12277U,	// SKINIT
+    41389U,	// SLDT16m
+    25005U,	// SLDT16r
+    25005U,	// SLDT32r
+    41389U,	// SLDT64m
+    25005U,	// SLDT64r
+    42047U,	// SMSW16m
+    25663U,	// SMSW16r
+    25663U,	// SMSW32r
+    25663U,	// SMSW64r
+    537940706U,	// SQRTPDm
+    303059682U,	// SQRTPDr
+    537943968U,	// SQRTPSm
+    303062944U,	// SQRTPSr
+    571495599U,	// SQRTSDm
+    571495599U,	// SQRTSDm_Int
+    303060143U,	// SQRTSDr
+    303060143U,	// SQRTSDr_Int
+    605053241U,	// SQRTSSm
+    605053241U,	// SQRTSSm_Int
+    303063353U,	// SQRTSSr
+    303063353U,	// SQRTSSr_Int
+    12144U,	// SQRT_F
     0U,	// SQRT_Fp32
     0U,	// SQRT_Fp64
     0U,	// SQRT_Fp80
-    12113U,	// SS_PREFIX
-    11307U,	// STAC
-    11361U,	// STC
-    11419U,	// STD
-    11609U,	// STGI
-    11624U,	// STI
-    72881U,	// STMXCSR
-    17075778U,	// STOSB
-    14996674U,	// STOSL
-    16341906U,	// STOSQ
-    13968506U,	// STOSW
-    23754U,	// STR16r
-    23754U,	// STR32r
-    23754U,	// STR64r
-    40138U,	// STRm
-    123474U,	// ST_F32m
-    139858U,	// ST_F64m
-    121254U,	// ST_FP32m
-    137638U,	// ST_FP64m
-    383398U,	// ST_FP80m
-    10851U,	// ST_FPNCE
-    10872U,	// ST_FPr0r7
-    22950U,	// ST_FPrr
+    11263U,	// STAC
+    11317U,	// STC
+    11375U,	// STD
+    11565U,	// STGI
+    11580U,	// STI
+    72837U,	// STMXCSR
+    17075734U,	// STOSB
+    14996630U,	// STOSL
+    16341862U,	// STOSQ
+    13968462U,	// STOSW
+    23710U,	// STR16r
+    23710U,	// STR32r
+    23710U,	// STR64r
+    40094U,	// STRm
+    123430U,	// ST_F32m
+    139814U,	// ST_F64m
+    121210U,	// ST_FP32m
+    137594U,	// ST_FP64m
+    383354U,	// ST_FP80m
+    10807U,	// ST_FPNCE
+    10828U,	// ST_FPr0r7
+    22906U,	// ST_FPrr
     0U,	// ST_Fp32m
     0U,	// ST_Fp64m
     0U,	// ST_Fp64m32
@@ -2781,52 +2775,52 @@
     0U,	// ST_FpP80m
     0U,	// ST_FpP80m32
     0U,	// ST_FpP80m64
-    25170U,	// ST_Frr
-    26273U,	// SUB16i16
-    1085111U,	// SUB16mi
-    1085111U,	// SUB16mi8
-    1085111U,	// SUB16mr
-    35704503U,	// SUB16ri
-    35704503U,	// SUB16ri8
-    3198647U,	// SUB16rm
-    35704503U,	// SUB16rr
-    68177591U,	// SUB16rr_REV
-    26408U,	// SUB32i32
-    1117879U,	// SUB32mi
-    1117879U,	// SUB32mi8
-    1117879U,	// SUB32mr
-    35704503U,	// SUB32ri
-    35704503U,	// SUB32ri8
-    4247223U,	// SUB32rm
-    35704503U,	// SUB32rr
-    68177591U,	// SUB32rr_REV
-    26556U,	// SUB64i32
-    1134263U,	// SUB64mi32
-    1134263U,	// SUB64mi8
-    1134263U,	// SUB64mr
-    35704503U,	// SUB64ri32
-    35704503U,	// SUB64ri8
-    5295799U,	// SUB64rm
-    35704503U,	// SUB64rr
-    68177591U,	// SUB64rr_REV
-    26171U,	// SUB8i8
-    1150647U,	// SUB8mi
-    1150647U,	// SUB8mr
-    35704503U,	// SUB8ri
-    35704503U,	// SUB8ri8
-    6344375U,	// SUB8rm
-    35704503U,	// SUB8rr
-    68177591U,	// SUB8rr_REV
-    202396117U,	// SUBPDrm
-    68178389U,	// SUBPDrr
-    202399335U,	// SUBPSrm
-    68181607U,	// SUBPSrr
-    121934U,	// SUBR_F32m
-    138318U,	// SUBR_F64m
-    40021U,	// SUBR_FI16m
-    72789U,	// SUBR_FI32m
-    22921U,	// SUBR_FPrST0
-    23630U,	// SUBR_FST0r
+    25126U,	// ST_Frr
+    26229U,	// SUB16i16
+    1085067U,	// SUB16mi
+    1085067U,	// SUB16mi8
+    1085067U,	// SUB16mr
+    35704459U,	// SUB16ri
+    35704459U,	// SUB16ri8
+    3198603U,	// SUB16rm
+    35704459U,	// SUB16rr
+    68177547U,	// SUB16rr_REV
+    26364U,	// SUB32i32
+    1117835U,	// SUB32mi
+    1117835U,	// SUB32mi8
+    1117835U,	// SUB32mr
+    35704459U,	// SUB32ri
+    35704459U,	// SUB32ri8
+    4247179U,	// SUB32rm
+    35704459U,	// SUB32rr
+    68177547U,	// SUB32rr_REV
+    26512U,	// SUB64i32
+    1134219U,	// SUB64mi32
+    1134219U,	// SUB64mi8
+    1134219U,	// SUB64mr
+    35704459U,	// SUB64ri32
+    35704459U,	// SUB64ri8
+    5295755U,	// SUB64rm
+    35704459U,	// SUB64rr
+    68177547U,	// SUB64rr_REV
+    26127U,	// SUB8i8
+    1150603U,	// SUB8mi
+    1150603U,	// SUB8mr
+    35704459U,	// SUB8ri
+    35704459U,	// SUB8ri8
+    6344331U,	// SUB8rm
+    35704459U,	// SUB8rr
+    68177547U,	// SUB8rr_REV
+    168841641U,	// SUBPDrm
+    68178345U,	// SUBPDrr
+    168844859U,	// SUBPSrm
+    68181563U,	// SUBPSrr
+    121890U,	// SUBR_F32m
+    138274U,	// SUBR_F64m
+    39977U,	// SUBR_FI16m
+    72745U,	// SUBR_FI32m
+    22877U,	// SUBR_FPrST0
+    23586U,	// SUBR_FST0r
     0U,	// SUBR_Fp32m
     0U,	// SUBR_Fp64m
     0U,	// SUBR_Fp64m32
@@ -2838,21 +2832,21 @@
     0U,	// SUBR_FpI32m32
     0U,	// SUBR_FpI32m64
     0U,	// SUBR_FpI32m80
-    7363662U,	// SUBR_FrST0
-    235951189U,	// SUBSDrm
-    235951189U,	// SUBSDrm_Int
-    68179029U,	// SUBSDrr
-    68179029U,	// SUBSDrr_Int
-    269508838U,	// SUBSSrm
-    269508838U,	// SUBSSrm_Int
-    68182246U,	// SUBSSrr
-    68182246U,	// SUBSSrr_Int
-    118454U,	// SUB_F32m
-    134838U,	// SUB_F64m
-    36540U,	// SUB_FI16m
-    69308U,	// SUB_FI32m
-    22813U,	// SUB_FPrST0
-    20150U,	// SUB_FST0r
+    7363618U,	// SUBR_FrST0
+    202396713U,	// SUBSDrm
+    202396713U,	// SUBSDrm_Int
+    68178985U,	// SUBSDrr
+    68178985U,	// SUBSDrr_Int
+    235954362U,	// SUBSSrm
+    235954362U,	// SUBSSrm_Int
+    68182202U,	// SUBSSrr
+    68182202U,	// SUBSSrr_Int
+    118410U,	// SUB_F32m
+    134794U,	// SUB_F64m
+    36496U,	// SUB_FI16m
+    69264U,	// SUB_FI32m
+    22769U,	// SUB_FPrST0
+    20106U,	// SUB_FST0r
     0U,	// SUB_Fp32
     0U,	// SUB_Fp32m
     0U,	// SUB_Fp64
@@ -2867,1992 +2861,2136 @@
     0U,	// SUB_FpI32m32
     0U,	// SUB_FpI32m64
     0U,	// SUB_FpI32m80
-    7360182U,	// SUB_FrST0
-    12026U,	// SWAPGS
-    11687U,	// SYSCALL
-    11906U,	// SYSENTER
-    12168U,	// SYSEXIT
-    12168U,	// SYSEXIT64
-    12148U,	// SYSRET
-    12148U,	// SYSRET64
-    101732136U,	// T1MSKC32rm
-    135286568U,	// T1MSKC32rr
-    168841000U,	// T1MSKC64rm
-    135286568U,	// T1MSKC64rr
-    20076873U,	// TAILJMPd
-    20076873U,	// TAILJMPd64
-    19994953U,	// TAILJMPm
-    20011337U,	// TAILJMPm64
+    7360138U,	// SUB_FrST0
+    11982U,	// SWAPGS
+    11643U,	// SYSCALL
+    11862U,	// SYSENTER
+    12124U,	// SYSEXIT
+    12124U,	// SYSEXIT64
+    12104U,	// SYSRET
+    12104U,	// SYSRET64
+    269504252U,	// T1MSKC32rm
+    303058684U,	// T1MSKC32rr
+    336613116U,	// T1MSKC64rm
+    303058684U,	// T1MSKC64rr
+    20076829U,	// TAILJMPd
+    20076829U,	// TAILJMPd64
+    19994909U,	// TAILJMPm
+    20011293U,	// TAILJMPm64
     0U,	// TAILJMPr
-    19945801U,	// TAILJMPr64
+    19945757U,	// TAILJMPr64
     0U,	// TCRETURNdi
     0U,	// TCRETURNdi64
     0U,	// TCRETURNmi
     0U,	// TCRETURNmi64
     0U,	// TCRETURNri
     0U,	// TCRETURNri64
-    26357U,	// TEST16i16
-    1090124U,	// TEST16mi
-    1090124U,	// TEST16mi_alt
-    135291468U,	// TEST16ri
-    135291468U,	// TEST16ri_alt
-    1090124U,	// TEST16rm
-    135291468U,	// TEST16rr
-    26525U,	// TEST32i32
-    1122892U,	// TEST32mi
-    1122892U,	// TEST32mi_alt
-    135291468U,	// TEST32ri
-    135291468U,	// TEST32ri_alt
-    1122892U,	// TEST32rm
-    135291468U,	// TEST32rr
-    26664U,	// TEST64i32
-    1139276U,	// TEST64mi32
-    1139276U,	// TEST64mi32_alt
-    135291468U,	// TEST64ri32
-    135291468U,	// TEST64ri32_alt
-    1139276U,	// TEST64rm
-    135291468U,	// TEST64rr
-    26245U,	// TEST8i8
-    1155660U,	// TEST8mi
-    1155660U,	// TEST8mi_alt
-    135291468U,	// TEST8ri
+    26313U,	// TEST16i16
+    1090080U,	// TEST16mi
+    1090080U,	// TEST16mi_alt
+    303063584U,	// TEST16ri
+    303063584U,	// TEST16ri_alt
+    1090080U,	// TEST16rm
+    303063584U,	// TEST16rr
+    26481U,	// TEST32i32
+    1122848U,	// TEST32mi
+    1122848U,	// TEST32mi_alt
+    303063584U,	// TEST32ri
+    303063584U,	// TEST32ri_alt
+    1122848U,	// TEST32rm
+    303063584U,	// TEST32rr
+    26620U,	// TEST64i32
+    1139232U,	// TEST64mi32
+    1139232U,	// TEST64mi32_alt
+    303063584U,	// TEST64ri32
+    303063584U,	// TEST64ri32_alt
+    1139232U,	// TEST64rm
+    303063584U,	// TEST64rr
+    26201U,	// TEST8i8
+    1155616U,	// TEST8mi
+    1155616U,	// TEST8mi_alt
+    303063584U,	// TEST8ri
     0U,	// TEST8ri_NOREX
-    135291468U,	// TEST8ri_alt
-    1155660U,	// TEST8rm
-    135291468U,	// TEST8rr
-    10964U,	// TLSCall_32
-    11068U,	// TLSCall_64
-    10977U,	// TLS_addr32
-    11081U,	// TLS_addr64
-    10990U,	// TLS_base_addr32
-    11094U,	// TLS_base_addr64
-    11008U,	// TRAP
-    12200U,	// TST_F
+    303063584U,	// TEST8ri_alt
+    1155616U,	// TEST8rm
+    303063584U,	// TEST8rr
+    10920U,	// TLSCall_32
+    11024U,	// TLSCall_64
+    10933U,	// TLS_addr32
+    11037U,	// TLS_addr64
+    10946U,	// TLS_base_addr32
+    11050U,	// TLS_base_addr64
+    10964U,	// TRAP
+    12156U,	// TST_F
     0U,	// TST_Fp32
     0U,	// TST_Fp64
     0U,	// TST_Fp80
-    370172412U,	// TZCNT16rm
-    135291388U,	// TZCNT16rr
-    101736956U,	// TZCNT32rm
-    135291388U,	// TZCNT32rr
-    168845820U,	// TZCNT64rm
-    135291388U,	// TZCNT64rr
-    101734437U,	// TZMSK32rm
-    135288869U,	// TZMSK32rr
-    168843301U,	// TZMSK64rm
-    135288869U,	// TZMSK64rr
-    571495575U,	// UCOMISDrm
-    135287959U,	// UCOMISDrr
-    605053224U,	// UCOMISSrm
-    135291176U,	// UCOMISSrr
-    22456U,	// UCOM_FIPr
-    22398U,	// UCOM_FIr
-    11822U,	// UCOM_FPPr
-    22877U,	// UCOM_FPr
+    437281232U,	// TZCNT16rm
+    303063504U,	// TZCNT16rr
+    269509072U,	// TZCNT32rm
+    303063504U,	// TZCNT32rr
+    336617936U,	// TZCNT64rm
+    303063504U,	// TZCNT64rr
+    269506553U,	// TZMSK32rm
+    303060985U,	// TZMSK32rr
+    336615417U,	// TZMSK64rm
+    303060985U,	// TZMSK64rr
+    571495531U,	// UCOMISDrm
+    303060075U,	// UCOMISDrr
+    605053180U,	// UCOMISSrm
+    303063292U,	// UCOMISSrr
+    22412U,	// UCOM_FIPr
+    22354U,	// UCOM_FIr
+    11778U,	// UCOM_FPPr
+    22833U,	// UCOM_FPr
     0U,	// UCOM_FpIr32
     0U,	// UCOM_FpIr64
     0U,	// UCOM_FpIr80
     0U,	// UCOM_Fpr32
     0U,	// UCOM_Fpr64
     0U,	// UCOM_Fpr80
-    22700U,	// UCOM_Fr
-    11241U,	// UD2B
-    202396274U,	// UNPCKHPDrm
-    68178546U,	// UNPCKHPDrr
-    202399492U,	// UNPCKHPSrm
-    68181764U,	// UNPCKHPSrr
-    202396316U,	// UNPCKLPDrm
-    68178588U,	// UNPCKLPDrr
-    202399554U,	// UNPCKLPSrm
-    68181826U,	// UNPCKLPSrr
-    2651875412U,	// VAARG_64
-    2282771000U,	// VADDPDYrm
-    2282771000U,	// VADDPDYrr
-    2282767044U,	// VADDPDZrm
-    2282767044U,	// VADDPDZrmb
-    155206340U,	// VADDPDZrmbk
-    2302689988U,	// VADDPDZrmbkz
-    155210296U,	// VADDPDZrmk
-    2302693944U,	// VADDPDZrmkz
-    2282767044U,	// VADDPDZrr
-    155206340U,	// VADDPDZrrk
-    2302689988U,	// VADDPDZrrkz
-    2282771000U,	// VADDPDrm
-    2282771000U,	// VADDPDrr
-    2282774218U,	// VADDPSYrm
-    2282774218U,	// VADDPSYrr
-    2282768792U,	// VADDPSZrm
-    2282768792U,	// VADDPSZrmb
-    155208088U,	// VADDPSZrmbk
-    2302691736U,	// VADDPSZrmbkz
-    155213514U,	// VADDPSZrmk
-    2302697162U,	// VADDPSZrmkz
-    2282768792U,	// VADDPSZrr
-    155208088U,	// VADDPSZrrk
-    2302691736U,	// VADDPSZrrkz
-    2282774218U,	// VADDPSrm
-    2282774218U,	// VADDPSrr
-    2282771588U,	// VADDSDZrm
-    2282771588U,	// VADDSDZrr
-    2282771588U,	// VADDSDrm
-    2282771588U,	// VADDSDrm_Int
-    2282771588U,	// VADDSDrr
-    2282771588U,	// VADDSDrr_Int
-    2282774805U,	// VADDSSZrm
-    2282774805U,	// VADDSSZrr
-    2282774805U,	// VADDSSrm
-    2282774805U,	// VADDSSrm_Int
-    2282774805U,	// VADDSSrr
-    2282774805U,	// VADDSSrr_Int
-    2282770908U,	// VADDSUBPDYrm
-    2282770908U,	// VADDSUBPDYrr
-    2282770908U,	// VADDSUBPDrm
-    2282770908U,	// VADDSUBPDrr
-    2282774126U,	// VADDSUBPSYrm
-    2282774126U,	// VADDSUBPSYrr
-    2282774126U,	// VADDSUBPSrm
-    2282774126U,	// VADDSUBPSrr
-    2282775088U,	// VAESDECLASTrm
-    2282775088U,	// VAESDECLASTrr
-    2282770193U,	// VAESDECrm
-    2282770193U,	// VAESDECrr
-    2282775101U,	// VAESENCLASTrm
-    2282775101U,	// VAESENCLASTrr
-    2282770233U,	// VAESENCrm
-    2282770233U,	// VAESENCrr
-    336613168U,	// VAESIMCrm
-    135286576U,	// VAESIMCrr
-    2484101725U,	// VAESKEYGENASSIST128rm
-    2282775133U,	// VAESKEYGENASSIST128rr
-    2282766732U,	// VALIGNDrmi
-    2282766732U,	// VALIGNDrri
+    22656U,	// UCOM_Fr
+    11197U,	// UD2B
+    168841798U,	// UNPCKHPDrm
+    68178502U,	// UNPCKHPDrr
+    168845016U,	// UNPCKHPSrm
+    68181720U,	// UNPCKHPSrr
+    168841840U,	// UNPCKLPDrm
+    68178544U,	// UNPCKLPDrr
+    168845078U,	// UNPCKLPSrm
+    68181782U,	// UNPCKLPSrr
+    2651875368U,	// VAARG_64
+    2450543116U,	// VADDPDYrm
+    2450543116U,	// VADDPDYrr
+    2450539204U,	// VADDPDZrm
+    2450539204U,	// VADDPDZrmb
+    322978500U,	// VADDPDZrmbk
+    2470462148U,	// VADDPDZrmbkz
+    322982412U,	// VADDPDZrmk
+    2470466060U,	// VADDPDZrmkz
+    2450539204U,	// VADDPDZrr
+    322978500U,	// VADDPDZrrk
+    2470462148U,	// VADDPDZrrkz
+    2450543116U,	// VADDPDrm
+    2450543116U,	// VADDPDrr
+    2450546334U,	// VADDPSYrm
+    2450546334U,	// VADDPSYrr
+    2450540908U,	// VADDPSZrm
+    2450540908U,	// VADDPSZrmb
+    322980204U,	// VADDPSZrmbk
+    2470463852U,	// VADDPSZrmbkz
+    322985630U,	// VADDPSZrmk
+    2470469278U,	// VADDPSZrmkz
+    2450540908U,	// VADDPSZrr
+    322980204U,	// VADDPSZrrk
+    2470463852U,	// VADDPSZrrkz
+    2450546334U,	// VADDPSrm
+    2450546334U,	// VADDPSrr
+    2450543704U,	// VADDSDZrm
+    2450543704U,	// VADDSDZrr
+    2450543704U,	// VADDSDrm
+    2450543704U,	// VADDSDrm_Int
+    2450543704U,	// VADDSDrr
+    2450543704U,	// VADDSDrr_Int
+    2450546921U,	// VADDSSZrm
+    2450546921U,	// VADDSSZrr
+    2450546921U,	// VADDSSrm
+    2450546921U,	// VADDSSrm_Int
+    2450546921U,	// VADDSSrr
+    2450546921U,	// VADDSSrr_Int
+    2450543024U,	// VADDSUBPDYrm
+    2450543024U,	// VADDSUBPDYrr
+    2450543024U,	// VADDSUBPDrm
+    2450543024U,	// VADDSUBPDrr
+    2450546242U,	// VADDSUBPSYrm
+    2450546242U,	// VADDSUBPSYrr
+    2450546242U,	// VADDSUBPSrm
+    2450546242U,	// VADDSUBPSrr
+    2450547204U,	// VAESDECLASTrm
+    2450547204U,	// VAESDECLASTrr
+    2450542309U,	// VAESDECrm
+    2450542309U,	// VAESDECrr
+    2450547217U,	// VAESENCLASTrm
+    2450547217U,	// VAESENCLASTrr
+    2450542349U,	// VAESENCrm
+    2450542349U,	// VAESENCrr
+    403721988U,	// VAESIMCrm
+    303058692U,	// VAESIMCrr
+    2551210545U,	// VAESKEYGENASSIST128rm
+    2450547249U,	// VAESKEYGENASSIST128rr
+    2450538892U,	// VALIGNDrmi
+    2450538892U,	// VALIGNDrri
     88097164U,	// VALIGNDrrik
-    2302689676U,	// VALIGNDrrikz
-    2282768231U,	// VALIGNQrmi
-    2282768231U,	// VALIGNQrri
-    88098663U,	// VALIGNQrrik
-    2302691175U,	// VALIGNQrrikz
-    2282771144U,	// VANDNPDYrm
-    2282771144U,	// VANDNPDYrr
-    2282771144U,	// VANDNPDrm
-    2282771144U,	// VANDNPDrr
-    2282774374U,	// VANDNPSYrm
-    2282774374U,	// VANDNPSYrr
-    2282774374U,	// VANDNPSrm
-    2282774374U,	// VANDNPSrr
-    2282771008U,	// VANDPDYrm
-    2282771008U,	// VANDPDYrr
-    2282771008U,	// VANDPDrm
-    2282771008U,	// VANDPDrr
-    2282774226U,	// VANDPSYrm
-    2282774226U,	// VANDPSYrr
-    2282774226U,	// VANDPSrm
-    2282774226U,	// VANDPSrr
-    2282776708U,	// VASTART_SAVE_XMM_REGS
-    155206421U,	// VBLENDMPDZrm
-    155206421U,	// VBLENDMPDZrr
-    155208169U,	// VBLENDMPSZrm
-    155208169U,	// VBLENDMPSZrr
-    2282771016U,	// VBLENDPDYrmi
-    2282771016U,	// VBLENDPDYrri
-    2282771016U,	// VBLENDPDrmi
-    2282771016U,	// VBLENDPDrri
-    2282774234U,	// VBLENDPSYrmi
-    2282774234U,	// VBLENDPSYrri
-    2282774234U,	// VBLENDPSrmi
-    2282774234U,	// VBLENDPSrri
-    2282771240U,	// VBLENDVPDYrm
-    2282771240U,	// VBLENDVPDYrr
-    2282771240U,	// VBLENDVPDrm
-    2282771240U,	// VBLENDVPDrr
-    2282774511U,	// VBLENDVPSYrm
-    2282774511U,	// VBLENDVPSYrr
-    2282774511U,	// VBLENDVPSrm
-    2282774511U,	// VBLENDVPSrr
-    537939214U,	// VBROADCASTF128
-    336612677U,	// VBROADCASTI128
-    2302689281U,	// VBROADCASTI32X4krm
-    336609281U,	// VBROADCASTI32X4rm
-    2302689299U,	// VBROADCASTI64X4krm
+    2470461836U,	// VALIGNDrrikz
+    2450540369U,	// VALIGNQrmi
+    2450540369U,	// VALIGNQrri
+    88098641U,	// VALIGNQrrik
+    2470463313U,	// VALIGNQrrikz
+    2450543260U,	// VANDNPDYrm
+    2450543260U,	// VANDNPDYrr
+    2450543260U,	// VANDNPDrm
+    2450543260U,	// VANDNPDrr
+    2450546490U,	// VANDNPSYrm
+    2450546490U,	// VANDNPSYrr
+    2450546490U,	// VANDNPSrm
+    2450546490U,	// VANDNPSrr
+    2450543124U,	// VANDPDYrm
+    2450543124U,	// VANDPDYrr
+    2450543124U,	// VANDPDrm
+    2450543124U,	// VANDPDrr
+    2450546342U,	// VANDPSYrm
+    2450546342U,	// VANDPSYrr
+    2450546342U,	// VANDPSrm
+    2450546342U,	// VANDPSrr
+    2450548824U,	// VASTART_SAVE_XMM_REGS
+    322978581U,	// VBLENDMPDZrm
+    322978581U,	// VBLENDMPDZrr
+    322980285U,	// VBLENDMPSZrm
+    322980285U,	// VBLENDMPSZrr
+    2450543132U,	// VBLENDPDYrmi
+    2450543132U,	// VBLENDPDYrri
+    2450543132U,	// VBLENDPDrmi
+    2450543132U,	// VBLENDPDrri
+    2450546350U,	// VBLENDPSYrmi
+    2450546350U,	// VBLENDPSYrri
+    2450546350U,	// VBLENDPSrmi
+    2450546350U,	// VBLENDPSrri
+    2450543356U,	// VBLENDVPDYrm
+    2450543356U,	// VBLENDVPDYrr
+    2450543356U,	// VBLENDVPDrm
+    2450543356U,	// VBLENDVPDrr
+    2450546627U,	// VBLENDVPSYrm
+    2450546627U,	// VBLENDVPSYrr
+    2450546627U,	// VBLENDVPSrm
+    2450546627U,	// VBLENDVPSrr
+    537939170U,	// VBROADCASTF128
+    403721497U,	// VBROADCASTI128
+    2470461441U,	// VBROADCASTI32X4krm
+    403718145U,	// VBROADCASTI32X4rm
+    2470461459U,	// VBROADCASTI64X4krm
     873480211U,	// VBROADCASTI64X4rm
-    571495651U,	// VBROADCASTSDYrm
-    135288035U,	// VBROADCASTSDYrr
-    571491454U,	// VBROADCASTSDZrm
-    135283838U,	// VBROADCASTSDZrr
-    605053302U,	// VBROADCASTSSYrm
-    135291254U,	// VBROADCASTSSYrr
-    605047503U,	// VBROADCASTSSZrm
-    135285455U,	// VBROADCASTSSZrr
-    605053302U,	// VBROADCASTSSrm
-    135291254U,	// VBROADCASTSSrr
-    2290445853U,	// VCMPPDYrmi
-    2282771168U,	// VCMPPDYrmi_alt
-    2290462237U,	// VCMPPDYrri
-    2282771168U,	// VCMPPDYrri_alt
-    928345629U,	// VCMPPDZrmi
-    2282767156U,	// VCMPPDZrmi_alt
-    89501213U,	// VCMPPDZrri
-    2282767156U,	// VCMPPDZrri_alt
-    89501213U,	// VCMPPDZrrib
-    2290445853U,	// VCMPPDrmi
-    2282771168U,	// VCMPPDrmi_alt
-    2290462237U,	// VCMPPDrri
-    2282771168U,	// VCMPPDrri_alt
-    2291494429U,	// VCMPPSYrmi
-    2282774406U,	// VCMPPSYrmi_alt
-    2291510813U,	// VCMPPSYrri
-    2282774406U,	// VCMPPSYrri_alt
-    929394205U,	// VCMPPSZrmi
-    2282768904U,	// VCMPPSZrmi_alt
-    90549789U,	// VCMPPSZrri
-    2282768904U,	// VCMPPSZrri_alt
-    90549789U,	// VCMPPSZrrib
-    2291494429U,	// VCMPPSrmi
-    2282774406U,	// VCMPPSrmi_alt
-    2291510813U,	// VCMPPSrri
-    2282774406U,	// VCMPPSrri_alt
-    2292543005U,	// VCMPSDZrm
-    2282771657U,	// VCMPSDZrmi_alt
-    2292559389U,	// VCMPSDZrr
-    2282771657U,	// VCMPSDZrri_alt
-    2292543005U,	// VCMPSDrm
-    2282771657U,	// VCMPSDrm_alt
-    2292559389U,	// VCMPSDrr
-    2282771657U,	// VCMPSDrr_alt
-    2293591581U,	// VCMPSSZrm
-    2282774866U,	// VCMPSSZrmi_alt
-    2293607965U,	// VCMPSSZrr
-    2282774866U,	// VCMPSSZrri_alt
-    2293591581U,	// VCMPSSrm
-    2282774866U,	// VCMPSSrm_alt
-    2293607965U,	// VCMPSSrr
-    2282774866U,	// VCMPSSrr_alt
-    537941152U,	// VCOMISDZrm
-    135287968U,	// VCOMISDZrr
-    537941152U,	// VCOMISDrm
-    135287968U,	// VCOMISDrr
-    537944369U,	// VCOMISSZrm
-    135291185U,	// VCOMISSZrr
-    537944369U,	// VCOMISSrm
-    135291185U,	// VCOMISSrr
-    336613714U,	// VCVTDQ2PDYrm
-    135287122U,	// VCVTDQ2PDYrr
+    571495607U,	// VBROADCASTSDYrm
+    303060151U,	// VBROADCASTSDYrr
+    571491443U,	// VBROADCASTSDZrm
+    303055987U,	// VBROADCASTSDZrr
+    605053258U,	// VBROADCASTSSYrm
+    303063370U,	// VBROADCASTSSYrr
+    605047459U,	// VBROADCASTSSZrm
+    303057571U,	// VBROADCASTSSZrr
+    605053258U,	// VBROADCASTSSrm
+    303063370U,	// VBROADCASTSSrr
+    2458217969U,	// VCMPPDYrmi
+    2450543284U,	// VCMPPDYrmi_alt
+    2458234353U,	// VCMPPDYrri
+    2450543284U,	// VCMPPDYrri_alt
+    928345585U,	// VCMPPDZrmi
+    2450539316U,	// VCMPPDZrmi_alt
+    89501169U,	// VCMPPDZrri
+    2450539316U,	// VCMPPDZrri_alt
+    89501169U,	// VCMPPDZrrib
+    2458217969U,	// VCMPPDrmi
+    2450543284U,	// VCMPPDrmi_alt
+    2458234353U,	// VCMPPDrri
+    2450543284U,	// VCMPPDrri_alt
+    2459266545U,	// VCMPPSYrmi
+    2450546522U,	// VCMPPSYrmi_alt
+    2459282929U,	// VCMPPSYrri
+    2450546522U,	// VCMPPSYrri_alt
+    929394161U,	// VCMPPSZrmi
+    2450541020U,	// VCMPPSZrmi_alt
+    90549745U,	// VCMPPSZrri
+    2450541020U,	// VCMPPSZrri_alt
+    90549745U,	// VCMPPSZrrib
+    2459266545U,	// VCMPPSrmi
+    2450546522U,	// VCMPPSrmi_alt
+    2459282929U,	// VCMPPSrri
+    2450546522U,	// VCMPPSrri_alt
+    2460315121U,	// VCMPSDZrm
+    2450543773U,	// VCMPSDZrmi_alt
+    2460331505U,	// VCMPSDZrr
+    2450543773U,	// VCMPSDZrri_alt
+    2460315121U,	// VCMPSDrm
+    2450543773U,	// VCMPSDrm_alt
+    2460331505U,	// VCMPSDrr
+    2450543773U,	// VCMPSDrr_alt
+    2461363697U,	// VCMPSSZrm
+    2450546982U,	// VCMPSSZrmi_alt
+    2461380081U,	// VCMPSSZrr
+    2450546982U,	// VCMPSSZrri_alt
+    2461363697U,	// VCMPSSrm
+    2450546982U,	// VCMPSSrm_alt
+    2461380081U,	// VCMPSSrr
+    2450546982U,	// VCMPSSrr_alt
+    537941108U,	// VCOMISDZrm
+    303060084U,	// VCOMISDZrr
+    537941108U,	// VCOMISDrm
+    303060084U,	// VCOMISDrr
+    537944325U,	// VCOMISSZrm
+    303063301U,	// VCOMISSZrr
+    537944325U,	// VCOMISSrm
+    303063301U,	// VCOMISSrr
+    403722534U,	// VCVTDQ2PDYrm
+    303059238U,	// VCVTDQ2PDYrr
     873480702U,	// VCVTDQ2PDZrm
-    135283198U,	// VCVTDQ2PDZrr
-    168841554U,	// VCVTDQ2PDrm
-    135287122U,	// VCVTDQ2PDrr
-    873487863U,	// VCVTDQ2PSYrm
-    135290359U,	// VCVTDQ2PSYrr
-    940591326U,	// VCVTDQ2PSZrm
-    135284958U,	// VCVTDQ2PSZrr
-    2282768606U,	// VCVTDQ2PSZrrb
-    336616951U,	// VCVTDQ2PSrm
-    135290359U,	// VCVTDQ2PSrr
-    537945426U,	// VCVTPD2DQXrm
-    974150217U,	// VCVTPD2DQYrm
-    135289417U,	// VCVTPD2DQYrr
-    1007699491U,	// VCVTPD2DQZrm
-    135284259U,	// VCVTPD2DQZrr
-    2282767907U,	// VCVTPD2DQZrrb
-    135289417U,	// VCVTPD2DQrr
-    537945456U,	// VCVTPD2PSXrm
-    974151115U,	// VCVTPD2PSYrm
-    135290315U,	// VCVTPD2PSYrr
-    1007700166U,	// VCVTPD2PSZrm
-    135284934U,	// VCVTPD2PSZrr
-    2282768582U,	// VCVTPD2PSZrrb
-    135290315U,	// VCVTPD2PSrr
-    1007699659U,	// VCVTPD2UDQZrm
-    135284427U,	// VCVTPD2UDQZrr
-    2282768075U,	// VCVTPD2UDQZrrb
-    537943510U,	// VCVTPH2PSYrm
-    135290326U,	// VCVTPH2PSYrr
-    974151126U,	// VCVTPH2PSZrm
-    135290326U,	// VCVTPH2PSZrr
-    571497942U,	// VCVTPH2PSrm
-    135290326U,	// VCVTPH2PSrr
-    974150249U,	// VCVTPS2DQYrm
-    135289449U,	// VCVTPS2DQYrr
-    1007699516U,	// VCVTPS2DQZrm
-    135284284U,	// VCVTPS2DQZrr
-    2282767932U,	// VCVTPS2DQZrrb
-    537942633U,	// VCVTPS2DQrm
-    135289449U,	// VCVTPS2DQrr
-    537940317U,	// VCVTPS2PDYrm
-    135287133U,	// VCVTPS2PDYrr
+    303055358U,	// VCVTDQ2PDZrr
+    336613670U,	// VCVTDQ2PDrm
+    303059238U,	// VCVTDQ2PDrr
+    873487819U,	// VCVTDQ2PSYrm
+    303062475U,	// VCVTDQ2PSYrr
+    940591282U,	// VCVTDQ2PSZrm
+    303057074U,	// VCVTDQ2PSZrr
+    2450540722U,	// VCVTDQ2PSZrrb
+    403725771U,	// VCVTDQ2PSrm
+    303062475U,	// VCVTDQ2PSrr
+    537945382U,	// VCVTPD2DQXrm
+    974150173U,	// VCVTPD2DQYrm
+    303061533U,	// VCVTPD2DQYrr
+    1007699469U,	// VCVTPD2DQZrm
+    303056397U,	// VCVTPD2DQZrr
+    2450540045U,	// VCVTPD2DQZrrb
+    303061533U,	// VCVTPD2DQrr
+    537945412U,	// VCVTPD2PSXrm
+    974151071U,	// VCVTPD2PSYrm
+    303062431U,	// VCVTPD2PSYrr
+    1007700122U,	// VCVTPD2PSZrm
+    303057050U,	// VCVTPD2PSZrr
+    2450540698U,	// VCVTPD2PSZrrb
+    303062431U,	// VCVTPD2PSrr
+    1007699637U,	// VCVTPD2UDQZrm
+    303056565U,	// VCVTPD2UDQZrr
+    2450540213U,	// VCVTPD2UDQZrrb
+    537943466U,	// VCVTPH2PSYrm
+    303062442U,	// VCVTPH2PSYrr
+    974151082U,	// VCVTPH2PSZrm
+    303062442U,	// VCVTPH2PSZrr
+    571497898U,	// VCVTPH2PSrm
+    303062442U,	// VCVTPH2PSrr
+    974150205U,	// VCVTPS2DQYrm
+    303061565U,	// VCVTPS2DQYrr
+    1007699494U,	// VCVTPS2DQZrm
+    303056422U,	// VCVTPS2DQZrr
+    2450540070U,	// VCVTPS2DQZrrb
+    537942589U,	// VCVTPS2DQrm
+    303061565U,	// VCVTPS2DQrr
+    537940273U,	// VCVTPS2PDYrm
+    303059249U,	// VCVTPS2PDYrr
     974144023U,	// VCVTPS2PDZrm
-    135283223U,	// VCVTPS2PDZrr
-    571494749U,	// VCVTPS2PDrm
-    135287133U,	// VCVTPS2PDrr
-    2149127994U,	// VCVTPS2PHYmr
-    2282772282U,	// VCVTPS2PHYrr
-    2149156141U,	// VCVTPS2PHZmr
-    2282767661U,	// VCVTPS2PHZrr
-    2148669242U,	// VCVTPS2PHmr
-    2282772282U,	// VCVTPS2PHrr
-    1007699686U,	// VCVTPS2UDQZrm
-    135284454U,	// VCVTPS2UDQZrr
-    2282768102U,	// VCVTPS2UDQZrrb
-    571491654U,	// VCVTSD2SI64Zrm
-    135284038U,	// VCVTSD2SI64Zrr
-    571496421U,	// VCVTSD2SI64rm
-    135288805U,	// VCVTSD2SI64rr
-    571491654U,	// VCVTSD2SIZrm
-    135284038U,	// VCVTSD2SIZrr
-    571496421U,	// VCVTSD2SIrm
-    135288805U,	// VCVTSD2SIrr
-    2282774667U,	// VCVTSD2SSZrm
-    2282774667U,	// VCVTSD2SSZrr
-    2282774667U,	// VCVTSD2SSrm
-    2282774667U,	// VCVTSD2SSrr
-    571491705U,	// VCVTSD2USI64Zrm
-    135284089U,	// VCVTSD2USI64Zrr
-    571491705U,	// VCVTSD2USIZrm
-    135284089U,	// VCVTSD2USIZrr
-    2282771442U,	// VCVTSI2SD64rm
-    2282771442U,	// VCVTSI2SD64rr
-    2282767322U,	// VCVTSI2SDZrm
-    2282767322U,	// VCVTSI2SDZrr
-    2282771442U,	// VCVTSI2SDrm
-    2282771442U,	// VCVTSI2SDrr
-    2282774678U,	// VCVTSI2SS64rm
-    2282774678U,	// VCVTSI2SS64rr
-    2282768958U,	// VCVTSI2SSZrm
-    2282768958U,	// VCVTSI2SSZrr
-    2282774678U,	// VCVTSI2SSrm
-    2282774678U,	// VCVTSI2SSrr
-    2282767322U,	// VCVTSI642SDZrm
-    2282767322U,	// VCVTSI642SDZrr
-    2282768958U,	// VCVTSI642SSZrm
-    2282768958U,	// VCVTSI642SSZrr
-    2282771465U,	// VCVTSS2SDZrm
-    2282771465U,	// VCVTSS2SDZrr
-    2282771465U,	// VCVTSS2SDrm
-    2282771465U,	// VCVTSS2SDrr
-    605046111U,	// VCVTSS2SI64Zrm
-    135284063U,	// VCVTSS2SI64Zrr
-    605050876U,	// VCVTSS2SI64rm
-    135288828U,	// VCVTSS2SI64rr
-    605046111U,	// VCVTSS2SIZrm
-    135284063U,	// VCVTSS2SIZrr
-    605050876U,	// VCVTSS2SIrm
-    135288828U,	// VCVTSS2SIrr
-    605046164U,	// VCVTSS2USI64Zrm
-    135284116U,	// VCVTSS2USI64Zrr
-    605046164U,	// VCVTSS2USIZrm
-    135284116U,	// VCVTSS2USIZrr
-    537945413U,	// VCVTTPD2DQXrm
-    974150205U,	// VCVTTPD2DQYrm
-    135289405U,	// VCVTTPD2DQYrr
-    1007699478U,	// VCVTTPD2DQZrm
-    135284246U,	// VCVTTPD2DQZrr
-    135289405U,	// VCVTTPD2DQrr
-    1007699645U,	// VCVTTPD2UDQZrm
-    135284413U,	// VCVTTPD2UDQZrr
-    974150237U,	// VCVTTPS2DQYrm
-    135289437U,	// VCVTTPS2DQYrr
-    1007699503U,	// VCVTTPS2DQZrm
-    135284271U,	// VCVTTPS2DQZrr
-    537942621U,	// VCVTTPS2DQrm
-    135289437U,	// VCVTTPS2DQrr
-    1007699672U,	// VCVTTPS2UDQZrm
-    135284440U,	// VCVTTPS2UDQZrr
-    571491641U,	// VCVTTSD2SI64Zrm
-    135284025U,	// VCVTTSD2SI64Zrr
-    571496409U,	// VCVTTSD2SI64rm
-    135288793U,	// VCVTTSD2SI64rr
-    571491641U,	// VCVTTSD2SIZrm
-    135284025U,	// VCVTTSD2SIZrr
-    571496409U,	// VCVTTSD2SIrm
-    135288793U,	// VCVTTSD2SIrr
-    571491691U,	// VCVTTSD2USI64Zrm
-    135284075U,	// VCVTTSD2USI64Zrr
-    571491691U,	// VCVTTSD2USIZrm
-    135284075U,	// VCVTTSD2USIZrr
-    605046098U,	// VCVTTSS2SI64Zrm
-    135284050U,	// VCVTTSS2SI64Zrr
-    605050864U,	// VCVTTSS2SI64rm
-    135288816U,	// VCVTTSS2SI64rr
-    605046098U,	// VCVTTSS2SIZrm
-    135284050U,	// VCVTTSS2SIZrr
-    605050864U,	// VCVTTSS2SIrm
-    135288816U,	// VCVTTSS2SIrr
-    605046150U,	// VCVTTSS2USI64Zrm
-    135284102U,	// VCVTTSS2USI64Zrr
-    605046150U,	// VCVTTSS2USIZrm
-    135284102U,	// VCVTTSS2USIZrr
+    303055383U,	// VCVTPS2PDZrr
+    571494705U,	// VCVTPS2PDrm
+    303059249U,	// VCVTPS2PDrr
+    2149127950U,	// VCVTPS2PHYmr
+    2450544398U,	// VCVTPS2PHYrr
+    2149156119U,	// VCVTPS2PHZmr
+    2450539799U,	// VCVTPS2PHZrr
+    2148669198U,	// VCVTPS2PHmr
+    2450544398U,	// VCVTPS2PHrr
+    1007699664U,	// VCVTPS2UDQZrm
+    303056592U,	// VCVTPS2UDQZrr
+    2450540240U,	// VCVTPS2UDQZrrb
+    571491632U,	// VCVTSD2SI64Zrm
+    303056176U,	// VCVTSD2SI64Zrr
+    571496377U,	// VCVTSD2SI64rm
+    303060921U,	// VCVTSD2SI64rr
+    571491632U,	// VCVTSD2SIZrm
+    303056176U,	// VCVTSD2SIZrr
+    571496377U,	// VCVTSD2SIrm
+    303060921U,	// VCVTSD2SIrr
+    2450546783U,	// VCVTSD2SSZrm
+    2450546783U,	// VCVTSD2SSZrr
+    2450546783U,	// VCVTSD2SSrm
+    2450546783U,	// VCVTSD2SSrr
+    571491683U,	// VCVTSD2USI64Zrm
+    303056227U,	// VCVTSD2USI64Zrr
+    571491683U,	// VCVTSD2USIZrm
+    303056227U,	// VCVTSD2USIZrr
+    2450543558U,	// VCVTSI2SD64rm
+    2450543558U,	// VCVTSI2SD64rr
+    2450539471U,	// VCVTSI2SDZrm
+    2450539471U,	// VCVTSI2SDZrr
+    2450543558U,	// VCVTSI2SDrm
+    2450543558U,	// VCVTSI2SDrr
+    2450546794U,	// VCVTSI2SS64rm
+    2450546794U,	// VCVTSI2SS64rr
+    2450541074U,	// VCVTSI2SSZrm
+    2450541074U,	// VCVTSI2SSZrr
+    2450546794U,	// VCVTSI2SSrm
+    2450546794U,	// VCVTSI2SSrr
+    2450539471U,	// VCVTSI642SDZrm
+    2450539471U,	// VCVTSI642SDZrr
+    2450541074U,	// VCVTSI642SSZrm
+    2450541074U,	// VCVTSI642SSZrr
+    2450543581U,	// VCVTSS2SDZrm
+    2450543581U,	// VCVTSS2SDZrr
+    2450543581U,	// VCVTSS2SDrm
+    2450543581U,	// VCVTSS2SDrr
+    605046089U,	// VCVTSS2SI64Zrm
+    303056201U,	// VCVTSS2SI64Zrr
+    605050832U,	// VCVTSS2SI64rm
+    303060944U,	// VCVTSS2SI64rr
+    605046089U,	// VCVTSS2SIZrm
+    303056201U,	// VCVTSS2SIZrr
+    605050832U,	// VCVTSS2SIrm
+    303060944U,	// VCVTSS2SIrr
+    605046142U,	// VCVTSS2USI64Zrm
+    303056254U,	// VCVTSS2USI64Zrr
+    605046142U,	// VCVTSS2USIZrm
+    303056254U,	// VCVTSS2USIZrr
+    537945369U,	// VCVTTPD2DQXrm
+    974150161U,	// VCVTTPD2DQYrm
+    303061521U,	// VCVTTPD2DQYrr
+    1007699456U,	// VCVTTPD2DQZrm
+    303056384U,	// VCVTTPD2DQZrr
+    303061521U,	// VCVTTPD2DQrr
+    1007699623U,	// VCVTTPD2UDQZrm
+    303056551U,	// VCVTTPD2UDQZrr
+    974150193U,	// VCVTTPS2DQYrm
+    303061553U,	// VCVTTPS2DQYrr
+    1007699481U,	// VCVTTPS2DQZrm
+    303056409U,	// VCVTTPS2DQZrr
+    537942577U,	// VCVTTPS2DQrm
+    303061553U,	// VCVTTPS2DQrr
+    1007699650U,	// VCVTTPS2UDQZrm
+    303056578U,	// VCVTTPS2UDQZrr
+    571491619U,	// VCVTTSD2SI64Zrm
+    303056163U,	// VCVTTSD2SI64Zrr
+    571496365U,	// VCVTTSD2SI64rm
+    303060909U,	// VCVTTSD2SI64rr
+    571491619U,	// VCVTTSD2SIZrm
+    303056163U,	// VCVTTSD2SIZrr
+    571496365U,	// VCVTTSD2SIrm
+    303060909U,	// VCVTTSD2SIrr
+    571491669U,	// VCVTTSD2USI64Zrm
+    303056213U,	// VCVTTSD2USI64Zrr
+    571491669U,	// VCVTTSD2USIZrm
+    303056213U,	// VCVTTSD2USIZrr
+    605046076U,	// VCVTTSS2SI64Zrm
+    303056188U,	// VCVTTSS2SI64Zrr
+    605050820U,	// VCVTTSS2SI64rm
+    303060932U,	// VCVTTSS2SI64rr
+    605046076U,	// VCVTTSS2SIZrm
+    303056188U,	// VCVTTSS2SIZrr
+    605050820U,	// VCVTTSS2SIrm
+    303060932U,	// VCVTTSS2SIrr
+    605046128U,	// VCVTTSS2USI64Zrm
+    303056240U,	// VCVTTSS2USI64Zrr
+    605046128U,	// VCVTTSS2USIZrm
+    303056240U,	// VCVTTSS2USIZrr
     974144010U,	// VCVTUDQ2PDZrm
-    135283210U,	// VCVTUDQ2PDZrr
-    1007700202U,	// VCVTUDQ2PSZrm
-    135284970U,	// VCVTUDQ2PSZrr
-    2282768618U,	// VCVTUDQ2PSZrrb
-    2282767334U,	// VCVTUSI2SDZrm
-    2282767334U,	// VCVTUSI2SDZrr
-    2282768970U,	// VCVTUSI2SSZrm
-    2282768970U,	// VCVTUSI2SSZrr
-    2282767334U,	// VCVTUSI642SDZrm
-    2282767334U,	// VCVTUSI642SDZrr
-    2282768970U,	// VCVTUSI642SSZrm
-    2282768970U,	// VCVTUSI642SSZrr
-    2282771251U,	// VDIVPDYrm
-    2282771251U,	// VDIVPDYrr
-    2282767192U,	// VDIVPDZrm
-    2282767192U,	// VDIVPDZrmb
-    155206488U,	// VDIVPDZrmbk
-    2302690136U,	// VDIVPDZrmbkz
-    155210547U,	// VDIVPDZrmk
-    2302694195U,	// VDIVPDZrmkz
-    2282767192U,	// VDIVPDZrr
-    155206488U,	// VDIVPDZrrk
-    2302690136U,	// VDIVPDZrrkz
-    2282771251U,	// VDIVPDrm
-    2282771251U,	// VDIVPDrr
-    2282774522U,	// VDIVPSYrm
-    2282774522U,	// VDIVPSYrr
-    2282768940U,	// VDIVPSZrm
-    2282768940U,	// VDIVPSZrmb
-    155208236U,	// VDIVPSZrmbk
-    2302691884U,	// VDIVPSZrmbkz
-    155213818U,	// VDIVPSZrmk
-    2302697466U,	// VDIVPSZrmkz
-    2282768940U,	// VDIVPSZrr
-    155208236U,	// VDIVPSZrrk
-    2302691884U,	// VDIVPSZrrkz
-    2282774522U,	// VDIVPSrm
-    2282774522U,	// VDIVPSrr
-    2282771697U,	// VDIVSDZrm
-    2282771697U,	// VDIVSDZrr
-    2282771697U,	// VDIVSDrm
-    2282771697U,	// VDIVSDrm_Int
-    2282771697U,	// VDIVSDrr
-    2282771697U,	// VDIVSDrr_Int
-    2282774916U,	// VDIVSSZrm
-    2282774916U,	// VDIVSSZrr
-    2282774916U,	// VDIVSSrm
-    2282774916U,	// VDIVSSrm_Int
-    2282774916U,	// VDIVSSrr
-    2282774916U,	// VDIVSSrr_Int
-    2282771161U,	// VDPPDrmi
-    2282771161U,	// VDPPDrri
-    2282774399U,	// VDPPSYrmi
-    2282774399U,	// VDPPSYrri
-    2282774399U,	// VDPPSrmi
-    2282774399U,	// VDPPSrri
-    40091U,	// VERRm
-    23707U,	// VERRr
-    41994U,	// VERWm
-    25610U,	// VERWr
-    2149125363U,	// VEXTRACTF128mr
-    2282769651U,	// VEXTRACTF128rr
-    2149125224U,	// VEXTRACTF32x4mr
-    2282769512U,	// VEXTRACTF32x4rr
-    2149158050U,	// VEXTRACTF64x4mr
-    2282769570U,	// VEXTRACTF64x4rr
-    2148797738U,	// VEXTRACTI128mr
-    2282769706U,	// VEXTRACTI128rr
-    2148797573U,	// VEXTRACTI32x4mr
-    2282769541U,	// VEXTRACTI32x4rr
-    2149174463U,	// VEXTRACTI64x4mr
-    2282769599U,	// VEXTRACTI64x4rr
-    2148655017U,	// VEXTRACTPSmr
-    2282774441U,	// VEXTRACTPSrr
-    2148655017U,	// VEXTRACTPSzmr
-    2282774441U,	// VEXTRACTPSzrr
+    303055370U,	// VCVTUDQ2PDZrr
+    1007700158U,	// VCVTUDQ2PSZrm
+    303057086U,	// VCVTUDQ2PSZrr
+    2450540734U,	// VCVTUDQ2PSZrrb
+    2450539483U,	// VCVTUSI2SDZrm
+    2450539483U,	// VCVTUSI2SDZrr
+    2450541086U,	// VCVTUSI2SSZrm
+    2450541086U,	// VCVTUSI2SSZrr
+    2450539483U,	// VCVTUSI642SDZrm
+    2450539483U,	// VCVTUSI642SDZrr
+    2450541086U,	// VCVTUSI642SSZrm
+    2450541086U,	// VCVTUSI642SSZrr
+    2450543367U,	// VDIVPDYrm
+    2450543367U,	// VDIVPDYrr
+    2450539352U,	// VDIVPDZrm
+    2450539352U,	// VDIVPDZrmb
+    322978648U,	// VDIVPDZrmbk
+    2470462296U,	// VDIVPDZrmbkz
+    322982663U,	// VDIVPDZrmk
+    2470466311U,	// VDIVPDZrmkz
+    2450539352U,	// VDIVPDZrr
+    322978648U,	// VDIVPDZrrk
+    2470462296U,	// VDIVPDZrrkz
+    2450543367U,	// VDIVPDrm
+    2450543367U,	// VDIVPDrr
+    2450546638U,	// VDIVPSYrm
+    2450546638U,	// VDIVPSYrr
+    2450541056U,	// VDIVPSZrm
+    2450541056U,	// VDIVPSZrmb
+    322980352U,	// VDIVPSZrmbk
+    2470464000U,	// VDIVPSZrmbkz
+    322985934U,	// VDIVPSZrmk
+    2470469582U,	// VDIVPSZrmkz
+    2450541056U,	// VDIVPSZrr
+    322980352U,	// VDIVPSZrrk
+    2470464000U,	// VDIVPSZrrkz
+    2450546638U,	// VDIVPSrm
+    2450546638U,	// VDIVPSrr
+    2450543813U,	// VDIVSDZrm
+    2450543813U,	// VDIVSDZrr
+    2450543813U,	// VDIVSDrm
+    2450543813U,	// VDIVSDrm_Int
+    2450543813U,	// VDIVSDrr
+    2450543813U,	// VDIVSDrr_Int
+    2450547032U,	// VDIVSSZrm
+    2450547032U,	// VDIVSSZrr
+    2450547032U,	// VDIVSSrm
+    2450547032U,	// VDIVSSrm_Int
+    2450547032U,	// VDIVSSrr
+    2450547032U,	// VDIVSSrr_Int
+    2450543277U,	// VDPPDrmi
+    2450543277U,	// VDPPDrri
+    2450546515U,	// VDPPSYrmi
+    2450546515U,	// VDPPSYrri
+    2450546515U,	// VDPPSrmi
+    2450546515U,	// VDPPSrri
+    40047U,	// VERRm
+    23663U,	// VERRr
+    41950U,	// VERWm
+    25566U,	// VERWr
+    2149125319U,	// VEXTRACTF128mr
+    2450541767U,	// VEXTRACTF128rr
+    2149125180U,	// VEXTRACTF32x4mr
+    2450541628U,	// VEXTRACTF32x4rr
+    2149158006U,	// VEXTRACTF64x4mr
+    2450541686U,	// VEXTRACTF64x4rr
+    2148797694U,	// VEXTRACTI128mr
+    2450541822U,	// VEXTRACTI128rr
+    2148797529U,	// VEXTRACTI32x4mr
+    2450541657U,	// VEXTRACTI32x4rr
+    2149174419U,	// VEXTRACTI64x4mr
+    2450541715U,	// VEXTRACTI64x4rr
+    2148654973U,	// VEXTRACTPSmr
+    2450546557U,	// VEXTRACTPSrr
+    2148654973U,	// VEXTRACTPSzmr
+    2450546557U,	// VEXTRACTPSzrr
     2215657941U,	// VFMADD132PDZm
     2215657941U,	// VFMADD132PDZmb
-    2215659689U,	// VFMADD132PSZm
-    2215659689U,	// VFMADD132PSZmb
+    2215659645U,	// VFMADD132PSZm
+    2215659645U,	// VFMADD132PSZmb
     2215658094U,	// VFMADD213PDZm
     2215658094U,	// VFMADD213PDZmb
     2215658094U,	// VFMADD213PDZr
-    2215659842U,	// VFMADD213PSZm
-    2215659842U,	// VFMADD213PSZmb
-    2215659842U,	// VFMADD213PSZr
-    2282770979U,	// VFMADDPD4mr
-    2282770979U,	// VFMADDPD4mrY
-    2282770979U,	// VFMADDPD4rm
-    2282770979U,	// VFMADDPD4rmY
-    2282770979U,	// VFMADDPD4rr
-    2282770979U,	// VFMADDPD4rrY
-    2282770979U,	// VFMADDPD4rrY_REV
-    2282770979U,	// VFMADDPD4rr_REV
-    2215661857U,	// VFMADDPDr132m
-    2215661857U,	// VFMADDPDr132mY
-    2215661857U,	// VFMADDPDr132r
-    2215661857U,	// VFMADDPDr132rY
-    2215661987U,	// VFMADDPDr213m
-    2215661987U,	// VFMADDPDr213mY
-    2215661987U,	// VFMADDPDr213r
-    2215661987U,	// VFMADDPDr213rY
-    2215661771U,	// VFMADDPDr231m
-    2215661771U,	// VFMADDPDr231mY
-    2215661771U,	// VFMADDPDr231r
-    2215661771U,	// VFMADDPDr231rY
-    2282774197U,	// VFMADDPS4mr
-    2282774197U,	// VFMADDPS4mrY
-    2282774197U,	// VFMADDPS4rm
-    2282774197U,	// VFMADDPS4rmY
-    2282774197U,	// VFMADDPS4rr
-    2282774197U,	// VFMADDPS4rrY
-    2282774197U,	// VFMADDPS4rrY_REV
-    2282774197U,	// VFMADDPS4rr_REV
-    2215665072U,	// VFMADDPSr132m
-    2215665072U,	// VFMADDPSr132mY
-    2215665072U,	// VFMADDPSr132r
-    2215665072U,	// VFMADDPSr132rY
-    2215665213U,	// VFMADDPSr213m
-    2215665213U,	// VFMADDPSr213mY
-    2215665213U,	// VFMADDPSr213r
-    2215665213U,	// VFMADDPSr213rY
-    2215664986U,	// VFMADDPSr231m
-    2215664986U,	// VFMADDPSr231mY
-    2215664986U,	// VFMADDPSr231r
-    2215664986U,	// VFMADDPSr231rY
-    2282771567U,	// VFMADDSD4mr
-    2282771567U,	// VFMADDSD4mr_Int
-    2282771567U,	// VFMADDSD4rm
-    2282771567U,	// VFMADDSD4rm_Int
-    2282771567U,	// VFMADDSD4rr
-    2282771567U,	// VFMADDSD4rr_Int
-    2282771567U,	// VFMADDSD4rr_REV
-    2215658512U,	// VFMADDSDZm
-    2215658512U,	// VFMADDSDZr
-    2215662551U,	// VFMADDSDr132m
-    2215662551U,	// VFMADDSDr132r
-    2215662639U,	// VFMADDSDr213m
-    2215662639U,	// VFMADDSDr213r
-    2215662497U,	// VFMADDSDr231m
-    2215662497U,	// VFMADDSDr231r
-    2282774784U,	// VFMADDSS4mr
-    2282774784U,	// VFMADDSS4mr_Int
-    2282774784U,	// VFMADDSS4rm
-    2282774784U,	// VFMADDSS4rm_Int
-    2282774784U,	// VFMADDSS4rr
-    2282774784U,	// VFMADDSS4rr_Int
-    2282774784U,	// VFMADDSS4rr_REV
-    2215660148U,	// VFMADDSSZm
-    2215660148U,	// VFMADDSSZr
-    2215665776U,	// VFMADDSSr132m
-    2215665776U,	// VFMADDSSr132r
-    2215665864U,	// VFMADDSSr213m
-    2215665864U,	// VFMADDSSr213r
-    2215665722U,	// VFMADDSSr231m
-    2215665722U,	// VFMADDSSr231r
+    88097390U,	// VFMADD213PDZrk
+    2235581038U,	// VFMADD213PDZrkz
+    2215659798U,	// VFMADD213PSZm
+    2215659798U,	// VFMADD213PSZmb
+    2215659798U,	// VFMADD213PSZr
+    88099094U,	// VFMADD213PSZrk
+    2235582742U,	// VFMADD213PSZrkz
+    2450543095U,	// VFMADDPD4mr
+    2450543095U,	// VFMADDPD4mrY
+    2450543095U,	// VFMADDPD4rm
+    2450543095U,	// VFMADDPD4rmY
+    2450543095U,	// VFMADDPD4rr
+    2450543095U,	// VFMADDPD4rrY
+    2450543095U,	// VFMADDPD4rrY_REV
+    2450543095U,	// VFMADDPD4rr_REV
+    2215661813U,	// VFMADDPDr132m
+    2215661813U,	// VFMADDPDr132mY
+    2215661813U,	// VFMADDPDr132r
+    2215661813U,	// VFMADDPDr132rY
+    2215661943U,	// VFMADDPDr213m
+    2215661943U,	// VFMADDPDr213mY
+    2215661943U,	// VFMADDPDr213r
+    2215661943U,	// VFMADDPDr213rY
+    2215661727U,	// VFMADDPDr231m
+    2215661727U,	// VFMADDPDr231mY
+    2215661727U,	// VFMADDPDr231r
+    2215661727U,	// VFMADDPDr231rY
+    2450546313U,	// VFMADDPS4mr
+    2450546313U,	// VFMADDPS4mrY
+    2450546313U,	// VFMADDPS4rm
+    2450546313U,	// VFMADDPS4rmY
+    2450546313U,	// VFMADDPS4rr
+    2450546313U,	// VFMADDPS4rrY
+    2450546313U,	// VFMADDPS4rrY_REV
+    2450546313U,	// VFMADDPS4rr_REV
+    2215665028U,	// VFMADDPSr132m
+    2215665028U,	// VFMADDPSr132mY
+    2215665028U,	// VFMADDPSr132r
+    2215665028U,	// VFMADDPSr132rY
+    2215665169U,	// VFMADDPSr213m
+    2215665169U,	// VFMADDPSr213mY
+    2215665169U,	// VFMADDPSr213r
+    2215665169U,	// VFMADDPSr213rY
+    2215664942U,	// VFMADDPSr231m
+    2215664942U,	// VFMADDPSr231mY
+    2215664942U,	// VFMADDPSr231r
+    2215664942U,	// VFMADDPSr231rY
+    2450543683U,	// VFMADDSD4mr
+    2450543683U,	// VFMADDSD4mr_Int
+    2450543683U,	// VFMADDSD4rm
+    2450543683U,	// VFMADDSD4rm_Int
+    2450543683U,	// VFMADDSD4rr
+    2450543683U,	// VFMADDSD4rr_Int
+    2450543683U,	// VFMADDSD4rr_REV
+    2215658501U,	// VFMADDSDZm
+    2215658501U,	// VFMADDSDZr
+    2215662507U,	// VFMADDSDr132m
+    2215662507U,	// VFMADDSDr132r
+    2215662595U,	// VFMADDSDr213m
+    2215662595U,	// VFMADDSDr213r
+    2215662453U,	// VFMADDSDr231m
+    2215662453U,	// VFMADDSDr231r
+    2450546900U,	// VFMADDSS4mr
+    2450546900U,	// VFMADDSS4mr_Int
+    2450546900U,	// VFMADDSS4rm
+    2450546900U,	// VFMADDSS4rm_Int
+    2450546900U,	// VFMADDSS4rr
+    2450546900U,	// VFMADDSS4rr_Int
+    2450546900U,	// VFMADDSS4rr_REV
+    2215660104U,	// VFMADDSSZm
+    2215660104U,	// VFMADDSSZr
+    2215665732U,	// VFMADDSSr132m
+    2215665732U,	// VFMADDSSr132r
+    2215665820U,	// VFMADDSSr213m
+    2215665820U,	// VFMADDSSr213r
+    2215665678U,	// VFMADDSSr231m
+    2215665678U,	// VFMADDSSr231r
     2215657878U,	// VFMADDSUB132PDZm
     2215657878U,	// VFMADDSUB132PDZmb
-    2215659626U,	// VFMADDSUB132PSZm
-    2215659626U,	// VFMADDSUB132PSZmb
+    2215659582U,	// VFMADDSUB132PSZm
+    2215659582U,	// VFMADDSUB132PSZmb
     2215658031U,	// VFMADDSUB213PDZm
     2215658031U,	// VFMADDSUB213PDZmb
     2215658031U,	// VFMADDSUB213PDZr
-    2215659779U,	// VFMADDSUB213PSZm
-    2215659779U,	// VFMADDSUB213PSZmb
-    2215659779U,	// VFMADDSUB213PSZr
-    2282770895U,	// VFMADDSUBPD4mr
-    2282770895U,	// VFMADDSUBPD4mrY
-    2282770895U,	// VFMADDSUBPD4rm
-    2282770895U,	// VFMADDSUBPD4rmY
-    2282770895U,	// VFMADDSUBPD4rr
-    2282770895U,	// VFMADDSUBPD4rrY
-    2282770895U,	// VFMADDSUBPD4rrY_REV
-    2282770895U,	// VFMADDSUBPD4rr_REV
-    2215661798U,	// VFMADDSUBPDr132m
-    2215661798U,	// VFMADDSUBPDr132mY
-    2215661798U,	// VFMADDSUBPDr132r
-    2215661798U,	// VFMADDSUBPDr132rY
-    2215661928U,	// VFMADDSUBPDr213m
-    2215661928U,	// VFMADDSUBPDr213mY
-    2215661928U,	// VFMADDSUBPDr213r
-    2215661928U,	// VFMADDSUBPDr213rY
-    2215661712U,	// VFMADDSUBPDr231m
-    2215661712U,	// VFMADDSUBPDr231mY
-    2215661712U,	// VFMADDSUBPDr231r
-    2215661712U,	// VFMADDSUBPDr231rY
-    2282774113U,	// VFMADDSUBPS4mr
-    2282774113U,	// VFMADDSUBPS4mrY
-    2282774113U,	// VFMADDSUBPS4rm
-    2282774113U,	// VFMADDSUBPS4rmY
-    2282774113U,	// VFMADDSUBPS4rr
-    2282774113U,	// VFMADDSUBPS4rrY
-    2282774113U,	// VFMADDSUBPS4rrY_REV
-    2282774113U,	// VFMADDSUBPS4rr_REV
-    2215665013U,	// VFMADDSUBPSr132m
-    2215665013U,	// VFMADDSUBPSr132mY
-    2215665013U,	// VFMADDSUBPSr132r
-    2215665013U,	// VFMADDSUBPSr132rY
-    2215665154U,	// VFMADDSUBPSr213m
-    2215665154U,	// VFMADDSUBPSr213mY
-    2215665154U,	// VFMADDSUBPSr213r
-    2215665154U,	// VFMADDSUBPSr213rY
-    2215664927U,	// VFMADDSUBPSr231m
-    2215664927U,	// VFMADDSUBPSr231mY
-    2215664927U,	// VFMADDSUBPSr231r
-    2215664927U,	// VFMADDSUBPSr231rY
+    88097327U,	// VFMADDSUB213PDZrk
+    2235580975U,	// VFMADDSUB213PDZrkz
+    2215659735U,	// VFMADDSUB213PSZm
+    2215659735U,	// VFMADDSUB213PSZmb
+    2215659735U,	// VFMADDSUB213PSZr
+    88099031U,	// VFMADDSUB213PSZrk
+    2235582679U,	// VFMADDSUB213PSZrkz
+    2450543011U,	// VFMADDSUBPD4mr
+    2450543011U,	// VFMADDSUBPD4mrY
+    2450543011U,	// VFMADDSUBPD4rm
+    2450543011U,	// VFMADDSUBPD4rmY
+    2450543011U,	// VFMADDSUBPD4rr
+    2450543011U,	// VFMADDSUBPD4rrY
+    2450543011U,	// VFMADDSUBPD4rrY_REV
+    2450543011U,	// VFMADDSUBPD4rr_REV
+    2215661754U,	// VFMADDSUBPDr132m
+    2215661754U,	// VFMADDSUBPDr132mY
+    2215661754U,	// VFMADDSUBPDr132r
+    2215661754U,	// VFMADDSUBPDr132rY
+    2215661884U,	// VFMADDSUBPDr213m
+    2215661884U,	// VFMADDSUBPDr213mY
+    2215661884U,	// VFMADDSUBPDr213r
+    2215661884U,	// VFMADDSUBPDr213rY
+    2215661668U,	// VFMADDSUBPDr231m
+    2215661668U,	// VFMADDSUBPDr231mY
+    2215661668U,	// VFMADDSUBPDr231r
+    2215661668U,	// VFMADDSUBPDr231rY
+    2450546229U,	// VFMADDSUBPS4mr
+    2450546229U,	// VFMADDSUBPS4mrY
+    2450546229U,	// VFMADDSUBPS4rm
+    2450546229U,	// VFMADDSUBPS4rmY
+    2450546229U,	// VFMADDSUBPS4rr
+    2450546229U,	// VFMADDSUBPS4rrY
+    2450546229U,	// VFMADDSUBPS4rrY_REV
+    2450546229U,	// VFMADDSUBPS4rr_REV
+    2215664969U,	// VFMADDSUBPSr132m
+    2215664969U,	// VFMADDSUBPSr132mY
+    2215664969U,	// VFMADDSUBPSr132r
+    2215664969U,	// VFMADDSUBPSr132rY
+    2215665110U,	// VFMADDSUBPSr213m
+    2215665110U,	// VFMADDSUBPSr213mY
+    2215665110U,	// VFMADDSUBPSr213r
+    2215665110U,	// VFMADDSUBPSr213rY
+    2215664883U,	// VFMADDSUBPSr231m
+    2215664883U,	// VFMADDSUBPSr231mY
+    2215664883U,	// VFMADDSUBPSr231r
+    2215664883U,	// VFMADDSUBPSr231rY
     2215657895U,	// VFMSUB132PDZm
     2215657895U,	// VFMSUB132PDZmb
-    2215659643U,	// VFMSUB132PSZm
-    2215659643U,	// VFMSUB132PSZmb
+    2215659599U,	// VFMSUB132PSZm
+    2215659599U,	// VFMSUB132PSZmb
     2215658048U,	// VFMSUB213PDZm
     2215658048U,	// VFMSUB213PDZmb
     2215658048U,	// VFMSUB213PDZr
-    2215659796U,	// VFMSUB213PSZm
-    2215659796U,	// VFMSUB213PSZmb
-    2215659796U,	// VFMSUB213PSZr
+    88097344U,	// VFMSUB213PDZrk
+    2235580992U,	// VFMSUB213PDZrkz
+    2215659752U,	// VFMSUB213PSZm
+    2215659752U,	// VFMSUB213PSZmb
+    2215659752U,	// VFMSUB213PSZr
+    88099048U,	// VFMSUB213PSZrk
+    2235582696U,	// VFMSUB213PSZrkz
     2215657924U,	// VFMSUBADD132PDZm
     2215657924U,	// VFMSUBADD132PDZmb
-    2215659672U,	// VFMSUBADD132PSZm
-    2215659672U,	// VFMSUBADD132PSZmb
+    2215659628U,	// VFMSUBADD132PSZm
+    2215659628U,	// VFMSUBADD132PSZmb
     2215658077U,	// VFMSUBADD213PDZm
     2215658077U,	// VFMSUBADD213PDZmb
     2215658077U,	// VFMSUBADD213PDZr
-    2215659825U,	// VFMSUBADD213PSZm
-    2215659825U,	// VFMSUBADD213PSZmb
-    2215659825U,	// VFMSUBADD213PSZr
-    2282770957U,	// VFMSUBADDPD4mr
-    2282770957U,	// VFMSUBADDPD4mrY
-    2282770957U,	// VFMSUBADDPD4rm
-    2282770957U,	// VFMSUBADDPD4rmY
-    2282770957U,	// VFMSUBADDPD4rr
-    2282770957U,	// VFMSUBADDPD4rrY
-    2282770957U,	// VFMSUBADDPD4rrY_REV
-    2282770957U,	// VFMSUBADDPD4rr_REV
-    2215661841U,	// VFMSUBADDPDr132m
-    2215661841U,	// VFMSUBADDPDr132mY
-    2215661841U,	// VFMSUBADDPDr132r
-    2215661841U,	// VFMSUBADDPDr132rY
-    2215661971U,	// VFMSUBADDPDr213m
-    2215661971U,	// VFMSUBADDPDr213mY
-    2215661971U,	// VFMSUBADDPDr213r
-    2215661971U,	// VFMSUBADDPDr213rY
-    2215661755U,	// VFMSUBADDPDr231m
-    2215661755U,	// VFMSUBADDPDr231mY
-    2215661755U,	// VFMSUBADDPDr231r
-    2215661755U,	// VFMSUBADDPDr231rY
-    2282774175U,	// VFMSUBADDPS4mr
-    2282774175U,	// VFMSUBADDPS4mrY
-    2282774175U,	// VFMSUBADDPS4rm
-    2282774175U,	// VFMSUBADDPS4rmY
-    2282774175U,	// VFMSUBADDPS4rr
-    2282774175U,	// VFMSUBADDPS4rrY
-    2282774175U,	// VFMSUBADDPS4rrY_REV
-    2282774175U,	// VFMSUBADDPS4rr_REV
-    2215665056U,	// VFMSUBADDPSr132m
-    2215665056U,	// VFMSUBADDPSr132mY
-    2215665056U,	// VFMSUBADDPSr132r
-    2215665056U,	// VFMSUBADDPSr132rY
-    2215665197U,	// VFMSUBADDPSr213m
-    2215665197U,	// VFMSUBADDPSr213mY
-    2215665197U,	// VFMSUBADDPSr213r
-    2215665197U,	// VFMSUBADDPSr213rY
-    2215664970U,	// VFMSUBADDPSr231m
-    2215664970U,	// VFMSUBADDPSr231mY
-    2215664970U,	// VFMSUBADDPSr231r
-    2215664970U,	// VFMSUBADDPSr231rY
-    2282770928U,	// VFMSUBPD4mr
-    2282770928U,	// VFMSUBPD4mrY
-    2282770928U,	// VFMSUBPD4rm
-    2282770928U,	// VFMSUBPD4rmY
-    2282770928U,	// VFMSUBPD4rr
-    2282770928U,	// VFMSUBPD4rrY
-    2282770928U,	// VFMSUBPD4rrY_REV
-    2282770928U,	// VFMSUBPD4rr_REV
-    2215661814U,	// VFMSUBPDr132m
-    2215661814U,	// VFMSUBPDr132mY
-    2215661814U,	// VFMSUBPDr132r
-    2215661814U,	// VFMSUBPDr132rY
-    2215661944U,	// VFMSUBPDr213m
-    2215661944U,	// VFMSUBPDr213mY
-    2215661944U,	// VFMSUBPDr213r
-    2215661944U,	// VFMSUBPDr213rY
-    2215661728U,	// VFMSUBPDr231m
-    2215661728U,	// VFMSUBPDr231mY
-    2215661728U,	// VFMSUBPDr231r
-    2215661728U,	// VFMSUBPDr231rY
-    2282774146U,	// VFMSUBPS4mr
-    2282774146U,	// VFMSUBPS4mrY
-    2282774146U,	// VFMSUBPS4rm
-    2282774146U,	// VFMSUBPS4rmY
-    2282774146U,	// VFMSUBPS4rr
-    2282774146U,	// VFMSUBPS4rrY
-    2282774146U,	// VFMSUBPS4rrY_REV
-    2282774146U,	// VFMSUBPS4rr_REV
-    2215665029U,	// VFMSUBPSr132m
-    2215665029U,	// VFMSUBPSr132mY
-    2215665029U,	// VFMSUBPSr132r
-    2215665029U,	// VFMSUBPSr132rY
-    2215665170U,	// VFMSUBPSr213m
-    2215665170U,	// VFMSUBPSr213mY
-    2215665170U,	// VFMSUBPSr213r
-    2215665170U,	// VFMSUBPSr213rY
-    2215664943U,	// VFMSUBPSr231m
-    2215664943U,	// VFMSUBPSr231mY
-    2215664943U,	// VFMSUBPSr231r
-    2215664943U,	// VFMSUBPSr231rY
-    2282771538U,	// VFMSUBSD4mr
-    2282771538U,	// VFMSUBSD4mr_Int
-    2282771538U,	// VFMSUBSD4rm
-    2282771538U,	// VFMSUBSD4rm_Int
-    2282771538U,	// VFMSUBSD4rr
-    2282771538U,	// VFMSUBSD4rr_Int
-    2282771538U,	// VFMSUBSD4rr_REV
-    2215658483U,	// VFMSUBSDZm
-    2215658483U,	// VFMSUBSDZr
-    2215662524U,	// VFMSUBSDr132m
-    2215662524U,	// VFMSUBSDr132r
-    2215662612U,	// VFMSUBSDr213m
-    2215662612U,	// VFMSUBSDr213r
-    2215662470U,	// VFMSUBSDr231m
-    2215662470U,	// VFMSUBSDr231r
-    2282774755U,	// VFMSUBSS4mr
-    2282774755U,	// VFMSUBSS4mr_Int
-    2282774755U,	// VFMSUBSS4rm
-    2282774755U,	// VFMSUBSS4rm_Int
-    2282774755U,	// VFMSUBSS4rr
-    2282774755U,	// VFMSUBSS4rr_Int
-    2282774755U,	// VFMSUBSS4rr_REV
-    2215660119U,	// VFMSUBSSZm
-    2215660119U,	// VFMSUBSSZr
-    2215665749U,	// VFMSUBSSr132m
-    2215665749U,	// VFMSUBSSr132r
-    2215665837U,	// VFMSUBSSr213m
-    2215665837U,	// VFMSUBSSr213r
-    2215665695U,	// VFMSUBSSr231m
-    2215665695U,	// VFMSUBSSr231r
+    88097373U,	// VFMSUBADD213PDZrk
+    2235581021U,	// VFMSUBADD213PDZrkz
+    2215659781U,	// VFMSUBADD213PSZm
+    2215659781U,	// VFMSUBADD213PSZmb
+    2215659781U,	// VFMSUBADD213PSZr
+    88099077U,	// VFMSUBADD213PSZrk
+    2235582725U,	// VFMSUBADD213PSZrkz
+    2450543073U,	// VFMSUBADDPD4mr
+    2450543073U,	// VFMSUBADDPD4mrY
+    2450543073U,	// VFMSUBADDPD4rm
+    2450543073U,	// VFMSUBADDPD4rmY
+    2450543073U,	// VFMSUBADDPD4rr
+    2450543073U,	// VFMSUBADDPD4rrY
+    2450543073U,	// VFMSUBADDPD4rrY_REV
+    2450543073U,	// VFMSUBADDPD4rr_REV
+    2215661797U,	// VFMSUBADDPDr132m
+    2215661797U,	// VFMSUBADDPDr132mY
+    2215661797U,	// VFMSUBADDPDr132r
+    2215661797U,	// VFMSUBADDPDr132rY
+    2215661927U,	// VFMSUBADDPDr213m
+    2215661927U,	// VFMSUBADDPDr213mY
+    2215661927U,	// VFMSUBADDPDr213r
+    2215661927U,	// VFMSUBADDPDr213rY
+    2215661711U,	// VFMSUBADDPDr231m
+    2215661711U,	// VFMSUBADDPDr231mY
+    2215661711U,	// VFMSUBADDPDr231r
+    2215661711U,	// VFMSUBADDPDr231rY
+    2450546291U,	// VFMSUBADDPS4mr
+    2450546291U,	// VFMSUBADDPS4mrY
+    2450546291U,	// VFMSUBADDPS4rm
+    2450546291U,	// VFMSUBADDPS4rmY
+    2450546291U,	// VFMSUBADDPS4rr
+    2450546291U,	// VFMSUBADDPS4rrY
+    2450546291U,	// VFMSUBADDPS4rrY_REV
+    2450546291U,	// VFMSUBADDPS4rr_REV
+    2215665012U,	// VFMSUBADDPSr132m
+    2215665012U,	// VFMSUBADDPSr132mY
+    2215665012U,	// VFMSUBADDPSr132r
+    2215665012U,	// VFMSUBADDPSr132rY
+    2215665153U,	// VFMSUBADDPSr213m
+    2215665153U,	// VFMSUBADDPSr213mY
+    2215665153U,	// VFMSUBADDPSr213r
+    2215665153U,	// VFMSUBADDPSr213rY
+    2215664926U,	// VFMSUBADDPSr231m
+    2215664926U,	// VFMSUBADDPSr231mY
+    2215664926U,	// VFMSUBADDPSr231r
+    2215664926U,	// VFMSUBADDPSr231rY
+    2450543044U,	// VFMSUBPD4mr
+    2450543044U,	// VFMSUBPD4mrY
+    2450543044U,	// VFMSUBPD4rm
+    2450543044U,	// VFMSUBPD4rmY
+    2450543044U,	// VFMSUBPD4rr
+    2450543044U,	// VFMSUBPD4rrY
+    2450543044U,	// VFMSUBPD4rrY_REV
+    2450543044U,	// VFMSUBPD4rr_REV
+    2215661770U,	// VFMSUBPDr132m
+    2215661770U,	// VFMSUBPDr132mY
+    2215661770U,	// VFMSUBPDr132r
+    2215661770U,	// VFMSUBPDr132rY
+    2215661900U,	// VFMSUBPDr213m
+    2215661900U,	// VFMSUBPDr213mY
+    2215661900U,	// VFMSUBPDr213r
+    2215661900U,	// VFMSUBPDr213rY
+    2215661684U,	// VFMSUBPDr231m
+    2215661684U,	// VFMSUBPDr231mY
+    2215661684U,	// VFMSUBPDr231r
+    2215661684U,	// VFMSUBPDr231rY
+    2450546262U,	// VFMSUBPS4mr
+    2450546262U,	// VFMSUBPS4mrY
+    2450546262U,	// VFMSUBPS4rm
+    2450546262U,	// VFMSUBPS4rmY
+    2450546262U,	// VFMSUBPS4rr
+    2450546262U,	// VFMSUBPS4rrY
+    2450546262U,	// VFMSUBPS4rrY_REV
+    2450546262U,	// VFMSUBPS4rr_REV
+    2215664985U,	// VFMSUBPSr132m
+    2215664985U,	// VFMSUBPSr132mY
+    2215664985U,	// VFMSUBPSr132r
+    2215664985U,	// VFMSUBPSr132rY
+    2215665126U,	// VFMSUBPSr213m
+    2215665126U,	// VFMSUBPSr213mY
+    2215665126U,	// VFMSUBPSr213r
+    2215665126U,	// VFMSUBPSr213rY
+    2215664899U,	// VFMSUBPSr231m
+    2215664899U,	// VFMSUBPSr231mY
+    2215664899U,	// VFMSUBPSr231r
+    2215664899U,	// VFMSUBPSr231rY
+    2450543654U,	// VFMSUBSD4mr
+    2450543654U,	// VFMSUBSD4mr_Int
+    2450543654U,	// VFMSUBSD4rm
+    2450543654U,	// VFMSUBSD4rm_Int
+    2450543654U,	// VFMSUBSD4rr
+    2450543654U,	// VFMSUBSD4rr_Int
+    2450543654U,	// VFMSUBSD4rr_REV
+    2215658472U,	// VFMSUBSDZm
+    2215658472U,	// VFMSUBSDZr
+    2215662480U,	// VFMSUBSDr132m
+    2215662480U,	// VFMSUBSDr132r
+    2215662568U,	// VFMSUBSDr213m
+    2215662568U,	// VFMSUBSDr213r
+    2215662426U,	// VFMSUBSDr231m
+    2215662426U,	// VFMSUBSDr231r
+    2450546871U,	// VFMSUBSS4mr
+    2450546871U,	// VFMSUBSS4mr_Int
+    2450546871U,	// VFMSUBSS4rm
+    2450546871U,	// VFMSUBSS4rm_Int
+    2450546871U,	// VFMSUBSS4rr
+    2450546871U,	// VFMSUBSS4rr_Int
+    2450546871U,	// VFMSUBSS4rr_REV
+    2215660075U,	// VFMSUBSSZm
+    2215660075U,	// VFMSUBSSZr
+    2215665705U,	// VFMSUBSSr132m
+    2215665705U,	// VFMSUBSSr132r
+    2215665793U,	// VFMSUBSSr213m
+    2215665793U,	// VFMSUBSSr213r
+    2215665651U,	// VFMSUBSSr231m
+    2215665651U,	// VFMSUBSSr231r
     2215657955U,	// VFNMADD132PDZm
     2215657955U,	// VFNMADD132PDZmb
-    2215659703U,	// VFNMADD132PSZm
-    2215659703U,	// VFNMADD132PSZmb
+    2215659659U,	// VFNMADD132PSZm
+    2215659659U,	// VFNMADD132PSZmb
     2215658108U,	// VFNMADD213PDZm
     2215658108U,	// VFNMADD213PDZmb
     2215658108U,	// VFNMADD213PDZr
-    2215659856U,	// VFNMADD213PSZm
-    2215659856U,	// VFNMADD213PSZmb
-    2215659856U,	// VFNMADD213PSZr
-    2282770989U,	// VFNMADDPD4mr
-    2282770989U,	// VFNMADDPD4mrY
-    2282770989U,	// VFNMADDPD4rm
-    2282770989U,	// VFNMADDPD4rmY
-    2282770989U,	// VFNMADDPD4rr
-    2282770989U,	// VFNMADDPD4rrY
-    2282770989U,	// VFNMADDPD4rrY_REV
-    2282770989U,	// VFNMADDPD4rr_REV
-    2215661870U,	// VFNMADDPDr132m
-    2215661870U,	// VFNMADDPDr132mY
-    2215661870U,	// VFNMADDPDr132r
-    2215661870U,	// VFNMADDPDr132rY
-    2215662000U,	// VFNMADDPDr213m
-    2215662000U,	// VFNMADDPDr213mY
-    2215662000U,	// VFNMADDPDr213r
-    2215662000U,	// VFNMADDPDr213rY
-    2215661784U,	// VFNMADDPDr231m
-    2215661784U,	// VFNMADDPDr231mY
-    2215661784U,	// VFNMADDPDr231r
-    2215661784U,	// VFNMADDPDr231rY
-    2282774207U,	// VFNMADDPS4mr
-    2282774207U,	// VFNMADDPS4mrY
-    2282774207U,	// VFNMADDPS4rm
-    2282774207U,	// VFNMADDPS4rmY
-    2282774207U,	// VFNMADDPS4rr
-    2282774207U,	// VFNMADDPS4rrY
-    2282774207U,	// VFNMADDPS4rrY_REV
-    2282774207U,	// VFNMADDPS4rr_REV
-    2215665085U,	// VFNMADDPSr132m
-    2215665085U,	// VFNMADDPSr132mY
-    2215665085U,	// VFNMADDPSr132r
-    2215665085U,	// VFNMADDPSr132rY
-    2215665226U,	// VFNMADDPSr213m
-    2215665226U,	// VFNMADDPSr213mY
-    2215665226U,	// VFNMADDPSr213r
-    2215665226U,	// VFNMADDPSr213rY
-    2215664999U,	// VFNMADDPSr231m
-    2215664999U,	// VFNMADDPSr231mY
-    2215664999U,	// VFNMADDPSr231r
-    2215664999U,	// VFNMADDPSr231rY
-    2282771577U,	// VFNMADDSD4mr
-    2282771577U,	// VFNMADDSD4mr_Int
-    2282771577U,	// VFNMADDSD4rm
-    2282771577U,	// VFNMADDSD4rm_Int
-    2282771577U,	// VFNMADDSD4rr
-    2282771577U,	// VFNMADDSD4rr_Int
-    2282771577U,	// VFNMADDSD4rr_REV
-    2215658526U,	// VFNMADDSDZm
-    2215658526U,	// VFNMADDSDZr
-    2215662564U,	// VFNMADDSDr132m
-    2215662564U,	// VFNMADDSDr132r
-    2215662652U,	// VFNMADDSDr213m
-    2215662652U,	// VFNMADDSDr213r
-    2215662510U,	// VFNMADDSDr231m
-    2215662510U,	// VFNMADDSDr231r
-    2282774794U,	// VFNMADDSS4mr
-    2282774794U,	// VFNMADDSS4mr_Int
-    2282774794U,	// VFNMADDSS4rm
-    2282774794U,	// VFNMADDSS4rm_Int
-    2282774794U,	// VFNMADDSS4rr
-    2282774794U,	// VFNMADDSS4rr_Int
-    2282774794U,	// VFNMADDSS4rr_REV
-    2215660162U,	// VFNMADDSSZm
-    2215660162U,	// VFNMADDSSZr
-    2215665789U,	// VFNMADDSSr132m
-    2215665789U,	// VFNMADDSSr132r
-    2215665877U,	// VFNMADDSSr213m
-    2215665877U,	// VFNMADDSSr213r
-    2215665735U,	// VFNMADDSSr231m
-    2215665735U,	// VFNMADDSSr231r
+    88097404U,	// VFNMADD213PDZrk
+    2235581052U,	// VFNMADD213PDZrkz
+    2215659812U,	// VFNMADD213PSZm
+    2215659812U,	// VFNMADD213PSZmb
+    2215659812U,	// VFNMADD213PSZr
+    88099108U,	// VFNMADD213PSZrk
+    2235582756U,	// VFNMADD213PSZrkz
+    2450543105U,	// VFNMADDPD4mr
+    2450543105U,	// VFNMADDPD4mrY
+    2450543105U,	// VFNMADDPD4rm
+    2450543105U,	// VFNMADDPD4rmY
+    2450543105U,	// VFNMADDPD4rr
+    2450543105U,	// VFNMADDPD4rrY
+    2450543105U,	// VFNMADDPD4rrY_REV
+    2450543105U,	// VFNMADDPD4rr_REV
+    2215661826U,	// VFNMADDPDr132m
+    2215661826U,	// VFNMADDPDr132mY
+    2215661826U,	// VFNMADDPDr132r
+    2215661826U,	// VFNMADDPDr132rY
+    2215661956U,	// VFNMADDPDr213m
+    2215661956U,	// VFNMADDPDr213mY
+    2215661956U,	// VFNMADDPDr213r
+    2215661956U,	// VFNMADDPDr213rY
+    2215661740U,	// VFNMADDPDr231m
+    2215661740U,	// VFNMADDPDr231mY
+    2215661740U,	// VFNMADDPDr231r
+    2215661740U,	// VFNMADDPDr231rY
+    2450546323U,	// VFNMADDPS4mr
+    2450546323U,	// VFNMADDPS4mrY
+    2450546323U,	// VFNMADDPS4rm
+    2450546323U,	// VFNMADDPS4rmY
+    2450546323U,	// VFNMADDPS4rr
+    2450546323U,	// VFNMADDPS4rrY
+    2450546323U,	// VFNMADDPS4rrY_REV
+    2450546323U,	// VFNMADDPS4rr_REV
+    2215665041U,	// VFNMADDPSr132m
+    2215665041U,	// VFNMADDPSr132mY
+    2215665041U,	// VFNMADDPSr132r
+    2215665041U,	// VFNMADDPSr132rY
+    2215665182U,	// VFNMADDPSr213m
+    2215665182U,	// VFNMADDPSr213mY
+    2215665182U,	// VFNMADDPSr213r
+    2215665182U,	// VFNMADDPSr213rY
+    2215664955U,	// VFNMADDPSr231m
+    2215664955U,	// VFNMADDPSr231mY
+    2215664955U,	// VFNMADDPSr231r
+    2215664955U,	// VFNMADDPSr231rY
+    2450543693U,	// VFNMADDSD4mr
+    2450543693U,	// VFNMADDSD4mr_Int
+    2450543693U,	// VFNMADDSD4rm
+    2450543693U,	// VFNMADDSD4rm_Int
+    2450543693U,	// VFNMADDSD4rr
+    2450543693U,	// VFNMADDSD4rr_Int
+    2450543693U,	// VFNMADDSD4rr_REV
+    2215658515U,	// VFNMADDSDZm
+    2215658515U,	// VFNMADDSDZr
+    2215662520U,	// VFNMADDSDr132m
+    2215662520U,	// VFNMADDSDr132r
+    2215662608U,	// VFNMADDSDr213m
+    2215662608U,	// VFNMADDSDr213r
+    2215662466U,	// VFNMADDSDr231m
+    2215662466U,	// VFNMADDSDr231r
+    2450546910U,	// VFNMADDSS4mr
+    2450546910U,	// VFNMADDSS4mr_Int
+    2450546910U,	// VFNMADDSS4rm
+    2450546910U,	// VFNMADDSS4rm_Int
+    2450546910U,	// VFNMADDSS4rr
+    2450546910U,	// VFNMADDSS4rr_Int
+    2450546910U,	// VFNMADDSS4rr_REV
+    2215660118U,	// VFNMADDSSZm
+    2215660118U,	// VFNMADDSSZr
+    2215665745U,	// VFNMADDSSr132m
+    2215665745U,	// VFNMADDSSr132r
+    2215665833U,	// VFNMADDSSr213m
+    2215665833U,	// VFNMADDSSr213r
+    2215665691U,	// VFNMADDSSr231m
+    2215665691U,	// VFNMADDSSr231r
     2215657909U,	// VFNMSUB132PDZm
     2215657909U,	// VFNMSUB132PDZmb
-    2215659657U,	// VFNMSUB132PSZm
-    2215659657U,	// VFNMSUB132PSZmb
+    2215659613U,	// VFNMSUB132PSZm
+    2215659613U,	// VFNMSUB132PSZmb
     2215658062U,	// VFNMSUB213PDZm
     2215658062U,	// VFNMSUB213PDZmb
     2215658062U,	// VFNMSUB213PDZr
-    2215659810U,	// VFNMSUB213PSZm
-    2215659810U,	// VFNMSUB213PSZmb
-    2215659810U,	// VFNMSUB213PSZr
-    2282770938U,	// VFNMSUBPD4mr
-    2282770938U,	// VFNMSUBPD4mrY
-    2282770938U,	// VFNMSUBPD4rm
-    2282770938U,	// VFNMSUBPD4rmY
-    2282770938U,	// VFNMSUBPD4rr
-    2282770938U,	// VFNMSUBPD4rrY
-    2282770938U,	// VFNMSUBPD4rrY_REV
-    2282770938U,	// VFNMSUBPD4rr_REV
-    2215661827U,	// VFNMSUBPDr132m
-    2215661827U,	// VFNMSUBPDr132mY
-    2215661827U,	// VFNMSUBPDr132r
-    2215661827U,	// VFNMSUBPDr132rY
-    2215661957U,	// VFNMSUBPDr213m
-    2215661957U,	// VFNMSUBPDr213mY
-    2215661957U,	// VFNMSUBPDr213r
-    2215661957U,	// VFNMSUBPDr213rY
-    2215661741U,	// VFNMSUBPDr231m
-    2215661741U,	// VFNMSUBPDr231mY
-    2215661741U,	// VFNMSUBPDr231r
-    2215661741U,	// VFNMSUBPDr231rY
-    2282774156U,	// VFNMSUBPS4mr
-    2282774156U,	// VFNMSUBPS4mrY
-    2282774156U,	// VFNMSUBPS4rm
-    2282774156U,	// VFNMSUBPS4rmY
-    2282774156U,	// VFNMSUBPS4rr
-    2282774156U,	// VFNMSUBPS4rrY
-    2282774156U,	// VFNMSUBPS4rrY_REV
-    2282774156U,	// VFNMSUBPS4rr_REV
-    2215665042U,	// VFNMSUBPSr132m
-    2215665042U,	// VFNMSUBPSr132mY
-    2215665042U,	// VFNMSUBPSr132r
-    2215665042U,	// VFNMSUBPSr132rY
-    2215665183U,	// VFNMSUBPSr213m
-    2215665183U,	// VFNMSUBPSr213mY
-    2215665183U,	// VFNMSUBPSr213r
-    2215665183U,	// VFNMSUBPSr213rY
-    2215664956U,	// VFNMSUBPSr231m
-    2215664956U,	// VFNMSUBPSr231mY
-    2215664956U,	// VFNMSUBPSr231r
-    2215664956U,	// VFNMSUBPSr231rY
-    2282771548U,	// VFNMSUBSD4mr
-    2282771548U,	// VFNMSUBSD4mr_Int
-    2282771548U,	// VFNMSUBSD4rm
-    2282771548U,	// VFNMSUBSD4rm_Int
-    2282771548U,	// VFNMSUBSD4rr
-    2282771548U,	// VFNMSUBSD4rr_Int
-    2282771548U,	// VFNMSUBSD4rr_REV
-    2215658497U,	// VFNMSUBSDZm
-    2215658497U,	// VFNMSUBSDZr
-    2215662537U,	// VFNMSUBSDr132m
-    2215662537U,	// VFNMSUBSDr132r
-    2215662625U,	// VFNMSUBSDr213m
-    2215662625U,	// VFNMSUBSDr213r
-    2215662483U,	// VFNMSUBSDr231m
-    2215662483U,	// VFNMSUBSDr231r
-    2282774765U,	// VFNMSUBSS4mr
-    2282774765U,	// VFNMSUBSS4mr_Int
-    2282774765U,	// VFNMSUBSS4rm
-    2282774765U,	// VFNMSUBSS4rm_Int
-    2282774765U,	// VFNMSUBSS4rr
-    2282774765U,	// VFNMSUBSS4rr_Int
-    2282774765U,	// VFNMSUBSS4rr_REV
-    2215660133U,	// VFNMSUBSSZm
-    2215660133U,	// VFNMSUBSSZr
-    2215665762U,	// VFNMSUBSSr132m
-    2215665762U,	// VFNMSUBSSr132r
-    2215665850U,	// VFNMSUBSSr213m
-    2215665850U,	// VFNMSUBSSr213r
-    2215665708U,	// VFNMSUBSSr231m
-    2215665708U,	// VFNMSUBSSr231r
-    537940815U,	// VFRCZPDrm
-    974148431U,	// VFRCZPDrmY
-    135287631U,	// VFRCZPDrr
-    135287631U,	// VFRCZPDrrY
-    537944086U,	// VFRCZPSrm
-    974151702U,	// VFRCZPSrmY
-    135290902U,	// VFRCZPSrr
-    135290902U,	// VFRCZPSrrY
-    571495698U,	// VFRCZSDrm
-    135288082U,	// VFRCZSDrr
-    605053340U,	// VFRCZSSrm
-    135291292U,	// VFRCZSSrr
-    2282771144U,	// VFsANDNPDrm
-    2282771144U,	// VFsANDNPDrr
-    2282774374U,	// VFsANDNPSrm
-    2282774374U,	// VFsANDNPSrr
-    2282771008U,	// VFsANDPDrm
-    2282771008U,	// VFsANDPDrr
-    2282774226U,	// VFsANDPSrm
-    2282774226U,	// VFsANDPSrr
-    2282771188U,	// VFsORPDrm
-    2282771188U,	// VFsORPDrr
-    2282774426U,	// VFsORPSrm
-    2282774426U,	// VFsORPSrr
-    2282771195U,	// VFsXORPDrm
-    2282771195U,	// VFsXORPDrr
-    2282774433U,	// VFsXORPSrm
-    2282774433U,	// VFsXORPSrr
-    1041257052U,	// VGATHERDPDYrm
+    88097358U,	// VFNMSUB213PDZrk
+    2235581006U,	// VFNMSUB213PDZrkz
+    2215659766U,	// VFNMSUB213PSZm
+    2215659766U,	// VFNMSUB213PSZmb
+    2215659766U,	// VFNMSUB213PSZr
+    88099062U,	// VFNMSUB213PSZrk
+    2235582710U,	// VFNMSUB213PSZrkz
+    2450543054U,	// VFNMSUBPD4mr
+    2450543054U,	// VFNMSUBPD4mrY
+    2450543054U,	// VFNMSUBPD4rm
+    2450543054U,	// VFNMSUBPD4rmY
+    2450543054U,	// VFNMSUBPD4rr
+    2450543054U,	// VFNMSUBPD4rrY
+    2450543054U,	// VFNMSUBPD4rrY_REV
+    2450543054U,	// VFNMSUBPD4rr_REV
+    2215661783U,	// VFNMSUBPDr132m
+    2215661783U,	// VFNMSUBPDr132mY
+    2215661783U,	// VFNMSUBPDr132r
+    2215661783U,	// VFNMSUBPDr132rY
+    2215661913U,	// VFNMSUBPDr213m
+    2215661913U,	// VFNMSUBPDr213mY
+    2215661913U,	// VFNMSUBPDr213r
+    2215661913U,	// VFNMSUBPDr213rY
+    2215661697U,	// VFNMSUBPDr231m
+    2215661697U,	// VFNMSUBPDr231mY
+    2215661697U,	// VFNMSUBPDr231r
+    2215661697U,	// VFNMSUBPDr231rY
+    2450546272U,	// VFNMSUBPS4mr
+    2450546272U,	// VFNMSUBPS4mrY
+    2450546272U,	// VFNMSUBPS4rm
+    2450546272U,	// VFNMSUBPS4rmY
+    2450546272U,	// VFNMSUBPS4rr
+    2450546272U,	// VFNMSUBPS4rrY
+    2450546272U,	// VFNMSUBPS4rrY_REV
+    2450546272U,	// VFNMSUBPS4rr_REV
+    2215664998U,	// VFNMSUBPSr132m
+    2215664998U,	// VFNMSUBPSr132mY
+    2215664998U,	// VFNMSUBPSr132r
+    2215664998U,	// VFNMSUBPSr132rY
+    2215665139U,	// VFNMSUBPSr213m
+    2215665139U,	// VFNMSUBPSr213mY
+    2215665139U,	// VFNMSUBPSr213r
+    2215665139U,	// VFNMSUBPSr213rY
+    2215664912U,	// VFNMSUBPSr231m
+    2215664912U,	// VFNMSUBPSr231mY
+    2215664912U,	// VFNMSUBPSr231r
+    2215664912U,	// VFNMSUBPSr231rY
+    2450543664U,	// VFNMSUBSD4mr
+    2450543664U,	// VFNMSUBSD4mr_Int
+    2450543664U,	// VFNMSUBSD4rm
+    2450543664U,	// VFNMSUBSD4rm_Int
+    2450543664U,	// VFNMSUBSD4rr
+    2450543664U,	// VFNMSUBSD4rr_Int
+    2450543664U,	// VFNMSUBSD4rr_REV
+    2215658486U,	// VFNMSUBSDZm
+    2215658486U,	// VFNMSUBSDZr
+    2215662493U,	// VFNMSUBSDr132m
+    2215662493U,	// VFNMSUBSDr132r
+    2215662581U,	// VFNMSUBSDr213m
+    2215662581U,	// VFNMSUBSDr213r
+    2215662439U,	// VFNMSUBSDr231m
+    2215662439U,	// VFNMSUBSDr231r
+    2450546881U,	// VFNMSUBSS4mr
+    2450546881U,	// VFNMSUBSS4mr_Int
+    2450546881U,	// VFNMSUBSS4rm
+    2450546881U,	// VFNMSUBSS4rm_Int
+    2450546881U,	// VFNMSUBSS4rr
+    2450546881U,	// VFNMSUBSS4rr_Int
+    2450546881U,	// VFNMSUBSS4rr_REV
+    2215660089U,	// VFNMSUBSSZm
+    2215660089U,	// VFNMSUBSSZr
+    2215665718U,	// VFNMSUBSSr132m
+    2215665718U,	// VFNMSUBSSr132r
+    2215665806U,	// VFNMSUBSSr213m
+    2215665806U,	// VFNMSUBSSr213r
+    2215665664U,	// VFNMSUBSSr231m
+    2215665664U,	// VFNMSUBSSr231r
+    537940771U,	// VFRCZPDrm
+    974148387U,	// VFRCZPDrmY
+    303059747U,	// VFRCZPDrr
+    303059747U,	// VFRCZPDrrY
+    537944042U,	// VFRCZPSrm
+    974151658U,	// VFRCZPSrmY
+    303063018U,	// VFRCZPSrr
+    303063018U,	// VFRCZPSrrY
+    571495654U,	// VFRCZSDrm
+    303060198U,	// VFRCZSDrr
+    605053296U,	// VFRCZSSrm
+    303063408U,	// VFRCZSSrr
+    2450543260U,	// VFsANDNPDrm
+    2450543260U,	// VFsANDNPDrr
+    2450546490U,	// VFsANDNPSrm
+    2450546490U,	// VFsANDNPSrr
+    2450543124U,	// VFsANDPDrm
+    2450543124U,	// VFsANDPDrr
+    2450546342U,	// VFsANDPSrm
+    2450546342U,	// VFsANDPSrr
+    2450543304U,	// VFsORPDrm
+    2450543304U,	// VFsORPDrr
+    2450546542U,	// VFsORPSrm
+    2450546542U,	// VFsORPSrr
+    2450543311U,	// VFsXORPDrm
+    2450543311U,	// VFsXORPDrr
+    2450546549U,	// VFsXORPSrm
+    2450546549U,	// VFsXORPSrr
+    1041257008U,	// VGATHERDPDYrm
     3242214093U,	// VGATHERDPDZrm
-    1041257052U,	// VGATHERDPDrm
-    1108369134U,	// VGATHERDPSYrm
-    1094732193U,	// VGATHERDPSZrm
-    1108369134U,	// VGATHERDPSrm
-    124809381U,	// VGATHERPF0DPDm
-    124809521U,	// VGATHERPF0DPSm
-    191918315U,	// VGATHERPF0QPDm
-    191918455U,	// VGATHERPF0QPSm
-    124809416U,	// VGATHERPF1DPDm
-    124809556U,	// VGATHERPF1DPSm
-    191918350U,	// VGATHERPF1QPDm
-    191918490U,	// VGATHERPF1QPSm
-    1041257192U,	// VGATHERQPDYrm
+    1041257008U,	// VGATHERDPDrm
+    1108369090U,	// VGATHERDPSYrm
+    1094732149U,	// VGATHERDPSZrm
+    1108369090U,	// VGATHERDPSrm
+    292581497U,	// VGATHERPF0DPDm
+    292581637U,	// VGATHERPF0DPSm
+    359690431U,	// VGATHERPF0QPDm
+    359690571U,	// VGATHERPF0QPSm
+    292581532U,	// VGATHERPF1DPDm
+    292581672U,	// VGATHERPF1DPSm
+    359690466U,	// VGATHERPF1QPDm
+    359690606U,	// VGATHERPF1QPSm
+    1041257148U,	// VGATHERQPDYrm
     3242214205U,	// VGATHERQPDZrm
-    1041257192U,	// VGATHERQPDrm
-    1108369294U,	// VGATHERQPSYrm
-    3242215953U,	// VGATHERQPSZrm
-    1108369294U,	// VGATHERQPSrm
-    2282770970U,	// VHADDPDYrm
-    2282770970U,	// VHADDPDYrr
-    2282770970U,	// VHADDPDrm
-    2282770970U,	// VHADDPDrr
-    2282774188U,	// VHADDPSYrm
-    2282774188U,	// VHADDPSYrr
-    2282774188U,	// VHADDPSrm
-    2282774188U,	// VHADDPSrr
-    2282770919U,	// VHSUBPDYrm
-    2282770919U,	// VHSUBPDYrr
-    2282770919U,	// VHSUBPDrm
-    2282770919U,	// VHSUBPDrr
-    2282774137U,	// VHSUBPSYrm
-    2282774137U,	// VHSUBPSYrr
-    2282774137U,	// VHSUBPSrm
-    2282774137U,	// VHSUBPSrr
-    2282769665U,	// VINSERTF128rm
-    2282769665U,	// VINSERTF128rr
-    2282769527U,	// VINSERTF32x4rm
-    2282769527U,	// VINSERTF32x4rr
-    2282769585U,	// VINSERTF64x4rm
-    2282769585U,	// VINSERTF64x4rr
-    2282769720U,	// VINSERTI128rm
-    2282769720U,	// VINSERTI128rr
-    2282769556U,	// VINSERTI32x4rm
-    2282769556U,	// VINSERTI32x4rr
-    2282769614U,	// VINSERTI64x4rm
-    2282769614U,	// VINSERTI64x4rr
-    2282774463U,	// VINSERTPSrm
-    2282774463U,	// VINSERTPSrr
-    2282774463U,	// VINSERTPSzrm
-    2282774463U,	// VINSERTPSzrr
-    873489027U,	// VLDDQUYrm
-    336618115U,	// VLDDQUrm
-    72870U,	// VLDMXCSR
-    135291531U,	// VMASKMOVDQU
-    135291531U,	// VMASKMOVDQU64
-    2149159739U,	// VMASKMOVPDYmr
-    2282771259U,	// VMASKMOVPDYrm
-    2149126971U,	// VMASKMOVPDmr
-    2282771259U,	// VMASKMOVPDrm
-    2149163010U,	// VMASKMOVPSYmr
-    2282774530U,	// VMASKMOVPSYrm
-    2149130242U,	// VMASKMOVPSmr
-    2282774530U,	// VMASKMOVPSrm
-    2282771271U,	// VMAXCPDYrm
-    2282771271U,	// VMAXCPDYrr
-    2282771271U,	// VMAXCPDrm
-    2282771271U,	// VMAXCPDrr
-    2282774542U,	// VMAXCPSYrm
-    2282774542U,	// VMAXCPSYrr
-    2282774542U,	// VMAXCPSrm
-    2282774542U,	// VMAXCPSrr
-    2282771722U,	// VMAXCSDrm
-    2282771722U,	// VMAXCSDrr
-    2282774932U,	// VMAXCSSrm
-    2282774932U,	// VMAXCSSrr
-    2282771271U,	// VMAXPDYrm
-    2282771271U,	// VMAXPDYrr
-    2282767201U,	// VMAXPDZrm
-    2282767201U,	// VMAXPDZrmb
-    155206497U,	// VMAXPDZrmbk
-    2302690145U,	// VMAXPDZrmbkz
-    155210567U,	// VMAXPDZrmk
-    2302694215U,	// VMAXPDZrmkz
-    2282767201U,	// VMAXPDZrr
-    155206497U,	// VMAXPDZrrk
-    2302690145U,	// VMAXPDZrrkz
-    2282771271U,	// VMAXPDrm
-    2282771271U,	// VMAXPDrr
-    2282774542U,	// VMAXPSYrm
-    2282774542U,	// VMAXPSYrr
-    2282768949U,	// VMAXPSZrm
-    2282768949U,	// VMAXPSZrmb
-    155208245U,	// VMAXPSZrmbk
-    2302691893U,	// VMAXPSZrmbkz
-    155213838U,	// VMAXPSZrmk
-    2302697486U,	// VMAXPSZrmkz
-    2282768949U,	// VMAXPSZrr
-    155208245U,	// VMAXPSZrrk
-    2302691893U,	// VMAXPSZrrkz
-    2282774542U,	// VMAXPSrm
-    2282774542U,	// VMAXPSrr
-    2282771722U,	// VMAXSDZrm
-    2282771722U,	// VMAXSDZrr
-    2282771722U,	// VMAXSDrm
-    2282771722U,	// VMAXSDrm_Int
-    2282771722U,	// VMAXSDrr
-    2282771722U,	// VMAXSDrr_Int
-    2282774932U,	// VMAXSSZrm
-    2282774932U,	// VMAXSSZrr
-    2282774932U,	// VMAXSSrm
-    2282774932U,	// VMAXSSrm_Int
-    2282774932U,	// VMAXSSrr
-    2282774932U,	// VMAXSSrr_Int
-    11680U,	// VMCALL
-    89146U,	// VMCLEARm
-    11348U,	// VMFUNC
-    2282771153U,	// VMINCPDYrm
-    2282771153U,	// VMINCPDYrr
-    2282771153U,	// VMINCPDrm
-    2282771153U,	// VMINCPDrr
-    2282774383U,	// VMINCPSYrm
-    2282774383U,	// VMINCPSYrr
-    2282774383U,	// VMINCPSrm
-    2282774383U,	// VMINCPSrr
-    2282771642U,	// VMINCSDrm
-    2282771642U,	// VMINCSDrr
-    2282774850U,	// VMINCSSrm
-    2282774850U,	// VMINCSSrr
-    2282771153U,	// VMINPDYrm
-    2282771153U,	// VMINPDYrr
-    2282767147U,	// VMINPDZrm
-    2282767147U,	// VMINPDZrmb
-    155206443U,	// VMINPDZrmbk
-    2302690091U,	// VMINPDZrmbkz
-    155210449U,	// VMINPDZrmk
-    2302694097U,	// VMINPDZrmkz
-    2282767147U,	// VMINPDZrr
-    155206443U,	// VMINPDZrrk
-    2302690091U,	// VMINPDZrrkz
-    2282771153U,	// VMINPDrm
-    2282771153U,	// VMINPDrr
-    2282774383U,	// VMINPSYrm
-    2282774383U,	// VMINPSYrr
-    2282768895U,	// VMINPSZrm
-    2282768895U,	// VMINPSZrmb
-    155208191U,	// VMINPSZrmbk
-    2302691839U,	// VMINPSZrmbkz
-    155213679U,	// VMINPSZrmk
-    2302697327U,	// VMINPSZrmkz
-    2282768895U,	// VMINPSZrr
-    155208191U,	// VMINPSZrrk
-    2302691839U,	// VMINPSZrrkz
-    2282774383U,	// VMINPSrm
-    2282774383U,	// VMINPSrr
-    2282771642U,	// VMINSDZrm
-    2282771642U,	// VMINSDZrr
-    2282771642U,	// VMINSDrm
-    2282771642U,	// VMINSDrm_Int
-    2282771642U,	// VMINSDrr
-    2282771642U,	// VMINSDrr_Int
-    2282774850U,	// VMINSSZrm
-    2282774850U,	// VMINSSZrr
-    2282774850U,	// VMINSSrm
-    2282774850U,	// VMINSSrm_Int
-    2282774850U,	// VMINSSrr
-    2282774850U,	// VMINSSrr_Int
-    11595U,	// VMLAUNCH
-    12289U,	// VMLOAD32
-    12344U,	// VMLOAD64
-    11672U,	// VMMCALL
-    135289864U,	// VMOV64toPQIZrr
-    135289864U,	// VMOV64toPQIrr
-    135289864U,	// VMOV64toSDZrr
-    168844296U,	// VMOV64toSDrm
-    135289864U,	// VMOV64toSDrr
-    1675710U,	// VMOVAPDYmr
-    974148030U,	// VMOVAPDYrm
-    135287230U,	// VMOVAPDYrr
-    135287230U,	// VMOVAPDYrr_REV
-    1642942U,	// VMOVAPDZ128mr
-    21565886U,	// VMOVAPDZ128mrk
-    537940414U,	// VMOVAPDZ128rm
-    88101310U,	// VMOVAPDZ128rmk
-    2302693822U,	// VMOVAPDZ128rmkz
-    135287230U,	// VMOVAPDZ128rr
-    135287230U,	// VMOVAPDZ128rr_alt
-    88101310U,	// VMOVAPDZ128rrk
-    88101310U,	// VMOVAPDZ128rrk_alt
-    2302693822U,	// VMOVAPDZ128rrkz
-    2302693822U,	// VMOVAPDZ128rrkz_alt
-    1675710U,	// VMOVAPDZ256mr
-    21598654U,	// VMOVAPDZ256mrk
-    974148030U,	// VMOVAPDZ256rm
-    88101310U,	// VMOVAPDZ256rmk
-    2302693822U,	// VMOVAPDZ256rmkz
-    135287230U,	// VMOVAPDZ256rr
-    135287230U,	// VMOVAPDZ256rr_alt
-    88101310U,	// VMOVAPDZ256rrk
-    88101310U,	// VMOVAPDZ256rrk_alt
-    2302693822U,	// VMOVAPDZ256rrkz
-    2302693822U,	// VMOVAPDZ256rrkz_alt
-    1708478U,	// VMOVAPDZmr
-    21631422U,	// VMOVAPDZmrk
-    1007702462U,	// VMOVAPDZrm
-    88101310U,	// VMOVAPDZrmk
-    2302693822U,	// VMOVAPDZrmkz
-    135287230U,	// VMOVAPDZrr
-    135287230U,	// VMOVAPDZrr_alt
-    88101310U,	// VMOVAPDZrrk
-    88101310U,	// VMOVAPDZrrk_alt
-    2302693822U,	// VMOVAPDZrrkz
-    2302693822U,	// VMOVAPDZrrkz_alt
-    1642942U,	// VMOVAPDmr
-    537940414U,	// VMOVAPDrm
-    135287230U,	// VMOVAPDrr
-    135287230U,	// VMOVAPDrr_REV
-    1678936U,	// VMOVAPSYmr
-    974151256U,	// VMOVAPSYrm
-    135290456U,	// VMOVAPSYrr
-    135290456U,	// VMOVAPSYrr_REV
-    1646168U,	// VMOVAPSZ128mr
-    21569112U,	// VMOVAPSZ128mrk
-    537943640U,	// VMOVAPSZ128rm
-    88104536U,	// VMOVAPSZ128rmk
-    2302697048U,	// VMOVAPSZ128rmkz
-    135290456U,	// VMOVAPSZ128rr
-    135290456U,	// VMOVAPSZ128rr_alt
-    88104536U,	// VMOVAPSZ128rrk
-    88104536U,	// VMOVAPSZ128rrk_alt
-    2302697048U,	// VMOVAPSZ128rrkz
-    2302697048U,	// VMOVAPSZ128rrkz_alt
-    1678936U,	// VMOVAPSZ256mr
-    21601880U,	// VMOVAPSZ256mrk
-    974151256U,	// VMOVAPSZ256rm
-    88104536U,	// VMOVAPSZ256rmk
-    2302697048U,	// VMOVAPSZ256rmkz
-    135290456U,	// VMOVAPSZ256rr
-    135290456U,	// VMOVAPSZ256rr_alt
-    88104536U,	// VMOVAPSZ256rrk
-    88104536U,	// VMOVAPSZ256rrk_alt
-    2302697048U,	// VMOVAPSZ256rrkz
-    2302697048U,	// VMOVAPSZ256rrkz_alt
-    1711704U,	// VMOVAPSZmr
-    21634648U,	// VMOVAPSZmrk
-    1007705688U,	// VMOVAPSZrm
-    88104536U,	// VMOVAPSZrmk
-    2302697048U,	// VMOVAPSZrmkz
-    135290456U,	// VMOVAPSZrr
-    135290456U,	// VMOVAPSZrr_alt
-    88104536U,	// VMOVAPSZrrk
-    88104536U,	// VMOVAPSZrrk_alt
-    2302697048U,	// VMOVAPSZrrkz
-    2302697048U,	// VMOVAPSZrrkz_alt
-    1646168U,	// VMOVAPSmr
-    537943640U,	// VMOVAPSrm
-    135290456U,	// VMOVAPSrr
-    135290456U,	// VMOVAPSrr_REV
-    974150075U,	// VMOVDDUPYrm
-    135289275U,	// VMOVDDUPYrr
-    1007699361U,	// VMOVDDUPZrm
-    135284129U,	// VMOVDDUPZrr
-    571496891U,	// VMOVDDUPrm
-    135289275U,	// VMOVDDUPrr
-    101733766U,	// VMOVDI2PDIZrm
-    135288198U,	// VMOVDI2PDIZrr
-    101733766U,	// VMOVDI2PDIrm
-    135288198U,	// VMOVDI2PDIrr
-    101733766U,	// VMOVDI2SSZrm
-    135288198U,	// VMOVDI2SSZrr
-    101733766U,	// VMOVDI2SSrm
-    135288198U,	// VMOVDI2SSrr
-    1313744U,	// VMOVDQA32Z128mr
-    21236688U,	// VMOVDQA32Z128mrk
-    336612304U,	// VMOVDQA32Z128rm
-    88099792U,	// VMOVDQA32Z128rmk
-    2302692304U,	// VMOVDQA32Z128rmkz
-    135285712U,	// VMOVDQA32Z128rr
-    135285712U,	// VMOVDQA32Z128rr_alt
-    88099792U,	// VMOVDQA32Z128rrk
-    88099792U,	// VMOVDQA32Z128rrk_alt
-    2302692304U,	// VMOVDQA32Z128rrkz
-    2302692304U,	// VMOVDQA32Z128rrkz_alt
-    1690576U,	// VMOVDQA32Z256mr
-    21613520U,	// VMOVDQA32Z256mrk
-    873483216U,	// VMOVDQA32Z256rm
-    88099792U,	// VMOVDQA32Z256rmk
-    2302692304U,	// VMOVDQA32Z256rmkz
-    135285712U,	// VMOVDQA32Z256rr
-    135285712U,	// VMOVDQA32Z256rr_alt
-    88099792U,	// VMOVDQA32Z256rrk
-    88099792U,	// VMOVDQA32Z256rrk_alt
-    2302692304U,	// VMOVDQA32Z256rrkz
-    2302692304U,	// VMOVDQA32Z256rrkz_alt
-    1723344U,	// VMOVDQA32Zmr
-    21646288U,	// VMOVDQA32Zmrk
-    940592080U,	// VMOVDQA32Zrm
-    88099792U,	// VMOVDQA32Zrmk
-    2302692304U,	// VMOVDQA32Zrmkz
-    135285712U,	// VMOVDQA32Zrr
-    135285712U,	// VMOVDQA32Zrr_alt
-    88099792U,	// VMOVDQA32Zrrk
-    88099792U,	// VMOVDQA32Zrrk_alt
-    2302692304U,	// VMOVDQA32Zrrkz
-    2302692304U,	// VMOVDQA32Zrrkz_alt
-    1313830U,	// VMOVDQA64Z128mr
-    21236774U,	// VMOVDQA64Z128mrk
-    336612390U,	// VMOVDQA64Z128rm
-    88099878U,	// VMOVDQA64Z128rmk
-    2302692390U,	// VMOVDQA64Z128rmkz
-    135285798U,	// VMOVDQA64Z128rr
-    135285798U,	// VMOVDQA64Z128rr_alt
-    88099878U,	// VMOVDQA64Z128rrk
-    88099878U,	// VMOVDQA64Z128rrk_alt
-    2302692390U,	// VMOVDQA64Z128rrkz
-    2302692390U,	// VMOVDQA64Z128rrkz_alt
-    1690662U,	// VMOVDQA64Z256mr
-    21613606U,	// VMOVDQA64Z256mrk
-    873483302U,	// VMOVDQA64Z256rm
-    88099878U,	// VMOVDQA64Z256rmk
-    2302692390U,	// VMOVDQA64Z256rmkz
-    135285798U,	// VMOVDQA64Z256rr
-    135285798U,	// VMOVDQA64Z256rr_alt
-    88099878U,	// VMOVDQA64Z256rrk
-    88099878U,	// VMOVDQA64Z256rrk_alt
-    2302692390U,	// VMOVDQA64Z256rrkz
-    2302692390U,	// VMOVDQA64Z256rrkz_alt
-    1723430U,	// VMOVDQA64Zmr
-    21646374U,	// VMOVDQA64Zmrk
-    940592166U,	// VMOVDQA64Zrm
-    88099878U,	// VMOVDQA64Zrmk
-    2302692390U,	// VMOVDQA64Zrmkz
-    135285798U,	// VMOVDQA64Zrr
-    135285798U,	// VMOVDQA64Zrr_alt
-    88099878U,	// VMOVDQA64Zrrk
-    88099878U,	// VMOVDQA64Zrrk_alt
-    2302692390U,	// VMOVDQA64Zrrkz
-    2302692390U,	// VMOVDQA64Zrrkz_alt
-    1690995U,	// VMOVDQAYmr
-    873483635U,	// VMOVDQAYrm
-    135286131U,	// VMOVDQAYrr
-    135286131U,	// VMOVDQAYrr_REV
-    1314163U,	// VMOVDQAmr
-    336612723U,	// VMOVDQArm
-    135286131U,	// VMOVDQArr
-    135286131U,	// VMOVDQArr_REV
-    1314012U,	// VMOVDQU16Z128mr
-    21236956U,	// VMOVDQU16Z128mrk
-    336612572U,	// VMOVDQU16Z128rm
-    88100060U,	// VMOVDQU16Z128rmk
-    2302692572U,	// VMOVDQU16Z128rmkz
-    135285980U,	// VMOVDQU16Z128rr
-    135285980U,	// VMOVDQU16Z128rr_alt
-    88100060U,	// VMOVDQU16Z128rrk
-    88100060U,	// VMOVDQU16Z128rrk_alt
-    2302692572U,	// VMOVDQU16Z128rrkz
-    2302692572U,	// VMOVDQU16Z128rrkz_alt
-    1690844U,	// VMOVDQU16Z256mr
-    21613788U,	// VMOVDQU16Z256mrk
-    873483484U,	// VMOVDQU16Z256rm
-    88100060U,	// VMOVDQU16Z256rmk
-    2302692572U,	// VMOVDQU16Z256rmkz
-    135285980U,	// VMOVDQU16Z256rr
-    135285980U,	// VMOVDQU16Z256rr_alt
-    88100060U,	// VMOVDQU16Z256rrk
-    88100060U,	// VMOVDQU16Z256rrk_alt
-    2302692572U,	// VMOVDQU16Z256rrkz
-    2302692572U,	// VMOVDQU16Z256rrkz_alt
-    1723612U,	// VMOVDQU16Zmr
-    21646556U,	// VMOVDQU16Zmrk
-    940592348U,	// VMOVDQU16Zrm
-    88100060U,	// VMOVDQU16Zrmk
-    2302692572U,	// VMOVDQU16Zrmkz
-    135285980U,	// VMOVDQU16Zrr
-    135285980U,	// VMOVDQU16Zrr_alt
-    88100060U,	// VMOVDQU16Zrrk
-    88100060U,	// VMOVDQU16Zrrk_alt
-    2302692572U,	// VMOVDQU16Zrrkz
-    2302692572U,	// VMOVDQU16Zrrkz_alt
-    1313762U,	// VMOVDQU32Z128mr
-    21236706U,	// VMOVDQU32Z128mrk
-    336612322U,	// VMOVDQU32Z128rm
-    88099810U,	// VMOVDQU32Z128rmk
-    2302692322U,	// VMOVDQU32Z128rmkz
-    135285730U,	// VMOVDQU32Z128rr
-    135285730U,	// VMOVDQU32Z128rr_alt
-    88099810U,	// VMOVDQU32Z128rrk
-    88099810U,	// VMOVDQU32Z128rrk_alt
-    2302692322U,	// VMOVDQU32Z128rrkz
-    2302692322U,	// VMOVDQU32Z128rrkz_alt
-    1690594U,	// VMOVDQU32Z256mr
-    21613538U,	// VMOVDQU32Z256mrk
-    873483234U,	// VMOVDQU32Z256rm
-    88099810U,	// VMOVDQU32Z256rmk
-    2302692322U,	// VMOVDQU32Z256rmkz
-    135285730U,	// VMOVDQU32Z256rr
-    135285730U,	// VMOVDQU32Z256rr_alt
-    88099810U,	// VMOVDQU32Z256rrk
-    88099810U,	// VMOVDQU32Z256rrk_alt
-    2302692322U,	// VMOVDQU32Z256rrkz
-    2302692322U,	// VMOVDQU32Z256rrkz_alt
-    1723362U,	// VMOVDQU32Zmr
-    21646306U,	// VMOVDQU32Zmrk
-    940592098U,	// VMOVDQU32Zrm
-    88099810U,	// VMOVDQU32Zrmk
-    2302692322U,	// VMOVDQU32Zrmkz
-    135285730U,	// VMOVDQU32Zrr
-    135285730U,	// VMOVDQU32Zrr_alt
-    88099810U,	// VMOVDQU32Zrrk
-    88099810U,	// VMOVDQU32Zrrk_alt
-    2302692322U,	// VMOVDQU32Zrrkz
-    2302692322U,	// VMOVDQU32Zrrkz_alt
-    1313874U,	// VMOVDQU64Z128mr
-    21236818U,	// VMOVDQU64Z128mrk
-    336612434U,	// VMOVDQU64Z128rm
-    88099922U,	// VMOVDQU64Z128rmk
-    2302692434U,	// VMOVDQU64Z128rmkz
-    135285842U,	// VMOVDQU64Z128rr
-    135285842U,	// VMOVDQU64Z128rr_alt
-    88099922U,	// VMOVDQU64Z128rrk
-    88099922U,	// VMOVDQU64Z128rrk_alt
-    2302692434U,	// VMOVDQU64Z128rrkz
-    2302692434U,	// VMOVDQU64Z128rrkz_alt
-    1690706U,	// VMOVDQU64Z256mr
-    21613650U,	// VMOVDQU64Z256mrk
-    873483346U,	// VMOVDQU64Z256rm
-    88099922U,	// VMOVDQU64Z256rmk
-    2302692434U,	// VMOVDQU64Z256rmkz
-    135285842U,	// VMOVDQU64Z256rr
-    135285842U,	// VMOVDQU64Z256rr_alt
-    88099922U,	// VMOVDQU64Z256rrk
-    88099922U,	// VMOVDQU64Z256rrk_alt
-    2302692434U,	// VMOVDQU64Z256rrkz
-    2302692434U,	// VMOVDQU64Z256rrkz_alt
-    1723474U,	// VMOVDQU64Zmr
-    21646418U,	// VMOVDQU64Zmrk
-    940592210U,	// VMOVDQU64Zrm
-    88099922U,	// VMOVDQU64Zrmk
-    2302692434U,	// VMOVDQU64Zrmkz
-    135285842U,	// VMOVDQU64Zrr
-    135285842U,	// VMOVDQU64Zrr_alt
-    88099922U,	// VMOVDQU64Zrrk
-    88099922U,	// VMOVDQU64Zrrk_alt
-    2302692434U,	// VMOVDQU64Zrrkz
-    2302692434U,	// VMOVDQU64Zrrkz_alt
-    1314133U,	// VMOVDQU8Z128mr
-    21237077U,	// VMOVDQU8Z128mrk
-    336612693U,	// VMOVDQU8Z128rm
-    88100181U,	// VMOVDQU8Z128rmk
-    2302692693U,	// VMOVDQU8Z128rmkz
-    135286101U,	// VMOVDQU8Z128rr
-    135286101U,	// VMOVDQU8Z128rr_alt
-    88100181U,	// VMOVDQU8Z128rrk
-    88100181U,	// VMOVDQU8Z128rrk_alt
-    2302692693U,	// VMOVDQU8Z128rrkz
-    2302692693U,	// VMOVDQU8Z128rrkz_alt
-    1690965U,	// VMOVDQU8Z256mr
-    21613909U,	// VMOVDQU8Z256mrk
-    873483605U,	// VMOVDQU8Z256rm
-    88100181U,	// VMOVDQU8Z256rmk
-    2302692693U,	// VMOVDQU8Z256rmkz
-    135286101U,	// VMOVDQU8Z256rr
-    135286101U,	// VMOVDQU8Z256rr_alt
-    88100181U,	// VMOVDQU8Z256rrk
-    88100181U,	// VMOVDQU8Z256rrk_alt
-    2302692693U,	// VMOVDQU8Z256rrkz
-    2302692693U,	// VMOVDQU8Z256rrkz_alt
-    1723733U,	// VMOVDQU8Zmr
-    21646677U,	// VMOVDQU8Zmrk
-    940592469U,	// VMOVDQU8Zrm
-    88100181U,	// VMOVDQU8Zrmk
-    2302692693U,	// VMOVDQU8Zrmkz
-    135286101U,	// VMOVDQU8Zrr
-    135286101U,	// VMOVDQU8Zrr_alt
-    88100181U,	// VMOVDQU8Zrrk
-    88100181U,	// VMOVDQU8Zrrk_alt
-    2302692693U,	// VMOVDQU8Zrrkz
-    2302692693U,	// VMOVDQU8Zrrkz_alt
-    1696408U,	// VMOVDQUYmr
-    873489048U,	// VMOVDQUYrm
-    135291544U,	// VMOVDQUYrr
-    135291544U,	// VMOVDQUYrr_REV
-    1319576U,	// VMOVDQUmr
-    336618136U,	// VMOVDQUrm
-    135291544U,	// VMOVDQUrr
-    135291544U,	// VMOVDQUrr_REV
-    2282774316U,	// VMOVHLPSZrr
-    2282774316U,	// VMOVHLPSrr
-    1184380U,	// VMOVHPDmr
-    2282771068U,	// VMOVHPDrm
-    1187608U,	// VMOVHPSmr
-    2282774296U,	// VMOVHPSrm
-    2282774286U,	// VMOVLHPSZrr
-    2282774286U,	// VMOVLHPSrr
-    1184430U,	// VMOVLPDmr
-    2282771118U,	// VMOVLPDrm
-    1187668U,	// VMOVLPSmr
-    2282774356U,	// VMOVLPSrm
-    135287429U,	// VMOVMSKPDYrr
-    135287429U,	// VMOVMSKPDrr
-    135290657U,	// VMOVMSKPSYrr
-    135290657U,	// VMOVMSKPSrr
-    873483624U,	// VMOVNTDQAYrm
-    940592488U,	// VMOVNTDQAZrm
-    336612712U,	// VMOVNTDQArm
-    1678069U,	// VMOVNTDQYmr
-    1727221U,	// VMOVNTDQZmr
-    1645301U,	// VMOVNTDQmr
-    1676035U,	// VMOVNTPDYmr
-    1708803U,	// VMOVNTPDZmr
-    1643267U,	// VMOVNTPDmr
-    1679285U,	// VMOVNTPSYmr
-    1712053U,	// VMOVNTPSZmr
-    1646517U,	// VMOVNTPSmr
-    1119622U,	// VMOVPDI2DIZmr
-    135288198U,	// VMOVPDI2DIZrr
-    1119622U,	// VMOVPDI2DImr
-    135288198U,	// VMOVPDI2DIrr
-    1137672U,	// VMOVPQI2QImr
-    135289864U,	// VMOVPQI2QIrr
-    1137672U,	// VMOVPQIto64Zmr
-    135289864U,	// VMOVPQIto64Zrr
-    135289864U,	// VMOVPQIto64rr
-    168844296U,	// VMOVQI2PQIZrm
-    168844296U,	// VMOVQI2PQIrm
-    1180813U,	// VMOVSDZmr
-    571491469U,	// VMOVSDZrm
-    2282767501U,	// VMOVSDZrr
-    2282771705U,	// VMOVSDZrr_REV
-    88097933U,	// VMOVSDZrrk
-    1185017U,	// VMOVSDmr
-    571495673U,	// VMOVSDrm
-    2282771705U,	// VMOVSDrr
-    2282771705U,	// VMOVSDrr_REV
-    1137672U,	// VMOVSDto64Zmr
-    135289864U,	// VMOVSDto64Zrr
-    1137672U,	// VMOVSDto64mr
-    135289864U,	// VMOVSDto64rr
-    974150085U,	// VMOVSHDUPYrm
-    135289285U,	// VMOVSHDUPYrr
-    1007699372U,	// VMOVSHDUPZrm
-    135284140U,	// VMOVSHDUPZrr
-    537942469U,	// VMOVSHDUPrm
-    135289285U,	// VMOVSHDUPrr
-    974150096U,	// VMOVSLDUPYrm
-    135289296U,	// VMOVSLDUPYrr
-    1007699384U,	// VMOVSLDUPZrm
-    135284152U,	// VMOVSLDUPZrr
-    537942480U,	// VMOVSLDUPrm
-    135289296U,	// VMOVSLDUPrr
-    1119622U,	// VMOVSS2DIZmr
-    135288198U,	// VMOVSS2DIZrr
-    1119622U,	// VMOVSS2DImr
-    135288198U,	// VMOVSS2DIrr
-    1166046U,	// VMOVSSZmr
-    605047518U,	// VMOVSSZrm
-    2282769118U,	// VMOVSSZrr
-    2282774924U,	// VMOVSSZrr_REV
-    88099550U,	// VMOVSSZrrk
-    1171852U,	// VMOVSSmr
-    605053324U,	// VMOVSSrm
-    2282774924U,	// VMOVSSrr
-    2282774924U,	// VMOVSSrr_REV
-    1676063U,	// VMOVUPDYmr
-    974148383U,	// VMOVUPDYrm
-    135287583U,	// VMOVUPDYrr
-    135287583U,	// VMOVUPDYrr_REV
-    1643295U,	// VMOVUPDZ128mr
-    21566239U,	// VMOVUPDZ128mrk
-    537940767U,	// VMOVUPDZ128rm
-    88101663U,	// VMOVUPDZ128rmk
-    2302694175U,	// VMOVUPDZ128rmkz
-    135287583U,	// VMOVUPDZ128rr
-    135287583U,	// VMOVUPDZ128rr_alt
-    88101663U,	// VMOVUPDZ128rrk
-    88101663U,	// VMOVUPDZ128rrk_alt
-    2302694175U,	// VMOVUPDZ128rrkz
-    2302694175U,	// VMOVUPDZ128rrkz_alt
-    1676063U,	// VMOVUPDZ256mr
-    21599007U,	// VMOVUPDZ256mrk
-    974148383U,	// VMOVUPDZ256rm
-    88101663U,	// VMOVUPDZ256rmk
-    2302694175U,	// VMOVUPDZ256rmkz
-    135287583U,	// VMOVUPDZ256rr
-    135287583U,	// VMOVUPDZ256rr_alt
-    88101663U,	// VMOVUPDZ256rrk
-    88101663U,	// VMOVUPDZ256rrk_alt
-    2302694175U,	// VMOVUPDZ256rrkz
-    2302694175U,	// VMOVUPDZ256rrkz_alt
-    1708831U,	// VMOVUPDZmr
-    21631775U,	// VMOVUPDZmrk
-    1007702815U,	// VMOVUPDZrm
-    88101663U,	// VMOVUPDZrmk
-    2302694175U,	// VMOVUPDZrmkz
-    135287583U,	// VMOVUPDZrr
-    135287583U,	// VMOVUPDZrr_alt
-    88101663U,	// VMOVUPDZrrk
-    88101663U,	// VMOVUPDZrrk_alt
-    2302694175U,	// VMOVUPDZrrkz
-    2302694175U,	// VMOVUPDZrrkz_alt
-    1643295U,	// VMOVUPDmr
-    537940767U,	// VMOVUPDrm
-    135287583U,	// VMOVUPDrr
-    135287583U,	// VMOVUPDrr_REV
-    1679334U,	// VMOVUPSYmr
-    974151654U,	// VMOVUPSYrm
-    135290854U,	// VMOVUPSYrr
-    135290854U,	// VMOVUPSYrr_REV
-    1646566U,	// VMOVUPSZ128mr
-    21569510U,	// VMOVUPSZ128mrk
-    537944038U,	// VMOVUPSZ128rm
-    88104934U,	// VMOVUPSZ128rmk
-    2302697446U,	// VMOVUPSZ128rmkz
-    135290854U,	// VMOVUPSZ128rr
-    135290854U,	// VMOVUPSZ128rr_alt
-    88104934U,	// VMOVUPSZ128rrk
-    88104934U,	// VMOVUPSZ128rrk_alt
-    2302697446U,	// VMOVUPSZ128rrkz
-    2302697446U,	// VMOVUPSZ128rrkz_alt
-    1679334U,	// VMOVUPSZ256mr
-    21602278U,	// VMOVUPSZ256mrk
-    974151654U,	// VMOVUPSZ256rm
-    88104934U,	// VMOVUPSZ256rmk
-    2302697446U,	// VMOVUPSZ256rmkz
-    135290854U,	// VMOVUPSZ256rr
-    135290854U,	// VMOVUPSZ256rr_alt
-    88104934U,	// VMOVUPSZ256rrk
-    88104934U,	// VMOVUPSZ256rrk_alt
-    2302697446U,	// VMOVUPSZ256rrkz
-    2302697446U,	// VMOVUPSZ256rrkz_alt
-    1712102U,	// VMOVUPSZmr
-    21635046U,	// VMOVUPSZmrk
-    1007706086U,	// VMOVUPSZrm
-    88104934U,	// VMOVUPSZrmk
-    2302697446U,	// VMOVUPSZrmkz
-    135290854U,	// VMOVUPSZrr
-    135290854U,	// VMOVUPSZrr_alt
-    88104934U,	// VMOVUPSZrrk
-    88104934U,	// VMOVUPSZrrk_alt
-    2302697446U,	// VMOVUPSZrrkz
-    2302697446U,	// VMOVUPSZrrkz_alt
-    1646566U,	// VMOVUPSmr
-    537944038U,	// VMOVUPSrm
-    135290854U,	// VMOVUPSrr
-    135290854U,	// VMOVUPSrr_REV
-    336616456U,	// VMOVZPQILo2PQIZrm
-    135289864U,	// VMOVZPQILo2PQIZrr
-    336616456U,	// VMOVZPQILo2PQIrm
-    135289864U,	// VMOVZPQILo2PQIrr
-    168844296U,	// VMOVZQI2PQIrm
-    135289864U,	// VMOVZQI2PQIrr
-    2282775265U,	// VMPSADBWYrmi
-    2282775265U,	// VMPSADBWYrri
-    2282775265U,	// VMPSADBWrmi
-    2282775265U,	// VMPSADBWrri
-    86104U,	// VMPTRLDm
-    90735U,	// VMPTRSTm
-    1118033U,	// VMREAD32rm
-    135286609U,	// VMREAD32rr
-    1134417U,	// VMREAD64rm
-    135286609U,	// VMREAD64rr
-    11474U,	// VMRESUME
-    12311U,	// VMRUN32
-    12366U,	// VMRUN64
-    12300U,	// VMSAVE32
-    12355U,	// VMSAVE64
-    2282771110U,	// VMULPDYrm
-    2282771110U,	// VMULPDYrr
-    2282767116U,	// VMULPDZrm
-    2282767116U,	// VMULPDZrmb
-    155206412U,	// VMULPDZrmbk
-    2302690060U,	// VMULPDZrmbkz
-    155210406U,	// VMULPDZrmk
-    2302694054U,	// VMULPDZrmkz
-    2282767116U,	// VMULPDZrr
-    155206412U,	// VMULPDZrrk
-    2302690060U,	// VMULPDZrrkz
-    2282771110U,	// VMULPDrm
-    2282771110U,	// VMULPDrr
-    2282774348U,	// VMULPSYrm
-    2282774348U,	// VMULPSYrr
-    2282768864U,	// VMULPSZrm
-    2282768864U,	// VMULPSZrmb
-    155208160U,	// VMULPSZrmbk
-    2302691808U,	// VMULPSZrmbkz
-    155213644U,	// VMULPSZrmk
-    2302697292U,	// VMULPSZrmkz
-    2282768864U,	// VMULPSZrr
-    155208160U,	// VMULPSZrrk
-    2302691808U,	// VMULPSZrrkz
-    2282774348U,	// VMULPSrm
-    2282774348U,	// VMULPSrr
-    2282771625U,	// VMULSDZrm
-    2282771625U,	// VMULSDZrr
-    2282771625U,	// VMULSDrm
-    2282771625U,	// VMULSDrm_Int
-    2282771625U,	// VMULSDrr
-    2282771625U,	// VMULSDrr_Int
-    2282774842U,	// VMULSSZrm
-    2282774842U,	// VMULSSZrr
-    2282774842U,	// VMULSSrm
-    2282774842U,	// VMULSSrm_Int
-    2282774842U,	// VMULSSrr
-    2282774842U,	// VMULSSrr_Int
-    101734093U,	// VMWRITE32rm
-    135288525U,	// VMWRITE32rr
-    168842957U,	// VMWRITE64rm
-    135288525U,	// VMWRITE64rr
-    11562U,	// VMXOFF
-    88298U,	// VMXON
-    2282771188U,	// VORPDYrm
-    2282771188U,	// VORPDYrr
-    2282771188U,	// VORPDrm
-    2282771188U,	// VORPDrr
-    2282774426U,	// VORPSYrm
-    2282774426U,	// VORPSYrr
-    2282774426U,	// VORPSrm
-    2282774426U,	// VORPSrr
-    336612895U,	// VPABSBrm128
-    873483807U,	// VPABSBrm256
-    135286303U,	// VPABSBrr128
-    135286303U,	// VPABSBrr256
-    940590173U,	// VPABSDZrm
-    2249213021U,	// VPABSDZrmb
-    155206749U,	// VPABSDZrmbk
-    2302690397U,	// VPABSDZrmbkz
-    155206749U,	// VPABSDZrmk
-    2302690397U,	// VPABSDZrmkz
-    135283805U,	// VPABSDZrr
-    155206749U,	// VPABSDZrrk
-    2302690397U,	// VPABSDZrrkz
-    336614474U,	// VPABSDrm128
-    873485386U,	// VPABSDrm256
-    135287882U,	// VPABSDrr128
-    135287882U,	// VPABSDrr256
-    940591040U,	// VPABSQZrm
-    168839104U,	// VPABSQZrmb
-    155207616U,	// VPABSQZrmbk
-    2302691264U,	// VPABSQZrmbkz
-    155207616U,	// VPABSQZrmk
-    2302691264U,	// VPABSQZrmkz
-    135284672U,	// VPABSQZrr
-    155207616U,	// VPABSQZrrk
-    2302691264U,	// VPABSQZrrkz
-    336618539U,	// VPABSWrm128
-    873489451U,	// VPABSWrm256
-    135291947U,	// VPABSWrr128
-    135291947U,	// VPABSWrr256
-    2282775410U,	// VPACKSSDWYrm
-    2282775410U,	// VPACKSSDWYrr
-    2282775410U,	// VPACKSSDWrm
-    2282775410U,	// VPACKSSDWrr
-    2282770142U,	// VPACKSSWBYrm
-    2282770142U,	// VPACKSSWBYrr
-    2282770142U,	// VPACKSSWBrm
-    2282770142U,	// VPACKSSWBrr
-    2282775421U,	// VPACKUSDWYrm
-    2282775421U,	// VPACKUSDWYrr
-    2282775421U,	// VPACKUSDWrm
-    2282775421U,	// VPACKUSDWrr
-    2282770153U,	// VPACKUSWBYrm
-    2282770153U,	// VPACKUSWBYrr
-    2282770153U,	// VPACKUSWBrm
-    2282770153U,	// VPACKUSWBrr
-    2282769858U,	// VPADDBYrm
-    2282769858U,	// VPADDBYrr
-    2282769858U,	// VPADDBrm
-    2282769858U,	// VPADDBrr
-    2282770370U,	// VPADDDYrm
-    2282770370U,	// VPADDDYrr
-    2282766578U,	// VPADDDZrm
-    2282766578U,	// VPADDDZrmb
+    1041257148U,	// VGATHERQPDrm
+    1108369250U,	// VGATHERQPSYrm
+    3242215909U,	// VGATHERQPSZrm
+    1108369250U,	// VGATHERQPSrm
+    2450543086U,	// VHADDPDYrm
+    2450543086U,	// VHADDPDYrr
+    2450543086U,	// VHADDPDrm
+    2450543086U,	// VHADDPDrr
+    2450546304U,	// VHADDPSYrm
+    2450546304U,	// VHADDPSYrr
+    2450546304U,	// VHADDPSrm
+    2450546304U,	// VHADDPSrr
+    2450543035U,	// VHSUBPDYrm
+    2450543035U,	// VHSUBPDYrr
+    2450543035U,	// VHSUBPDrm
+    2450543035U,	// VHSUBPDrr
+    2450546253U,	// VHSUBPSYrm
+    2450546253U,	// VHSUBPSYrr
+    2450546253U,	// VHSUBPSrm
+    2450546253U,	// VHSUBPSrr
+    2450541781U,	// VINSERTF128rm
+    2450541781U,	// VINSERTF128rr
+    2450541643U,	// VINSERTF32x4rm
+    2450541643U,	// VINSERTF32x4rr
+    2450541701U,	// VINSERTF64x4rm
+    2450541701U,	// VINSERTF64x4rr
+    2450541836U,	// VINSERTI128rm
+    2450541836U,	// VINSERTI128rr
+    2450541672U,	// VINSERTI32x4rm
+    2450541672U,	// VINSERTI32x4rr
+    2450541730U,	// VINSERTI64x4rm
+    2450541730U,	// VINSERTI64x4rr
+    2450546579U,	// VINSERTPSrm
+    2450546579U,	// VINSERTPSrr
+    2450546579U,	// VINSERTPSzrm
+    2450546579U,	// VINSERTPSzrr
+    873488983U,	// VLDDQUYrm
+    403726935U,	// VLDDQUrm
+    72826U,	// VLDMXCSR
+    303063647U,	// VMASKMOVDQU
+    303063647U,	// VMASKMOVDQU64
+    2149159695U,	// VMASKMOVPDYmr
+    2450543375U,	// VMASKMOVPDYrm
+    2149126927U,	// VMASKMOVPDmr
+    2450543375U,	// VMASKMOVPDrm
+    2149162966U,	// VMASKMOVPSYmr
+    2450546646U,	// VMASKMOVPSYrm
+    2149130198U,	// VMASKMOVPSmr
+    2450546646U,	// VMASKMOVPSrm
+    2450543387U,	// VMAXCPDYrm
+    2450543387U,	// VMAXCPDYrr
+    2450543387U,	// VMAXCPDrm
+    2450543387U,	// VMAXCPDrr
+    2450546658U,	// VMAXCPSYrm
+    2450546658U,	// VMAXCPSYrr
+    2450546658U,	// VMAXCPSrm
+    2450546658U,	// VMAXCPSrr
+    2450543838U,	// VMAXCSDrm
+    2450543838U,	// VMAXCSDrr
+    2450547048U,	// VMAXCSSrm
+    2450547048U,	// VMAXCSSrr
+    2450543387U,	// VMAXPDYrm
+    2450543387U,	// VMAXPDYrr
+    2450539361U,	// VMAXPDZrm
+    2450539361U,	// VMAXPDZrmb
+    322978657U,	// VMAXPDZrmbk
+    2470462305U,	// VMAXPDZrmbkz
+    322982683U,	// VMAXPDZrmk
+    2470466331U,	// VMAXPDZrmkz
+    2450539361U,	// VMAXPDZrr
+    322978657U,	// VMAXPDZrrk
+    2470462305U,	// VMAXPDZrrkz
+    2450543387U,	// VMAXPDrm
+    2450543387U,	// VMAXPDrr
+    2450546658U,	// VMAXPSYrm
+    2450546658U,	// VMAXPSYrr
+    2450541065U,	// VMAXPSZrm
+    2450541065U,	// VMAXPSZrmb
+    322980361U,	// VMAXPSZrmbk
+    2470464009U,	// VMAXPSZrmbkz
+    322985954U,	// VMAXPSZrmk
+    2470469602U,	// VMAXPSZrmkz
+    2450541065U,	// VMAXPSZrr
+    322980361U,	// VMAXPSZrrk
+    2470464009U,	// VMAXPSZrrkz
+    2450546658U,	// VMAXPSrm
+    2450546658U,	// VMAXPSrr
+    2450543838U,	// VMAXSDZrm
+    2450543838U,	// VMAXSDZrr
+    2450543838U,	// VMAXSDrm
+    2450543838U,	// VMAXSDrm_Int
+    2450543838U,	// VMAXSDrr
+    2450543838U,	// VMAXSDrr_Int
+    2450547048U,	// VMAXSSZrm
+    2450547048U,	// VMAXSSZrr
+    2450547048U,	// VMAXSSrm
+    2450547048U,	// VMAXSSrm_Int
+    2450547048U,	// VMAXSSrr
+    2450547048U,	// VMAXSSrr_Int
+    11636U,	// VMCALL
+    89102U,	// VMCLEARm
+    11304U,	// VMFUNC
+    2450543269U,	// VMINCPDYrm
+    2450543269U,	// VMINCPDYrr
+    2450543269U,	// VMINCPDrm
+    2450543269U,	// VMINCPDrr
+    2450546499U,	// VMINCPSYrm
+    2450546499U,	// VMINCPSYrr
+    2450546499U,	// VMINCPSrm
+    2450546499U,	// VMINCPSrr
+    2450543758U,	// VMINCSDrm
+    2450543758U,	// VMINCSDrr
+    2450546966U,	// VMINCSSrm
+    2450546966U,	// VMINCSSrr
+    2450543269U,	// VMINPDYrm
+    2450543269U,	// VMINPDYrr
+    2450539307U,	// VMINPDZrm
+    2450539307U,	// VMINPDZrmb
+    322978603U,	// VMINPDZrmbk
+    2470462251U,	// VMINPDZrmbkz
+    322982565U,	// VMINPDZrmk
+    2470466213U,	// VMINPDZrmkz
+    2450539307U,	// VMINPDZrr
+    322978603U,	// VMINPDZrrk
+    2470462251U,	// VMINPDZrrkz
+    2450543269U,	// VMINPDrm
+    2450543269U,	// VMINPDrr
+    2450546499U,	// VMINPSYrm
+    2450546499U,	// VMINPSYrr
+    2450541011U,	// VMINPSZrm
+    2450541011U,	// VMINPSZrmb
+    322980307U,	// VMINPSZrmbk
+    2470463955U,	// VMINPSZrmbkz
+    322985795U,	// VMINPSZrmk
+    2470469443U,	// VMINPSZrmkz
+    2450541011U,	// VMINPSZrr
+    322980307U,	// VMINPSZrrk
+    2470463955U,	// VMINPSZrrkz
+    2450546499U,	// VMINPSrm
+    2450546499U,	// VMINPSrr
+    2450543758U,	// VMINSDZrm
+    2450543758U,	// VMINSDZrr
+    2450543758U,	// VMINSDrm
+    2450543758U,	// VMINSDrm_Int
+    2450543758U,	// VMINSDrr
+    2450543758U,	// VMINSDrr_Int
+    2450546966U,	// VMINSSZrm
+    2450546966U,	// VMINSSZrr
+    2450546966U,	// VMINSSrm
+    2450546966U,	// VMINSSrm_Int
+    2450546966U,	// VMINSSrr
+    2450546966U,	// VMINSSrr_Int
+    11551U,	// VMLAUNCH
+    12245U,	// VMLOAD32
+    12300U,	// VMLOAD64
+    11628U,	// VMMCALL
+    303061980U,	// VMOV64toPQIZrr
+    303061980U,	// VMOV64toPQIrr
+    303061980U,	// VMOV64toSDZrr
+    336616412U,	// VMOV64toSDrm
+    303061980U,	// VMOV64toSDrr
+    1675666U,	// VMOVAPDYmr
+    974147986U,	// VMOVAPDYrm
+    303059346U,	// VMOVAPDYrr
+    303059346U,	// VMOVAPDYrr_REV
+    1642898U,	// VMOVAPDZ128mr
+    21565842U,	// VMOVAPDZ128mrk
+    537940370U,	// VMOVAPDZ128rm
+    88101266U,	// VMOVAPDZ128rmk
+    2470465938U,	// VMOVAPDZ128rmkz
+    303059346U,	// VMOVAPDZ128rr
+    303059346U,	// VMOVAPDZ128rr_alt
+    88101266U,	// VMOVAPDZ128rrk
+    88101266U,	// VMOVAPDZ128rrk_alt
+    2470465938U,	// VMOVAPDZ128rrkz
+    2470465938U,	// VMOVAPDZ128rrkz_alt
+    1675666U,	// VMOVAPDZ256mr
+    21598610U,	// VMOVAPDZ256mrk
+    974147986U,	// VMOVAPDZ256rm
+    88101266U,	// VMOVAPDZ256rmk
+    2470465938U,	// VMOVAPDZ256rmkz
+    303059346U,	// VMOVAPDZ256rr
+    303059346U,	// VMOVAPDZ256rr_alt
+    88101266U,	// VMOVAPDZ256rrk
+    88101266U,	// VMOVAPDZ256rrk_alt
+    2470465938U,	// VMOVAPDZ256rrkz
+    2470465938U,	// VMOVAPDZ256rrkz_alt
+    1708434U,	// VMOVAPDZmr
+    21631378U,	// VMOVAPDZmrk
+    1007702418U,	// VMOVAPDZrm
+    88101266U,	// VMOVAPDZrmk
+    2470465938U,	// VMOVAPDZrmkz
+    303059346U,	// VMOVAPDZrr
+    303059346U,	// VMOVAPDZrr_alt
+    88101266U,	// VMOVAPDZrrk
+    88101266U,	// VMOVAPDZrrk_alt
+    2470465938U,	// VMOVAPDZrrkz
+    2470465938U,	// VMOVAPDZrrkz_alt
+    1642898U,	// VMOVAPDmr
+    537940370U,	// VMOVAPDrm
+    303059346U,	// VMOVAPDrr
+    303059346U,	// VMOVAPDrr_REV
+    1678892U,	// VMOVAPSYmr
+    974151212U,	// VMOVAPSYrm
+    303062572U,	// VMOVAPSYrr
+    303062572U,	// VMOVAPSYrr_REV
+    1646124U,	// VMOVAPSZ128mr
+    21569068U,	// VMOVAPSZ128mrk
+    537943596U,	// VMOVAPSZ128rm
+    88104492U,	// VMOVAPSZ128rmk
+    2470469164U,	// VMOVAPSZ128rmkz
+    303062572U,	// VMOVAPSZ128rr
+    303062572U,	// VMOVAPSZ128rr_alt
+    88104492U,	// VMOVAPSZ128rrk
+    88104492U,	// VMOVAPSZ128rrk_alt
+    2470469164U,	// VMOVAPSZ128rrkz
+    2470469164U,	// VMOVAPSZ128rrkz_alt
+    1678892U,	// VMOVAPSZ256mr
+    21601836U,	// VMOVAPSZ256mrk
+    974151212U,	// VMOVAPSZ256rm
+    88104492U,	// VMOVAPSZ256rmk
+    2470469164U,	// VMOVAPSZ256rmkz
+    303062572U,	// VMOVAPSZ256rr
+    303062572U,	// VMOVAPSZ256rr_alt
+    88104492U,	// VMOVAPSZ256rrk
+    88104492U,	// VMOVAPSZ256rrk_alt
+    2470469164U,	// VMOVAPSZ256rrkz
+    2470469164U,	// VMOVAPSZ256rrkz_alt
+    1711660U,	// VMOVAPSZmr
+    21634604U,	// VMOVAPSZmrk
+    1007705644U,	// VMOVAPSZrm
+    88104492U,	// VMOVAPSZrmk
+    2470469164U,	// VMOVAPSZrmkz
+    303062572U,	// VMOVAPSZrr
+    303062572U,	// VMOVAPSZrr_alt
+    88104492U,	// VMOVAPSZrrk
+    88104492U,	// VMOVAPSZrrk_alt
+    2470469164U,	// VMOVAPSZrrkz
+    2470469164U,	// VMOVAPSZrrkz_alt
+    1646124U,	// VMOVAPSmr
+    537943596U,	// VMOVAPSrm
+    303062572U,	// VMOVAPSrr
+    303062572U,	// VMOVAPSrr_REV
+    974150031U,	// VMOVDDUPYrm
+    303061391U,	// VMOVDDUPYrr
+    1007699339U,	// VMOVDDUPZrm
+    303056267U,	// VMOVDDUPZrr
+    571496847U,	// VMOVDDUPrm
+    303061391U,	// VMOVDDUPrr
+    269505882U,	// VMOVDI2PDIZrm
+    303060314U,	// VMOVDI2PDIZrr
+    269505882U,	// VMOVDI2PDIrm
+    303060314U,	// VMOVDI2PDIrr
+    269505882U,	// VMOVDI2SSZrm
+    303060314U,	// VMOVDI2SSZrr
+    269505882U,	// VMOVDI2SSrm
+    303060314U,	// VMOVDI2SSrr
+    1313700U,	// VMOVDQA32Z128mr
+    21236644U,	// VMOVDQA32Z128mrk
+    403721124U,	// VMOVDQA32Z128rm
+    88099748U,	// VMOVDQA32Z128rmk
+    2470464420U,	// VMOVDQA32Z128rmkz
+    303057828U,	// VMOVDQA32Z128rr
+    303057828U,	// VMOVDQA32Z128rr_alt
+    88099748U,	// VMOVDQA32Z128rrk
+    88099748U,	// VMOVDQA32Z128rrk_alt
+    2470464420U,	// VMOVDQA32Z128rrkz
+    2470464420U,	// VMOVDQA32Z128rrkz_alt
+    1690532U,	// VMOVDQA32Z256mr
+    21613476U,	// VMOVDQA32Z256mrk
+    873483172U,	// VMOVDQA32Z256rm
+    88099748U,	// VMOVDQA32Z256rmk
+    2470464420U,	// VMOVDQA32Z256rmkz
+    303057828U,	// VMOVDQA32Z256rr
+    303057828U,	// VMOVDQA32Z256rr_alt
+    88099748U,	// VMOVDQA32Z256rrk
+    88099748U,	// VMOVDQA32Z256rrk_alt
+    2470464420U,	// VMOVDQA32Z256rrkz
+    2470464420U,	// VMOVDQA32Z256rrkz_alt
+    1723300U,	// VMOVDQA32Zmr
+    21646244U,	// VMOVDQA32Zmrk
+    940592036U,	// VMOVDQA32Zrm
+    88099748U,	// VMOVDQA32Zrmk
+    2470464420U,	// VMOVDQA32Zrmkz
+    303057828U,	// VMOVDQA32Zrr
+    303057828U,	// VMOVDQA32Zrr_alt
+    88099748U,	// VMOVDQA32Zrrk
+    88099748U,	// VMOVDQA32Zrrk_alt
+    2470464420U,	// VMOVDQA32Zrrkz
+    2470464420U,	// VMOVDQA32Zrrkz_alt
+    1313786U,	// VMOVDQA64Z128mr
+    21236730U,	// VMOVDQA64Z128mrk
+    403721210U,	// VMOVDQA64Z128rm
+    88099834U,	// VMOVDQA64Z128rmk
+    2470464506U,	// VMOVDQA64Z128rmkz
+    303057914U,	// VMOVDQA64Z128rr
+    303057914U,	// VMOVDQA64Z128rr_alt
+    88099834U,	// VMOVDQA64Z128rrk
+    88099834U,	// VMOVDQA64Z128rrk_alt
+    2470464506U,	// VMOVDQA64Z128rrkz
+    2470464506U,	// VMOVDQA64Z128rrkz_alt
+    1690618U,	// VMOVDQA64Z256mr
+    21613562U,	// VMOVDQA64Z256mrk
+    873483258U,	// VMOVDQA64Z256rm
+    88099834U,	// VMOVDQA64Z256rmk
+    2470464506U,	// VMOVDQA64Z256rmkz
+    303057914U,	// VMOVDQA64Z256rr
+    303057914U,	// VMOVDQA64Z256rr_alt
+    88099834U,	// VMOVDQA64Z256rrk
+    88099834U,	// VMOVDQA64Z256rrk_alt
+    2470464506U,	// VMOVDQA64Z256rrkz
+    2470464506U,	// VMOVDQA64Z256rrkz_alt
+    1723386U,	// VMOVDQA64Zmr
+    21646330U,	// VMOVDQA64Zmrk
+    940592122U,	// VMOVDQA64Zrm
+    88099834U,	// VMOVDQA64Zrmk
+    2470464506U,	// VMOVDQA64Zrmkz
+    303057914U,	// VMOVDQA64Zrr
+    303057914U,	// VMOVDQA64Zrr_alt
+    88099834U,	// VMOVDQA64Zrrk
+    88099834U,	// VMOVDQA64Zrrk_alt
+    2470464506U,	// VMOVDQA64Zrrkz
+    2470464506U,	// VMOVDQA64Zrrkz_alt
+    1690951U,	// VMOVDQAYmr
+    873483591U,	// VMOVDQAYrm
+    303058247U,	// VMOVDQAYrr
+    303058247U,	// VMOVDQAYrr_REV
+    1314119U,	// VMOVDQAmr
+    403721543U,	// VMOVDQArm
+    303058247U,	// VMOVDQArr
+    303058247U,	// VMOVDQArr_REV
+    1313968U,	// VMOVDQU16Z128mr
+    21236912U,	// VMOVDQU16Z128mrk
+    403721392U,	// VMOVDQU16Z128rm
+    88100016U,	// VMOVDQU16Z128rmk
+    2470464688U,	// VMOVDQU16Z128rmkz
+    303058096U,	// VMOVDQU16Z128rr
+    303058096U,	// VMOVDQU16Z128rr_alt
+    88100016U,	// VMOVDQU16Z128rrk
+    88100016U,	// VMOVDQU16Z128rrk_alt
+    2470464688U,	// VMOVDQU16Z128rrkz
+    2470464688U,	// VMOVDQU16Z128rrkz_alt
+    1690800U,	// VMOVDQU16Z256mr
+    21613744U,	// VMOVDQU16Z256mrk
+    873483440U,	// VMOVDQU16Z256rm
+    88100016U,	// VMOVDQU16Z256rmk
+    2470464688U,	// VMOVDQU16Z256rmkz
+    303058096U,	// VMOVDQU16Z256rr
+    303058096U,	// VMOVDQU16Z256rr_alt
+    88100016U,	// VMOVDQU16Z256rrk
+    88100016U,	// VMOVDQU16Z256rrk_alt
+    2470464688U,	// VMOVDQU16Z256rrkz
+    2470464688U,	// VMOVDQU16Z256rrkz_alt
+    1723568U,	// VMOVDQU16Zmr
+    21646512U,	// VMOVDQU16Zmrk
+    940592304U,	// VMOVDQU16Zrm
+    88100016U,	// VMOVDQU16Zrmk
+    2470464688U,	// VMOVDQU16Zrmkz
+    303058096U,	// VMOVDQU16Zrr
+    303058096U,	// VMOVDQU16Zrr_alt
+    88100016U,	// VMOVDQU16Zrrk
+    88100016U,	// VMOVDQU16Zrrk_alt
+    2470464688U,	// VMOVDQU16Zrrkz
+    2470464688U,	// VMOVDQU16Zrrkz_alt
+    1313718U,	// VMOVDQU32Z128mr
+    21236662U,	// VMOVDQU32Z128mrk
+    403721142U,	// VMOVDQU32Z128rm
+    88099766U,	// VMOVDQU32Z128rmk
+    2470464438U,	// VMOVDQU32Z128rmkz
+    303057846U,	// VMOVDQU32Z128rr
+    303057846U,	// VMOVDQU32Z128rr_alt
+    88099766U,	// VMOVDQU32Z128rrk
+    88099766U,	// VMOVDQU32Z128rrk_alt
+    2470464438U,	// VMOVDQU32Z128rrkz
+    2470464438U,	// VMOVDQU32Z128rrkz_alt
+    1690550U,	// VMOVDQU32Z256mr
+    21613494U,	// VMOVDQU32Z256mrk
+    873483190U,	// VMOVDQU32Z256rm
+    88099766U,	// VMOVDQU32Z256rmk
+    2470464438U,	// VMOVDQU32Z256rmkz
+    303057846U,	// VMOVDQU32Z256rr
+    303057846U,	// VMOVDQU32Z256rr_alt
+    88099766U,	// VMOVDQU32Z256rrk
+    88099766U,	// VMOVDQU32Z256rrk_alt
+    2470464438U,	// VMOVDQU32Z256rrkz
+    2470464438U,	// VMOVDQU32Z256rrkz_alt
+    1723318U,	// VMOVDQU32Zmr
+    21646262U,	// VMOVDQU32Zmrk
+    940592054U,	// VMOVDQU32Zrm
+    88099766U,	// VMOVDQU32Zrmk
+    2470464438U,	// VMOVDQU32Zrmkz
+    303057846U,	// VMOVDQU32Zrr
+    303057846U,	// VMOVDQU32Zrr_alt
+    88099766U,	// VMOVDQU32Zrrk
+    88099766U,	// VMOVDQU32Zrrk_alt
+    2470464438U,	// VMOVDQU32Zrrkz
+    2470464438U,	// VMOVDQU32Zrrkz_alt
+    1313830U,	// VMOVDQU64Z128mr
+    21236774U,	// VMOVDQU64Z128mrk
+    403721254U,	// VMOVDQU64Z128rm
+    88099878U,	// VMOVDQU64Z128rmk
+    2470464550U,	// VMOVDQU64Z128rmkz
+    303057958U,	// VMOVDQU64Z128rr
+    303057958U,	// VMOVDQU64Z128rr_alt
+    88099878U,	// VMOVDQU64Z128rrk
+    88099878U,	// VMOVDQU64Z128rrk_alt
+    2470464550U,	// VMOVDQU64Z128rrkz
+    2470464550U,	// VMOVDQU64Z128rrkz_alt
+    1690662U,	// VMOVDQU64Z256mr
+    21613606U,	// VMOVDQU64Z256mrk
+    873483302U,	// VMOVDQU64Z256rm
+    88099878U,	// VMOVDQU64Z256rmk
+    2470464550U,	// VMOVDQU64Z256rmkz
+    303057958U,	// VMOVDQU64Z256rr
+    303057958U,	// VMOVDQU64Z256rr_alt
+    88099878U,	// VMOVDQU64Z256rrk
+    88099878U,	// VMOVDQU64Z256rrk_alt
+    2470464550U,	// VMOVDQU64Z256rrkz
+    2470464550U,	// VMOVDQU64Z256rrkz_alt
+    1723430U,	// VMOVDQU64Zmr
+    21646374U,	// VMOVDQU64Zmrk
+    940592166U,	// VMOVDQU64Zrm
+    88099878U,	// VMOVDQU64Zrmk
+    2470464550U,	// VMOVDQU64Zrmkz
+    303057958U,	// VMOVDQU64Zrr
+    303057958U,	// VMOVDQU64Zrr_alt
+    88099878U,	// VMOVDQU64Zrrk
+    88099878U,	// VMOVDQU64Zrrk_alt
+    2470464550U,	// VMOVDQU64Zrrkz
+    2470464550U,	// VMOVDQU64Zrrkz_alt
+    1314089U,	// VMOVDQU8Z128mr
+    21237033U,	// VMOVDQU8Z128mrk
+    403721513U,	// VMOVDQU8Z128rm
+    88100137U,	// VMOVDQU8Z128rmk
+    2470464809U,	// VMOVDQU8Z128rmkz
+    303058217U,	// VMOVDQU8Z128rr
+    303058217U,	// VMOVDQU8Z128rr_alt
+    88100137U,	// VMOVDQU8Z128rrk
+    88100137U,	// VMOVDQU8Z128rrk_alt
+    2470464809U,	// VMOVDQU8Z128rrkz
+    2470464809U,	// VMOVDQU8Z128rrkz_alt
+    1690921U,	// VMOVDQU8Z256mr
+    21613865U,	// VMOVDQU8Z256mrk
+    873483561U,	// VMOVDQU8Z256rm
+    88100137U,	// VMOVDQU8Z256rmk
+    2470464809U,	// VMOVDQU8Z256rmkz
+    303058217U,	// VMOVDQU8Z256rr
+    303058217U,	// VMOVDQU8Z256rr_alt
+    88100137U,	// VMOVDQU8Z256rrk
+    88100137U,	// VMOVDQU8Z256rrk_alt
+    2470464809U,	// VMOVDQU8Z256rrkz
+    2470464809U,	// VMOVDQU8Z256rrkz_alt
+    1723689U,	// VMOVDQU8Zmr
+    21646633U,	// VMOVDQU8Zmrk
+    940592425U,	// VMOVDQU8Zrm
+    88100137U,	// VMOVDQU8Zrmk
+    2470464809U,	// VMOVDQU8Zrmkz
+    303058217U,	// VMOVDQU8Zrr
+    303058217U,	// VMOVDQU8Zrr_alt
+    88100137U,	// VMOVDQU8Zrrk
+    88100137U,	// VMOVDQU8Zrrk_alt
+    2470464809U,	// VMOVDQU8Zrrkz
+    2470464809U,	// VMOVDQU8Zrrkz_alt
+    1696364U,	// VMOVDQUYmr
+    873489004U,	// VMOVDQUYrm
+    303063660U,	// VMOVDQUYrr
+    303063660U,	// VMOVDQUYrr_REV
+    1319532U,	// VMOVDQUmr
+    403726956U,	// VMOVDQUrm
+    303063660U,	// VMOVDQUrr
+    303063660U,	// VMOVDQUrr_REV
+    2450546432U,	// VMOVHLPSZrr
+    2450546432U,	// VMOVHLPSrr
+    1184336U,	// VMOVHPDmr
+    2450543184U,	// VMOVHPDrm
+    1187564U,	// VMOVHPSmr
+    2450546412U,	// VMOVHPSrm
+    2450546402U,	// VMOVLHPSZrr
+    2450546402U,	// VMOVLHPSrr
+    1184386U,	// VMOVLPDmr
+    2450543234U,	// VMOVLPDrm
+    1187624U,	// VMOVLPSmr
+    2450546472U,	// VMOVLPSrm
+    303059545U,	// VMOVMSKPDYrr
+    303059545U,	// VMOVMSKPDrr
+    303062773U,	// VMOVMSKPSYrr
+    303062773U,	// VMOVMSKPSrr
+    873483580U,	// VMOVNTDQAYrm
+    403721532U,	// VMOVNTDQAZ128rm
+    873483580U,	// VMOVNTDQAZ256rm
+    940592444U,	// VMOVNTDQAZrm
+    403721532U,	// VMOVNTDQArm
+    1678025U,	// VMOVNTDQYmr
+    1317577U,	// VMOVNTDQZ128mr
+    1694409U,	// VMOVNTDQZ256mr
+    1727177U,	// VMOVNTDQZmr
+    1645257U,	// VMOVNTDQmr
+    1675991U,	// VMOVNTPDYmr
+    1643223U,	// VMOVNTPDZ128mr
+    1675991U,	// VMOVNTPDZ256mr
+    1708759U,	// VMOVNTPDZmr
+    1643223U,	// VMOVNTPDmr
+    1679241U,	// VMOVNTPSYmr
+    1646473U,	// VMOVNTPSZ128mr
+    1679241U,	// VMOVNTPSZ256mr
+    1712009U,	// VMOVNTPSZmr
+    1646473U,	// VMOVNTPSmr
+    1119578U,	// VMOVPDI2DIZmr
+    303060314U,	// VMOVPDI2DIZrr
+    1119578U,	// VMOVPDI2DImr
+    303060314U,	// VMOVPDI2DIrr
+    1137628U,	// VMOVPQI2QImr
+    303061980U,	// VMOVPQI2QIrr
+    1137628U,	// VMOVPQIto64Zmr
+    303061980U,	// VMOVPQIto64Zrr
+    303061980U,	// VMOVPQIto64rr
+    336616412U,	// VMOVQI2PQIZrm
+    336616412U,	// VMOVQI2PQIrm
+    1180802U,	// VMOVSDZmr
+    571491458U,	// VMOVSDZrm
+    2450539650U,	// VMOVSDZrr
+    2450543821U,	// VMOVSDZrr_REV
+    88097922U,	// VMOVSDZrrk
+    1184973U,	// VMOVSDmr
+    571495629U,	// VMOVSDrm
+    2450543821U,	// VMOVSDrr
+    2450543821U,	// VMOVSDrr_REV
+    1137628U,	// VMOVSDto64Zmr
+    303061980U,	// VMOVSDto64Zrr
+    1137628U,	// VMOVSDto64mr
+    303061980U,	// VMOVSDto64rr
+    974150041U,	// VMOVSHDUPYrm
+    303061401U,	// VMOVSHDUPYrr
+    1007699350U,	// VMOVSHDUPZrm
+    303056278U,	// VMOVSHDUPZrr
+    537942425U,	// VMOVSHDUPrm
+    303061401U,	// VMOVSHDUPrr
+    974150052U,	// VMOVSLDUPYrm
+    303061412U,	// VMOVSLDUPYrr
+    1007699362U,	// VMOVSLDUPZrm
+    303056290U,	// VMOVSLDUPZrr
+    537942436U,	// VMOVSLDUPrm
+    303061412U,	// VMOVSLDUPrr
+    1119578U,	// VMOVSS2DIZmr
+    303060314U,	// VMOVSS2DIZrr
+    1119578U,	// VMOVSS2DImr
+    303060314U,	// VMOVSS2DIrr
+    1166002U,	// VMOVSSZmr
+    605047474U,	// VMOVSSZrm
+    2450541234U,	// VMOVSSZrr
+    2450547040U,	// VMOVSSZrr_REV
+    88099506U,	// VMOVSSZrrk
+    1171808U,	// VMOVSSmr
+    605053280U,	// VMOVSSrm
+    2450547040U,	// VMOVSSrr
+    2450547040U,	// VMOVSSrr_REV
+    1676019U,	// VMOVUPDYmr
+    974148339U,	// VMOVUPDYrm
+    303059699U,	// VMOVUPDYrr
+    303059699U,	// VMOVUPDYrr_REV
+    1643251U,	// VMOVUPDZ128mr
+    21566195U,	// VMOVUPDZ128mrk
+    537940723U,	// VMOVUPDZ128rm
+    88101619U,	// VMOVUPDZ128rmk
+    2470466291U,	// VMOVUPDZ128rmkz
+    303059699U,	// VMOVUPDZ128rr
+    303059699U,	// VMOVUPDZ128rr_alt
+    88101619U,	// VMOVUPDZ128rrk
+    88101619U,	// VMOVUPDZ128rrk_alt
+    2470466291U,	// VMOVUPDZ128rrkz
+    2470466291U,	// VMOVUPDZ128rrkz_alt
+    1676019U,	// VMOVUPDZ256mr
+    21598963U,	// VMOVUPDZ256mrk
+    974148339U,	// VMOVUPDZ256rm
+    88101619U,	// VMOVUPDZ256rmk
+    2470466291U,	// VMOVUPDZ256rmkz
+    303059699U,	// VMOVUPDZ256rr
+    303059699U,	// VMOVUPDZ256rr_alt
+    88101619U,	// VMOVUPDZ256rrk
+    88101619U,	// VMOVUPDZ256rrk_alt
+    2470466291U,	// VMOVUPDZ256rrkz
+    2470466291U,	// VMOVUPDZ256rrkz_alt
+    1708787U,	// VMOVUPDZmr
+    21631731U,	// VMOVUPDZmrk
+    1007702771U,	// VMOVUPDZrm
+    88101619U,	// VMOVUPDZrmk
+    2470466291U,	// VMOVUPDZrmkz
+    303059699U,	// VMOVUPDZrr
+    303059699U,	// VMOVUPDZrr_alt
+    88101619U,	// VMOVUPDZrrk
+    88101619U,	// VMOVUPDZrrk_alt
+    2470466291U,	// VMOVUPDZrrkz
+    2470466291U,	// VMOVUPDZrrkz_alt
+    1643251U,	// VMOVUPDmr
+    537940723U,	// VMOVUPDrm
+    303059699U,	// VMOVUPDrr
+    303059699U,	// VMOVUPDrr_REV
+    1679290U,	// VMOVUPSYmr
+    974151610U,	// VMOVUPSYrm
+    303062970U,	// VMOVUPSYrr
+    303062970U,	// VMOVUPSYrr_REV
+    1646522U,	// VMOVUPSZ128mr
+    21569466U,	// VMOVUPSZ128mrk
+    537943994U,	// VMOVUPSZ128rm
+    88104890U,	// VMOVUPSZ128rmk
+    2470469562U,	// VMOVUPSZ128rmkz
+    303062970U,	// VMOVUPSZ128rr
+    303062970U,	// VMOVUPSZ128rr_alt
+    88104890U,	// VMOVUPSZ128rrk
+    88104890U,	// VMOVUPSZ128rrk_alt
+    2470469562U,	// VMOVUPSZ128rrkz
+    2470469562U,	// VMOVUPSZ128rrkz_alt
+    1679290U,	// VMOVUPSZ256mr
+    21602234U,	// VMOVUPSZ256mrk
+    974151610U,	// VMOVUPSZ256rm
+    88104890U,	// VMOVUPSZ256rmk
+    2470469562U,	// VMOVUPSZ256rmkz
+    303062970U,	// VMOVUPSZ256rr
+    303062970U,	// VMOVUPSZ256rr_alt
+    88104890U,	// VMOVUPSZ256rrk
+    88104890U,	// VMOVUPSZ256rrk_alt
+    2470469562U,	// VMOVUPSZ256rrkz
+    2470469562U,	// VMOVUPSZ256rrkz_alt
+    1712058U,	// VMOVUPSZmr
+    21635002U,	// VMOVUPSZmrk
+    1007706042U,	// VMOVUPSZrm
+    88104890U,	// VMOVUPSZrmk
+    2470469562U,	// VMOVUPSZrmkz
+    303062970U,	// VMOVUPSZrr
+    303062970U,	// VMOVUPSZrr_alt
+    88104890U,	// VMOVUPSZrrk
+    88104890U,	// VMOVUPSZrrk_alt
+    2470469562U,	// VMOVUPSZrrkz
+    2470469562U,	// VMOVUPSZrrkz_alt
+    1646522U,	// VMOVUPSmr
+    537943994U,	// VMOVUPSrm
+    303062970U,	// VMOVUPSrr
+    303062970U,	// VMOVUPSrr_REV
+    403725276U,	// VMOVZPQILo2PQIZrm
+    303061980U,	// VMOVZPQILo2PQIZrr
+    403725276U,	// VMOVZPQILo2PQIrm
+    303061980U,	// VMOVZPQILo2PQIrr
+    336616412U,	// VMOVZQI2PQIrm
+    303061980U,	// VMOVZQI2PQIrr
+    2450547381U,	// VMPSADBWYrmi
+    2450547381U,	// VMPSADBWYrri
+    2450547381U,	// VMPSADBWrmi
+    2450547381U,	// VMPSADBWrri
+    86060U,	// VMPTRLDm
+    90691U,	// VMPTRSTm
+    1117989U,	// VMREAD32rm
+    303058725U,	// VMREAD32rr
+    1134373U,	// VMREAD64rm
+    303058725U,	// VMREAD64rr
+    11430U,	// VMRESUME
+    12267U,	// VMRUN32
+    12322U,	// VMRUN64
+    12256U,	// VMSAVE32
+    12311U,	// VMSAVE64
+    2450543226U,	// VMULPDYrm
+    2450543226U,	// VMULPDYrr
+    2450539276U,	// VMULPDZrm
+    2450539276U,	// VMULPDZrmb
+    322978572U,	// VMULPDZrmbk
+    2470462220U,	// VMULPDZrmbkz
+    322982522U,	// VMULPDZrmk
+    2470466170U,	// VMULPDZrmkz
+    2450539276U,	// VMULPDZrr
+    322978572U,	// VMULPDZrrk
+    2470462220U,	// VMULPDZrrkz
+    2450543226U,	// VMULPDrm
+    2450543226U,	// VMULPDrr
+    2450546464U,	// VMULPSYrm
+    2450546464U,	// VMULPSYrr
+    2450540980U,	// VMULPSZrm
+    2450540980U,	// VMULPSZrmb
+    322980276U,	// VMULPSZrmbk
+    2470463924U,	// VMULPSZrmbkz
+    322985760U,	// VMULPSZrmk
+    2470469408U,	// VMULPSZrmkz
+    2450540980U,	// VMULPSZrr
+    322980276U,	// VMULPSZrrk
+    2470463924U,	// VMULPSZrrkz
+    2450546464U,	// VMULPSrm
+    2450546464U,	// VMULPSrr
+    2450543741U,	// VMULSDZrm
+    2450543741U,	// VMULSDZrr
+    2450543741U,	// VMULSDrm
+    2450543741U,	// VMULSDrm_Int
+    2450543741U,	// VMULSDrr
+    2450543741U,	// VMULSDrr_Int
+    2450546958U,	// VMULSSZrm
+    2450546958U,	// VMULSSZrr
+    2450546958U,	// VMULSSrm
+    2450546958U,	// VMULSSrm_Int
+    2450546958U,	// VMULSSrr
+    2450546958U,	// VMULSSrr_Int
+    269506209U,	// VMWRITE32rm
+    303060641U,	// VMWRITE32rr
+    336615073U,	// VMWRITE64rm
+    303060641U,	// VMWRITE64rr
+    11518U,	// VMXOFF
+    88254U,	// VMXON
+    2450543304U,	// VORPDYrm
+    2450543304U,	// VORPDYrr
+    2450543304U,	// VORPDrm
+    2450543304U,	// VORPDrr
+    2450546542U,	// VORPSYrm
+    2450546542U,	// VORPSYrr
+    2450546542U,	// VORPSrm
+    2450546542U,	// VORPSrr
+    403721715U,	// VPABSBrm128
+    873483763U,	// VPABSBrm256
+    303058419U,	// VPABSBrr128
+    303058419U,	// VPABSBrr256
+    940590162U,	// VPABSDZrm
+    2416985170U,	// VPABSDZrmb
+    322978898U,	// VPABSDZrmbk
+    2470462546U,	// VPABSDZrmbkz
+    322978898U,	// VPABSDZrmk
+    2470462546U,	// VPABSDZrmkz
+    303055954U,	// VPABSDZrr
+    322978898U,	// VPABSDZrrk
+    2470462546U,	// VPABSDZrrkz
+    403723294U,	// VPABSDrm128
+    873485342U,	// VPABSDrm256
+    303059998U,	// VPABSDrr128
+    303059998U,	// VPABSDrr256
+    940591007U,	// VPABSQZrm
+    336611231U,	// VPABSQZrmb
+    322979743U,	// VPABSQZrmbk
+    2470463391U,	// VPABSQZrmbkz
+    322979743U,	// VPABSQZrmk
+    2470463391U,	// VPABSQZrmkz
+    303056799U,	// VPABSQZrr
+    322979743U,	// VPABSQZrrk
+    2470463391U,	// VPABSQZrrkz
+    403727359U,	// VPABSWrm128
+    873489407U,	// VPABSWrm256
+    303064063U,	// VPABSWrr128
+    303064063U,	// VPABSWrr256
+    2450547526U,	// VPACKSSDWYrm
+    2450547526U,	// VPACKSSDWYrr
+    2450547526U,	// VPACKSSDWrm
+    2450547526U,	// VPACKSSDWrr
+    2450542258U,	// VPACKSSWBYrm
+    2450542258U,	// VPACKSSWBYrr
+    2450542258U,	// VPACKSSWBrm
+    2450542258U,	// VPACKSSWBrr
+    2450547537U,	// VPACKUSDWYrm
+    2450547537U,	// VPACKUSDWYrr
+    2450547537U,	// VPACKUSDWrm
+    2450547537U,	// VPACKUSDWrr
+    2450542269U,	// VPACKUSWBYrm
+    2450542269U,	// VPACKUSWBYrr
+    2450542269U,	// VPACKUSWBrm
+    2450542269U,	// VPACKUSWBrr
+    2450541974U,	// VPADDBYrm
+    2450541974U,	// VPADDBYrr
+    2450541974U,	// VPADDBrm
+    2450541974U,	// VPADDBrr
+    2450542486U,	// VPADDDYrm
+    2450542486U,	// VPADDDYrr
+    2450538738U,	// VPADDDZrm
+    2450538738U,	// VPADDDZrmb
     88097010U,	// VPADDDZrmbk
-    2302689522U,	// VPADDDZrmbkz
+    2470461682U,	// VPADDDZrmbkz
     88097010U,	// VPADDDZrmk
-    2302689522U,	// VPADDDZrmkz
-    2282766578U,	// VPADDDZrr
+    2470461682U,	// VPADDDZrmkz
+    2450538738U,	// VPADDDZrr
     88097010U,	// VPADDDZrrk
-    2302689522U,	// VPADDDZrrkz
-    2282770370U,	// VPADDDrm
-    2282770370U,	// VPADDDrr
-    2282773118U,	// VPADDQYrm
-    2282773118U,	// VPADDQYrr
-    2282767944U,	// VPADDQZrm
-    2282767944U,	// VPADDQZrmb
-    88098376U,	// VPADDQZrmbk
-    2302690888U,	// VPADDQZrmbkz
-    88098376U,	// VPADDQZrmk
-    2302690888U,	// VPADDQZrmkz
-    2282767944U,	// VPADDQZrr
-    88098376U,	// VPADDQZrrk
-    2302690888U,	// VPADDQZrrkz
-    2282773118U,	// VPADDQrm
-    2282773118U,	// VPADDQrr
-    2282769968U,	// VPADDSBYrm
-    2282769968U,	// VPADDSBYrr
-    2282769968U,	// VPADDSBrm
-    2282769968U,	// VPADDSBrr
-    2282775644U,	// VPADDSWYrm
-    2282775644U,	// VPADDSWYrr
-    2282775644U,	// VPADDSWrm
-    2282775644U,	// VPADDSWrr
-    2282770010U,	// VPADDUSBYrm
-    2282770010U,	// VPADDUSBYrr
-    2282770010U,	// VPADDUSBrm
-    2282770010U,	// VPADDUSBrr
-    2282775717U,	// VPADDUSWYrm
-    2282775717U,	// VPADDUSWYrr
-    2282775717U,	// VPADDUSWrm
-    2282775717U,	// VPADDUSWrr
-    2282775392U,	// VPADDWYrm
-    2282775392U,	// VPADDWYrr
-    2282775392U,	// VPADDWrm
-    2282775392U,	// VPADDWrr
-    2282773614U,	// VPALIGNR128rm
-    2282773614U,	// VPALIGNR128rr
-    2282773614U,	// VPALIGNR256rm
-    2282773614U,	// VPALIGNR256rr
-    2282766595U,	// VPANDDZrm
-    2282766595U,	// VPANDDZrmb
+    2470461682U,	// VPADDDZrrkz
+    2450542486U,	// VPADDDrm
+    2450542486U,	// VPADDDrr
+    2450545234U,	// VPADDQYrm
+    2450545234U,	// VPADDQYrr
+    2450540082U,	// VPADDQZrm
+    2450540082U,	// VPADDQZrmb
+    88098354U,	// VPADDQZrmbk
+    2470463026U,	// VPADDQZrmbkz
+    88098354U,	// VPADDQZrmk
+    2470463026U,	// VPADDQZrmkz
+    2450540082U,	// VPADDQZrr
+    88098354U,	// VPADDQZrrk
+    2470463026U,	// VPADDQZrrkz
+    2450545234U,	// VPADDQrm
+    2450545234U,	// VPADDQrr
+    2450542084U,	// VPADDSBYrm
+    2450542084U,	// VPADDSBYrr
+    2450542084U,	// VPADDSBrm
+    2450542084U,	// VPADDSBrr
+    2450547760U,	// VPADDSWYrm
+    2450547760U,	// VPADDSWYrr
+    2450547760U,	// VPADDSWrm
+    2450547760U,	// VPADDSWrr
+    2450542126U,	// VPADDUSBYrm
+    2450542126U,	// VPADDUSBYrr
+    2450542126U,	// VPADDUSBrm
+    2450542126U,	// VPADDUSBrr
+    2450547833U,	// VPADDUSWYrm
+    2450547833U,	// VPADDUSWYrr
+    2450547833U,	// VPADDUSWrm
+    2450547833U,	// VPADDUSWrr
+    2450547508U,	// VPADDWYrm
+    2450547508U,	// VPADDWYrr
+    2450547508U,	// VPADDWrm
+    2450547508U,	// VPADDWrr
+    2450545730U,	// VPALIGNR128rm
+    2450545730U,	// VPALIGNR128rr
+    2450545730U,	// VPALIGNR256rm
+    2450545730U,	// VPALIGNR256rr
+    2450538755U,	// VPANDDZrm
+    2450538755U,	// VPANDDZrmb
     88097027U,	// VPANDDZrmbk
-    2302689539U,	// VPANDDZrmbkz
+    2470461699U,	// VPANDDZrmbkz
     88097027U,	// VPANDDZrmk
-    2302689539U,	// VPANDDZrmkz
-    2282766595U,	// VPANDDZrr
+    2470461699U,	// VPANDDZrmkz
+    2450538755U,	// VPANDDZrr
     88097027U,	// VPANDDZrrk
-    2302689539U,	// VPANDDZrrkz
-    2282766722U,	// VPANDNDZrm
-    2282766722U,	// VPANDNDZrmb
+    2470461699U,	// VPANDDZrrkz
+    2450538882U,	// VPANDNDZrm
+    2450538882U,	// VPANDNDZrmb
     88097154U,	// VPANDNDZrmbk
-    2302689666U,	// VPANDNDZrmbkz
+    2470461826U,	// VPANDNDZrmbkz
     88097154U,	// VPANDNDZrmk
-    2302689666U,	// VPANDNDZrmkz
-    2282766722U,	// VPANDNDZrr
+    2470461826U,	// VPANDNDZrmkz
+    2450538882U,	// VPANDNDZrr
     88097154U,	// VPANDNDZrrk
-    2302689666U,	// VPANDNDZrrkz
-    2282768221U,	// VPANDNQZrm
-    2282768221U,	// VPANDNQZrmb
-    88098653U,	// VPANDNQZrmbk
-    2302691165U,	// VPANDNQZrmbkz
-    88098653U,	// VPANDNQZrmk
-    2302691165U,	// VPANDNQZrmkz
-    2282768221U,	// VPANDNQZrr
-    88098653U,	// VPANDNQZrrk
-    2302691165U,	// VPANDNQZrrkz
-    2282772691U,	// VPANDNYrm
-    2282772691U,	// VPANDNYrr
-    2282772691U,	// VPANDNrm
-    2282772691U,	// VPANDNrr
-    2282767997U,	// VPANDQZrm
-    2282767997U,	// VPANDQZrmb
-    88098429U,	// VPANDQZrmbk
-    2302690941U,	// VPANDQZrmbkz
-    88098429U,	// VPANDQZrmk
-    2302690941U,	// VPANDQZrmkz
-    2282767997U,	// VPANDQZrr
-    88098429U,	// VPANDQZrrk
-    2302690941U,	// VPANDQZrrkz
-    2282770545U,	// VPANDYrm
-    2282770545U,	// VPANDYrr
-    2282770545U,	// VPANDrm
-    2282770545U,	// VPANDrr
-    2282769875U,	// VPAVGBYrm
-    2282769875U,	// VPAVGBYrr
-    2282769875U,	// VPAVGBrm
-    2282769875U,	// VPAVGBrr
-    2282775447U,	// VPAVGWYrm
-    2282775447U,	// VPAVGWYrr
-    2282775447U,	// VPAVGWrm
-    2282775447U,	// VPAVGWrr
-    2282770378U,	// VPBLENDDYrmi
-    2282770378U,	// VPBLENDDYrri
-    2282770378U,	// VPBLENDDrmi
-    2282770378U,	// VPBLENDDrri
-    155205965U,	// VPBLENDMDZrm
-    155205965U,	// VPBLENDMDZrr
-    155207464U,	// VPBLENDMQZrm
-    155207464U,	// VPBLENDMQZrr
-    2282770124U,	// VPBLENDVBYrm
-    2282770124U,	// VPBLENDVBYrr
-    2282770124U,	// VPBLENDVBrm
-    2282770124U,	// VPBLENDVBrr
-    2282775400U,	// VPBLENDWYrmi
-    2282775400U,	// VPBLENDWYrri
-    2282775400U,	// VPBLENDWrmi
-    2282775400U,	// VPBLENDWrri
-    504385173U,	// VPBROADCASTBYrm
-    135286421U,	// VPBROADCASTBYrr
-    504385173U,	// VPBROADCASTBrm
-    135286421U,	// VPBROADCASTBrr
-    101733677U,	// VPBROADCASTDYrm
-    135288109U,	// VPBROADCASTDYrr
-    2302690508U,	// VPBROADCASTDZkrm
-    2302690508U,	// VPBROADCASTDZkrr
-    101729484U,	// VPBROADCASTDZrm
-    135283916U,	// VPBROADCASTDZrr
-    2302690508U,	// VPBROADCASTDrZkrr
-    135283916U,	// VPBROADCASTDrZrr
-    101733677U,	// VPBROADCASTDrm
-    135288109U,	// VPBROADCASTDrr
-    135284164U,	// VPBROADCASTMB2Qrr
-    135282870U,	// VPBROADCASTMW2Drr
-    168844234U,	// VPBROADCASTQYrm
-    135289802U,	// VPBROADCASTQYrr
-    2302691337U,	// VPBROADCASTQZkrm
-    2302691337U,	// VPBROADCASTQZkrr
-    168839177U,	// VPBROADCASTQZrm
-    135284745U,	// VPBROADCASTQZrr
-    2302691337U,	// VPBROADCASTQrZkrr
-    135284745U,	// VPBROADCASTQrZrr
-    168844234U,	// VPBROADCASTQrm
-    135289802U,	// VPBROADCASTQrr
-    370173137U,	// VPBROADCASTWYrm
-    135292113U,	// VPBROADCASTWYrr
-    370173137U,	// VPBROADCASTWrm
-    135292113U,	// VPBROADCASTWrr
-    2282773213U,	// VPCLMULQDQrm
-    2282773213U,	// VPCLMULQDQrr
-    2282775231U,	// VPCMOVmr
-    2282775231U,	// VPCMOVmrY
-    2282775231U,	// VPCMOVrm
-    2282775231U,	// VPCMOVrmY
-    2282775231U,	// VPCMOVrr
-    2282775231U,	// VPCMOVrrY
-    1166372375U,	// VPCMPDZrmi
-    2282771127U,	// VPCMPDZrmi_alt
-    155210423U,	// VPCMPDZrmik_alt
-    92646935U,	// VPCMPDZrri
-    2282771127U,	// VPCMPDZrri_alt
-    155210423U,	// VPCMPDZrrik_alt
-    2282769923U,	// VPCMPEQBYrm
-    2282769923U,	// VPCMPEQBYrr
-    2282769923U,	// VPCMPEQBrm
-    2282769923U,	// VPCMPEQBrr
-    2282771288U,	// VPCMPEQDYrm
-    2282771288U,	// VPCMPEQDYrr
-    2282767210U,	// VPCMPEQDZrm
-    2282767210U,	// VPCMPEQDZrr
-    2282771288U,	// VPCMPEQDrm
-    2282771288U,	// VPCMPEQDrr
-    2282773354U,	// VPCMPEQQYrm
-    2282773354U,	// VPCMPEQQYrr
-    2282768241U,	// VPCMPEQQZrm
-    2282768241U,	// VPCMPEQQZrr
-    2282773354U,	// VPCMPEQQrm
-    2282773354U,	// VPCMPEQQrr
-    2282775552U,	// VPCMPEQWYrm
-    2282775552U,	// VPCMPEQWYrr
-    2282775552U,	// VPCMPEQWrm
-    2282775552U,	// VPCMPEQWrr
+    2470461826U,	// VPANDNDZrrkz
+    2450540359U,	// VPANDNQZrm
+    2450540359U,	// VPANDNQZrmb
+    88098631U,	// VPANDNQZrmbk
+    2470463303U,	// VPANDNQZrmbkz
+    88098631U,	// VPANDNQZrmk
+    2470463303U,	// VPANDNQZrmkz
+    2450540359U,	// VPANDNQZrr
+    88098631U,	// VPANDNQZrrk
+    2470463303U,	// VPANDNQZrrkz
+    2450544807U,	// VPANDNYrm
+    2450544807U,	// VPANDNYrr
+    2450544807U,	// VPANDNrm
+    2450544807U,	// VPANDNrr
+    2450540135U,	// VPANDQZrm
+    2450540135U,	// VPANDQZrmb
+    88098407U,	// VPANDQZrmbk
+    2470463079U,	// VPANDQZrmbkz
+    88098407U,	// VPANDQZrmk
+    2470463079U,	// VPANDQZrmkz
+    2450540135U,	// VPANDQZrr
+    88098407U,	// VPANDQZrrk
+    2470463079U,	// VPANDQZrrkz
+    2450542661U,	// VPANDYrm
+    2450542661U,	// VPANDYrr
+    2450542661U,	// VPANDrm
+    2450542661U,	// VPANDrr
+    2450541991U,	// VPAVGBYrm
+    2450541991U,	// VPAVGBYrr
+    2450541991U,	// VPAVGBrm
+    2450541991U,	// VPAVGBrr
+    2450547563U,	// VPAVGWYrm
+    2450547563U,	// VPAVGWYrr
+    2450547563U,	// VPAVGWrm
+    2450547563U,	// VPAVGWrr
+    2450542494U,	// VPBLENDDYrmi
+    2450542494U,	// VPBLENDDYrri
+    2450542494U,	// VPBLENDDrmi
+    2450542494U,	// VPBLENDDrri
+    322978125U,	// VPBLENDMDZrm
+    322978125U,	// VPBLENDMDZrr
+    322979602U,	// VPBLENDMQZrm
+    322979602U,	// VPBLENDMQZrr
+    2450542240U,	// VPBLENDVBYrm
+    2450542240U,	// VPBLENDVBYrr
+    2450542240U,	// VPBLENDVBrm
+    2450542240U,	// VPBLENDVBrr
+    2450547516U,	// VPBLENDWYrmi
+    2450547516U,	// VPBLENDWYrri
+    2450547516U,	// VPBLENDWrmi
+    2450547516U,	// VPBLENDWrri
+    504385129U,	// VPBROADCASTBYrm
+    303058537U,	// VPBROADCASTBYrr
+    504385129U,	// VPBROADCASTBrm
+    303058537U,	// VPBROADCASTBrr
+    269505793U,	// VPBROADCASTDYrm
+    303060225U,	// VPBROADCASTDYrr
+    2470462646U,	// VPBROADCASTDZkrm
+    2470462646U,	// VPBROADCASTDZkrr
+    269501622U,	// VPBROADCASTDZrm
+    303056054U,	// VPBROADCASTDZrr
+    2470462646U,	// VPBROADCASTDrZkrr
+    303056054U,	// VPBROADCASTDrZrr
+    269505793U,	// VPBROADCASTDrm
+    303060225U,	// VPBROADCASTDrr
+    303056302U,	// VPBROADCASTMB2Qrr
+    303055030U,	// VPBROADCASTMW2Drr
+    336616350U,	// VPBROADCASTQYrm
+    303061918U,	// VPBROADCASTQYrr
+    2470463453U,	// VPBROADCASTQZkrm
+    2470463453U,	// VPBROADCASTQZkrr
+    336611293U,	// VPBROADCASTQZrm
+    303056861U,	// VPBROADCASTQZrr
+    2470463453U,	// VPBROADCASTQrZkrr
+    303056861U,	// VPBROADCASTQrZrr
+    336616350U,	// VPBROADCASTQrm
+    303061918U,	// VPBROADCASTQrr
+    437281957U,	// VPBROADCASTWYrm
+    303064229U,	// VPBROADCASTWYrr
+    437281957U,	// VPBROADCASTWrm
+    303064229U,	// VPBROADCASTWrr
+    2450545329U,	// VPCLMULQDQrm
+    2450545329U,	// VPCLMULQDQrr
+    2450547347U,	// VPCMOVmr
+    2450547347U,	// VPCMOVmrY
+    2450547347U,	// VPCMOVrm
+    2450547347U,	// VPCMOVrmY
+    2450547347U,	// VPCMOVrr
+    2450547347U,	// VPCMOVrrY
+    1166372331U,	// VPCMPDZrmi
+    2450543243U,	// VPCMPDZrmi_alt
+    322982539U,	// VPCMPDZrmik_alt
+    92646891U,	// VPCMPDZrri
+    2450543243U,	// VPCMPDZrri_alt
+    322982539U,	// VPCMPDZrrik_alt
+    2450542039U,	// VPCMPEQBYrm
+    2450542039U,	// VPCMPEQBYrr
+    2450542039U,	// VPCMPEQBZ128rm
+    322981335U,	// VPCMPEQBZ128rmk
+    2450542039U,	// VPCMPEQBZ128rr
+    322981335U,	// VPCMPEQBZ128rrk
+    2450542039U,	// VPCMPEQBZ256rm
+    322981335U,	// VPCMPEQBZ256rmk
+    2450542039U,	// VPCMPEQBZ256rr
+    322981335U,	// VPCMPEQBZ256rrk
+    2450542039U,	// VPCMPEQBZrm
+    322981335U,	// VPCMPEQBZrmk
+    2450542039U,	// VPCMPEQBZrr
+    322981335U,	// VPCMPEQBZrrk
+    2450542039U,	// VPCMPEQBrm
+    2450542039U,	// VPCMPEQBrr
+    2450543404U,	// VPCMPEQDYrm
+    2450543404U,	// VPCMPEQDYrr
+    2450543404U,	// VPCMPEQDZ128rm
+    2450543404U,	// VPCMPEQDZ128rmb
+    322982700U,	// VPCMPEQDZ128rmbk
+    322982700U,	// VPCMPEQDZ128rmk
+    2450543404U,	// VPCMPEQDZ128rr
+    322982700U,	// VPCMPEQDZ128rrk
+    2450543404U,	// VPCMPEQDZ256rm
+    2450543404U,	// VPCMPEQDZ256rmb
+    322982700U,	// VPCMPEQDZ256rmbk
+    322982700U,	// VPCMPEQDZ256rmk
+    2450543404U,	// VPCMPEQDZ256rr
+    322982700U,	// VPCMPEQDZ256rrk
+    2450543404U,	// VPCMPEQDZrm
+    2450543404U,	// VPCMPEQDZrmb
+    322982700U,	// VPCMPEQDZrmbk
+    322982700U,	// VPCMPEQDZrmk
+    2450543404U,	// VPCMPEQDZrr
+    322982700U,	// VPCMPEQDZrrk
+    2450543404U,	// VPCMPEQDrm
+    2450543404U,	// VPCMPEQDrr
+    2450545470U,	// VPCMPEQQYrm
+    2450545470U,	// VPCMPEQQYrr
+    2450545470U,	// VPCMPEQQZ128rm
+    2450545470U,	// VPCMPEQQZ128rmb
+    322984766U,	// VPCMPEQQZ128rmbk
+    322984766U,	// VPCMPEQQZ128rmk
+    2450545470U,	// VPCMPEQQZ128rr
+    322984766U,	// VPCMPEQQZ128rrk
+    2450545470U,	// VPCMPEQQZ256rm
+    2450545470U,	// VPCMPEQQZ256rmb
+    322984766U,	// VPCMPEQQZ256rmbk
+    322984766U,	// VPCMPEQQZ256rmk
+    2450545470U,	// VPCMPEQQZ256rr
+    322984766U,	// VPCMPEQQZ256rrk
+    2450545470U,	// VPCMPEQQZrm
+    2450545470U,	// VPCMPEQQZrmb
+    322984766U,	// VPCMPEQQZrmbk
+    322984766U,	// VPCMPEQQZrmk
+    2450545470U,	// VPCMPEQQZrr
+    322984766U,	// VPCMPEQQZrrk
+    2450545470U,	// VPCMPEQQrm
+    2450545470U,	// VPCMPEQQrr
+    2450547668U,	// VPCMPEQWYrm
+    2450547668U,	// VPCMPEQWYrr
+    2450547668U,	// VPCMPEQWZ128rm
+    322986964U,	// VPCMPEQWZ128rmk
+    2450547668U,	// VPCMPEQWZ128rr
+    322986964U,	// VPCMPEQWZ128rrk
+    2450547668U,	// VPCMPEQWZ256rm
+    322986964U,	// VPCMPEQWZ256rmk
+    2450547668U,	// VPCMPEQWZ256rr
+    322986964U,	// VPCMPEQWZ256rrk
+    2450547668U,	// VPCMPEQWZrm
+    322986964U,	// VPCMPEQWZrmk
+    2450547668U,	// VPCMPEQWZrr
+    322986964U,	// VPCMPEQWZrrk
+    2450547668U,	// VPCMPEQWrm
+    2450547668U,	// VPCMPEQWrr
     0U,	// VPCMPESTRIMEM
     0U,	// VPCMPESTRIREG
-    2484099009U,	// VPCMPESTRIrm
-    2282772417U,	// VPCMPESTRIrr
+    2551207829U,	// VPCMPESTRIrm
+    2450544533U,	// VPCMPESTRIrr
     0U,	// VPCMPESTRM128MEM
     0U,	// VPCMPESTRM128REG
-    2484099259U,	// VPCMPESTRM128rm
-    2282772667U,	// VPCMPESTRM128rr
-    2282770051U,	// VPCMPGTBYrm
-    2282770051U,	// VPCMPGTBYrr
-    2282770051U,	// VPCMPGTBrm
-    2282770051U,	// VPCMPGTBrr
-    2282771739U,	// VPCMPGTDYrm
-    2282771739U,	// VPCMPGTDYrr
-    2282767534U,	// VPCMPGTDZrm
-    2282767534U,	// VPCMPGTDZrr
-    2282771739U,	// VPCMPGTDrm
-    2282771739U,	// VPCMPGTDrr
-    2282773415U,	// VPCMPGTQYrm
-    2282773415U,	// VPCMPGTQYrr
-    2282768363U,	// VPCMPGTQZrm
-    2282768363U,	// VPCMPGTQZrr
-    2282773415U,	// VPCMPGTQrm
-    2282773415U,	// VPCMPGTQrr
-    2282775743U,	// VPCMPGTWYrm
-    2282775743U,	// VPCMPGTWYrr
-    2282775743U,	// VPCMPGTWrm
-    2282775743U,	// VPCMPGTWrr
+    2551208079U,	// VPCMPESTRM128rm
+    2450544783U,	// VPCMPESTRM128rr
+    2450542167U,	// VPCMPGTBYrm
+    2450542167U,	// VPCMPGTBYrr
+    2450542167U,	// VPCMPGTBZ128rm
+    322981463U,	// VPCMPGTBZ128rmk
+    2450542167U,	// VPCMPGTBZ128rr
+    322981463U,	// VPCMPGTBZ128rrk
+    2450542167U,	// VPCMPGTBZ256rm
+    322981463U,	// VPCMPGTBZ256rmk
+    2450542167U,	// VPCMPGTBZ256rr
+    322981463U,	// VPCMPGTBZ256rrk
+    2450542167U,	// VPCMPGTBZrm
+    322981463U,	// VPCMPGTBZrmk
+    2450542167U,	// VPCMPGTBZrr
+    322981463U,	// VPCMPGTBZrrk
+    2450542167U,	// VPCMPGTBrm
+    2450542167U,	// VPCMPGTBrr
+    2450543855U,	// VPCMPGTDYrm
+    2450543855U,	// VPCMPGTDYrr
+    2450543855U,	// VPCMPGTDZ128rm
+    2450543855U,	// VPCMPGTDZ128rmb
+    322983151U,	// VPCMPGTDZ128rmbk
+    322983151U,	// VPCMPGTDZ128rmk
+    2450543855U,	// VPCMPGTDZ128rr
+    322983151U,	// VPCMPGTDZ128rrk
+    2450543855U,	// VPCMPGTDZ256rm
+    2450543855U,	// VPCMPGTDZ256rmb
+    322983151U,	// VPCMPGTDZ256rmbk
+    322983151U,	// VPCMPGTDZ256rmk
+    2450543855U,	// VPCMPGTDZ256rr
+    322983151U,	// VPCMPGTDZ256rrk
+    2450543855U,	// VPCMPGTDZrm
+    2450543855U,	// VPCMPGTDZrmb
+    322983151U,	// VPCMPGTDZrmbk
+    322983151U,	// VPCMPGTDZrmk
+    2450543855U,	// VPCMPGTDZrr
+    322983151U,	// VPCMPGTDZrrk
+    2450543855U,	// VPCMPGTDrm
+    2450543855U,	// VPCMPGTDrr
+    2450545531U,	// VPCMPGTQYrm
+    2450545531U,	// VPCMPGTQYrr
+    2450545531U,	// VPCMPGTQZ128rm
+    2450545531U,	// VPCMPGTQZ128rmb
+    322984827U,	// VPCMPGTQZ128rmbk
+    322984827U,	// VPCMPGTQZ128rmk
+    2450545531U,	// VPCMPGTQZ128rr
+    322984827U,	// VPCMPGTQZ128rrk
+    2450545531U,	// VPCMPGTQZ256rm
+    2450545531U,	// VPCMPGTQZ256rmb
+    322984827U,	// VPCMPGTQZ256rmbk
+    322984827U,	// VPCMPGTQZ256rmk
+    2450545531U,	// VPCMPGTQZ256rr
+    322984827U,	// VPCMPGTQZ256rrk
+    2450545531U,	// VPCMPGTQZrm
+    2450545531U,	// VPCMPGTQZrmb
+    322984827U,	// VPCMPGTQZrmbk
+    322984827U,	// VPCMPGTQZrmk
+    2450545531U,	// VPCMPGTQZrr
+    322984827U,	// VPCMPGTQZrrk
+    2450545531U,	// VPCMPGTQrm
+    2450545531U,	// VPCMPGTQrr
+    2450547859U,	// VPCMPGTWYrm
+    2450547859U,	// VPCMPGTWYrr
+    2450547859U,	// VPCMPGTWZ128rm
+    322987155U,	// VPCMPGTWZ128rmk
+    2450547859U,	// VPCMPGTWZ128rr
+    322987155U,	// VPCMPGTWZ128rrk
+    2450547859U,	// VPCMPGTWZ256rm
+    322987155U,	// VPCMPGTWZ256rmk
+    2450547859U,	// VPCMPGTWZ256rr
+    322987155U,	// VPCMPGTWZ256rrk
+    2450547859U,	// VPCMPGTWZrm
+    322987155U,	// VPCMPGTWZrmk
+    2450547859U,	// VPCMPGTWZrr
+    322987155U,	// VPCMPGTWZrrk
+    2450547859U,	// VPCMPGTWrm
+    2450547859U,	// VPCMPGTWrr
     0U,	// VPCMPISTRIMEM
     0U,	// VPCMPISTRIREG
-    2484099021U,	// VPCMPISTRIrm
-    2282772429U,	// VPCMPISTRIrr
+    2551207841U,	// VPCMPISTRIrm
+    2450544545U,	// VPCMPISTRIrr
     0U,	// VPCMPISTRM128MEM
     0U,	// VPCMPISTRM128REG
-    2484099271U,	// VPCMPISTRM128rm
-    2282772679U,	// VPCMPISTRM128rr
-    1167420951U,	// VPCMPQZrmi
-    2282773346U,	// VPCMPQZrmi_alt
-    155212642U,	// VPCMPQZrmik_alt
-    93695511U,	// VPCMPQZrri
-    2282773346U,	// VPCMPQZrri_alt
-    155212642U,	// VPCMPQZrrik_alt
-    1168469527U,	// VPCMPUDZrmi
-    2282771789U,	// VPCMPUDZrmi_alt
-    155211085U,	// VPCMPUDZrmik_alt
-    94744087U,	// VPCMPUDZrri
-    2282771789U,	// VPCMPUDZrri_alt
-    155211085U,	// VPCMPUDZrrik_alt
-    1169518103U,	// VPCMPUQZrmi
-    2282773473U,	// VPCMPUQZrmi_alt
-    155212769U,	// VPCMPUQZrmik_alt
-    95792663U,	// VPCMPUQZrri
-    2282773473U,	// VPCMPUQZrri_alt
-    155212769U,	// VPCMPUQZrrik_alt
-    2282769906U,	// VPCOMBmi
-    2282769906U,	// VPCOMBri
-    2282770529U,	// VPCOMDmi
-    2282770529U,	// VPCOMDri
-    2282773330U,	// VPCOMQmi
-    2282773330U,	// VPCOMQri
-    2282770083U,	// VPCOMUBmi
-    2282770083U,	// VPCOMUBri
-    2282771771U,	// VPCOMUDmi
-    2282771771U,	// VPCOMUDri
-    2282773464U,	// VPCOMUQmi
-    2282773464U,	// VPCOMUQri
-    2282775785U,	// VPCOMUWmi
-    2282775785U,	// VPCOMUWri
-    2282775535U,	// VPCOMWmi
-    2282775535U,	// VPCOMWri
-    940590240U,	// VPCONFLICTDrm
-    2249213088U,	// VPCONFLICTDrmb
-    88097952U,	// VPCONFLICTDrmbk
-    2302690464U,	// VPCONFLICTDrmbkz
-    88097952U,	// VPCONFLICTDrmk
-    2302690464U,	// VPCONFLICTDrmkz
-    135283872U,	// VPCONFLICTDrr
-    88097952U,	// VPCONFLICTDrrk
-    2302690464U,	// VPCONFLICTDrrkz
-    940591069U,	// VPCONFLICTQrm
-    168839133U,	// VPCONFLICTQrmb
-    88098781U,	// VPCONFLICTQrmbk
-    2302691293U,	// VPCONFLICTQrmbkz
-    88098781U,	// VPCONFLICTQrmk
-    2302691293U,	// VPCONFLICTQrmkz
-    135284701U,	// VPCONFLICTQrr
-    88098781U,	// VPCONFLICTQrrk
-    2302691293U,	// VPCONFLICTQrrkz
-    2282769639U,	// VPERM2F128rm
-    2282769639U,	// VPERM2F128rr
-    2282769694U,	// VPERM2I128rm
-    2282769694U,	// VPERM2I128rr
-    2282770537U,	// VPERMDYrm
-    2282770537U,	// VPERMDYrr
-    2282766693U,	// VPERMDZrm
-    2282766693U,	// VPERMDZrr
+    2551208091U,	// VPCMPISTRM128rm
+    2450544795U,	// VPCMPISTRM128rr
+    1167420907U,	// VPCMPQZrmi
+    2450545462U,	// VPCMPQZrmi_alt
+    322984758U,	// VPCMPQZrmik_alt
+    93695467U,	// VPCMPQZrri
+    2450545462U,	// VPCMPQZrri_alt
+    322984758U,	// VPCMPQZrrik_alt
+    1168469483U,	// VPCMPUDZrmi
+    2450543905U,	// VPCMPUDZrmi_alt
+    322983201U,	// VPCMPUDZrmik_alt
+    94744043U,	// VPCMPUDZrri
+    2450543905U,	// VPCMPUDZrri_alt
+    322983201U,	// VPCMPUDZrrik_alt
+    1169518059U,	// VPCMPUQZrmi
+    2450545589U,	// VPCMPUQZrmi_alt
+    322984885U,	// VPCMPUQZrmik_alt
+    95792619U,	// VPCMPUQZrri
+    2450545589U,	// VPCMPUQZrri_alt
+    322984885U,	// VPCMPUQZrrik_alt
+    2450542022U,	// VPCOMBmi
+    2450542022U,	// VPCOMBri
+    2450542645U,	// VPCOMDmi
+    2450542645U,	// VPCOMDri
+    2450545446U,	// VPCOMQmi
+    2450545446U,	// VPCOMQri
+    2450542199U,	// VPCOMUBmi
+    2450542199U,	// VPCOMUBri
+    2450543887U,	// VPCOMUDmi
+    2450543887U,	// VPCOMUDri
+    2450545580U,	// VPCOMUQmi
+    2450545580U,	// VPCOMUQri
+    2450547901U,	// VPCOMUWmi
+    2450547901U,	// VPCOMUWri
+    2450547651U,	// VPCOMWmi
+    2450547651U,	// VPCOMWri
+    940590229U,	// VPCONFLICTDrm
+    2416985237U,	// VPCONFLICTDrmb
+    88097941U,	// VPCONFLICTDrmbk
+    2470462613U,	// VPCONFLICTDrmbkz
+    88097941U,	// VPCONFLICTDrmk
+    2470462613U,	// VPCONFLICTDrmkz
+    303056021U,	// VPCONFLICTDrr
+    88097941U,	// VPCONFLICTDrrk
+    2470462613U,	// VPCONFLICTDrrkz
+    940591036U,	// VPCONFLICTQrm
+    336611260U,	// VPCONFLICTQrmb
+    88098748U,	// VPCONFLICTQrmbk
+    2470463420U,	// VPCONFLICTQrmbkz
+    88098748U,	// VPCONFLICTQrmk
+    2470463420U,	// VPCONFLICTQrmkz
+    303056828U,	// VPCONFLICTQrr
+    88098748U,	// VPCONFLICTQrrk
+    2470463420U,	// VPCONFLICTQrrkz
+    2450541755U,	// VPERM2F128rm
+    2450541755U,	// VPERM2F128rr
+    2450541810U,	// VPERM2I128rm
+    2450541810U,	// VPERM2I128rr
+    2450542653U,	// VPERMDYrm
+    2450542653U,	// VPERMDYrr
+    2450538853U,	// VPERMDZrm
+    2450538853U,	// VPERMDZrr
     2215657632U,	// VPERMI2Drm
     88096928U,	// VPERMI2Drmk
     2235580576U,	// VPERMI2Drmkz
@@ -4865,66 +5003,66 @@
     2215657970U,	// VPERMI2PDrr
     88097266U,	// VPERMI2PDrrk
     2235580914U,	// VPERMI2PDrrkz
-    2215659730U,	// VPERMI2PSrm
-    88099026U,	// VPERMI2PSrmk
-    2235582674U,	// VPERMI2PSrmkz
-    2215659730U,	// VPERMI2PSrr
-    88099026U,	// VPERMI2PSrrk
-    2235582674U,	// VPERMI2PSrrkz
-    2215658966U,	// VPERMI2Qrm
-    88098262U,	// VPERMI2Qrmk
-    2235581910U,	// VPERMI2Qrmkz
-    2215658966U,	// VPERMI2Qrr
-    88098262U,	// VPERMI2Qrrk
-    2235581910U,	// VPERMI2Qrrkz
-    2282770758U,	// VPERMIL2PDmr
-    2282770758U,	// VPERMIL2PDmrY
-    2282770758U,	// VPERMIL2PDrm
-    2282770758U,	// VPERMIL2PDrmY
-    2282770758U,	// VPERMIL2PDrr
-    2282770758U,	// VPERMIL2PDrrY
-    2282773995U,	// VPERMIL2PSmr
-    2282773995U,	// VPERMIL2PSmrY
-    2282773995U,	// VPERMIL2PSrm
-    2282773995U,	// VPERMIL2PSrmY
-    2282773995U,	// VPERMIL2PSrr
-    2282773995U,	// VPERMIL2PSrrY
-    3121631888U,	// VPERMILPDYmi
-    2282771088U,	// VPERMILPDYri
-    2282771088U,	// VPERMILPDYrm
-    2282771088U,	// VPERMILPDYrr
+    2215659686U,	// VPERMI2PSrm
+    88098982U,	// VPERMI2PSrmk
+    2235582630U,	// VPERMI2PSrmkz
+    2215659686U,	// VPERMI2PSrr
+    88098982U,	// VPERMI2PSrrk
+    2235582630U,	// VPERMI2PSrrkz
+    2215658944U,	// VPERMI2Qrm
+    88098240U,	// VPERMI2Qrmk
+    2235581888U,	// VPERMI2Qrmkz
+    2215658944U,	// VPERMI2Qrr
+    88098240U,	// VPERMI2Qrrk
+    2235581888U,	// VPERMI2Qrrkz
+    2450542874U,	// VPERMIL2PDmr
+    2450542874U,	// VPERMIL2PDmrY
+    2450542874U,	// VPERMIL2PDrm
+    2450542874U,	// VPERMIL2PDrmY
+    2450542874U,	// VPERMIL2PDrr
+    2450542874U,	// VPERMIL2PDrrY
+    2450546111U,	// VPERMIL2PSmr
+    2450546111U,	// VPERMIL2PSmrY
+    2450546111U,	// VPERMIL2PSrm
+    2450546111U,	// VPERMIL2PSrmY
+    2450546111U,	// VPERMIL2PSrr
+    2450546111U,	// VPERMIL2PSrrY
+    3121631844U,	// VPERMILPDYmi
+    2450543204U,	// VPERMILPDYri
+    2450543204U,	// VPERMILPDYrm
+    2450543204U,	// VPERMILPDYrr
     3088073472U,	// VPERMILPDZmi
-    2282767104U,	// VPERMILPDZri
-    2685424272U,	// VPERMILPDmi
-    2282771088U,	// VPERMILPDri
-    2282771088U,	// VPERMILPDrm
-    2282771088U,	// VPERMILPDrr
-    3121635126U,	// VPERMILPSYmi
-    2282774326U,	// VPERMILPSYri
-    2282774326U,	// VPERMILPSYrm
-    2282774326U,	// VPERMILPSYrr
-    3088075220U,	// VPERMILPSZmi
-    2282768852U,	// VPERMILPSZri
-    2685427510U,	// VPERMILPSmi
-    2282774326U,	// VPERMILPSri
-    2282774326U,	// VPERMILPSrm
-    2282774326U,	// VPERMILPSrr
-    3020968639U,	// VPERMPDYmi
-    2282771135U,	// VPERMPDYri
+    2450539264U,	// VPERMILPDZri
+    2685424228U,	// VPERMILPDmi
+    2450543204U,	// VPERMILPDri
+    2450543204U,	// VPERMILPDrm
+    2450543204U,	// VPERMILPDrr
+    3121635082U,	// VPERMILPSYmi
+    2450546442U,	// VPERMILPSYri
+    2450546442U,	// VPERMILPSYrm
+    2450546442U,	// VPERMILPSYrr
+    3088075176U,	// VPERMILPSZmi
+    2450540968U,	// VPERMILPSZri
+    2685427466U,	// VPERMILPSmi
+    2450546442U,	// VPERMILPSri
+    2450546442U,	// VPERMILPSrm
+    2450546442U,	// VPERMILPSrr
+    3020968595U,	// VPERMPDYmi
+    2450543251U,	// VPERMPDYri
     3155182369U,	// VPERMPDZmi
-    2282767137U,	// VPERMPDZri
-    2282767137U,	// VPERMPDZrm
-    2282767137U,	// VPERMPDZrr
-    2282774365U,	// VPERMPSYrm
-    2282774365U,	// VPERMPSYrr
-    2282768885U,	// VPERMPSZrm
-    2282768885U,	// VPERMPSZrr
-    3020970842U,	// VPERMQYmi
-    2282773338U,	// VPERMQYri
-    3088074560U,	// VPERMQZmi
-    2282768192U,	// VPERMQZri
-    2282768192U,	// VPERMQZrm
-    2282768192U,	// VPERMQZrr
+    2450539297U,	// VPERMPDZri
+    2450539297U,	// VPERMPDZrm
+    2450539297U,	// VPERMPDZrr
+    2450546481U,	// VPERMPSYrm
+    2450546481U,	// VPERMPSYrr
+    2450541001U,	// VPERMPSZrm
+    2450541001U,	// VPERMPSZrr
+    3020970798U,	// VPERMQYmi
+    2450545454U,	// VPERMQYri
+    3088074538U,	// VPERMQZmi
+    2450540330U,	// VPERMQZri
+    2450540330U,	// VPERMQZrm
+    2450540330U,	// VPERMQZrr
     2215657643U,	// VPERMT2Drm
     88096939U,	// VPERMT2Drmk
     2235580587U,	// VPERMT2Drmkz
@@ -4937,1204 +5075,1204 @@
     2215658019U,	// VPERMT2PDrr
     88097315U,	// VPERMT2PDrrk
     2235580963U,	// VPERMT2PDrrkz
-    2215659767U,	// VPERMT2PSrm
-    88099063U,	// VPERMT2PSrmk
-    2235582711U,	// VPERMT2PSrmkz
-    2215659767U,	// VPERMT2PSrr
-    88099063U,	// VPERMT2PSrrk
-    2235582711U,	// VPERMT2PSrrkz
-    2215658977U,	// VPERMT2Qrm
-    88098273U,	// VPERMT2Qrmk
-    2235581921U,	// VPERMT2Qrmkz
-    2215658977U,	// VPERMT2Qrr
-    88098273U,	// VPERMT2Qrrk
-    2235581921U,	// VPERMT2Qrrkz
-    2148634134U,	// VPEXTRBmr
-    2282769942U,	// VPEXTRBrr
-    2148602749U,	// VPEXTRDmr
-    2282771325U,	// VPEXTRDrr
-    2148621193U,	// VPEXTRQmr
-    2282773385U,	// VPEXTRQrr
-    2148574242U,	// VPEXTRWmr
-    2282775586U,	// VPEXTRWri
-    2282775586U,	// VPEXTRWrr_REV
-    1108365268U,	// VPGATHERDDYrm
+    2215659723U,	// VPERMT2PSrm
+    88099019U,	// VPERMT2PSrmk
+    2235582667U,	// VPERMT2PSrmkz
+    2215659723U,	// VPERMT2PSrr
+    88099019U,	// VPERMT2PSrrk
+    2235582667U,	// VPERMT2PSrrkz
+    2215658955U,	// VPERMT2Qrm
+    88098251U,	// VPERMT2Qrmk
+    2235581899U,	// VPERMT2Qrmkz
+    2215658955U,	// VPERMT2Qrr
+    88098251U,	// VPERMT2Qrrk
+    2235581899U,	// VPERMT2Qrrkz
+    2148634090U,	// VPEXTRBmr
+    2450542058U,	// VPEXTRBrr
+    2148602705U,	// VPEXTRDmr
+    2450543441U,	// VPEXTRDrr
+    2148621149U,	// VPEXTRQmr
+    2450545501U,	// VPEXTRQrr
+    2148574198U,	// VPEXTRWmr
+    2450547702U,	// VPEXTRWri
+    2450547702U,	// VPEXTRWrr_REV
+    1108365224U,	// VPGATHERDDYrm
     1094729996U,	// VPGATHERDDZrm
-    1108365268U,	// VPGATHERDDrm
-    1041259241U,	// VPGATHERDQYrm
-    3242215074U,	// VPGATHERDQZrm
-    1041259241U,	// VPGATHERDQrm
-    1108366178U,	// VPGATHERQDYrm
-    3242214261U,	// VPGATHERQDZrm
-    1108366178U,	// VPGATHERQDrm
-    1041259380U,	// VPGATHERQQYrm
-    3242215292U,	// VPGATHERQQZrm
-    1041259380U,	// VPGATHERQQrm
-    336613225U,	// VPHADDBDrm
-    135286633U,	// VPHADDBDrr
-    336615946U,	// VPHADDBQrm
-    135289354U,	// VPHADDBQrr
-    336618228U,	// VPHADDBWrm
-    135291636U,	// VPHADDBWrr
-    336616070U,	// VPHADDDQrm
-    135289478U,	// VPHADDDQrr
-    2282770361U,	// VPHADDDYrm
-    2282770361U,	// VPHADDDYrr
-    2282770361U,	// VPHADDDrm
-    2282770361U,	// VPHADDDrr
-    2282775634U,	// VPHADDSWrm128
-    2282775634U,	// VPHADDSWrm256
-    2282775634U,	// VPHADDSWrr128
-    2282775634U,	// VPHADDSWrr256
-    336613235U,	// VPHADDUBDrm
-    135286643U,	// VPHADDUBDrr
-    336615956U,	// VPHADDUBQrm
-    135289364U,	// VPHADDUBQrr
-    336618262U,	// VPHADDUBWrm
-    135291670U,	// VPHADDUBWrr
-    336616191U,	// VPHADDUDQrm
-    135289599U,	// VPHADDUDQrr
-    336614895U,	// VPHADDUWDrm
-    135288303U,	// VPHADDUWDrr
-    336616473U,	// VPHADDUWQrm
-    135289881U,	// VPHADDUWQrr
-    336614807U,	// VPHADDWDrm
-    135288215U,	// VPHADDWDrr
-    336616463U,	// VPHADDWQrm
-    135289871U,	// VPHADDWQrr
-    2282775383U,	// VPHADDWYrm
-    2282775383U,	// VPHADDWYrr
-    2282775383U,	// VPHADDWrm
-    2282775383U,	// VPHADDWrr
-    336618747U,	// VPHMINPOSUWrm128
-    135292155U,	// VPHMINPOSUWrr128
-    336618199U,	// VPHSUBBWrm
-    135291607U,	// VPHSUBBWrr
-    336616052U,	// VPHSUBDQrm
-    135289460U,	// VPHSUBDQrr
-    2282770302U,	// VPHSUBDYrm
-    2282770302U,	// VPHSUBDYrr
-    2282770302U,	// VPHSUBDrm
-    2282770302U,	// VPHSUBDrr
-    2282775615U,	// VPHSUBSWrm128
-    2282775615U,	// VPHSUBSWrm256
-    2282775615U,	// VPHSUBSWrr128
-    2282775615U,	// VPHSUBSWrr256
-    336614797U,	// VPHSUBWDrm
-    135288205U,	// VPHSUBWDrr
-    2282775329U,	// VPHSUBWYrm
-    2282775329U,	// VPHSUBWYrr
-    2282775329U,	// VPHSUBWrm
-    2282775329U,	// VPHSUBWrr
-    2282769933U,	// VPINSRBrm
-    2282769933U,	// VPINSRBrr
-    2282771316U,	// VPINSRDrm
-    2282771316U,	// VPINSRDrr
-    2282773376U,	// VPINSRQrm
-    2282773376U,	// VPINSRQrr
-    2282775577U,	// VPINSRWrmi
-    2282775577U,	// VPINSRWrri
-    940590265U,	// VPLZCNTDrm
-    2249213113U,	// VPLZCNTDrmb
-    88097977U,	// VPLZCNTDrmbk
-    2302690489U,	// VPLZCNTDrmbkz
-    88097977U,	// VPLZCNTDrmk
-    2302690489U,	// VPLZCNTDrmkz
-    135283897U,	// VPLZCNTDrr
-    88097977U,	// VPLZCNTDrrk
-    2302690489U,	// VPLZCNTDrrkz
-    940591094U,	// VPLZCNTQrm
-    168839158U,	// VPLZCNTQrmb
-    88098806U,	// VPLZCNTQrmbk
-    2302691318U,	// VPLZCNTQrmbkz
-    88098806U,	// VPLZCNTQrmk
-    2302691318U,	// VPLZCNTQrmkz
-    135284726U,	// VPLZCNTQrr
-    88098806U,	// VPLZCNTQrrk
-    2302691318U,	// VPLZCNTQrrkz
-    2282770400U,	// VPMACSDDrm
-    2282770400U,	// VPMACSDDrr
-    2282772293U,	// VPMACSDQHrm
-    2282772293U,	// VPMACSDQHrr
-    2282772579U,	// VPMACSDQLrm
-    2282772579U,	// VPMACSDQLrr
-    2282770410U,	// VPMACSSDDrm
-    2282770410U,	// VPMACSSDDrr
-    2282772304U,	// VPMACSSDQHrm
-    2282772304U,	// VPMACSSDQHrr
-    2282772590U,	// VPMACSSDQLrm
-    2282772590U,	// VPMACSSDQLrr
-    2282771928U,	// VPMACSSWDrm
-    2282771928U,	// VPMACSSWDrr
-    2282775835U,	// VPMACSSWWrm
-    2282775835U,	// VPMACSSWWrr
-    2282771907U,	// VPMACSWDrm
-    2282771907U,	// VPMACSWDrr
-    2282775825U,	// VPMACSWWrm
-    2282775825U,	// VPMACSWWrr
-    2282771939U,	// VPMADCSSWDrm
-    2282771939U,	// VPMADCSSWDrr
-    2282771917U,	// VPMADCSWDrm
-    2282771917U,	// VPMADCSWDrr
-    2282775603U,	// VPMADDUBSWrm128
-    2282775603U,	// VPMADDUBSWrm256
-    2282775603U,	// VPMADDUBSWrr128
-    2282775603U,	// VPMADDUBSWrr256
-    2282771873U,	// VPMADDWDYrm
-    2282771873U,	// VPMADDWDYrr
-    2282771873U,	// VPMADDWDrm
-    2282771873U,	// VPMADDWDrr
-    2149176698U,	// VPMASKMOVDYmr
-    2282771834U,	// VPMASKMOVDYrm
-    2148799866U,	// VPMASKMOVDmr
-    2282771834U,	// VPMASKMOVDrm
-    2149178364U,	// VPMASKMOVQYmr
-    2282773500U,	// VPMASKMOVQYrm
-    2148801532U,	// VPMASKMOVQmr
-    2282773500U,	// VPMASKMOVQrm
-    2282770036U,	// VPMAXSBYrm
-    2282770036U,	// VPMAXSBYrr
-    2282770036U,	// VPMAXSBrm
-    2282770036U,	// VPMAXSBrr
-    2282771713U,	// VPMAXSDYrm
-    2282771713U,	// VPMAXSDYrr
-    2282767510U,	// VPMAXSDZrm
-    2282767510U,	// VPMAXSDZrmb
-    88097942U,	// VPMAXSDZrmbk
-    2302690454U,	// VPMAXSDZrmbkz
-    88097942U,	// VPMAXSDZrmk
-    2302690454U,	// VPMAXSDZrmkz
-    2282767510U,	// VPMAXSDZrr
-    88097942U,	// VPMAXSDZrrk
-    2302690454U,	// VPMAXSDZrrkz
-    2282771713U,	// VPMAXSDrm
-    2282771713U,	// VPMAXSDrr
-    2282768339U,	// VPMAXSQZrm
-    2282768339U,	// VPMAXSQZrmb
-    88098771U,	// VPMAXSQZrmbk
-    2302691283U,	// VPMAXSQZrmbkz
-    88098771U,	// VPMAXSQZrmk
-    2302691283U,	// VPMAXSQZrmkz
-    2282768339U,	// VPMAXSQZrr
-    88098771U,	// VPMAXSQZrrk
-    2302691283U,	// VPMAXSQZrrkz
-    2282775734U,	// VPMAXSWYrm
-    2282775734U,	// VPMAXSWYrr
-    2282775734U,	// VPMAXSWrm
-    2282775734U,	// VPMAXSWrr
-    2282770115U,	// VPMAXUBYrm
-    2282770115U,	// VPMAXUBYrr
-    2282770115U,	// VPMAXUBrm
-    2282770115U,	// VPMAXUBrr
-    2282771798U,	// VPMAXUDYrm
-    2282771798U,	// VPMAXUDYrr
-    2282767589U,	// VPMAXUDZrm
-    2282767589U,	// VPMAXUDZrmb
-    88098021U,	// VPMAXUDZrmbk
-    2302690533U,	// VPMAXUDZrmbkz
-    88098021U,	// VPMAXUDZrmk
-    2302690533U,	// VPMAXUDZrmkz
-    2282767589U,	// VPMAXUDZrr
-    88098021U,	// VPMAXUDZrrk
-    2302690533U,	// VPMAXUDZrrkz
-    2282771798U,	// VPMAXUDrm
-    2282771798U,	// VPMAXUDrr
-    2282768418U,	// VPMAXUQZrm
-    2282768418U,	// VPMAXUQZrmb
-    88098850U,	// VPMAXUQZrmbk
-    2302691362U,	// VPMAXUQZrmbkz
-    88098850U,	// VPMAXUQZrmk
-    2302691362U,	// VPMAXUQZrmkz
-    2282768418U,	// VPMAXUQZrr
-    88098850U,	// VPMAXUQZrrk
-    2302691362U,	// VPMAXUQZrrkz
-    2282775816U,	// VPMAXUWYrm
-    2282775816U,	// VPMAXUWYrr
-    2282775816U,	// VPMAXUWrm
-    2282775816U,	// VPMAXUWrr
-    2282769977U,	// VPMINSBYrm
-    2282769977U,	// VPMINSBYrr
-    2282769977U,	// VPMINSBrm
-    2282769977U,	// VPMINSBrr
-    2282771633U,	// VPMINSDYrm
-    2282771633U,	// VPMINSDYrr
-    2282767476U,	// VPMINSDZrm
-    2282767476U,	// VPMINSDZrmb
-    88097908U,	// VPMINSDZrmbk
-    2302690420U,	// VPMINSDZrmbkz
-    88097908U,	// VPMINSDZrmk
-    2302690420U,	// VPMINSDZrmkz
-    2282767476U,	// VPMINSDZrr
-    88097908U,	// VPMINSDZrrk
-    2302690420U,	// VPMINSDZrrkz
-    2282771633U,	// VPMINSDrm
-    2282771633U,	// VPMINSDrr
-    2282768329U,	// VPMINSQZrm
-    2282768329U,	// VPMINSQZrmb
-    88098761U,	// VPMINSQZrmbk
-    2302691273U,	// VPMINSQZrmbkz
-    88098761U,	// VPMINSQZrmk
-    2302691273U,	// VPMINSQZrmkz
-    2282768329U,	// VPMINSQZrr
-    88098761U,	// VPMINSQZrrk
-    2302691273U,	// VPMINSQZrrkz
-    2282775665U,	// VPMINSWYrm
-    2282775665U,	// VPMINSWYrr
-    2282775665U,	// VPMINSWrm
-    2282775665U,	// VPMINSWrr
-    2282770092U,	// VPMINUBYrm
-    2282770092U,	// VPMINUBYrr
-    2282770092U,	// VPMINUBrm
-    2282770092U,	// VPMINUBrr
-    2282771780U,	// VPMINUDYrm
-    2282771780U,	// VPMINUDYrr
-    2282767579U,	// VPMINUDZrm
-    2282767579U,	// VPMINUDZrmb
-    88098011U,	// VPMINUDZrmbk
-    2302690523U,	// VPMINUDZrmbkz
-    88098011U,	// VPMINUDZrmk
-    2302690523U,	// VPMINUDZrmkz
-    2282767579U,	// VPMINUDZrr
-    88098011U,	// VPMINUDZrrk
-    2302690523U,	// VPMINUDZrrkz
-    2282771780U,	// VPMINUDrm
-    2282771780U,	// VPMINUDrr
-    2282768408U,	// VPMINUQZrm
-    2282768408U,	// VPMINUQZrmb
-    88098840U,	// VPMINUQZrmbk
-    2302691352U,	// VPMINUQZrmbkz
-    88098840U,	// VPMINUQZrmk
-    2302691352U,	// VPMINUQZrmkz
-    2282768408U,	// VPMINUQZrr
-    88098840U,	// VPMINUQZrrk
-    2302691352U,	// VPMINUQZrrkz
-    2282775794U,	// VPMINUWYrm
-    2282775794U,	// VPMINUWYrr
-    2282775794U,	// VPMINUWrm
-    2282775794U,	// VPMINUWrr
+    1108365224U,	// VPGATHERDDrm
+    1041259197U,	// VPGATHERDQYrm
+    3242215052U,	// VPGATHERDQZrm
+    1041259197U,	// VPGATHERDQrm
+    1108366134U,	// VPGATHERQDYrm
+    3242214250U,	// VPGATHERQDZrm
+    1108366134U,	// VPGATHERQDrm
+    1041259336U,	// VPGATHERQQYrm
+    3242215259U,	// VPGATHERQQZrm
+    1041259336U,	// VPGATHERQQrm
+    403722045U,	// VPHADDBDrm
+    303058749U,	// VPHADDBDrr
+    403724766U,	// VPHADDBQrm
+    303061470U,	// VPHADDBQrr
+    403727048U,	// VPHADDBWrm
+    303063752U,	// VPHADDBWrr
+    403724890U,	// VPHADDDQrm
+    303061594U,	// VPHADDDQrr
+    2450542477U,	// VPHADDDYrm
+    2450542477U,	// VPHADDDYrr
+    2450542477U,	// VPHADDDrm
+    2450542477U,	// VPHADDDrr
+    2450547750U,	// VPHADDSWrm128
+    2450547750U,	// VPHADDSWrm256
+    2450547750U,	// VPHADDSWrr128
+    2450547750U,	// VPHADDSWrr256
+    403722055U,	// VPHADDUBDrm
+    303058759U,	// VPHADDUBDrr
+    403724776U,	// VPHADDUBQrm
+    303061480U,	// VPHADDUBQrr
+    403727082U,	// VPHADDUBWrm
+    303063786U,	// VPHADDUBWrr
+    403725011U,	// VPHADDUDQrm
+    303061715U,	// VPHADDUDQrr
+    403723715U,	// VPHADDUWDrm
+    303060419U,	// VPHADDUWDrr
+    403725293U,	// VPHADDUWQrm
+    303061997U,	// VPHADDUWQrr
+    403723627U,	// VPHADDWDrm
+    303060331U,	// VPHADDWDrr
+    403725283U,	// VPHADDWQrm
+    303061987U,	// VPHADDWQrr
+    2450547499U,	// VPHADDWYrm
+    2450547499U,	// VPHADDWYrr
+    2450547499U,	// VPHADDWrm
+    2450547499U,	// VPHADDWrr
+    403727567U,	// VPHMINPOSUWrm128
+    303064271U,	// VPHMINPOSUWrr128
+    403727019U,	// VPHSUBBWrm
+    303063723U,	// VPHSUBBWrr
+    403724872U,	// VPHSUBDQrm
+    303061576U,	// VPHSUBDQrr
+    2450542418U,	// VPHSUBDYrm
+    2450542418U,	// VPHSUBDYrr
+    2450542418U,	// VPHSUBDrm
+    2450542418U,	// VPHSUBDrr
+    2450547731U,	// VPHSUBSWrm128
+    2450547731U,	// VPHSUBSWrm256
+    2450547731U,	// VPHSUBSWrr128
+    2450547731U,	// VPHSUBSWrr256
+    403723617U,	// VPHSUBWDrm
+    303060321U,	// VPHSUBWDrr
+    2450547445U,	// VPHSUBWYrm
+    2450547445U,	// VPHSUBWYrr
+    2450547445U,	// VPHSUBWrm
+    2450547445U,	// VPHSUBWrr
+    2450542049U,	// VPINSRBrm
+    2450542049U,	// VPINSRBrr
+    2450543432U,	// VPINSRDrm
+    2450543432U,	// VPINSRDrr
+    2450545492U,	// VPINSRQrm
+    2450545492U,	// VPINSRQrr
+    2450547693U,	// VPINSRWrmi
+    2450547693U,	// VPINSRWrri
+    940590243U,	// VPLZCNTDrm
+    2416985251U,	// VPLZCNTDrmb
+    88097955U,	// VPLZCNTDrmbk
+    2470462627U,	// VPLZCNTDrmbkz
+    88097955U,	// VPLZCNTDrmk
+    2470462627U,	// VPLZCNTDrmkz
+    303056035U,	// VPLZCNTDrr
+    88097955U,	// VPLZCNTDrrk
+    2470462627U,	// VPLZCNTDrrkz
+    940591050U,	// VPLZCNTQrm
+    336611274U,	// VPLZCNTQrmb
+    88098762U,	// VPLZCNTQrmbk
+    2470463434U,	// VPLZCNTQrmbkz
+    88098762U,	// VPLZCNTQrmk
+    2470463434U,	// VPLZCNTQrmkz
+    303056842U,	// VPLZCNTQrr
+    88098762U,	// VPLZCNTQrrk
+    2470463434U,	// VPLZCNTQrrkz
+    2450542516U,	// VPMACSDDrm
+    2450542516U,	// VPMACSDDrr
+    2450544409U,	// VPMACSDQHrm
+    2450544409U,	// VPMACSDQHrr
+    2450544695U,	// VPMACSDQLrm
+    2450544695U,	// VPMACSDQLrr
+    2450542526U,	// VPMACSSDDrm
+    2450542526U,	// VPMACSSDDrr
+    2450544420U,	// VPMACSSDQHrm
+    2450544420U,	// VPMACSSDQHrr
+    2450544706U,	// VPMACSSDQLrm
+    2450544706U,	// VPMACSSDQLrr
+    2450544044U,	// VPMACSSWDrm
+    2450544044U,	// VPMACSSWDrr
+    2450547951U,	// VPMACSSWWrm
+    2450547951U,	// VPMACSSWWrr
+    2450544023U,	// VPMACSWDrm
+    2450544023U,	// VPMACSWDrr
+    2450547941U,	// VPMACSWWrm
+    2450547941U,	// VPMACSWWrr
+    2450544055U,	// VPMADCSSWDrm
+    2450544055U,	// VPMADCSSWDrr
+    2450544033U,	// VPMADCSWDrm
+    2450544033U,	// VPMADCSWDrr
+    2450547719U,	// VPMADDUBSWrm128
+    2450547719U,	// VPMADDUBSWrm256
+    2450547719U,	// VPMADDUBSWrr128
+    2450547719U,	// VPMADDUBSWrr256
+    2450543989U,	// VPMADDWDYrm
+    2450543989U,	// VPMADDWDYrr
+    2450543989U,	// VPMADDWDrm
+    2450543989U,	// VPMADDWDrr
+    2149176654U,	// VPMASKMOVDYmr
+    2450543950U,	// VPMASKMOVDYrm
+    2148799822U,	// VPMASKMOVDmr
+    2450543950U,	// VPMASKMOVDrm
+    2149178320U,	// VPMASKMOVQYmr
+    2450545616U,	// VPMASKMOVQYrm
+    2148801488U,	// VPMASKMOVQmr
+    2450545616U,	// VPMASKMOVQrm
+    2450542152U,	// VPMAXSBYrm
+    2450542152U,	// VPMAXSBYrr
+    2450542152U,	// VPMAXSBrm
+    2450542152U,	// VPMAXSBrr
+    2450543829U,	// VPMAXSDYrm
+    2450543829U,	// VPMAXSDYrr
+    2450539659U,	// VPMAXSDZrm
+    2450539659U,	// VPMAXSDZrmb
+    88097931U,	// VPMAXSDZrmbk
+    2470462603U,	// VPMAXSDZrmbkz
+    88097931U,	// VPMAXSDZrmk
+    2470462603U,	// VPMAXSDZrmkz
+    2450539659U,	// VPMAXSDZrr
+    88097931U,	// VPMAXSDZrrk
+    2470462603U,	// VPMAXSDZrrkz
+    2450543829U,	// VPMAXSDrm
+    2450543829U,	// VPMAXSDrr
+    2450540466U,	// VPMAXSQZrm
+    2450540466U,	// VPMAXSQZrmb
+    88098738U,	// VPMAXSQZrmbk
+    2470463410U,	// VPMAXSQZrmbkz
+    88098738U,	// VPMAXSQZrmk
+    2470463410U,	// VPMAXSQZrmkz
+    2450540466U,	// VPMAXSQZrr
+    88098738U,	// VPMAXSQZrrk
+    2470463410U,	// VPMAXSQZrrkz
+    2450547850U,	// VPMAXSWYrm
+    2450547850U,	// VPMAXSWYrr
+    2450547850U,	// VPMAXSWrm
+    2450547850U,	// VPMAXSWrr
+    2450542231U,	// VPMAXUBYrm
+    2450542231U,	// VPMAXUBYrr
+    2450542231U,	// VPMAXUBrm
+    2450542231U,	// VPMAXUBrr
+    2450543914U,	// VPMAXUDYrm
+    2450543914U,	// VPMAXUDYrr
+    2450539727U,	// VPMAXUDZrm
+    2450539727U,	// VPMAXUDZrmb
+    88097999U,	// VPMAXUDZrmbk
+    2470462671U,	// VPMAXUDZrmbkz
+    88097999U,	// VPMAXUDZrmk
+    2470462671U,	// VPMAXUDZrmkz
+    2450539727U,	// VPMAXUDZrr
+    88097999U,	// VPMAXUDZrrk
+    2470462671U,	// VPMAXUDZrrkz
+    2450543914U,	// VPMAXUDrm
+    2450543914U,	// VPMAXUDrr
+    2450540534U,	// VPMAXUQZrm
+    2450540534U,	// VPMAXUQZrmb
+    88098806U,	// VPMAXUQZrmbk
+    2470463478U,	// VPMAXUQZrmbkz
+    88098806U,	// VPMAXUQZrmk
+    2470463478U,	// VPMAXUQZrmkz
+    2450540534U,	// VPMAXUQZrr
+    88098806U,	// VPMAXUQZrrk
+    2470463478U,	// VPMAXUQZrrkz
+    2450547932U,	// VPMAXUWYrm
+    2450547932U,	// VPMAXUWYrr
+    2450547932U,	// VPMAXUWrm
+    2450547932U,	// VPMAXUWrr
+    2450542093U,	// VPMINSBYrm
+    2450542093U,	// VPMINSBYrr
+    2450542093U,	// VPMINSBrm
+    2450542093U,	// VPMINSBrr
+    2450543749U,	// VPMINSDYrm
+    2450543749U,	// VPMINSDYrr
+    2450539625U,	// VPMINSDZrm
+    2450539625U,	// VPMINSDZrmb
+    88097897U,	// VPMINSDZrmbk
+    2470462569U,	// VPMINSDZrmbkz
+    88097897U,	// VPMINSDZrmk
+    2470462569U,	// VPMINSDZrmkz
+    2450539625U,	// VPMINSDZrr
+    88097897U,	// VPMINSDZrrk
+    2470462569U,	// VPMINSDZrrkz
+    2450543749U,	// VPMINSDrm
+    2450543749U,	// VPMINSDrr
+    2450540456U,	// VPMINSQZrm
+    2450540456U,	// VPMINSQZrmb
+    88098728U,	// VPMINSQZrmbk
+    2470463400U,	// VPMINSQZrmbkz
+    88098728U,	// VPMINSQZrmk
+    2470463400U,	// VPMINSQZrmkz
+    2450540456U,	// VPMINSQZrr
+    88098728U,	// VPMINSQZrrk
+    2470463400U,	// VPMINSQZrrkz
+    2450547781U,	// VPMINSWYrm
+    2450547781U,	// VPMINSWYrr
+    2450547781U,	// VPMINSWrm
+    2450547781U,	// VPMINSWrr
+    2450542208U,	// VPMINUBYrm
+    2450542208U,	// VPMINUBYrr
+    2450542208U,	// VPMINUBrm
+    2450542208U,	// VPMINUBrr
+    2450543896U,	// VPMINUDYrm
+    2450543896U,	// VPMINUDYrr
+    2450539717U,	// VPMINUDZrm
+    2450539717U,	// VPMINUDZrmb
+    88097989U,	// VPMINUDZrmbk
+    2470462661U,	// VPMINUDZrmbkz
+    88097989U,	// VPMINUDZrmk
+    2470462661U,	// VPMINUDZrmkz
+    2450539717U,	// VPMINUDZrr
+    88097989U,	// VPMINUDZrrk
+    2470462661U,	// VPMINUDZrrkz
+    2450543896U,	// VPMINUDrm
+    2450543896U,	// VPMINUDrr
+    2450540524U,	// VPMINUQZrm
+    2450540524U,	// VPMINUQZrmb
+    88098796U,	// VPMINUQZrmbk
+    2470463468U,	// VPMINUQZrmbkz
+    88098796U,	// VPMINUQZrmk
+    2470463468U,	// VPMINUQZrmkz
+    2450540524U,	// VPMINUQZrr
+    88098796U,	// VPMINUQZrrk
+    2470463468U,	// VPMINUQZrrkz
+    2450547910U,	// VPMINUWYrm
+    2450547910U,	// VPMINUWYrr
+    2450547910U,	// VPMINUWrm
+    2450547910U,	// VPMINUWrr
     1310788U,	// VPMOVDBmr
     21233732U,	// VPMOVDBmrk
-    135282756U,	// VPMOVDBrr
-    155205700U,	// VPMOVDBrrk
-    2302689348U,	// VPMOVDBrrkz
-    1690385U,	// VPMOVDWmr
-    21613329U,	// VPMOVDWmrk
-    135285521U,	// VPMOVDWrr
-    155208465U,	// VPMOVDWrrk
-    2302692113U,	// VPMOVDWrrkz
-    135286239U,	// VPMOVMSKBYrr
-    135286239U,	// VPMOVMSKBrr
+    303054916U,	// VPMOVDBrr
+    322977860U,	// VPMOVDBrrk
+    2470461508U,	// VPMOVDBrrkz
+    1690341U,	// VPMOVDWmr
+    21613285U,	// VPMOVDWmrk
+    303057637U,	// VPMOVDWrr
+    322980581U,	// VPMOVDWrrk
+    2470464229U,	// VPMOVDWrrkz
+    303058355U,	// VPMOVMSKBYrr
+    303058355U,	// VPMOVMSKBrr
     1310830U,	// VPMOVQBmr
     21233774U,	// VPMOVQBmrk
-    135282798U,	// VPMOVQBrr
-    155205742U,	// VPMOVQBrrk
-    2302689390U,	// VPMOVQBrrkz
-    1688487U,	// VPMOVQDmr
-    21611431U,	// VPMOVQDmrk
-    135283623U,	// VPMOVQDrr
-    155206567U,	// VPMOVQDrrk
-    2302690215U,	// VPMOVQDrrkz
-    1313606U,	// VPMOVQWmr
-    21236550U,	// VPMOVQWmrk
-    135285574U,	// VPMOVQWrr
-    155208518U,	// VPMOVQWrrk
-    2302692166U,	// VPMOVQWrrkz
+    303054958U,	// VPMOVQBrr
+    322977902U,	// VPMOVQBrrk
+    2470461550U,	// VPMOVQBrrkz
+    1688476U,	// VPMOVQDmr
+    21611420U,	// VPMOVQDmrk
+    303055772U,	// VPMOVQDrr
+    322978716U,	// VPMOVQDrrk
+    2470462364U,	// VPMOVQDrrkz
+    1313562U,	// VPMOVQWmr
+    21236506U,	// VPMOVQWmrk
+    303057690U,	// VPMOVQWrr
+    322980634U,	// VPMOVQWrrk
+    2470464282U,	// VPMOVQWrrkz
     1310777U,	// VPMOVSDBmr
     21233721U,	// VPMOVSDBmrk
-    135282745U,	// VPMOVSDBrr
-    155205689U,	// VPMOVSDBrrk
-    2302689337U,	// VPMOVSDBrrkz
-    1690374U,	// VPMOVSDWmr
-    21613318U,	// VPMOVSDWmrk
-    135285510U,	// VPMOVSDWrr
-    155208454U,	// VPMOVSDWrrk
-    2302692102U,	// VPMOVSDWrrkz
+    303054905U,	// VPMOVSDBrr
+    322977849U,	// VPMOVSDBrrk
+    2470461497U,	// VPMOVSDBrrkz
+    1690330U,	// VPMOVSDWmr
+    21613274U,	// VPMOVSDWmrk
+    303057626U,	// VPMOVSDWrr
+    322980570U,	// VPMOVSDWrrk
+    2470464218U,	// VPMOVSDWrrkz
     1310819U,	// VPMOVSQBmr
     21233763U,	// VPMOVSQBmrk
-    135282787U,	// VPMOVSQBrr
-    155205731U,	// VPMOVSQBrrk
-    2302689379U,	// VPMOVSQBrrkz
-    1688476U,	// VPMOVSQDmr
-    21611420U,	// VPMOVSQDmrk
-    135283612U,	// VPMOVSQDrr
-    155206556U,	// VPMOVSQDrrk
-    2302690204U,	// VPMOVSQDrrkz
-    1313595U,	// VPMOVSQWmr
-    21236539U,	// VPMOVSQWmrk
-    135285563U,	// VPMOVSQWrr
-    155208507U,	// VPMOVSQWrrk
-    2302692155U,	// VPMOVSQWrrkz
-    101732239U,	// VPMOVSXBDYrm
-    135286671U,	// VPMOVSXBDYrr
-    336609498U,	// VPMOVSXBDZrm
-    155205850U,	// VPMOVSXBDZrmk
-    2302689498U,	// VPMOVSXBDZrmkz
-    135282906U,	// VPMOVSXBDZrr
-    155205850U,	// VPMOVSXBDZrrk
-    2302689498U,	// VPMOVSXBDZrrkz
-    101732239U,	// VPMOVSXBDrm
-    135286671U,	// VPMOVSXBDrr
-    370170407U,	// VPMOVSXBQYrm
-    135289383U,	// VPMOVSXBQYrr
-    336610814U,	// VPMOVSXBQZrm
-    155207166U,	// VPMOVSXBQZrmk
-    2302690814U,	// VPMOVSXBQZrmkz
-    135284222U,	// VPMOVSXBQZrr
-    155207166U,	// VPMOVSXBQZrrk
-    2302690814U,	// VPMOVSXBQZrrkz
-    370170407U,	// VPMOVSXBQrm
-    135289383U,	// VPMOVSXBQrr
-    336618290U,	// VPMOVSXBWYrm
-    135291698U,	// VPMOVSXBWYrr
-    168846130U,	// VPMOVSXBWrm
-    135291698U,	// VPMOVSXBWrr
-    336616212U,	// VPMOVSXDQYrm
-    135289620U,	// VPMOVSXDQYrr
-    873481982U,	// VPMOVSXDQZrm
-    155207422U,	// VPMOVSXDQZrmk
-    2302691070U,	// VPMOVSXDQZrmkz
-    135284478U,	// VPMOVSXDQZrr
-    155207422U,	// VPMOVSXDQZrrk
-    2302691070U,	// VPMOVSXDQZrrkz
-    168844052U,	// VPMOVSXDQrm
-    135289620U,	// VPMOVSXDQrr
-    336614906U,	// VPMOVSXWDYrm
-    135288314U,	// VPMOVSXWDYrr
-    873481493U,	// VPMOVSXWDZrm
-    155206933U,	// VPMOVSXWDZrmk
-    2302690581U,	// VPMOVSXWDZrmkz
-    135283989U,	// VPMOVSXWDZrr
-    155206933U,	// VPMOVSXWDZrrk
-    2302690581U,	// VPMOVSXWDZrrkz
-    168842746U,	// VPMOVSXWDrm
-    135288314U,	// VPMOVSXWDrr
-    101735460U,	// VPMOVSXWQYrm
-    135289892U,	// VPMOVSXWQYrr
-    336611410U,	// VPMOVSXWQZrm
-    155207762U,	// VPMOVSXWQZrmk
-    2302691410U,	// VPMOVSXWQZrmkz
-    135284818U,	// VPMOVSXWQZrr
-    155207762U,	// VPMOVSXWQZrrk
-    2302691410U,	// VPMOVSXWQZrrkz
-    101735460U,	// VPMOVSXWQrm
-    135289892U,	// VPMOVSXWQrr
+    303054947U,	// VPMOVSQBrr
+    322977891U,	// VPMOVSQBrrk
+    2470461539U,	// VPMOVSQBrrkz
+    1688465U,	// VPMOVSQDmr
+    21611409U,	// VPMOVSQDmrk
+    303055761U,	// VPMOVSQDrr
+    322978705U,	// VPMOVSQDrrk
+    2470462353U,	// VPMOVSQDrrkz
+    1313551U,	// VPMOVSQWmr
+    21236495U,	// VPMOVSQWmrk
+    303057679U,	// VPMOVSQWrr
+    322980623U,	// VPMOVSQWrrk
+    2470464271U,	// VPMOVSQWrrkz
+    269504355U,	// VPMOVSXBDYrm
+    303058787U,	// VPMOVSXBDYrr
+    403718362U,	// VPMOVSXBDZrm
+    322978010U,	// VPMOVSXBDZrmk
+    2470461658U,	// VPMOVSXBDZrmkz
+    303055066U,	// VPMOVSXBDZrr
+    322978010U,	// VPMOVSXBDZrrk
+    2470461658U,	// VPMOVSXBDZrrkz
+    269504355U,	// VPMOVSXBDrm
+    303058787U,	// VPMOVSXBDrr
+    437279227U,	// VPMOVSXBQYrm
+    303061499U,	// VPMOVSXBQYrr
+    403719656U,	// VPMOVSXBQZrm
+    322979304U,	// VPMOVSXBQZrmk
+    2470462952U,	// VPMOVSXBQZrmkz
+    303056360U,	// VPMOVSXBQZrr
+    322979304U,	// VPMOVSXBQZrrk
+    2470462952U,	// VPMOVSXBQZrrkz
+    437279227U,	// VPMOVSXBQrm
+    303061499U,	// VPMOVSXBQrr
+    403727110U,	// VPMOVSXBWYrm
+    303063814U,	// VPMOVSXBWYrr
+    336618246U,	// VPMOVSXBWrm
+    303063814U,	// VPMOVSXBWrr
+    403725032U,	// VPMOVSXDQYrm
+    303061736U,	// VPMOVSXDQYrr
+    873481960U,	// VPMOVSXDQZrm
+    322979560U,	// VPMOVSXDQZrmk
+    2470463208U,	// VPMOVSXDQZrmkz
+    303056616U,	// VPMOVSXDQZrr
+    322979560U,	// VPMOVSXDQZrrk
+    2470463208U,	// VPMOVSXDQZrrkz
+    336616168U,	// VPMOVSXDQrm
+    303061736U,	// VPMOVSXDQrr
+    403723726U,	// VPMOVSXWDYrm
+    303060430U,	// VPMOVSXWDYrr
+    873481471U,	// VPMOVSXWDZrm
+    322979071U,	// VPMOVSXWDZrmk
+    2470462719U,	// VPMOVSXWDZrmkz
+    303056127U,	// VPMOVSXWDZrr
+    322979071U,	// VPMOVSXWDZrrk
+    2470462719U,	// VPMOVSXWDZrrkz
+    336614862U,	// VPMOVSXWDrm
+    303060430U,	// VPMOVSXWDrr
+    269507576U,	// VPMOVSXWQYrm
+    303062008U,	// VPMOVSXWQYrr
+    403720230U,	// VPMOVSXWQZrm
+    322979878U,	// VPMOVSXWQZrmk
+    2470463526U,	// VPMOVSXWQZrmkz
+    303056934U,	// VPMOVSXWQZrr
+    322979878U,	// VPMOVSXWQZrrk
+    2470463526U,	// VPMOVSXWQZrrkz
+    269507576U,	// VPMOVSXWQrm
+    303062008U,	// VPMOVSXWQrr
     1310765U,	// VPMOVUSDBmr
     21233709U,	// VPMOVUSDBmrk
-    135282733U,	// VPMOVUSDBrr
-    155205677U,	// VPMOVUSDBrrk
-    2302689325U,	// VPMOVUSDBrrkz
-    1690362U,	// VPMOVUSDWmr
-    21613306U,	// VPMOVUSDWmrk
-    135285498U,	// VPMOVUSDWrr
-    155208442U,	// VPMOVUSDWrrk
-    2302692090U,	// VPMOVUSDWrrkz
+    303054893U,	// VPMOVUSDBrr
+    322977837U,	// VPMOVUSDBrrk
+    2470461485U,	// VPMOVUSDBrrkz
+    1690318U,	// VPMOVUSDWmr
+    21613262U,	// VPMOVUSDWmrk
+    303057614U,	// VPMOVUSDWrr
+    322980558U,	// VPMOVUSDWrrk
+    2470464206U,	// VPMOVUSDWrrkz
     1310807U,	// VPMOVUSQBmr
     21233751U,	// VPMOVUSQBmrk
-    135282775U,	// VPMOVUSQBrr
-    155205719U,	// VPMOVUSQBrrk
-    2302689367U,	// VPMOVUSQBrrkz
-    1688464U,	// VPMOVUSQDmr
-    21611408U,	// VPMOVUSQDmrk
-    135283600U,	// VPMOVUSQDrr
-    155206544U,	// VPMOVUSQDrrk
-    2302690192U,	// VPMOVUSQDrrkz
-    1313583U,	// VPMOVUSQWmr
-    21236527U,	// VPMOVUSQWmrk
-    135285551U,	// VPMOVUSQWrr
-    155208495U,	// VPMOVUSQWrrk
-    2302692143U,	// VPMOVUSQWrrkz
-    101732250U,	// VPMOVZXBDYrm
-    135286682U,	// VPMOVZXBDYrr
-    336609510U,	// VPMOVZXBDZrm
-    155205862U,	// VPMOVZXBDZrmk
-    2302689510U,	// VPMOVZXBDZrmkz
-    135282918U,	// VPMOVZXBDZrr
-    155205862U,	// VPMOVZXBDZrrk
-    2302689510U,	// VPMOVZXBDZrrkz
-    101732250U,	// VPMOVZXBDrm
-    135286682U,	// VPMOVZXBDrr
-    370170418U,	// VPMOVZXBQYrm
-    135289394U,	// VPMOVZXBQYrr
-    336610826U,	// VPMOVZXBQZrm
-    155207178U,	// VPMOVZXBQZrmk
-    2302690826U,	// VPMOVZXBQZrmkz
-    135284234U,	// VPMOVZXBQZrr
-    155207178U,	// VPMOVZXBQZrrk
-    2302690826U,	// VPMOVZXBQZrrkz
-    370170418U,	// VPMOVZXBQrm
-    135289394U,	// VPMOVZXBQrr
-    336618301U,	// VPMOVZXBWYrm
-    135291709U,	// VPMOVZXBWYrr
-    168846141U,	// VPMOVZXBWrm
-    135291709U,	// VPMOVZXBWrr
-    336616223U,	// VPMOVZXDQYrm
-    135289631U,	// VPMOVZXDQYrr
-    873481994U,	// VPMOVZXDQZrm
-    155207434U,	// VPMOVZXDQZrmk
-    2302691082U,	// VPMOVZXDQZrmkz
-    135284490U,	// VPMOVZXDQZrr
-    155207434U,	// VPMOVZXDQZrrk
-    2302691082U,	// VPMOVZXDQZrrkz
-    168844063U,	// VPMOVZXDQrm
-    135289631U,	// VPMOVZXDQrr
-    336614917U,	// VPMOVZXWDYrm
-    135288325U,	// VPMOVZXWDYrr
-    873481505U,	// VPMOVZXWDZrm
-    155206945U,	// VPMOVZXWDZrmk
-    2302690593U,	// VPMOVZXWDZrmkz
-    135284001U,	// VPMOVZXWDZrr
-    155206945U,	// VPMOVZXWDZrrk
-    2302690593U,	// VPMOVZXWDZrrkz
-    168842757U,	// VPMOVZXWDrm
-    135288325U,	// VPMOVZXWDrr
-    101735471U,	// VPMOVZXWQYrm
-    135289903U,	// VPMOVZXWQYrr
-    336611422U,	// VPMOVZXWQZrm
-    155207774U,	// VPMOVZXWQZrmk
-    2302691422U,	// VPMOVZXWQZrmkz
-    135284830U,	// VPMOVZXWQZrr
-    155207774U,	// VPMOVZXWQZrrk
-    2302691422U,	// VPMOVZXWQZrrkz
-    101735471U,	// VPMOVZXWQrm
-    135289903U,	// VPMOVZXWQrr
-    2282773178U,	// VPMULDQYrm
-    2282773178U,	// VPMULDQYrr
-    2282767979U,	// VPMULDQZrm
-    2282767979U,	// VPMULDQZrmb
-    155207275U,	// VPMULDQZrmbk
-    2302690923U,	// VPMULDQZrmbkz
-    155207275U,	// VPMULDQZrmk
-    2302690923U,	// VPMULDQZrmkz
-    2282767979U,	// VPMULDQZrr
-    155207275U,	// VPMULDQZrrk
-    2302690923U,	// VPMULDQZrrkz
-    2282773178U,	// VPMULDQrm
-    2282773178U,	// VPMULDQrr
-    2282775688U,	// VPMULHRSWrm128
-    2282775688U,	// VPMULHRSWrm256
-    2282775688U,	// VPMULHRSWrr128
-    2282775688U,	// VPMULHRSWrr256
-    2282775775U,	// VPMULHUWYrm
-    2282775775U,	// VPMULHUWYrr
-    2282775775U,	// VPMULHUWrm
-    2282775775U,	// VPMULHUWrr
-    2282775476U,	// VPMULHWYrm
-    2282775476U,	// VPMULHWYrr
-    2282775476U,	// VPMULHWrm
-    2282775476U,	// VPMULHWrr
-    2282770503U,	// VPMULLDYrm
-    2282770503U,	// VPMULLDYrr
-    2282766650U,	// VPMULLDZrm
-    2282766650U,	// VPMULLDZrmb
+    303054935U,	// VPMOVUSQBrr
+    322977879U,	// VPMOVUSQBrrk
+    2470461527U,	// VPMOVUSQBrrkz
+    1688453U,	// VPMOVUSQDmr
+    21611397U,	// VPMOVUSQDmrk
+    303055749U,	// VPMOVUSQDrr
+    322978693U,	// VPMOVUSQDrrk
+    2470462341U,	// VPMOVUSQDrrkz
+    1313539U,	// VPMOVUSQWmr
+    21236483U,	// VPMOVUSQWmrk
+    303057667U,	// VPMOVUSQWrr
+    322980611U,	// VPMOVUSQWrrk
+    2470464259U,	// VPMOVUSQWrrkz
+    269504366U,	// VPMOVZXBDYrm
+    303058798U,	// VPMOVZXBDYrr
+    403718374U,	// VPMOVZXBDZrm
+    322978022U,	// VPMOVZXBDZrmk
+    2470461670U,	// VPMOVZXBDZrmkz
+    303055078U,	// VPMOVZXBDZrr
+    322978022U,	// VPMOVZXBDZrrk
+    2470461670U,	// VPMOVZXBDZrrkz
+    269504366U,	// VPMOVZXBDrm
+    303058798U,	// VPMOVZXBDrr
+    437279238U,	// VPMOVZXBQYrm
+    303061510U,	// VPMOVZXBQYrr
+    403719668U,	// VPMOVZXBQZrm
+    322979316U,	// VPMOVZXBQZrmk
+    2470462964U,	// VPMOVZXBQZrmkz
+    303056372U,	// VPMOVZXBQZrr
+    322979316U,	// VPMOVZXBQZrrk
+    2470462964U,	// VPMOVZXBQZrrkz
+    437279238U,	// VPMOVZXBQrm
+    303061510U,	// VPMOVZXBQrr
+    403727121U,	// VPMOVZXBWYrm
+    303063825U,	// VPMOVZXBWYrr
+    336618257U,	// VPMOVZXBWrm
+    303063825U,	// VPMOVZXBWrr
+    403725043U,	// VPMOVZXDQYrm
+    303061747U,	// VPMOVZXDQYrr
+    873481972U,	// VPMOVZXDQZrm
+    322979572U,	// VPMOVZXDQZrmk
+    2470463220U,	// VPMOVZXDQZrmkz
+    303056628U,	// VPMOVZXDQZrr
+    322979572U,	// VPMOVZXDQZrrk
+    2470463220U,	// VPMOVZXDQZrrkz
+    336616179U,	// VPMOVZXDQrm
+    303061747U,	// VPMOVZXDQrr
+    403723737U,	// VPMOVZXWDYrm
+    303060441U,	// VPMOVZXWDYrr
+    873481483U,	// VPMOVZXWDZrm
+    322979083U,	// VPMOVZXWDZrmk
+    2470462731U,	// VPMOVZXWDZrmkz
+    303056139U,	// VPMOVZXWDZrr
+    322979083U,	// VPMOVZXWDZrrk
+    2470462731U,	// VPMOVZXWDZrrkz
+    336614873U,	// VPMOVZXWDrm
+    303060441U,	// VPMOVZXWDrr
+    269507587U,	// VPMOVZXWQYrm
+    303062019U,	// VPMOVZXWQYrr
+    403720242U,	// VPMOVZXWQZrm
+    322979890U,	// VPMOVZXWQZrmk
+    2470463538U,	// VPMOVZXWQZrmkz
+    303056946U,	// VPMOVZXWQZrr
+    322979890U,	// VPMOVZXWQZrrk
+    2470463538U,	// VPMOVZXWQZrrkz
+    269507587U,	// VPMOVZXWQrm
+    303062019U,	// VPMOVZXWQrr
+    2450545294U,	// VPMULDQYrm
+    2450545294U,	// VPMULDQYrr
+    2450540117U,	// VPMULDQZrm
+    2450540117U,	// VPMULDQZrmb
+    322979413U,	// VPMULDQZrmbk
+    2470463061U,	// VPMULDQZrmbkz
+    322979413U,	// VPMULDQZrmk
+    2470463061U,	// VPMULDQZrmkz
+    2450540117U,	// VPMULDQZrr
+    322979413U,	// VPMULDQZrrk
+    2470463061U,	// VPMULDQZrrkz
+    2450545294U,	// VPMULDQrm
+    2450545294U,	// VPMULDQrr
+    2450547804U,	// VPMULHRSWrm128
+    2450547804U,	// VPMULHRSWrm256
+    2450547804U,	// VPMULHRSWrr128
+    2450547804U,	// VPMULHRSWrr256
+    2450547891U,	// VPMULHUWYrm
+    2450547891U,	// VPMULHUWYrr
+    2450547891U,	// VPMULHUWrm
+    2450547891U,	// VPMULHUWrr
+    2450547592U,	// VPMULHWYrm
+    2450547592U,	// VPMULHWYrr
+    2450547592U,	// VPMULHWrm
+    2450547592U,	// VPMULHWrr
+    2450542619U,	// VPMULLDYrm
+    2450542619U,	// VPMULLDYrr
+    2450538810U,	// VPMULLDZrm
+    2450538810U,	// VPMULLDZrmb
     88097082U,	// VPMULLDZrmbk
-    2302689594U,	// VPMULLDZrmbkz
+    2470461754U,	// VPMULLDZrmbkz
     88097082U,	// VPMULLDZrmk
-    2302689594U,	// VPMULLDZrmkz
-    2282766650U,	// VPMULLDZrr
+    2470461754U,	// VPMULLDZrmkz
+    2450538810U,	// VPMULLDZrr
     88097082U,	// VPMULLDZrrk
-    2302689594U,	// VPMULLDZrrkz
-    2282770503U,	// VPMULLDrm
-    2282770503U,	// VPMULLDrr
-    2282775518U,	// VPMULLWYrm
-    2282775518U,	// VPMULLWYrr
-    2282775518U,	// VPMULLWrm
-    2282775518U,	// VPMULLWrr
-    2282773258U,	// VPMULUDQYrm
-    2282773258U,	// VPMULUDQYrr
-    2282768115U,	// VPMULUDQZrm
-    2282768115U,	// VPMULUDQZrmb
-    155207411U,	// VPMULUDQZrmbk
-    2302691059U,	// VPMULUDQZrmbkz
-    155207411U,	// VPMULUDQZrmk
-    2302691059U,	// VPMULUDQZrmkz
-    2282768115U,	// VPMULUDQZrr
-    155207411U,	// VPMULUDQZrrk
-    2302691059U,	// VPMULUDQZrrkz
-    2282773258U,	// VPMULUDQrm
-    2282773258U,	// VPMULUDQrr
-    2282767297U,	// VPORDZrm
-    2282767297U,	// VPORDZrmb
-    88097729U,	// VPORDZrmbk
-    2302690241U,	// VPORDZrmbkz
-    88097729U,	// VPORDZrmk
-    2302690241U,	// VPORDZrmkz
-    2282767297U,	// VPORDZrr
-    88097729U,	// VPORDZrrk
-    2302690241U,	// VPORDZrrkz
-    2282768295U,	// VPORQZrm
-    2282768295U,	// VPORQZrmb
-    88098727U,	// VPORQZrmbk
-    2302691239U,	// VPORQZrmbkz
-    88098727U,	// VPORQZrmk
-    2302691239U,	// VPORQZrmkz
-    2282768295U,	// VPORQZrr
-    88098727U,	// VPORQZrrk
-    2302691239U,	// VPORQZrrkz
-    2282773624U,	// VPORYrm
-    2282773624U,	// VPORYrr
-    2282773624U,	// VPORrm
-    2282773624U,	// VPORrr
-    2282772659U,	// VPPERMmr
-    2282772659U,	// VPPERMrm
-    2282772659U,	// VPPERMrr
-    2484096653U,	// VPROTBmi
-    2484096653U,	// VPROTBmr
-    2282770061U,	// VPROTBri
-    2282770061U,	// VPROTBrm
-    2282770061U,	// VPROTBrr
-    2484098341U,	// VPROTDmi
-    2484098341U,	// VPROTDmr
-    2282771749U,	// VPROTDri
-    2282771749U,	// VPROTDrm
-    2282771749U,	// VPROTDrr
-    2484100025U,	// VPROTQmi
-    2484100025U,	// VPROTQmr
-    2282773433U,	// VPROTQri
-    2282773433U,	// VPROTQrm
-    2282773433U,	// VPROTQrr
-    2484102345U,	// VPROTWmi
-    2484102345U,	// VPROTWmr
-    2282775753U,	// VPROTWri
-    2282775753U,	// VPROTWrm
-    2282775753U,	// VPROTWrr
-    2282775275U,	// VPSADBWYrm
-    2282775275U,	// VPSADBWYrr
-    2282775275U,	// VPSADBWrm
-    2282775275U,	// VPSADBWrr
+    2470461754U,	// VPMULLDZrrkz
+    2450542619U,	// VPMULLDrm
+    2450542619U,	// VPMULLDrr
+    2450547634U,	// VPMULLWYrm
+    2450547634U,	// VPMULLWYrr
+    2450547634U,	// VPMULLWrm
+    2450547634U,	// VPMULLWrr
+    2450545374U,	// VPMULUDQYrm
+    2450545374U,	// VPMULUDQYrr
+    2450540253U,	// VPMULUDQZrm
+    2450540253U,	// VPMULUDQZrmb
+    322979549U,	// VPMULUDQZrmbk
+    2470463197U,	// VPMULUDQZrmbkz
+    322979549U,	// VPMULUDQZrmk
+    2470463197U,	// VPMULUDQZrmkz
+    2450540253U,	// VPMULUDQZrr
+    322979549U,	// VPMULUDQZrrk
+    2470463197U,	// VPMULUDQZrrkz
+    2450545374U,	// VPMULUDQrm
+    2450545374U,	// VPMULUDQrr
+    2450539446U,	// VPORDZrm
+    2450539446U,	// VPORDZrmb
+    88097718U,	// VPORDZrmbk
+    2470462390U,	// VPORDZrmbkz
+    88097718U,	// VPORDZrmk
+    2470462390U,	// VPORDZrmkz
+    2450539446U,	// VPORDZrr
+    88097718U,	// VPORDZrrk
+    2470462390U,	// VPORDZrrkz
+    2450540422U,	// VPORQZrm
+    2450540422U,	// VPORQZrmb
+    88098694U,	// VPORQZrmbk
+    2470463366U,	// VPORQZrmbkz
+    88098694U,	// VPORQZrmk
+    2470463366U,	// VPORQZrmkz
+    2450540422U,	// VPORQZrr
+    88098694U,	// VPORQZrrk
+    2470463366U,	// VPORQZrrkz
+    2450545740U,	// VPORYrm
+    2450545740U,	// VPORYrr
+    2450545740U,	// VPORrm
+    2450545740U,	// VPORrr
+    2450544775U,	// VPPERMmr
+    2450544775U,	// VPPERMrm
+    2450544775U,	// VPPERMrr
+    2551205473U,	// VPROTBmi
+    2551205473U,	// VPROTBmr
+    2450542177U,	// VPROTBri
+    2450542177U,	// VPROTBrm
+    2450542177U,	// VPROTBrr
+    2551207161U,	// VPROTDmi
+    2551207161U,	// VPROTDmr
+    2450543865U,	// VPROTDri
+    2450543865U,	// VPROTDrm
+    2450543865U,	// VPROTDrr
+    2551208845U,	// VPROTQmi
+    2551208845U,	// VPROTQmr
+    2450545549U,	// VPROTQri
+    2450545549U,	// VPROTQrm
+    2450545549U,	// VPROTQrr
+    2551211165U,	// VPROTWmi
+    2551211165U,	// VPROTWmr
+    2450547869U,	// VPROTWri
+    2450547869U,	// VPROTWrm
+    2450547869U,	// VPROTWrr
+    2450547391U,	// VPSADBWYrm
+    2450547391U,	// VPSADBWYrr
+    2450547391U,	// VPSADBWrm
+    2450547391U,	// VPSADBWrr
     688409U,	// VPSCATTERDDZmr
-    706223U,	// VPSCATTERDQZmr
-    705410U,	// VPSCATTERQDZmr
-    706441U,	// VPSCATTERQQZmr
-    2484096429U,	// VPSHABmr
-    2282769837U,	// VPSHABrm
-    2282769837U,	// VPSHABrr
-    2484096857U,	// VPSHADmr
-    2282770265U,	// VPSHADrm
-    2282770265U,	// VPSHADrr
-    2484099586U,	// VPSHAQmr
-    2282772994U,	// VPSHAQrm
-    2282772994U,	// VPSHAQrr
-    2484101831U,	// VPSHAWmr
-    2282775239U,	// VPSHAWrm
-    2282775239U,	// VPSHAWrr
-    2484096490U,	// VPSHLBmr
-    2282769898U,	// VPSHLBrm
-    2282769898U,	// VPSHLBrr
-    2484097073U,	// VPSHLDmr
-    2282770481U,	// VPSHLDrm
-    2282770481U,	// VPSHLDrr
-    2484099898U,	// VPSHLQmr
-    2282773306U,	// VPSHLQrm
-    2282773306U,	// VPSHLQrr
-    2484102094U,	// VPSHLWmr
-    2282775502U,	// VPSHLWrm
-    2282775502U,	// VPSHLWrr
-    2282769866U,	// VPSHUFBYrm
-    2282769866U,	// VPSHUFBYrr
-    2282769866U,	// VPSHUFBrm
-    2282769866U,	// VPSHUFBrr
-    3020967940U,	// VPSHUFDYmi
-    2282770436U,	// VPSHUFDYri
+    706201U,	// VPSCATTERDQZmr
+    705399U,	// VPSCATTERQDZmr
+    706408U,	// VPSCATTERQQZmr
+    2551205249U,	// VPSHABmr
+    2450541953U,	// VPSHABrm
+    2450541953U,	// VPSHABrr
+    2551205677U,	// VPSHADmr
+    2450542381U,	// VPSHADrm
+    2450542381U,	// VPSHADrr
+    2551208406U,	// VPSHAQmr
+    2450545110U,	// VPSHAQrm
+    2450545110U,	// VPSHAQrr
+    2551210651U,	// VPSHAWmr
+    2450547355U,	// VPSHAWrm
+    2450547355U,	// VPSHAWrr
+    2551205310U,	// VPSHLBmr
+    2450542014U,	// VPSHLBrm
+    2450542014U,	// VPSHLBrr
+    2551205893U,	// VPSHLDmr
+    2450542597U,	// VPSHLDrm
+    2450542597U,	// VPSHLDrr
+    2551208718U,	// VPSHLQmr
+    2450545422U,	// VPSHLQrm
+    2450545422U,	// VPSHLQrr
+    2551210914U,	// VPSHLWmr
+    2450547618U,	// VPSHLWrm
+    2450547618U,	// VPSHLWrr
+    2450541982U,	// VPSHUFBYrm
+    2450541982U,	// VPSHUFBYrr
+    2450541982U,	// VPSHUFBrm
+    2450541982U,	// VPSHUFBrr
+    3020967896U,	// VPSHUFDYmi
+    2450542552U,	// VPSHUFDYri
     3088072999U,	// VPSHUFDZmi
-    2282766631U,	// VPSHUFDZri
-    2484097028U,	// VPSHUFDmi
-    2282770436U,	// VPSHUFDri
-    3020972970U,	// VPSHUFHWYmi
-    2282775466U,	// VPSHUFHWYri
-    2484102058U,	// VPSHUFHWmi
-    2282775466U,	// VPSHUFHWri
-    3020972996U,	// VPSHUFLWYmi
-    2282775492U,	// VPSHUFLWYri
-    2484102084U,	// VPSHUFLWmi
-    2282775492U,	// VPSHUFLWri
-    2282769914U,	// VPSIGNBYrm
-    2282769914U,	// VPSIGNBYrr
-    2282769914U,	// VPSIGNBrm
-    2282769914U,	// VPSIGNBrr
-    2282770560U,	// VPSIGNDYrm
-    2282770560U,	// VPSIGNDYrr
-    2282770560U,	// VPSIGNDrm
-    2282770560U,	// VPSIGNDrr
-    2282775543U,	// VPSIGNWYrm
-    2282775543U,	// VPSIGNWYrr
-    2282775543U,	// VPSIGNWrm
-    2282775543U,	// VPSIGNWrr
-    2282773160U,	// VPSLLDQYri
-    2282773160U,	// VPSLLDQri
-    2282770495U,	// VPSLLDYri
-    2282770495U,	// VPSLLDYrm
-    2282770495U,	// VPSLLDYrr
+    2450538791U,	// VPSHUFDZri
+    2551205848U,	// VPSHUFDmi
+    2450542552U,	// VPSHUFDri
+    3020972926U,	// VPSHUFHWYmi
+    2450547582U,	// VPSHUFHWYri
+    2551210878U,	// VPSHUFHWmi
+    2450547582U,	// VPSHUFHWri
+    3020972952U,	// VPSHUFLWYmi
+    2450547608U,	// VPSHUFLWYri
+    2551210904U,	// VPSHUFLWmi
+    2450547608U,	// VPSHUFLWri
+    2450542030U,	// VPSIGNBYrm
+    2450542030U,	// VPSIGNBYrr
+    2450542030U,	// VPSIGNBrm
+    2450542030U,	// VPSIGNBrr
+    2450542676U,	// VPSIGNDYrm
+    2450542676U,	// VPSIGNDYrr
+    2450542676U,	// VPSIGNDrm
+    2450542676U,	// VPSIGNDrr
+    2450547659U,	// VPSIGNWYrm
+    2450547659U,	// VPSIGNWYrr
+    2450547659U,	// VPSIGNWrm
+    2450547659U,	// VPSIGNWrr
+    2450545276U,	// VPSLLDQYri
+    2450545276U,	// VPSLLDQri
+    2450542611U,	// VPSLLDYri
+    2450542611U,	// VPSLLDYrm
+    2450542611U,	// VPSLLDYrr
     3088073009U,	// VPSLLDZmi
-    155205937U,	// VPSLLDZmik
-    2282766641U,	// VPSLLDZri
-    155205937U,	// VPSLLDZrik
-    2282766641U,	// VPSLLDZrm
-    155205937U,	// VPSLLDZrmk
-    2282766641U,	// VPSLLDZrr
-    155205937U,	// VPSLLDZrrk
-    2282770495U,	// VPSLLDri
-    2282770495U,	// VPSLLDrm
-    2282770495U,	// VPSLLDrr
-    2282773314U,	// VPSLLQYri
-    2282773314U,	// VPSLLQYrm
-    2282773314U,	// VPSLLQYrr
-    3088074518U,	// VPSLLQZmi
-    155207446U,	// VPSLLQZmik
-    2282768150U,	// VPSLLQZri
-    155207446U,	// VPSLLQZrik
-    2282768150U,	// VPSLLQZrm
-    155207446U,	// VPSLLQZrmk
-    2282768150U,	// VPSLLQZrr
-    155207446U,	// VPSLLQZrrk
-    2282773314U,	// VPSLLQri
-    2282773314U,	// VPSLLQrm
-    2282773314U,	// VPSLLQrr
-    2282771816U,	// VPSLLVDYrm
-    2282771816U,	// VPSLLVDYrr
-    2282767609U,	// VPSLLVDZrm
-    2282767609U,	// VPSLLVDZrr
-    2282771816U,	// VPSLLVDrm
-    2282771816U,	// VPSLLVDrr
-    2282773482U,	// VPSLLVQYrm
-    2282773482U,	// VPSLLVQYrr
-    2282768438U,	// VPSLLVQZrm
-    2282768438U,	// VPSLLVQZrr
-    2282773482U,	// VPSLLVQrm
-    2282773482U,	// VPSLLVQrr
-    2282775510U,	// VPSLLWYri
-    2282775510U,	// VPSLLWYrm
-    2282775510U,	// VPSLLWYrr
-    2282775510U,	// VPSLLWri
-    2282775510U,	// VPSLLWrm
-    2282775510U,	// VPSLLWrr
-    2282770273U,	// VPSRADYri
-    2282770273U,	// VPSRADYrm
-    2282770273U,	// VPSRADYrr
+    322978097U,	// VPSLLDZmik
+    2450538801U,	// VPSLLDZri
+    322978097U,	// VPSLLDZrik
+    2450538801U,	// VPSLLDZrm
+    322978097U,	// VPSLLDZrmk
+    2450538801U,	// VPSLLDZrr
+    322978097U,	// VPSLLDZrrk
+    2450542611U,	// VPSLLDri
+    2450542611U,	// VPSLLDrm
+    2450542611U,	// VPSLLDrr
+    2450545430U,	// VPSLLQYri
+    2450545430U,	// VPSLLQYrm
+    2450545430U,	// VPSLLQYrr
+    3088074496U,	// VPSLLQZmi
+    322979584U,	// VPSLLQZmik
+    2450540288U,	// VPSLLQZri
+    322979584U,	// VPSLLQZrik
+    2450540288U,	// VPSLLQZrm
+    322979584U,	// VPSLLQZrmk
+    2450540288U,	// VPSLLQZrr
+    322979584U,	// VPSLLQZrrk
+    2450545430U,	// VPSLLQri
+    2450545430U,	// VPSLLQrm
+    2450545430U,	// VPSLLQrr
+    2450543932U,	// VPSLLVDYrm
+    2450543932U,	// VPSLLVDYrr
+    2450539747U,	// VPSLLVDZrm
+    2450539747U,	// VPSLLVDZrr
+    2450543932U,	// VPSLLVDrm
+    2450543932U,	// VPSLLVDrr
+    2450545598U,	// VPSLLVQYrm
+    2450545598U,	// VPSLLVQYrr
+    2450540554U,	// VPSLLVQZrm
+    2450540554U,	// VPSLLVQZrr
+    2450545598U,	// VPSLLVQrm
+    2450545598U,	// VPSLLVQrr
+    2450547626U,	// VPSLLWYri
+    2450547626U,	// VPSLLWYrm
+    2450547626U,	// VPSLLWYrr
+    2450547626U,	// VPSLLWri
+    2450547626U,	// VPSLLWrm
+    2450547626U,	// VPSLLWrr
+    2450542389U,	// VPSRADYri
+    2450542389U,	// VPSRADYrm
+    2450542389U,	// VPSRADYrr
     3088072904U,	// VPSRADZmi
-    155205832U,	// VPSRADZmik
-    2282766536U,	// VPSRADZri
-    155205832U,	// VPSRADZrik
-    2282766536U,	// VPSRADZrm
-    155205832U,	// VPSRADZrmk
-    2282766536U,	// VPSRADZrr
-    155205832U,	// VPSRADZrrk
-    2282770273U,	// VPSRADri
-    2282770273U,	// VPSRADrm
-    2282770273U,	// VPSRADrr
-    3088074220U,	// VPSRAQZmi
-    155207148U,	// VPSRAQZmik
-    2282767852U,	// VPSRAQZri
-    155207148U,	// VPSRAQZrik
-    2282767852U,	// VPSRAQZrm
-    155207148U,	// VPSRAQZrmk
-    2282767852U,	// VPSRAQZrr
-    155207148U,	// VPSRAQZrrk
-    2282771807U,	// VPSRAVDYrm
-    2282771807U,	// VPSRAVDYrr
-    2282767599U,	// VPSRAVDZrm
-    2282767599U,	// VPSRAVDZrr
-    2282771807U,	// VPSRAVDrm
-    2282771807U,	// VPSRAVDrr
-    2282768428U,	// VPSRAVQZrm
-    2282768428U,	// VPSRAVQZrr
-    2282775247U,	// VPSRAWYri
-    2282775247U,	// VPSRAWYrm
-    2282775247U,	// VPSRAWYrr
-    2282775247U,	// VPSRAWri
-    2282775247U,	// VPSRAWrm
-    2282775247U,	// VPSRAWrr
-    2282773169U,	// VPSRLDQYri
-    2282773169U,	// VPSRLDQri
-    2282770512U,	// VPSRLDYri
-    2282770512U,	// VPSRLDYrm
-    2282770512U,	// VPSRLDYrr
+    322977992U,	// VPSRADZmik
+    2450538696U,	// VPSRADZri
+    322977992U,	// VPSRADZrik
+    2450538696U,	// VPSRADZrm
+    322977992U,	// VPSRADZrmk
+    2450538696U,	// VPSRADZrr
+    322977992U,	// VPSRADZrrk
+    2450542389U,	// VPSRADri
+    2450542389U,	// VPSRADrm
+    2450542389U,	// VPSRADrr
+    3088074198U,	// VPSRAQZmi
+    322979286U,	// VPSRAQZmik
+    2450539990U,	// VPSRAQZri
+    322979286U,	// VPSRAQZrik
+    2450539990U,	// VPSRAQZrm
+    322979286U,	// VPSRAQZrmk
+    2450539990U,	// VPSRAQZrr
+    322979286U,	// VPSRAQZrrk
+    2450543923U,	// VPSRAVDYrm
+    2450543923U,	// VPSRAVDYrr
+    2450539737U,	// VPSRAVDZrm
+    2450539737U,	// VPSRAVDZrr
+    2450543923U,	// VPSRAVDrm
+    2450543923U,	// VPSRAVDrr
+    2450540544U,	// VPSRAVQZrm
+    2450540544U,	// VPSRAVQZrr
+    2450547363U,	// VPSRAWYri
+    2450547363U,	// VPSRAWYrm
+    2450547363U,	// VPSRAWYrr
+    2450547363U,	// VPSRAWri
+    2450547363U,	// VPSRAWrm
+    2450547363U,	// VPSRAWrr
+    2450545285U,	// VPSRLDQYri
+    2450545285U,	// VPSRLDQri
+    2450542628U,	// VPSRLDYri
+    2450542628U,	// VPSRLDYrm
+    2450542628U,	// VPSRLDYrr
     3088073028U,	// VPSRLDZmi
-    155205956U,	// VPSRLDZmik
-    2282766660U,	// VPSRLDZri
-    155205956U,	// VPSRLDZrik
-    2282766660U,	// VPSRLDZrm
-    155205956U,	// VPSRLDZrmk
-    2282766660U,	// VPSRLDZrr
-    155205956U,	// VPSRLDZrrk
-    2282770512U,	// VPSRLDri
-    2282770512U,	// VPSRLDrm
-    2282770512U,	// VPSRLDrr
-    2282773322U,	// VPSRLQYri
-    2282773322U,	// VPSRLQYrm
-    2282773322U,	// VPSRLQYrr
-    3088074527U,	// VPSRLQZmi
-    155207455U,	// VPSRLQZmik
-    2282768159U,	// VPSRLQZri
-    155207455U,	// VPSRLQZrik
-    2282768159U,	// VPSRLQZrm
-    155207455U,	// VPSRLQZrmk
-    2282768159U,	// VPSRLQZrr
-    155207455U,	// VPSRLQZrrk
-    2282773322U,	// VPSRLQri
-    2282773322U,	// VPSRLQrm
-    2282773322U,	// VPSRLQrr
-    2282771825U,	// VPSRLVDYrm
-    2282771825U,	// VPSRLVDYrr
-    2282767619U,	// VPSRLVDZrm
-    2282767619U,	// VPSRLVDZrr
-    2282771825U,	// VPSRLVDrm
-    2282771825U,	// VPSRLVDrr
-    2282773491U,	// VPSRLVQYrm
-    2282773491U,	// VPSRLVQYrr
-    2282768448U,	// VPSRLVQZrm
-    2282768448U,	// VPSRLVQZrr
-    2282773491U,	// VPSRLVQrm
-    2282773491U,	// VPSRLVQrr
-    2282775527U,	// VPSRLWYri
-    2282775527U,	// VPSRLWYrm
-    2282775527U,	// VPSRLWYrr
-    2282775527U,	// VPSRLWri
-    2282775527U,	// VPSRLWrm
-    2282775527U,	// VPSRLWrr
-    2282769850U,	// VPSUBBYrm
-    2282769850U,	// VPSUBBYrr
-    2282769850U,	// VPSUBBrm
-    2282769850U,	// VPSUBBrr
-    2282770311U,	// VPSUBDYrm
-    2282770311U,	// VPSUBDYrr
-    2282766545U,	// VPSUBDZrm
-    2282766545U,	// VPSUBDZrmb
+    322978116U,	// VPSRLDZmik
+    2450538820U,	// VPSRLDZri
+    322978116U,	// VPSRLDZrik
+    2450538820U,	// VPSRLDZrm
+    322978116U,	// VPSRLDZrmk
+    2450538820U,	// VPSRLDZrr
+    322978116U,	// VPSRLDZrrk
+    2450542628U,	// VPSRLDri
+    2450542628U,	// VPSRLDrm
+    2450542628U,	// VPSRLDrr
+    2450545438U,	// VPSRLQYri
+    2450545438U,	// VPSRLQYrm
+    2450545438U,	// VPSRLQYrr
+    3088074505U,	// VPSRLQZmi
+    322979593U,	// VPSRLQZmik
+    2450540297U,	// VPSRLQZri
+    322979593U,	// VPSRLQZrik
+    2450540297U,	// VPSRLQZrm
+    322979593U,	// VPSRLQZrmk
+    2450540297U,	// VPSRLQZrr
+    322979593U,	// VPSRLQZrrk
+    2450545438U,	// VPSRLQri
+    2450545438U,	// VPSRLQrm
+    2450545438U,	// VPSRLQrr
+    2450543941U,	// VPSRLVDYrm
+    2450543941U,	// VPSRLVDYrr
+    2450539757U,	// VPSRLVDZrm
+    2450539757U,	// VPSRLVDZrr
+    2450543941U,	// VPSRLVDrm
+    2450543941U,	// VPSRLVDrr
+    2450545607U,	// VPSRLVQYrm
+    2450545607U,	// VPSRLVQYrr
+    2450540564U,	// VPSRLVQZrm
+    2450540564U,	// VPSRLVQZrr
+    2450545607U,	// VPSRLVQrm
+    2450545607U,	// VPSRLVQrr
+    2450547643U,	// VPSRLWYri
+    2450547643U,	// VPSRLWYrm
+    2450547643U,	// VPSRLWYrr
+    2450547643U,	// VPSRLWri
+    2450547643U,	// VPSRLWrm
+    2450547643U,	// VPSRLWrr
+    2450541966U,	// VPSUBBYrm
+    2450541966U,	// VPSUBBYrr
+    2450541966U,	// VPSUBBrm
+    2450541966U,	// VPSUBBrr
+    2450542427U,	// VPSUBDYrm
+    2450542427U,	// VPSUBDYrr
+    2450538705U,	// VPSUBDZrm
+    2450538705U,	// VPSUBDZrmb
     88096977U,	// VPSUBDZrmbk
-    2302689489U,	// VPSUBDZrmbkz
+    2470461649U,	// VPSUBDZrmbkz
     88096977U,	// VPSUBDZrmk
-    2302689489U,	// VPSUBDZrmkz
-    2282766545U,	// VPSUBDZrr
+    2470461649U,	// VPSUBDZrmkz
+    2450538705U,	// VPSUBDZrr
     88096977U,	// VPSUBDZrrk
-    2302689489U,	// VPSUBDZrrkz
-    2282770311U,	// VPSUBDrm
-    2282770311U,	// VPSUBDrr
-    2282773023U,	// VPSUBQYrm
-    2282773023U,	// VPSUBQYrr
-    2282767861U,	// VPSUBQZrm
-    2282767861U,	// VPSUBQZrmb
-    88098293U,	// VPSUBQZrmbk
-    2302690805U,	// VPSUBQZrmbkz
-    88098293U,	// VPSUBQZrmk
-    2302690805U,	// VPSUBQZrmkz
-    2282767861U,	// VPSUBQZrr
-    88098293U,	// VPSUBQZrrk
-    2302690805U,	// VPSUBQZrrkz
-    2282773023U,	// VPSUBQrm
-    2282773023U,	// VPSUBQrr
-    2282769959U,	// VPSUBSBYrm
-    2282769959U,	// VPSUBSBYrr
-    2282769959U,	// VPSUBSBrm
-    2282769959U,	// VPSUBSBrr
-    2282775625U,	// VPSUBSWYrm
-    2282775625U,	// VPSUBSWYrr
-    2282775625U,	// VPSUBSWrm
-    2282775625U,	// VPSUBSWrr
-    2282770000U,	// VPSUBUSBYrm
-    2282770000U,	// VPSUBUSBYrr
-    2282770000U,	// VPSUBUSBrm
-    2282770000U,	// VPSUBUSBrr
-    2282775707U,	// VPSUBUSWYrm
-    2282775707U,	// VPSUBUSWYrr
-    2282775707U,	// VPSUBUSWrm
-    2282775707U,	// VPSUBUSWrr
-    2282775338U,	// VPSUBWYrm
-    2282775338U,	// VPSUBWYrr
-    2282775338U,	// VPSUBWrm
-    2282775338U,	// VPSUBWrr
-    2282766702U,	// VPTESTMDZrm
-    2282766702U,	// VPTESTMDZrr
-    2282768201U,	// VPTESTMQZrm
-    2282768201U,	// VPTESTMQZrr
-    2282766681U,	// VPTESTNMDZrm
-    2282766681U,	// VPTESTNMDZrr
-    2282768180U,	// VPTESTNMQZrm
-    2282768180U,	// VPTESTNMQZrr
-    873488970U,	// VPTESTYrm
-    135291466U,	// VPTESTYrr
-    537944650U,	// VPTESTrm
-    135291466U,	// VPTESTrr
-    2282775294U,	// VPUNPCKHBWYrm
-    2282775294U,	// VPUNPCKHBWYrr
-    2282775294U,	// VPUNPCKHBWrm
-    2282775294U,	// VPUNPCKHBWrr
-    2282773136U,	// VPUNPCKHDQYrm
-    2282773136U,	// VPUNPCKHDQYrr
-    2282767953U,	// VPUNPCKHDQZrm
-    2282767953U,	// VPUNPCKHDQZrr
-    2282773136U,	// VPUNPCKHDQrm
-    2282773136U,	// VPUNPCKHDQrr
-    2282773187U,	// VPUNPCKHQDQYrm
-    2282773187U,	// VPUNPCKHQDQYrr
-    2282768006U,	// VPUNPCKHQDQZrm
-    2282768006U,	// VPUNPCKHQDQZrr
-    2282773187U,	// VPUNPCKHQDQrm
-    2282773187U,	// VPUNPCKHQDQrr
-    2282771883U,	// VPUNPCKHWDYrm
-    2282771883U,	// VPUNPCKHWDYrr
-    2282771883U,	// VPUNPCKHWDrm
-    2282771883U,	// VPUNPCKHWDrr
-    2282775306U,	// VPUNPCKLBWYrm
-    2282775306U,	// VPUNPCKLBWYrr
-    2282775306U,	// VPUNPCKLBWrm
-    2282775306U,	// VPUNPCKLBWrr
-    2282773148U,	// VPUNPCKLDQYrm
-    2282773148U,	// VPUNPCKLDQYrr
-    2282767966U,	// VPUNPCKLDQZrm
-    2282767966U,	// VPUNPCKLDQZrr
-    2282773148U,	// VPUNPCKLDQrm
-    2282773148U,	// VPUNPCKLDQrr
-    2282773200U,	// VPUNPCKLQDQYrm
-    2282773200U,	// VPUNPCKLQDQYrr
-    2282768020U,	// VPUNPCKLQDQZrm
-    2282768020U,	// VPUNPCKLQDQZrr
-    2282773200U,	// VPUNPCKLQDQrm
-    2282773200U,	// VPUNPCKLQDQrr
-    2282771895U,	// VPUNPCKLWDYrm
-    2282771895U,	// VPUNPCKLWDYrr
-    2282771895U,	// VPUNPCKLWDrm
-    2282771895U,	// VPUNPCKLWDrr
-    2282767313U,	// VPXORDZrm
-    2282767313U,	// VPXORDZrmb
-    88097745U,	// VPXORDZrmbk
-    2302690257U,	// VPXORDZrmbkz
-    88097745U,	// VPXORDZrmk
-    2302690257U,	// VPXORDZrmkz
-    2282767313U,	// VPXORDZrr
-    88097745U,	// VPXORDZrrk
-    2302690257U,	// VPXORDZrrkz
-    2282768311U,	// VPXORQZrm
-    2282768311U,	// VPXORQZrmb
-    88098743U,	// VPXORQZrmbk
-    2302691255U,	// VPXORQZrmbkz
-    88098743U,	// VPXORQZrmk
-    2302691255U,	// VPXORQZrmkz
-    2282768311U,	// VPXORQZrr
-    88098743U,	// VPXORQZrrk
-    2302691255U,	// VPXORQZrrkz
-    2282773652U,	// VPXORYrm
-    2282773652U,	// VPXORYrr
-    2282773652U,	// VPXORrm
-    2282773652U,	// VPXORrr
+    2470461649U,	// VPSUBDZrrkz
+    2450542427U,	// VPSUBDrm
+    2450542427U,	// VPSUBDrr
+    2450545139U,	// VPSUBQYrm
+    2450545139U,	// VPSUBQYrr
+    2450539999U,	// VPSUBQZrm
+    2450539999U,	// VPSUBQZrmb
+    88098271U,	// VPSUBQZrmbk
+    2470462943U,	// VPSUBQZrmbkz
+    88098271U,	// VPSUBQZrmk
+    2470462943U,	// VPSUBQZrmkz
+    2450539999U,	// VPSUBQZrr
+    88098271U,	// VPSUBQZrrk
+    2470462943U,	// VPSUBQZrrkz
+    2450545139U,	// VPSUBQrm
+    2450545139U,	// VPSUBQrr
+    2450542075U,	// VPSUBSBYrm
+    2450542075U,	// VPSUBSBYrr
+    2450542075U,	// VPSUBSBrm
+    2450542075U,	// VPSUBSBrr
+    2450547741U,	// VPSUBSWYrm
+    2450547741U,	// VPSUBSWYrr
+    2450547741U,	// VPSUBSWrm
+    2450547741U,	// VPSUBSWrr
+    2450542116U,	// VPSUBUSBYrm
+    2450542116U,	// VPSUBUSBYrr
+    2450542116U,	// VPSUBUSBrm
+    2450542116U,	// VPSUBUSBrr
+    2450547823U,	// VPSUBUSWYrm
+    2450547823U,	// VPSUBUSWYrr
+    2450547823U,	// VPSUBUSWrm
+    2450547823U,	// VPSUBUSWrr
+    2450547454U,	// VPSUBWYrm
+    2450547454U,	// VPSUBWYrr
+    2450547454U,	// VPSUBWrm
+    2450547454U,	// VPSUBWrr
+    2450538862U,	// VPTESTMDZrm
+    2450538862U,	// VPTESTMDZrr
+    2450540339U,	// VPTESTMQZrm
+    2450540339U,	// VPTESTMQZrr
+    2450538841U,	// VPTESTNMDZrm
+    2450538841U,	// VPTESTNMDZrr
+    2450540318U,	// VPTESTNMQZrm
+    2450540318U,	// VPTESTNMQZrr
+    873488926U,	// VPTESTYrm
+    303063582U,	// VPTESTYrr
+    537944606U,	// VPTESTrm
+    303063582U,	// VPTESTrr
+    2450547410U,	// VPUNPCKHBWYrm
+    2450547410U,	// VPUNPCKHBWYrr
+    2450547410U,	// VPUNPCKHBWrm
+    2450547410U,	// VPUNPCKHBWrr
+    2450545252U,	// VPUNPCKHDQYrm
+    2450545252U,	// VPUNPCKHDQYrr
+    2450540091U,	// VPUNPCKHDQZrm
+    2450540091U,	// VPUNPCKHDQZrr
+    2450545252U,	// VPUNPCKHDQrm
+    2450545252U,	// VPUNPCKHDQrr
+    2450545303U,	// VPUNPCKHQDQYrm
+    2450545303U,	// VPUNPCKHQDQYrr
+    2450540144U,	// VPUNPCKHQDQZrm
+    2450540144U,	// VPUNPCKHQDQZrr
+    2450545303U,	// VPUNPCKHQDQrm
+    2450545303U,	// VPUNPCKHQDQrr
+    2450543999U,	// VPUNPCKHWDYrm
+    2450543999U,	// VPUNPCKHWDYrr
+    2450543999U,	// VPUNPCKHWDrm
+    2450543999U,	// VPUNPCKHWDrr
+    2450547422U,	// VPUNPCKLBWYrm
+    2450547422U,	// VPUNPCKLBWYrr
+    2450547422U,	// VPUNPCKLBWrm
+    2450547422U,	// VPUNPCKLBWrr
+    2450545264U,	// VPUNPCKLDQYrm
+    2450545264U,	// VPUNPCKLDQYrr
+    2450540104U,	// VPUNPCKLDQZrm
+    2450540104U,	// VPUNPCKLDQZrr
+    2450545264U,	// VPUNPCKLDQrm
+    2450545264U,	// VPUNPCKLDQrr
+    2450545316U,	// VPUNPCKLQDQYrm
+    2450545316U,	// VPUNPCKLQDQYrr
+    2450540158U,	// VPUNPCKLQDQZrm
+    2450540158U,	// VPUNPCKLQDQZrr
+    2450545316U,	// VPUNPCKLQDQrm
+    2450545316U,	// VPUNPCKLQDQrr
+    2450544011U,	// VPUNPCKLWDYrm
+    2450544011U,	// VPUNPCKLWDYrr
+    2450544011U,	// VPUNPCKLWDrm
+    2450544011U,	// VPUNPCKLWDrr
+    2450539462U,	// VPXORDZrm
+    2450539462U,	// VPXORDZrmb
+    88097734U,	// VPXORDZrmbk
+    2470462406U,	// VPXORDZrmbkz
+    88097734U,	// VPXORDZrmk
+    2470462406U,	// VPXORDZrmkz
+    2450539462U,	// VPXORDZrr
+    88097734U,	// VPXORDZrrk
+    2470462406U,	// VPXORDZrrkz
+    2450540438U,	// VPXORQZrm
+    2450540438U,	// VPXORQZrmb
+    88098710U,	// VPXORQZrmbk
+    2470463382U,	// VPXORQZrmbkz
+    88098710U,	// VPXORQZrmk
+    2470463382U,	// VPXORQZrmkz
+    2450540438U,	// VPXORQZrr
+    88098710U,	// VPXORQZrrk
+    2470463382U,	// VPXORQZrrkz
+    2450545768U,	// VPXORYrm
+    2450545768U,	// VPXORYrr
+    2450545768U,	// VPXORrm
+    2450545768U,	// VPXORrr
     1007698571U,	// VRCP14PDZm
-    135283339U,	// VRCP14PDZr
-    1007700319U,	// VRCP14PSZm
-    135285087U,	// VRCP14PSZr
-    2282767405U,	// VRCP14SDrm
-    2282767405U,	// VRCP14SDrr
-    2282769041U,	// VRCP14SSrm
-    2282769041U,	// VRCP14SSrr
+    303055499U,	// VRCP14PDZr
+    1007700275U,	// VRCP14PSZm
+    303057203U,	// VRCP14PSZr
+    2450539554U,	// VRCP14SDrm
+    2450539554U,	// VRCP14SDrr
+    2450541157U,	// VRCP14SSrm
+    2450541157U,	// VRCP14SSrr
     1007698595U,	// VRCP28PDZm
-    135283363U,	// VRCP28PDZr
-    135283363U,	// VRCP28PDZrb
-    1007700343U,	// VRCP28PSZm
-    135285111U,	// VRCP28PSZr
-    135285111U,	// VRCP28PSZrb
-    2282767429U,	// VRCP28SDrm
-    2282767429U,	// VRCP28SDrr
-    2282767429U,	// VRCP28SDrrb
-    2282769065U,	// VRCP28SSrm
-    2282769065U,	// VRCP28SSrr
-    2282769065U,	// VRCP28SSrrb
-    974151543U,	// VRCPPSYm
-    974151543U,	// VRCPPSYm_Int
-    135290743U,	// VRCPPSYr
-    135290743U,	// VRCPPSYr_Int
-    537943927U,	// VRCPPSm
-    537943927U,	// VRCPPSm_Int
-    135290743U,	// VRCPPSr
-    135290743U,	// VRCPPSr_Int
-    2282774858U,	// VRCPSSm
-    2282774858U,	// VRCPSSm_Int
-    2282774858U,	// VRCPSSr
+    303055523U,	// VRCP28PDZr
+    303055523U,	// VRCP28PDZrb
+    1007700299U,	// VRCP28PSZm
+    303057227U,	// VRCP28PSZr
+    303057227U,	// VRCP28PSZrb
+    2450539578U,	// VRCP28SDrm
+    2450539578U,	// VRCP28SDrr
+    2450539578U,	// VRCP28SDrrb
+    2450541181U,	// VRCP28SSrm
+    2450541181U,	// VRCP28SSrr
+    2450541181U,	// VRCP28SSrrb
+    974151499U,	// VRCPPSYm
+    974151499U,	// VRCPPSYm_Int
+    303062859U,	// VRCPPSYr
+    303062859U,	// VRCPPSYr_Int
+    537943883U,	// VRCPPSm
+    537943883U,	// VRCPPSm_Int
+    303062859U,	// VRCPPSr
+    303062859U,	// VRCPPSr_Int
+    2450546974U,	// VRCPSSm
+    2450546974U,	// VRCPSSm_Int
+    2450546974U,	// VRCPSSr
     3155182312U,	// VRNDSCALEPDZm
-    2282767080U,	// VRNDSCALEPDZr
-    3155184060U,	// VRNDSCALEPSZm
-    2282768828U,	// VRNDSCALEPSZr
-    2282767462U,	// VRNDSCALESDm
-    2282767462U,	// VRNDSCALESDr
-    2282769089U,	// VRNDSCALESSm
-    2282769089U,	// VRNDSCALESSr
-    2685424210U,	// VROUNDPDm
-    2282771026U,	// VROUNDPDr
-    2685427428U,	// VROUNDPSm
-    2282774244U,	// VROUNDPSr
-    2282771596U,	// VROUNDSDm
-    2282771596U,	// VROUNDSDr
-    2282771596U,	// VROUNDSDr_Int
-    2282774813U,	// VROUNDSSm
-    2282774813U,	// VROUNDSSr
-    2282774813U,	// VROUNDSSr_Int
-    3121631826U,	// VROUNDYPDm
-    2282771026U,	// VROUNDYPDr
-    3121635044U,	// VROUNDYPSm
-    2282774244U,	// VROUNDYPSr
+    2450539240U,	// VRNDSCALEPDZr
+    3155184016U,	// VRNDSCALEPSZm
+    2450540944U,	// VRNDSCALEPSZr
+    2450539611U,	// VRNDSCALESDm
+    2450539611U,	// VRNDSCALESDr
+    2450541205U,	// VRNDSCALESSm
+    2450541205U,	// VRNDSCALESSr
+    2685424166U,	// VROUNDPDm
+    2450543142U,	// VROUNDPDr
+    2685427384U,	// VROUNDPSm
+    2450546360U,	// VROUNDPSr
+    2450543712U,	// VROUNDSDm
+    2450543712U,	// VROUNDSDr
+    2450543712U,	// VROUNDSDr_Int
+    2450546929U,	// VROUNDSSm
+    2450546929U,	// VROUNDSSr
+    2450546929U,	// VROUNDSSr_Int
+    3121631782U,	// VROUNDYPDm
+    2450543142U,	// VROUNDYPDr
+    3121635000U,	// VROUNDYPSm
+    2450546360U,	// VROUNDYPSr
     1007698582U,	// VRSQRT14PDZm
-    135283350U,	// VRSQRT14PDZr
-    1007700330U,	// VRSQRT14PSZm
-    135285098U,	// VRSQRT14PSZr
-    2282767416U,	// VRSQRT14SDrm
-    2282767416U,	// VRSQRT14SDrr
-    2282769052U,	// VRSQRT14SSrm
-    2282769052U,	// VRSQRT14SSrr
+    303055510U,	// VRSQRT14PDZr
+    1007700286U,	// VRSQRT14PSZm
+    303057214U,	// VRSQRT14PSZr
+    2450539565U,	// VRSQRT14SDrm
+    2450539565U,	// VRSQRT14SDrr
+    2450541168U,	// VRSQRT14SSrm
+    2450541168U,	// VRSQRT14SSrr
     1007698606U,	// VRSQRT28PDZm
-    135283374U,	// VRSQRT28PDZr
-    135283374U,	// VRSQRT28PDZrb
-    1007700354U,	// VRSQRT28PSZm
-    135285122U,	// VRSQRT28PSZr
-    135285122U,	// VRSQRT28PSZrb
-    2282767440U,	// VRSQRT28SDrm
-    2282767440U,	// VRSQRT28SDrr
-    2282767440U,	// VRSQRT28SDrrb
-    2282769076U,	// VRSQRT28SSrm
-    2282769076U,	// VRSQRT28SSrr
-    2282769076U,	// VRSQRT28SSrrb
-    974151626U,	// VRSQRTPSYm
-    974151626U,	// VRSQRTPSYm_Int
-    135290826U,	// VRSQRTPSYr
-    135290826U,	// VRSQRTPSYr_Int
-    537944010U,	// VRSQRTPSm
-    537944010U,	// VRSQRTPSm_Int
-    135290826U,	// VRSQRTPSr
-    135290826U,	// VRSQRTPSr_Int
-    2282774883U,	// VRSQRTSSm
-    2282774883U,	// VRSQRTSSm_Int
-    2282774883U,	// VRSQRTSSr
+    303055534U,	// VRSQRT28PDZr
+    303055534U,	// VRSQRT28PDZrb
+    1007700310U,	// VRSQRT28PSZm
+    303057238U,	// VRSQRT28PSZr
+    303057238U,	// VRSQRT28PSZrb
+    2450539589U,	// VRSQRT28SDrm
+    2450539589U,	// VRSQRT28SDrr
+    2450539589U,	// VRSQRT28SDrrb
+    2450541192U,	// VRSQRT28SSrm
+    2450541192U,	// VRSQRT28SSrr
+    2450541192U,	// VRSQRT28SSrrb
+    974151582U,	// VRSQRTPSYm
+    974151582U,	// VRSQRTPSYm_Int
+    303062942U,	// VRSQRTPSYr
+    303062942U,	// VRSQRTPSYr_Int
+    537943966U,	// VRSQRTPSm
+    537943966U,	// VRSQRTPSm_Int
+    303062942U,	// VRSQRTPSr
+    303062942U,	// VRSQRTPSr_Int
+    2450546999U,	// VRSQRTSSm
+    2450546999U,	// VRSQRTSSm_Int
+    2450546999U,	// VRSQRTSSr
     705242U,	// VSCATTERDPDZmr
-    690606U,	// VSCATTERDPSZmr
-    124809398U,	// VSCATTERPF0DPDm
-    124809538U,	// VSCATTERPF0DPSm
-    191918332U,	// VSCATTERPF0QPDm
-    191918472U,	// VSCATTERPF0QPSm
-    124809433U,	// VSCATTERPF1DPDm
-    124809573U,	// VSCATTERPF1DPSm
-    191918367U,	// VSCATTERPF1QPDm
-    191918507U,	// VSCATTERPF1QPSm
+    690562U,	// VSCATTERDPSZmr
+    292581514U,	// VSCATTERPF0DPDm
+    292581654U,	// VSCATTERPF0DPSm
+    359690448U,	// VSCATTERPF0QPDm
+    359690588U,	// VSCATTERPF0QPSm
+    292581549U,	// VSCATTERPF1DPDm
+    292581689U,	// VSCATTERPF1DPSm
+    359690483U,	// VSCATTERPF1QPDm
+    359690623U,	// VSCATTERPF1QPSm
     705354U,	// VSCATTERQPDZmr
-    707102U,	// VSCATTERQPSZmr
-    2282771048U,	// VSHUFPDYrmi
-    2282771048U,	// VSHUFPDYrri
-    2282767094U,	// VSHUFPDZrmi
-    2282767094U,	// VSHUFPDZrri
-    2282771048U,	// VSHUFPDrmi
-    2282771048U,	// VSHUFPDrri
-    2282774266U,	// VSHUFPSYrmi
-    2282774266U,	// VSHUFPSYrri
-    2282768842U,	// VSHUFPSZrmi
-    2282768842U,	// VSHUFPSZrri
-    2282774266U,	// VSHUFPSrmi
-    2282774266U,	// VSHUFPSrri
-    974148365U,	// VSQRTPDYm
-    135287565U,	// VSQRTPDYr
-    1007702797U,	// VSQRTPDZrm
-    135287565U,	// VSQRTPDZrr
-    537940749U,	// VSQRTPDm
-    135287565U,	// VSQRTPDr
-    974151636U,	// VSQRTPSYm
-    135290836U,	// VSQRTPSYr
-    1007706068U,	// VSQRTPSZrm
-    135290836U,	// VSQRTPSZrr
-    537944020U,	// VSQRTPSm
-    135290836U,	// VSQRTPSr
-    2282771674U,	// VSQRTSDZm
-    2282771674U,	// VSQRTSDZm_Int
-    2282771674U,	// VSQRTSDZr
-    2282771674U,	// VSQRTSDZr_Int
-    2282771674U,	// VSQRTSDm
-    2282771674U,	// VSQRTSDm_Int
-    2282771674U,	// VSQRTSDr
-    2282774893U,	// VSQRTSSZm
-    2282774893U,	// VSQRTSSZm_Int
-    2282774893U,	// VSQRTSSZr
-    2282774893U,	// VSQRTSSZr_Int
-    2282774893U,	// VSQRTSSm
-    2282774893U,	// VSQRTSSm_Int
-    2282774893U,	// VSQRTSSr
-    72880U,	// VSTMXCSR
-    2282770949U,	// VSUBPDYrm
-    2282770949U,	// VSUBPDYrr
-    2282767035U,	// VSUBPDZrm
-    2282767035U,	// VSUBPDZrmb
-    155206331U,	// VSUBPDZrmbk
-    2302689979U,	// VSUBPDZrmbkz
-    155210245U,	// VSUBPDZrmk
-    2302693893U,	// VSUBPDZrmkz
-    2282767035U,	// VSUBPDZrr
-    155206331U,	// VSUBPDZrrk
-    2302689979U,	// VSUBPDZrrkz
-    2282770949U,	// VSUBPDrm
-    2282770949U,	// VSUBPDrr
-    2282774167U,	// VSUBPSYrm
-    2282774167U,	// VSUBPSYrr
-    2282768783U,	// VSUBPSZrm
-    2282768783U,	// VSUBPSZrmb
-    155208079U,	// VSUBPSZrmbk
-    2302691727U,	// VSUBPSZrmbkz
-    155213463U,	// VSUBPSZrmk
-    2302697111U,	// VSUBPSZrmkz
-    2282768783U,	// VSUBPSZrr
-    155208079U,	// VSUBPSZrrk
-    2302691727U,	// VSUBPSZrrkz
-    2282774167U,	// VSUBPSrm
-    2282774167U,	// VSUBPSrr
-    2282771559U,	// VSUBSDZrm
-    2282771559U,	// VSUBSDZrr
-    2282771559U,	// VSUBSDrm
-    2282771559U,	// VSUBSDrm_Int
-    2282771559U,	// VSUBSDrr
-    2282771559U,	// VSUBSDrr_Int
-    2282774776U,	// VSUBSSZrm
-    2282774776U,	// VSUBSSZrr
-    2282774776U,	// VSUBSSrm
-    2282774776U,	// VSUBSSrm_Int
-    2282774776U,	// VSUBSSrr
-    2282774776U,	// VSUBSSrr_Int
-    974148374U,	// VTESTPDYrm
-    135287574U,	// VTESTPDYrr
-    537940758U,	// VTESTPDrm
-    135287574U,	// VTESTPDrr
-    974151645U,	// VTESTPSYrm
-    135290845U,	// VTESTPSYrr
-    537944029U,	// VTESTPSrm
-    135290845U,	// VTESTPSrr
-    571495574U,	// VUCOMISDZrm
-    135287958U,	// VUCOMISDZrr
-    571495574U,	// VUCOMISDrm
-    135287958U,	// VUCOMISDrr
-    605053223U,	// VUCOMISSZrm
-    135291175U,	// VUCOMISSZrr
-    605053223U,	// VUCOMISSrm
-    135291175U,	// VUCOMISSrr
-    2282771057U,	// VUNPCKHPDYrm
-    2282771057U,	// VUNPCKHPDYrr
-    2282771057U,	// VUNPCKHPDZrm
-    2282771057U,	// VUNPCKHPDZrr
-    2282771057U,	// VUNPCKHPDrm
-    2282771057U,	// VUNPCKHPDrr
-    2282774275U,	// VUNPCKHPSYrm
-    2282774275U,	// VUNPCKHPSYrr
-    2282774275U,	// VUNPCKHPSZrm
-    2282774275U,	// VUNPCKHPSZrr
-    2282774275U,	// VUNPCKHPSrm
-    2282774275U,	// VUNPCKHPSrr
-    2282771099U,	// VUNPCKLPDYrm
-    2282771099U,	// VUNPCKLPDYrr
-    2282771099U,	// VUNPCKLPDZrm
-    2282771099U,	// VUNPCKLPDZrr
-    2282771099U,	// VUNPCKLPDrm
-    2282771099U,	// VUNPCKLPDrr
-    2282774337U,	// VUNPCKLPSYrm
-    2282774337U,	// VUNPCKLPSYrr
-    2282774337U,	// VUNPCKLPSZrm
-    2282774337U,	// VUNPCKLPSZrr
-    2282774337U,	// VUNPCKLPSrm
-    2282774337U,	// VUNPCKLPSrr
-    2282771195U,	// VXORPDYrm
-    2282771195U,	// VXORPDYrr
-    2282771195U,	// VXORPDrm
-    2282771195U,	// VXORPDrr
-    2282774433U,	// VXORPSYrm
-    2282774433U,	// VXORPSYrr
-    2282774433U,	// VXORPSrm
-    2282774433U,	// VXORPSrr
-    11695U,	// VZEROALL
-    11895U,	// VZEROUPPER
+    707058U,	// VSCATTERQPSZmr
+    2450543164U,	// VSHUFPDYrmi
+    2450543164U,	// VSHUFPDYrri
+    2450539254U,	// VSHUFPDZrmi
+    2450539254U,	// VSHUFPDZrri
+    2450543164U,	// VSHUFPDrmi
+    2450543164U,	// VSHUFPDrri
+    2450546382U,	// VSHUFPSYrmi
+    2450546382U,	// VSHUFPSYrri
+    2450540958U,	// VSHUFPSZrmi
+    2450540958U,	// VSHUFPSZrri
+    2450546382U,	// VSHUFPSrmi
+    2450546382U,	// VSHUFPSrri
+    974148321U,	// VSQRTPDYm
+    303059681U,	// VSQRTPDYr
+    1007702753U,	// VSQRTPDZrm
+    303059681U,	// VSQRTPDZrr
+    537940705U,	// VSQRTPDm
+    303059681U,	// VSQRTPDr
+    974151592U,	// VSQRTPSYm
+    303062952U,	// VSQRTPSYr
+    1007706024U,	// VSQRTPSZrm
+    303062952U,	// VSQRTPSZrr
+    537943976U,	// VSQRTPSm
+    303062952U,	// VSQRTPSr
+    2450543790U,	// VSQRTSDZm
+    2450543790U,	// VSQRTSDZm_Int
+    2450543790U,	// VSQRTSDZr
+    2450543790U,	// VSQRTSDZr_Int
+    2450543790U,	// VSQRTSDm
+    2450543790U,	// VSQRTSDm_Int
+    2450543790U,	// VSQRTSDr
+    2450547009U,	// VSQRTSSZm
+    2450547009U,	// VSQRTSSZm_Int
+    2450547009U,	// VSQRTSSZr
+    2450547009U,	// VSQRTSSZr_Int
+    2450547009U,	// VSQRTSSm
+    2450547009U,	// VSQRTSSm_Int
+    2450547009U,	// VSQRTSSr
+    72836U,	// VSTMXCSR
+    2450543065U,	// VSUBPDYrm
+    2450543065U,	// VSUBPDYrr
+    2450539195U,	// VSUBPDZrm
+    2450539195U,	// VSUBPDZrmb
+    322978491U,	// VSUBPDZrmbk
+    2470462139U,	// VSUBPDZrmbkz
+    322982361U,	// VSUBPDZrmk
+    2470466009U,	// VSUBPDZrmkz
+    2450539195U,	// VSUBPDZrr
+    322978491U,	// VSUBPDZrrk
+    2470462139U,	// VSUBPDZrrkz
+    2450543065U,	// VSUBPDrm
+    2450543065U,	// VSUBPDrr
+    2450546283U,	// VSUBPSYrm
+    2450546283U,	// VSUBPSYrr
+    2450540899U,	// VSUBPSZrm
+    2450540899U,	// VSUBPSZrmb
+    322980195U,	// VSUBPSZrmbk
+    2470463843U,	// VSUBPSZrmbkz
+    322985579U,	// VSUBPSZrmk
+    2470469227U,	// VSUBPSZrmkz
+    2450540899U,	// VSUBPSZrr
+    322980195U,	// VSUBPSZrrk
+    2470463843U,	// VSUBPSZrrkz
+    2450546283U,	// VSUBPSrm
+    2450546283U,	// VSUBPSrr
+    2450543675U,	// VSUBSDZrm
+    2450543675U,	// VSUBSDZrr
+    2450543675U,	// VSUBSDrm
+    2450543675U,	// VSUBSDrm_Int
+    2450543675U,	// VSUBSDrr
+    2450543675U,	// VSUBSDrr_Int
+    2450546892U,	// VSUBSSZrm
+    2450546892U,	// VSUBSSZrr
+    2450546892U,	// VSUBSSrm
+    2450546892U,	// VSUBSSrm_Int
+    2450546892U,	// VSUBSSrr
+    2450546892U,	// VSUBSSrr_Int
+    974148330U,	// VTESTPDYrm
+    303059690U,	// VTESTPDYrr
+    537940714U,	// VTESTPDrm
+    303059690U,	// VTESTPDrr
+    974151601U,	// VTESTPSYrm
+    303062961U,	// VTESTPSYrr
+    537943985U,	// VTESTPSrm
+    303062961U,	// VTESTPSrr
+    571495530U,	// VUCOMISDZrm
+    303060074U,	// VUCOMISDZrr
+    571495530U,	// VUCOMISDrm
+    303060074U,	// VUCOMISDrr
+    605053179U,	// VUCOMISSZrm
+    303063291U,	// VUCOMISSZrr
+    605053179U,	// VUCOMISSrm
+    303063291U,	// VUCOMISSrr
+    2450543173U,	// VUNPCKHPDYrm
+    2450543173U,	// VUNPCKHPDYrr
+    2450543173U,	// VUNPCKHPDZrm
+    2450543173U,	// VUNPCKHPDZrr
+    2450543173U,	// VUNPCKHPDrm
+    2450543173U,	// VUNPCKHPDrr
+    2450546391U,	// VUNPCKHPSYrm
+    2450546391U,	// VUNPCKHPSYrr
+    2450546391U,	// VUNPCKHPSZrm
+    2450546391U,	// VUNPCKHPSZrr
+    2450546391U,	// VUNPCKHPSrm
+    2450546391U,	// VUNPCKHPSrr
+    2450543215U,	// VUNPCKLPDYrm
+    2450543215U,	// VUNPCKLPDYrr
+    2450543215U,	// VUNPCKLPDZrm
+    2450543215U,	// VUNPCKLPDZrr
+    2450543215U,	// VUNPCKLPDrm
+    2450543215U,	// VUNPCKLPDrr
+    2450546453U,	// VUNPCKLPSYrm
+    2450546453U,	// VUNPCKLPSYrr
+    2450546453U,	// VUNPCKLPSZrm
+    2450546453U,	// VUNPCKLPSZrr
+    2450546453U,	// VUNPCKLPSrm
+    2450546453U,	// VUNPCKLPSrr
+    2450543311U,	// VXORPDYrm
+    2450543311U,	// VXORPDYrr
+    2450543311U,	// VXORPDrm
+    2450543311U,	// VXORPDrr
+    2450546549U,	// VXORPSYrm
+    2450546549U,	// VXORPSYrr
+    2450546549U,	// VXORPSrm
+    2450546549U,	// VXORPSrr
+    11651U,	// VZEROALL
+    11851U,	// VZEROUPPER
     0U,	// V_SET0
     0U,	// V_SETALLONES
-    153664U,	// W64ALLOCA
-    12156U,	// WAIT
-    11423U,	// WBINVD
-    11752U,	// WIN_ALLOCA
-    11628U,	// WIN_FTOL_32
-    11628U,	// WIN_FTOL_64
-    22185U,	// WRFSBASE
-    22185U,	// WRFSBASE64
-    22205U,	// WRGSBASE
-    22205U,	// WRGSBASE64
-    11929U,	// WRMSR
-    25119U,	// XABORT
-    11494U,	// XACQUIRE_PREFIX
-    1085363U,	// XADD16rm
-    135286707U,	// XADD16rr
-    1118131U,	// XADD32rm
-    135286707U,	// XADD32rr
-    1134515U,	// XADD64rm
-    135286707U,	// XADD64rr
-    1150899U,	// XADD8rm
-    135286707U,	// XADD8rr
-    11163U,	// XBEGIN
-    153819U,	// XBEGIN_4
-    26309U,	// XCHG16ar
-    464651U,	// XCHG16rm
-    726795U,	// XCHG16rr
-    26472U,	// XCHG32ar
-    26472U,	// XCHG32ar64
-    481035U,	// XCHG32rm
-    726795U,	// XCHG32rr
-    26596U,	// XCHG64ar
-    497419U,	// XCHG64rm
-    726795U,	// XCHG64rr
-    513803U,	// XCHG8rm
-    726795U,	// XCHG8rr
-    22324U,	// XCH_F
-    11312U,	// XCRYPTCBC
-    11256U,	// XCRYPTCFB
-    11935U,	// XCRYPTCTR
-    11246U,	// XCRYPTECB
-    11266U,	// XCRYPTOFB
-    11388U,	// XEND
-    12211U,	// XGETBV
-    11296U,	// XLAT
-    26336U,	// XOR16i16
-    1088662U,	// XOR16mi
-    1088662U,	// XOR16mi8
-    1088662U,	// XOR16mr
-    35708054U,	// XOR16ri
-    35708054U,	// XOR16ri8
-    3202198U,	// XOR16rm
-    35708054U,	// XOR16rr
-    68181142U,	// XOR16rr_REV
-    26502U,	// XOR32i32
-    1121430U,	// XOR32mi
-    1121430U,	// XOR32mi8
-    1121430U,	// XOR32mr
-    35708054U,	// XOR32ri
-    35708054U,	// XOR32ri8
-    4250774U,	// XOR32rm
-    35708054U,	// XOR32rr
-    68181142U,	// XOR32rr_REV
-    26641U,	// XOR64i32
-    1137814U,	// XOR64mi32
-    1137814U,	// XOR64mi8
-    1137814U,	// XOR64mr
-    35708054U,	// XOR64ri32
-    35708054U,	// XOR64ri8
-    5299350U,	// XOR64rm
-    35708054U,	// XOR64rr
-    68181142U,	// XOR64rr_REV
-    26224U,	// XOR8i8
-    1154198U,	// XOR8mi
-    1154198U,	// XOR8mr
-    35708054U,	// XOR8ri
-    35708054U,	// XOR8ri8
-    6347926U,	// XOR8rm
-    35708054U,	// XOR8rr
-    68181142U,	// XOR8rr_REV
-    202396412U,	// XORPDrm
-    68178684U,	// XORPDrr
-    202399650U,	// XORPSrm
-    68181922U,	// XORPSrr
-    11510U,	// XRELEASE_PREFIX
-    285836U,	// XRSTOR
-    281660U,	// XRSTOR64
-    284394U,	// XSAVE
-    281650U,	// XSAVE64
-    287253U,	// XSAVEOPT
-    281670U,	// XSAVEOPT64
-    12218U,	// XSETBV
-    10890U,	// XSHA1
-    11125U,	// XSHA256
-    11503U,	// XSTORE
-    12194U,	// XTEST
+    153620U,	// W64ALLOCA
+    12112U,	// WAIT
+    11379U,	// WBINVD
+    11708U,	// WIN_ALLOCA
+    11584U,	// WIN_FTOL_32
+    11584U,	// WIN_FTOL_64
+    22141U,	// WRFSBASE
+    22141U,	// WRFSBASE64
+    22161U,	// WRGSBASE
+    22161U,	// WRGSBASE64
+    11885U,	// WRMSR
+    25075U,	// XABORT
+    11450U,	// XACQUIRE_PREFIX
+    1085319U,	// XADD16rm
+    303058823U,	// XADD16rr
+    1118087U,	// XADD32rm
+    303058823U,	// XADD32rr
+    1134471U,	// XADD64rm
+    303058823U,	// XADD64rr
+    1150855U,	// XADD8rm
+    303058823U,	// XADD8rr
+    11119U,	// XBEGIN
+    153775U,	// XBEGIN_4
+    26265U,	// XCHG16ar
+    464607U,	// XCHG16rm
+    726751U,	// XCHG16rr
+    26428U,	// XCHG32ar
+    26428U,	// XCHG32ar64
+    480991U,	// XCHG32rm
+    726751U,	// XCHG32rr
+    26552U,	// XCHG64ar
+    497375U,	// XCHG64rm
+    726751U,	// XCHG64rr
+    513759U,	// XCHG8rm
+    726751U,	// XCHG8rr
+    22280U,	// XCH_F
+    11268U,	// XCRYPTCBC
+    11212U,	// XCRYPTCFB
+    11891U,	// XCRYPTCTR
+    11202U,	// XCRYPTECB
+    11222U,	// XCRYPTOFB
+    11344U,	// XEND
+    12167U,	// XGETBV
+    11252U,	// XLAT
+    26292U,	// XOR16i16
+    1088618U,	// XOR16mi
+    1088618U,	// XOR16mi8
+    1088618U,	// XOR16mr
+    35708010U,	// XOR16ri
+    35708010U,	// XOR16ri8
+    3202154U,	// XOR16rm
+    35708010U,	// XOR16rr
+    68181098U,	// XOR16rr_REV
+    26458U,	// XOR32i32
+    1121386U,	// XOR32mi
+    1121386U,	// XOR32mi8
+    1121386U,	// XOR32mr
+    35708010U,	// XOR32ri
+    35708010U,	// XOR32ri8
+    4250730U,	// XOR32rm
+    35708010U,	// XOR32rr
+    68181098U,	// XOR32rr_REV
+    26597U,	// XOR64i32
+    1137770U,	// XOR64mi32
+    1137770U,	// XOR64mi8
+    1137770U,	// XOR64mr
+    35708010U,	// XOR64ri32
+    35708010U,	// XOR64ri8
+    5299306U,	// XOR64rm
+    35708010U,	// XOR64rr
+    68181098U,	// XOR64rr_REV
+    26180U,	// XOR8i8
+    1154154U,	// XOR8mi
+    1154154U,	// XOR8mr
+    35708010U,	// XOR8ri
+    35708010U,	// XOR8ri8
+    6347882U,	// XOR8rm
+    35708010U,	// XOR8rr
+    68181098U,	// XOR8rr_REV
+    168841936U,	// XORPDrm
+    68178640U,	// XORPDrr
+    168845174U,	// XORPSrm
+    68181878U,	// XORPSrr
+    11466U,	// XRELEASE_PREFIX
+    285792U,	// XRSTOR
+    281616U,	// XRSTOR64
+    284350U,	// XSAVE
+    281606U,	// XSAVE64
+    287209U,	// XSAVEOPT
+    281626U,	// XSAVEOPT64
+    12174U,	// XSETBV
+    10846U,	// XSHA1
+    11081U,	// XSHA256
+    11459U,	// XSTORE
+    12150U,	// XTEST
     0U
   };
 
@@ -6744,7 +6882,6 @@
     0U,	// CRC32r64m8
     0U,	// CRC32r64r64
     0U,	// CRC32r64r8
-    0U,	// CS_PREFIX
     0U,	// CVTDQ2PDrm
     0U,	// CVTDQ2PDrr
     0U,	// CVTDQ2PSrm
@@ -6871,7 +7008,6 @@
     40U,	// DPPDrri
     32U,	// DPPSrmi
     40U,	// DPPSrri
-    0U,	// DS_PREFIX
     0U,	// EH_RETURN
     0U,	// EH_RETURN64
     0U,	// EH_SjLj_LongJmp32
@@ -6882,7 +7018,6 @@
     0U,	// ENCLS
     0U,	// ENCLU
     0U,	// ENTER
-    0U,	// ES_PREFIX
     0U,	// EXTRACTPSmr
     8U,	// EXTRACTPSrr
     0U,	// EXTRQ
@@ -6946,7 +7081,6 @@
     0U,	// FSETPM
     0U,	// FSINCOS
     0U,	// FSTENVm
-    0U,	// FS_PREFIX
     0U,	// FXAM
     0U,	// FXRSTOR
     0U,	// FXRSTOR64
@@ -6978,7 +7112,6 @@
     0U,	// FsXORPSrm
     0U,	// FsXORPSrr
     0U,	// GETSEC
-    0U,	// GS_PREFIX
     0U,	// HADDPDrm
     0U,	// HADDPDrr
     0U,	// HADDPSrm
@@ -8872,7 +9005,6 @@
     0U,	// SQRT_Fp32
     0U,	// SQRT_Fp64
     0U,	// SQRT_Fp80
-    0U,	// SS_PREFIX
     0U,	// STAC
     0U,	// STC
     0U,	// STD
@@ -9151,12 +9283,12 @@
     8U,	// VAESKEYGENASSIST128rr
     65896U,	// VALIGNDrmi
     71944U,	// VALIGNDrri
-    141610U,	// VALIGNDrrik
-    268554U,	// VALIGNDrrikz
+    272682U,	// VALIGNDrrik
+    530698U,	// VALIGNDrrikz
     65896U,	// VALIGNQrmi
     71944U,	// VALIGNQrri
-    141610U,	// VALIGNQrrik
-    268554U,	// VALIGNQrrikz
+    272682U,	// VALIGNQrrik
+    530698U,	// VALIGNQrrikz
     72U,	// VANDNPDYrm
     8U,	// VANDNPDYrr
     88U,	// VANDNPDrm
@@ -9490,9 +9622,13 @@
     128U,	// VFMADD213PDZm
     648U,	// VFMADD213PDZmb
     40U,	// VFMADD213PDZr
+    76074U,	// VFMADD213PDZrk
+    76074U,	// VFMADD213PDZrkz
     128U,	// VFMADD213PSZm
     912U,	// VFMADD213PSZmb
     40U,	// VFMADD213PSZr
+    76074U,	// VFMADD213PSZrk
+    76074U,	// VFMADD213PSZrkz
     65880U,	// VFMADDPD4mr
     65864U,	// VFMADDPD4mrY
     78088U,	// VFMADDPD4rm
@@ -9570,9 +9706,13 @@
     128U,	// VFMADDSUB213PDZm
     648U,	// VFMADDSUB213PDZmb
     40U,	// VFMADDSUB213PDZr
+    76074U,	// VFMADDSUB213PDZrk
+    76074U,	// VFMADDSUB213PDZrkz
     128U,	// VFMADDSUB213PSZm
     912U,	// VFMADDSUB213PSZmb
     40U,	// VFMADDSUB213PSZr
+    76074U,	// VFMADDSUB213PSZrk
+    76074U,	// VFMADDSUB213PSZrkz
     65880U,	// VFMADDSUBPD4mr
     65864U,	// VFMADDSUBPD4mrY
     78088U,	// VFMADDSUBPD4rm
@@ -9620,9 +9760,13 @@
     128U,	// VFMSUB213PDZm
     648U,	// VFMSUB213PDZmb
     40U,	// VFMSUB213PDZr
+    76074U,	// VFMSUB213PDZrk
+    76074U,	// VFMSUB213PDZrkz
     128U,	// VFMSUB213PSZm
     912U,	// VFMSUB213PSZmb
     40U,	// VFMSUB213PSZr
+    76074U,	// VFMSUB213PSZrk
+    76074U,	// VFMSUB213PSZrkz
     128U,	// VFMSUBADD132PDZm
     648U,	// VFMSUBADD132PDZmb
     128U,	// VFMSUBADD132PSZm
@@ -9630,9 +9774,13 @@
     128U,	// VFMSUBADD213PDZm
     648U,	// VFMSUBADD213PDZmb
     40U,	// VFMSUBADD213PDZr
+    76074U,	// VFMSUBADD213PDZrk
+    76074U,	// VFMSUBADD213PDZrkz
     128U,	// VFMSUBADD213PSZm
     912U,	// VFMSUBADD213PSZmb
     40U,	// VFMSUBADD213PSZr
+    76074U,	// VFMSUBADD213PSZrk
+    76074U,	// VFMSUBADD213PSZrkz
     65880U,	// VFMSUBADDPD4mr
     65864U,	// VFMSUBADDPD4mrY
     78088U,	// VFMSUBADDPD4rm
@@ -9750,9 +9898,13 @@
     128U,	// VFNMADD213PDZm
     648U,	// VFNMADD213PDZmb
     40U,	// VFNMADD213PDZr
+    76074U,	// VFNMADD213PDZrk
+    76074U,	// VFNMADD213PDZrkz
     128U,	// VFNMADD213PSZm
     912U,	// VFNMADD213PSZmb
     40U,	// VFNMADD213PSZr
+    76074U,	// VFNMADD213PSZrk
+    76074U,	// VFNMADD213PSZrkz
     65880U,	// VFNMADDPD4mr
     65864U,	// VFNMADDPD4mrY
     78088U,	// VFNMADDPD4rm
@@ -9830,9 +9982,13 @@
     128U,	// VFNMSUB213PDZm
     648U,	// VFNMSUB213PDZmb
     40U,	// VFNMSUB213PDZr
+    76074U,	// VFNMSUB213PDZrk
+    76074U,	// VFNMSUB213PDZrkz
     128U,	// VFNMSUB213PSZm
     912U,	// VFNMSUB213PSZmb
     40U,	// VFNMSUB213PSZr
+    76074U,	// VFNMSUB213PSZrk
+    76074U,	// VFNMSUB213PSZrkz
     65880U,	// VFNMSUBPD4mr
     65864U,	// VFNMSUBPD4mrY
     78088U,	// VFNMSUBPD4rm
@@ -10435,15 +10591,23 @@
     0U,	// VMOVMSKPSYrr
     0U,	// VMOVMSKPSrr
     0U,	// VMOVNTDQAYrm
+    0U,	// VMOVNTDQAZ128rm
+    0U,	// VMOVNTDQAZ256rm
     0U,	// VMOVNTDQAZrm
     0U,	// VMOVNTDQArm
     0U,	// VMOVNTDQYmr
+    0U,	// VMOVNTDQZ128mr
+    0U,	// VMOVNTDQZ256mr
     0U,	// VMOVNTDQZmr
     0U,	// VMOVNTDQmr
     0U,	// VMOVNTPDYmr
+    0U,	// VMOVNTPDZ128mr
+    0U,	// VMOVNTPDZ256mr
     0U,	// VMOVNTPDZmr
     0U,	// VMOVNTPDmr
     0U,	// VMOVNTPSYmr
+    0U,	// VMOVNTPSZ128mr
+    0U,	// VMOVNTPSZ256mr
     0U,	// VMOVNTPSZmr
     0U,	// VMOVNTPSmr
     0U,	// VMOVPDI2DIZmr
@@ -10705,7 +10869,7 @@
     104U,	// VPADDDZrm
     784U,	// VPADDDZrmb
     16682U,	// VPADDDZrmbk
-    18698U,	// VPADDDZrmbkz
+    117002U,	// VPADDDZrmbkz
     20778U,	// VPADDDZrmk
     88330U,	// VPADDDZrmkz
     8U,	// VPADDDZrr
@@ -10718,7 +10882,7 @@
     104U,	// VPADDQZrm
     536U,	// VPADDQZrmb
     24874U,	// VPADDQZrmbk
-    26890U,	// VPADDQZrmbkz
+    59658U,	// VPADDQZrmbkz
     20778U,	// VPADDQZrmk
     88330U,	// VPADDQZrmkz
     8U,	// VPADDQZrr
@@ -10753,7 +10917,7 @@
     104U,	// VPANDDZrm
     784U,	// VPANDDZrmb
     16682U,	// VPANDDZrmbk
-    18698U,	// VPANDDZrmbkz
+    117002U,	// VPANDDZrmbkz
     20778U,	// VPANDDZrmk
     88330U,	// VPANDDZrmkz
     8U,	// VPANDDZrr
@@ -10762,7 +10926,7 @@
     104U,	// VPANDNDZrm
     784U,	// VPANDNDZrmb
     16682U,	// VPANDNDZrmbk
-    18698U,	// VPANDNDZrmbkz
+    117002U,	// VPANDNDZrmbkz
     20778U,	// VPANDNDZrmk
     88330U,	// VPANDNDZrmkz
     8U,	// VPANDNDZrr
@@ -10771,7 +10935,7 @@
     104U,	// VPANDNQZrm
     536U,	// VPANDNQZrmb
     24874U,	// VPANDNQZrmbk
-    26890U,	// VPANDNQZrmbkz
+    59658U,	// VPANDNQZrmbkz
     20778U,	// VPANDNQZrmk
     88330U,	// VPANDNQZrmkz
     8U,	// VPANDNQZrr
@@ -10784,7 +10948,7 @@
     104U,	// VPANDQZrm
     536U,	// VPANDQZrmb
     24874U,	// VPANDQZrmbk
-    26890U,	// VPANDQZrmbkz
+    59658U,	// VPANDQZrmbkz
     20778U,	// VPANDQZrmk
     88330U,	// VPANDQZrmkz
     8U,	// VPANDQZrr
@@ -10861,25 +11025,81 @@
     22794U,	// VPCMPDZrmik_alt
     0U,	// VPCMPDZrri
     71944U,	// VPCMPDZrri_alt
-    268554U,	// VPCMPDZrrik_alt
+    530698U,	// VPCMPDZrrik_alt
     112U,	// VPCMPEQBYrm
     8U,	// VPCMPEQBYrr
+    96U,	// VPCMPEQBZ128rm
+    28938U,	// VPCMPEQBZ128rmk
+    8U,	// VPCMPEQBZ128rr
+    71946U,	// VPCMPEQBZ128rrk
+    112U,	// VPCMPEQBZ256rm
+    30986U,	// VPCMPEQBZ256rmk
+    8U,	// VPCMPEQBZ256rr
+    71946U,	// VPCMPEQBZ256rrk
+    104U,	// VPCMPEQBZrm
+    88330U,	// VPCMPEQBZrmk
+    8U,	// VPCMPEQBZrr
+    71946U,	// VPCMPEQBZrrk
     96U,	// VPCMPEQBrm
     8U,	// VPCMPEQBrr
     112U,	// VPCMPEQDYrm
     8U,	// VPCMPEQDYrr
+    96U,	// VPCMPEQDZ128rm
+    1040U,	// VPCMPEQDZ128rmb
+    149770U,	// VPCMPEQDZ128rmbk
+    28938U,	// VPCMPEQDZ128rmk
+    8U,	// VPCMPEQDZ128rr
+    71946U,	// VPCMPEQDZ128rrk
+    112U,	// VPCMPEQDZ256rm
+    528U,	// VPCMPEQDZ256rmb
+    51466U,	// VPCMPEQDZ256rmbk
+    30986U,	// VPCMPEQDZ256rmk
+    8U,	// VPCMPEQDZ256rr
+    71946U,	// VPCMPEQDZ256rrk
     104U,	// VPCMPEQDZrm
+    784U,	// VPCMPEQDZrmb
+    117002U,	// VPCMPEQDZrmbk
+    88330U,	// VPCMPEQDZrmk
     8U,	// VPCMPEQDZrr
+    71946U,	// VPCMPEQDZrrk
     96U,	// VPCMPEQDrm
     8U,	// VPCMPEQDrr
     112U,	// VPCMPEQQYrm
     8U,	// VPCMPEQQYrr
+    96U,	// VPCMPEQQZ128rm
+    1304U,	// VPCMPEQQZ128rmb
+    190730U,	// VPCMPEQQZ128rmbk
+    28938U,	// VPCMPEQQZ128rmk
+    8U,	// VPCMPEQQZ128rr
+    71946U,	// VPCMPEQQZ128rrk
+    112U,	// VPCMPEQQZ256rm
+    1048U,	// VPCMPEQQZ256rmb
+    157962U,	// VPCMPEQQZ256rmbk
+    30986U,	// VPCMPEQQZ256rmk
+    8U,	// VPCMPEQQZ256rr
+    71946U,	// VPCMPEQQZ256rrk
     104U,	// VPCMPEQQZrm
+    536U,	// VPCMPEQQZrmb
+    59658U,	// VPCMPEQQZrmbk
+    88330U,	// VPCMPEQQZrmk
     8U,	// VPCMPEQQZrr
+    71946U,	// VPCMPEQQZrrk
     96U,	// VPCMPEQQrm
     8U,	// VPCMPEQQrr
     112U,	// VPCMPEQWYrm
     8U,	// VPCMPEQWYrr
+    96U,	// VPCMPEQWZ128rm
+    28938U,	// VPCMPEQWZ128rmk
+    8U,	// VPCMPEQWZ128rr
+    71946U,	// VPCMPEQWZ128rrk
+    112U,	// VPCMPEQWZ256rm
+    30986U,	// VPCMPEQWZ256rmk
+    8U,	// VPCMPEQWZ256rr
+    71946U,	// VPCMPEQWZ256rrk
+    104U,	// VPCMPEQWZrm
+    88330U,	// VPCMPEQWZrmk
+    8U,	// VPCMPEQWZrr
+    71946U,	// VPCMPEQWZrrk
     96U,	// VPCMPEQWrm
     8U,	// VPCMPEQWrr
     0U,	// VPCMPESTRIMEM
@@ -10892,22 +11112,78 @@
     8U,	// VPCMPESTRM128rr
     112U,	// VPCMPGTBYrm
     8U,	// VPCMPGTBYrr
+    96U,	// VPCMPGTBZ128rm
+    28938U,	// VPCMPGTBZ128rmk
+    8U,	// VPCMPGTBZ128rr
+    71946U,	// VPCMPGTBZ128rrk
+    112U,	// VPCMPGTBZ256rm
+    30986U,	// VPCMPGTBZ256rmk
+    8U,	// VPCMPGTBZ256rr
+    71946U,	// VPCMPGTBZ256rrk
+    104U,	// VPCMPGTBZrm
+    88330U,	// VPCMPGTBZrmk
+    8U,	// VPCMPGTBZrr
+    71946U,	// VPCMPGTBZrrk
     96U,	// VPCMPGTBrm
     8U,	// VPCMPGTBrr
     112U,	// VPCMPGTDYrm
     8U,	// VPCMPGTDYrr
+    96U,	// VPCMPGTDZ128rm
+    1040U,	// VPCMPGTDZ128rmb
+    149770U,	// VPCMPGTDZ128rmbk
+    28938U,	// VPCMPGTDZ128rmk
+    8U,	// VPCMPGTDZ128rr
+    71946U,	// VPCMPGTDZ128rrk
+    112U,	// VPCMPGTDZ256rm
+    528U,	// VPCMPGTDZ256rmb
+    51466U,	// VPCMPGTDZ256rmbk
+    30986U,	// VPCMPGTDZ256rmk
+    8U,	// VPCMPGTDZ256rr
+    71946U,	// VPCMPGTDZ256rrk
     104U,	// VPCMPGTDZrm
+    784U,	// VPCMPGTDZrmb
+    117002U,	// VPCMPGTDZrmbk
+    88330U,	// VPCMPGTDZrmk
     8U,	// VPCMPGTDZrr
+    71946U,	// VPCMPGTDZrrk
     96U,	// VPCMPGTDrm
     8U,	// VPCMPGTDrr
     112U,	// VPCMPGTQYrm
     8U,	// VPCMPGTQYrr
+    96U,	// VPCMPGTQZ128rm
+    1304U,	// VPCMPGTQZ128rmb
+    190730U,	// VPCMPGTQZ128rmbk
+    28938U,	// VPCMPGTQZ128rmk
+    8U,	// VPCMPGTQZ128rr
+    71946U,	// VPCMPGTQZ128rrk
+    112U,	// VPCMPGTQZ256rm
+    1048U,	// VPCMPGTQZ256rmb
+    157962U,	// VPCMPGTQZ256rmbk
+    30986U,	// VPCMPGTQZ256rmk
+    8U,	// VPCMPGTQZ256rr
+    71946U,	// VPCMPGTQZ256rrk
     104U,	// VPCMPGTQZrm
+    536U,	// VPCMPGTQZrmb
+    59658U,	// VPCMPGTQZrmbk
+    88330U,	// VPCMPGTQZrmk
     8U,	// VPCMPGTQZrr
+    71946U,	// VPCMPGTQZrrk
     96U,	// VPCMPGTQrm
     8U,	// VPCMPGTQrr
     112U,	// VPCMPGTWYrm
     8U,	// VPCMPGTWYrr
+    96U,	// VPCMPGTWZ128rm
+    28938U,	// VPCMPGTWZ128rmk
+    8U,	// VPCMPGTWZ128rr
+    71946U,	// VPCMPGTWZ128rrk
+    112U,	// VPCMPGTWZ256rm
+    30986U,	// VPCMPGTWZ256rmk
+    8U,	// VPCMPGTWZ256rr
+    71946U,	// VPCMPGTWZ256rrk
+    104U,	// VPCMPGTWZrm
+    88330U,	// VPCMPGTWZrmk
+    8U,	// VPCMPGTWZrr
+    71946U,	// VPCMPGTWZrrk
     96U,	// VPCMPGTWrm
     8U,	// VPCMPGTWrr
     0U,	// VPCMPISTRIMEM
@@ -10923,19 +11199,19 @@
     22794U,	// VPCMPQZrmik_alt
     0U,	// VPCMPQZrri
     71944U,	// VPCMPQZrri_alt
-    268554U,	// VPCMPQZrrik_alt
+    530698U,	// VPCMPQZrrik_alt
     0U,	// VPCMPUDZrmi
     65896U,	// VPCMPUDZrmi_alt
     22794U,	// VPCMPUDZrmik_alt
     0U,	// VPCMPUDZrri
     71944U,	// VPCMPUDZrri_alt
-    268554U,	// VPCMPUDZrrik_alt
+    530698U,	// VPCMPUDZrrik_alt
     0U,	// VPCMPUQZrmi
     65896U,	// VPCMPUQZrmi_alt
     22794U,	// VPCMPUQZrmik_alt
     0U,	// VPCMPUQZrri
     71944U,	// VPCMPUQZrri_alt
-    268554U,	// VPCMPUQZrrik_alt
+    530698U,	// VPCMPUQZrrik_alt
     65888U,	// VPCOMBmi
     71944U,	// VPCOMBri
     65888U,	// VPCOMDmi
@@ -11006,14 +11282,14 @@
     328U,	// VPERMIL2PDmrY
     12552U,	// VPERMIL2PDrm
     14600U,	// VPERMIL2PDrmY
-    268552U,	// VPERMIL2PDrr
-    268552U,	// VPERMIL2PDrrY
+    530696U,	// VPERMIL2PDrr
+    530696U,	// VPERMIL2PDrrY
     344U,	// VPERMIL2PSmr
     328U,	// VPERMIL2PSmrY
     12552U,	// VPERMIL2PSrm
     14600U,	// VPERMIL2PSrmY
-    268552U,	// VPERMIL2PSrr
-    268552U,	// VPERMIL2PSrrY
+    530696U,	// VPERMIL2PSrr
+    530696U,	// VPERMIL2PSrrY
     0U,	// VPERMILPDYmi
     8U,	// VPERMILPDYri
     112U,	// VPERMILPDYrm
@@ -11226,7 +11502,7 @@
     104U,	// VPMAXSDZrm
     784U,	// VPMAXSDZrmb
     16682U,	// VPMAXSDZrmbk
-    18698U,	// VPMAXSDZrmbkz
+    117002U,	// VPMAXSDZrmbkz
     20778U,	// VPMAXSDZrmk
     88330U,	// VPMAXSDZrmkz
     8U,	// VPMAXSDZrr
@@ -11237,7 +11513,7 @@
     104U,	// VPMAXSQZrm
     536U,	// VPMAXSQZrmb
     24874U,	// VPMAXSQZrmbk
-    26890U,	// VPMAXSQZrmbkz
+    59658U,	// VPMAXSQZrmbkz
     20778U,	// VPMAXSQZrmk
     88330U,	// VPMAXSQZrmkz
     8U,	// VPMAXSQZrr
@@ -11256,7 +11532,7 @@
     104U,	// VPMAXUDZrm
     784U,	// VPMAXUDZrmb
     16682U,	// VPMAXUDZrmbk
-    18698U,	// VPMAXUDZrmbkz
+    117002U,	// VPMAXUDZrmbkz
     20778U,	// VPMAXUDZrmk
     88330U,	// VPMAXUDZrmkz
     8U,	// VPMAXUDZrr
@@ -11267,7 +11543,7 @@
     104U,	// VPMAXUQZrm
     536U,	// VPMAXUQZrmb
     24874U,	// VPMAXUQZrmbk
-    26890U,	// VPMAXUQZrmbkz
+    59658U,	// VPMAXUQZrmbkz
     20778U,	// VPMAXUQZrmk
     88330U,	// VPMAXUQZrmkz
     8U,	// VPMAXUQZrr
@@ -11286,7 +11562,7 @@
     104U,	// VPMINSDZrm
     784U,	// VPMINSDZrmb
     16682U,	// VPMINSDZrmbk
-    18698U,	// VPMINSDZrmbkz
+    117002U,	// VPMINSDZrmbkz
     20778U,	// VPMINSDZrmk
     88330U,	// VPMINSDZrmkz
     8U,	// VPMINSDZrr
@@ -11297,7 +11573,7 @@
     104U,	// VPMINSQZrm
     536U,	// VPMINSQZrmb
     24874U,	// VPMINSQZrmbk
-    26890U,	// VPMINSQZrmbkz
+    59658U,	// VPMINSQZrmbkz
     20778U,	// VPMINSQZrmk
     88330U,	// VPMINSQZrmkz
     8U,	// VPMINSQZrr
@@ -11316,7 +11592,7 @@
     104U,	// VPMINUDZrm
     784U,	// VPMINUDZrmb
     16682U,	// VPMINUDZrmbk
-    18698U,	// VPMINUDZrmbkz
+    117002U,	// VPMINUDZrmbkz
     20778U,	// VPMINUDZrmk
     88330U,	// VPMINUDZrmkz
     8U,	// VPMINUDZrr
@@ -11327,7 +11603,7 @@
     104U,	// VPMINUQZrm
     536U,	// VPMINUQZrmb
     24874U,	// VPMINUQZrmbk
-    26890U,	// VPMINUQZrmbkz
+    59658U,	// VPMINUQZrmbkz
     20778U,	// VPMINUQZrmk
     88330U,	// VPMINUQZrmkz
     8U,	// VPMINUQZrr
@@ -11526,8 +11802,8 @@
     8U,	// VPMULDQYrr
     104U,	// VPMULDQZrm
     536U,	// VPMULDQZrmb
-    26890U,	// VPMULDQZrmbk
-    26890U,	// VPMULDQZrmbkz
+    59658U,	// VPMULDQZrmbk
+    59658U,	// VPMULDQZrmbkz
     88330U,	// VPMULDQZrmk
     88330U,	// VPMULDQZrmkz
     8U,	// VPMULDQZrr
@@ -11552,7 +11828,7 @@
     104U,	// VPMULLDZrm
     784U,	// VPMULLDZrmb
     16682U,	// VPMULLDZrmbk
-    18698U,	// VPMULLDZrmbkz
+    117002U,	// VPMULLDZrmbkz
     20778U,	// VPMULLDZrmk
     88330U,	// VPMULLDZrmkz
     8U,	// VPMULLDZrr
@@ -11568,8 +11844,8 @@
     8U,	// VPMULUDQYrr
     104U,	// VPMULUDQZrm
     536U,	// VPMULUDQZrmb
-    26890U,	// VPMULUDQZrmbk
-    26890U,	// VPMULUDQZrmbkz
+    59658U,	// VPMULUDQZrmbk
+    59658U,	// VPMULUDQZrmbkz
     88330U,	// VPMULUDQZrmk
     88330U,	// VPMULUDQZrmkz
     8U,	// VPMULUDQZrr
@@ -11580,7 +11856,7 @@
     104U,	// VPORDZrm
     784U,	// VPORDZrmb
     16682U,	// VPORDZrmbk
-    18698U,	// VPORDZrmbkz
+    117002U,	// VPORDZrmbkz
     20778U,	// VPORDZrmk
     88330U,	// VPORDZrmkz
     8U,	// VPORDZrr
@@ -11589,7 +11865,7 @@
     104U,	// VPORQZrm
     536U,	// VPORQZrmb
     24874U,	// VPORQZrmbk
-    26890U,	// VPORQZrmbkz
+    59658U,	// VPORQZrmbkz
     20778U,	// VPORQZrmk
     88330U,	// VPORQZrmkz
     8U,	// VPORQZrr
@@ -11825,7 +12101,7 @@
     104U,	// VPSUBDZrm
     784U,	// VPSUBDZrmb
     16682U,	// VPSUBDZrmbk
-    18698U,	// VPSUBDZrmbkz
+    117002U,	// VPSUBDZrmbkz
     20778U,	// VPSUBDZrmk
     88330U,	// VPSUBDZrmkz
     8U,	// VPSUBDZrr
@@ -11838,7 +12114,7 @@
     104U,	// VPSUBQZrm
     536U,	// VPSUBQZrmb
     24874U,	// VPSUBQZrmbk
-    26890U,	// VPSUBQZrmbkz
+    59658U,	// VPSUBQZrmbkz
     20778U,	// VPSUBQZrmk
     88330U,	// VPSUBQZrmkz
     8U,	// VPSUBQZrr
@@ -11921,7 +12197,7 @@
     104U,	// VPXORDZrm
     784U,	// VPXORDZrmb
     16682U,	// VPXORDZrmbk
-    18698U,	// VPXORDZrmbkz
+    117002U,	// VPXORDZrmbkz
     20778U,	// VPXORDZrmk
     88330U,	// VPXORDZrmkz
     8U,	// VPXORDZrr
@@ -11930,7 +12206,7 @@
     104U,	// VPXORQZrm
     536U,	// VPXORQZrmb
     24874U,	// VPXORQZrmbk
-    26890U,	// VPXORQZrmbkz
+    59658U,	// VPXORQZrmbkz
     20778U,	// VPXORQZrmk
     88330U,	// VPXORQZrmkz
     8U,	// VPXORQZrr
@@ -11956,10 +12232,10 @@
     3U,	// VRCP28PSZrb
     56U,	// VRCP28SDrm
     8U,	// VRCP28SDrr
-    1032U,	// VRCP28SDrrb
+    1544U,	// VRCP28SDrrb
     64U,	// VRCP28SSrm
     8U,	// VRCP28SSrr
-    1032U,	// VRCP28SSrrb
+    1544U,	// VRCP28SSrrb
     0U,	// VRCPPSYm
     0U,	// VRCPPSYm_Int
     0U,	// VRCPPSYr
@@ -12009,10 +12285,10 @@
     3U,	// VRSQRT28PSZrb
     56U,	// VRSQRT28SDrm
     8U,	// VRSQRT28SDrr
-    1032U,	// VRSQRT28SDrrb
+    1544U,	// VRSQRT28SDrrb
     64U,	// VRSQRT28SSrm
     8U,	// VRSQRT28SSrr
-    1032U,	// VRSQRT28SSrrb
+    1544U,	// VRSQRT28SSrrb
     0U,	// VRSQRTPSYm
     0U,	// VRSQRTPSYm_Int
     0U,	// VRSQRTPSYr
@@ -12340,1207 +12616,1203 @@
   /* 841 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
   /* 855 */ 'v', 'd', 'i', 'v', 'p', 'd', 32, 9, 0,
   /* 864 */ 'v', 'm', 'a', 'x', 'p', 'd', 32, 9, 0,
-  /* 873 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 32, 9, 0,
-  /* 884 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 32, 9, 0,
-  /* 897 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 32, 9, 0,
-  /* 911 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 32, 9, 0,
-  /* 923 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 32, 9, 0,
-  /* 934 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 32, 9, 0,
-  /* 944 */ 'k', 'o', 'r', 'd', 32, 9, 0,
-  /* 951 */ 'k', 'x', 'n', 'o', 'r', 'd', 32, 9, 0,
-  /* 960 */ 'v', 'p', 'o', 'r', 'd', 32, 9, 0,
-  /* 968 */ 'k', 'x', 'o', 'r', 'd', 32, 9, 0,
-  /* 976 */ 'v', 'p', 'x', 'o', 'r', 'd', 32, 9, 0,
-  /* 985 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 32, 9, 0,
-  /* 997 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 32, 9, 0,
-  /* 1010 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 1024 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 1039 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 1053 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 1068 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 32, 9, 0,
-  /* 1079 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 32, 9, 0,
-  /* 1092 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, 0,
-  /* 1103 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, 0,
-  /* 1116 */ 'v', 'p', 'a', 'b', 's', 'd', 32, 9, 0,
-  /* 1125 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 32, 9, 0,
-  /* 1139 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 32, 9, 0,
-  /* 1149 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 32, 9, 0,
-  /* 1164 */ 'v', 'm', 'o', 'v', 's', 'd', 32, 9, 0,
-  /* 1173 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 32, 9, 0,
-  /* 1183 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'd', 32, 9, 0,
-  /* 1197 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 32, 9, 0,
-  /* 1208 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'd', 32, 9, 0,
-  /* 1219 */ 'k', 'n', 'o', 't', 'd', 32, 9, 0,
-  /* 1227 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 32, 9, 0,
-  /* 1242 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 32, 9, 0,
-  /* 1252 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 32, 9, 0,
-  /* 1262 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 32, 9, 0,
-  /* 1272 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 32, 9, 0,
-  /* 1282 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 32, 9, 0,
-  /* 1292 */ 'k', 'm', 'o', 'v', 'd', 32, 9, 0,
-  /* 1300 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 32, 9, 0,
-  /* 1312 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 32, 9, 0,
-  /* 1324 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 32, 9, 0,
-  /* 1336 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
-  /* 1349 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
-  /* 1361 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
-  /* 1374 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
-  /* 1386 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1400 */ 'v', 'c', 'v', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1413 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1427 */ 'v', 'c', 'v', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1440 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 32, 9, 0,
-  /* 1451 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 32, 9, 0,
-  /* 1463 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 32, 9, 0,
-  /* 1475 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 32, 9, 0,
-  /* 1493 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 32, 9, 0,
-  /* 1504 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'q', 32, 9, 0,
-  /* 1515 */ 'v', 'p', 's', 'r', 'a', 'q', 32, 9, 0,
-  /* 1524 */ 'v', 'p', 's', 'u', 'b', 'q', 32, 9, 0,
-  /* 1533 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 32, 9, 0,
-  /* 1545 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 32, 9, 0,
-  /* 1557 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
-  /* 1570 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
-  /* 1582 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
-  /* 1595 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
-  /* 1607 */ 'v', 'p', 'a', 'd', 'd', 'q', 32, 9, 0,
-  /* 1616 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 32, 9, 0,
-  /* 1629 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 32, 9, 0,
-  /* 1642 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 32, 9, 0,
-  /* 1652 */ 'k', 'a', 'n', 'd', 'q', 32, 9, 0,
-  /* 1660 */ 'v', 'p', 'a', 'n', 'd', 'q', 32, 9, 0,
-  /* 1669 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 32, 9, 0,
-  /* 1683 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 32, 9, 0,
-  /* 1697 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 32, 9, 0,
-  /* 1710 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 32, 9, 0,
-  /* 1724 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1738 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1751 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1765 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1778 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 32, 9, 0,
-  /* 1789 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 32, 9, 0,
-  /* 1801 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 32, 9, 0,
-  /* 1813 */ 'v', 'p', 's', 'l', 'l', 'q', 32, 9, 0,
-  /* 1822 */ 'v', 'p', 's', 'r', 'l', 'q', 32, 9, 0,
-  /* 1831 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 32, 9, 0,
-  /* 1843 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'q', 32, 9, 0,
-  /* 1855 */ 'v', 'p', 'e', 'r', 'm', 'q', 32, 9, 0,
-  /* 1864 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 32, 9, 0,
-  /* 1875 */ 'k', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
-  /* 1884 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
-  /* 1894 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 32, 9, 0,
-  /* 1904 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 32, 9, 0,
-  /* 1915 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 32, 9, 0,
-  /* 1928 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 32, 9, 0,
-  /* 1942 */ 'k', 'o', 'r', 'q', 32, 9, 0,
-  /* 1949 */ 'k', 'x', 'n', 'o', 'r', 'q', 32, 9, 0,
-  /* 1958 */ 'v', 'p', 'o', 'r', 'q', 32, 9, 0,
-  /* 1966 */ 'k', 'x', 'o', 'r', 'q', 32, 9, 0,
-  /* 1974 */ 'v', 'p', 'x', 'o', 'r', 'q', 32, 9, 0,
-  /* 1983 */ 'v', 'p', 'a', 'b', 's', 'q', 32, 9, 0,
-  /* 1992 */ 'v', 'p', 'm', 'i', 'n', 's', 'q', 32, 9, 0,
-  /* 2002 */ 'v', 'p', 'm', 'a', 'x', 's', 'q', 32, 9, 0,
-  /* 2012 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'q', 32, 9, 0,
-  /* 2026 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 32, 9, 0,
-  /* 2037 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'q', 32, 9, 0,
-  /* 2048 */ 'k', 'n', 'o', 't', 'q', 32, 9, 0,
-  /* 2056 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 32, 9, 0,
-  /* 2071 */ 'v', 'p', 'm', 'i', 'n', 'u', 'q', 32, 9, 0,
-  /* 2081 */ 'v', 'p', 'm', 'a', 'x', 'u', 'q', 32, 9, 0,
-  /* 2091 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 32, 9, 0,
-  /* 2101 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 32, 9, 0,
-  /* 2111 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 32, 9, 0,
-  /* 2121 */ 'k', 'm', 'o', 'v', 'q', 32, 9, 0,
-  /* 2129 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 32, 9, 0,
-  /* 2141 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 32, 9, 0,
-  /* 2153 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2170 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2184 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2199 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2216 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2230 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2245 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 32, 9, 0,
-  /* 2257 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 32, 9, 0,
-  /* 2269 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 32, 9, 0,
-  /* 2281 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 32, 9, 0,
-  /* 2294 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 's', 32, 9, 0,
-  /* 2306 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2323 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2337 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2352 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2369 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2383 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2398 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 32, 9, 0,
-  /* 2409 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 32, 9, 0,
-  /* 2422 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, 0,
-  /* 2433 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, 0,
-  /* 2446 */ 'v', 's', 'u', 'b', 'p', 's', 32, 9, 0,
-  /* 2455 */ 'v', 'a', 'd', 'd', 'p', 's', 32, 9, 0,
-  /* 2464 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
-  /* 2477 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
-  /* 2491 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 32, 9, 0,
-  /* 2505 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 32, 9, 0,
-  /* 2515 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 32, 9, 0,
-  /* 2527 */ 'v', 'm', 'u', 'l', 'p', 's', 32, 9, 0,
-  /* 2536 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 32, 9, 0,
-  /* 2548 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 32, 9, 0,
-  /* 2558 */ 'v', 'm', 'i', 'n', 'p', 's', 32, 9, 0,
-  /* 2567 */ 'v', 'c', 'm', 'p', 'p', 's', 32, 9, 0,
-  /* 2576 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
-  /* 2589 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
-  /* 2603 */ 'v', 'd', 'i', 'v', 'p', 's', 32, 9, 0,
-  /* 2612 */ 'v', 'm', 'a', 'x', 'p', 's', 32, 9, 0,
-  /* 2621 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 32, 9, 0,
-  /* 2633 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 32, 9, 0,
-  /* 2646 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2660 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2675 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2689 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2704 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 32, 9, 0,
-  /* 2715 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 32, 9, 0,
-  /* 2728 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, 0,
-  /* 2739 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, 0,
-  /* 2752 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 32, 9, 0,
-  /* 2766 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 32, 9, 0,
-  /* 2781 */ 'v', 'm', 'o', 'v', 's', 's', 32, 9, 0,
-  /* 2790 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 32, 9, 0,
-  /* 2801 */ 'k', 'a', 'n', 'd', 'w', 32, 9, 0,
-  /* 2809 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 32, 9, 0,
-  /* 2821 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 32, 9, 0,
-  /* 2832 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 32, 9, 0,
-  /* 2842 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 32, 9, 0,
-  /* 2853 */ 'k', 'a', 'n', 'd', 'n', 'w', 32, 9, 0,
-  /* 2862 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 32, 9, 0,
-  /* 2874 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 32, 9, 0,
-  /* 2885 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 32, 9, 0,
-  /* 2895 */ 'k', 'o', 'r', 'w', 32, 9, 0,
-  /* 2902 */ 'k', 'x', 'n', 'o', 'r', 'w', 32, 9, 0,
-  /* 2911 */ 'k', 'x', 'o', 'r', 'w', 32, 9, 0,
-  /* 2919 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 32, 9, 0,
-  /* 2930 */ 'k', 'n', 'o', 't', 'w', 32, 9, 0,
-  /* 2938 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 32, 9, 0,
-  /* 2949 */ 'k', 'm', 'o', 'v', 'w', 32, 9, 0,
-  /* 2957 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
-  /* 2969 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
-  /* 2979 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
-  /* 2991 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
-  /* 3003 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
-  /* 3013 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
-  /* 3023 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
-  /* 3034 */ 'c', 'r', 'c', '3', '2', 9, 0,
-  /* 3041 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 9, 0,
-  /* 3052 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
-  /* 3062 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
-  /* 3074 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
-  /* 3087 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
-  /* 3099 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
-  /* 3109 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
-  /* 3120 */ 'f', 'x', 's', 'a', 'v', 'e', '6', '4', 9, 0,
-  /* 3130 */ 'f', 'x', 'r', 's', 't', 'o', 'r', '6', '4', 9, 0,
-  /* 3141 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', '6', '4', 9, 0,
-  /* 3153 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 9, 0,
-  /* 3164 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
-  /* 3175 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
-  /* 3190 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
-  /* 3204 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
-  /* 3219 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
-  /* 3233 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
-  /* 3248 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
-  /* 3262 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
-  /* 3277 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
-  /* 3291 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '1', '6', 9, 0,
-  /* 3302 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
-  /* 3314 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3328 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3341 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3357 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
-  /* 3369 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3383 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3396 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3412 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '8', 9, 0,
-  /* 3422 */ 'l', 'e', 'a', 9, 0,
-  /* 3427 */ 'j', 'a', 9, 0,
-  /* 3431 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
-  /* 3442 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
-  /* 3451 */ 's', 'e', 't', 'a', 9, 0,
-  /* 3457 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
-  /* 3470 */ 'c', 'm', 'o', 'v', 'a', 9, 0,
-  /* 3477 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
-  /* 3489 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
-  /* 3500 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
-  /* 3508 */ 's', 'b', 'b', 9, 0,
-  /* 3513 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
-  /* 3521 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
-  /* 3529 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
-  /* 3538 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
-  /* 3546 */ 'j', 'b', 9, 0,
-  /* 3550 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
-  /* 3561 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
-  /* 3569 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
-  /* 3577 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
-  /* 3586 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
-  /* 3596 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
-  /* 3605 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
-  /* 3614 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
-  /* 3622 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
-  /* 3631 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
-  /* 3640 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
-  /* 3649 */ 's', 't', 'o', 's', 'b', 9, 0,
-  /* 3656 */ 'c', 'm', 'p', 's', 'b', 9, 0,
-  /* 3663 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
-  /* 3673 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
-  /* 3683 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
-  /* 3692 */ 'm', 'o', 'v', 's', 'b', 9, 0,
-  /* 3699 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
-  /* 3708 */ 's', 'e', 't', 'b', 9, 0,
-  /* 3714 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
-  /* 3724 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
-  /* 3732 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
-  /* 3746 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
-  /* 3755 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
-  /* 3764 */ 'p', 'f', 's', 'u', 'b', 9, 0,
-  /* 3771 */ 'f', 'i', 's', 'u', 'b', 9, 0,
-  /* 3778 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
-  /* 3787 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
-  /* 3798 */ 'c', 'm', 'o', 'v', 'b', 9, 0,
-  /* 3805 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
-  /* 3816 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
-  /* 3827 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
-  /* 3834 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
-  /* 3842 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
-  /* 3851 */ 'a', 'd', 'c', 9, 0,
-  /* 3856 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
-  /* 3865 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
-  /* 3872 */ 'b', 'l', 's', 'i', 'c', 9, 0,
-  /* 3879 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
-  /* 3887 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
-  /* 3896 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
-  /* 3905 */ 'i', 'n', 'c', 9, 0,
-  /* 3910 */ 'b', 't', 'c', 9, 0,
-  /* 3915 */ 'a', 'a', 'd', 9, 0,
-  /* 3920 */ 'v', 'm', 'r', 'e', 'a', 'd', 9, 0,
-  /* 3928 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
-  /* 3936 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
-  /* 3944 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
-  /* 3954 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
-  /* 3965 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
-  /* 3974 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
-  /* 3982 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
-  /* 3993 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
-  /* 4004 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
-  /* 4011 */ 'f', 'i', 'a', 'd', 'd', 9, 0,
-  /* 4018 */ 'x', 'a', 'd', 'd', 9, 0,
-  /* 4024 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
-  /* 4033 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
-  /* 4041 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
-  /* 4051 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
-  /* 4063 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
-  /* 4073 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
-  /* 4084 */ 'r', 'd', 's', 'e', 'e', 'd', 9, 0,
-  /* 4092 */ 'p', 'i', '2', 'f', 'd', 9, 0,
-  /* 4099 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
-  /* 4108 */ 'p', 'f', '2', 'i', 'd', 9, 0,
-  /* 4115 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
-  /* 4124 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
-  /* 4133 */ 'f', 'b', 'l', 'd', 9, 0,
-  /* 4139 */ 'f', 'l', 'd', 9, 0,
-  /* 4144 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
-  /* 4152 */ 'f', 'i', 'l', 'd', 9, 0,
-  /* 4158 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
-  /* 4166 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
-  /* 4175 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
-  /* 4183 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
-  /* 4192 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
-  /* 4200 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
-  /* 4208 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
-  /* 4215 */ 'r', 'd', 'r', 'a', 'n', 'd', 9, 0,
-  /* 4223 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
-  /* 4232 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
-  /* 4239 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4255 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4268 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4282 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4298 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4311 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4325 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4341 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4354 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4368 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4384 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4397 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4411 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
-  /* 4421 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
-  /* 4433 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
-  /* 4444 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
-  /* 4455 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4471 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4484 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4498 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4514 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4527 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4541 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
-  /* 4550 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
-  /* 4558 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4571 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4582 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4591 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4601 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4612 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4620 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4633 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4642 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4652 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4663 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4671 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4679 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4689 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4699 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
-  /* 4711 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
-  /* 4720 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
-  /* 4731 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
-  /* 4740 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
-  /* 4751 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
-  /* 4762 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
-  /* 4773 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
-  /* 4781 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
-  /* 4790 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
-  /* 4798 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
-  /* 4807 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
-  /* 4816 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
-  /* 4824 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
-  /* 4831 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
-  /* 4839 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
-  /* 4851 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
-  /* 4858 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
-  /* 4866 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
-  /* 4876 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
-  /* 4885 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
-  /* 4894 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
-  /* 4903 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
-  /* 4914 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
-  /* 4922 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
-  /* 4934 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
-  /* 4942 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
-  /* 4951 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
-  /* 4961 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
-  /* 4973 */ 's', 'h', 'r', 'd', 9, 0,
-  /* 4979 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
-  /* 4988 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
-  /* 4997 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 5010 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 5024 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 5037 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 5051 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 5064 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 5078 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 5091 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 5105 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 9, 0,
-  /* 5116 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 9, 0,
-  /* 5128 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
-  /* 5139 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 5152 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 5166 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 5179 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 5193 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
-  /* 5201 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5211 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5222 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5230 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5240 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5251 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5259 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
-  /* 5269 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 5279 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 5288 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
-  /* 5296 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 5305 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 5313 */ 's', 't', 'o', 's', 'd', 9, 0,
-  /* 5320 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
-  /* 5328 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
-  /* 5337 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
-  /* 5346 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
-  /* 5360 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
-  /* 5368 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
-  /* 5376 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 5385 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 5393 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
-  /* 5402 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
-  /* 5412 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
-  /* 5420 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
-  /* 5434 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
-  /* 5443 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
-  /* 5452 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
-  /* 5461 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
-  /* 5470 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
-  /* 5479 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
-  /* 5488 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
-  /* 5497 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
-  /* 5509 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
-  /* 5516 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
-  /* 5526 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 5536 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 5546 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
-  /* 5558 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
-  /* 5570 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
-  /* 5580 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
-  /* 5591 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 5602 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 5614 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
-  /* 5625 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
-  /* 5636 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
-  /* 5647 */ 'm', 'o', 'v', 's', 'x', 'd', 9, 0,
-  /* 5655 */ 'j', 'a', 'e', 9, 0,
-  /* 5660 */ 's', 'e', 't', 'a', 'e', 9, 0,
-  /* 5667 */ 'c', 'm', 'o', 'v', 'a', 'e', 9, 0,
-  /* 5675 */ 'j', 'b', 'e', 9, 0,
-  /* 5680 */ 's', 'e', 't', 'b', 'e', 9, 0,
-  /* 5687 */ 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
-  /* 5695 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
-  /* 5702 */ 'j', 'g', 'e', 9, 0,
-  /* 5707 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
-  /* 5716 */ 's', 'e', 't', 'g', 'e', 9, 0,
-  /* 5723 */ 'c', 'm', 'o', 'v', 'g', 'e', 9, 0,
-  /* 5731 */ 'j', 'e', 9, 0,
-  /* 5735 */ 'j', 'l', 'e', 9, 0,
-  /* 5740 */ 's', 'e', 't', 'l', 'e', 9, 0,
-  /* 5747 */ 'c', 'm', 'o', 'v', 'l', 'e', 9, 0,
-  /* 5755 */ 'j', 'n', 'e', 9, 0,
-  /* 5760 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
-  /* 5768 */ 's', 'e', 't', 'n', 'e', 9, 0,
-  /* 5775 */ 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
-  /* 5783 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
-  /* 5790 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 5800 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 5810 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 5820 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 5830 */ 's', 'e', 't', 'e', 9, 0,
-  /* 5836 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 9, 0,
-  /* 5845 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
-  /* 5856 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
-  /* 5864 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
-  /* 5872 */ 'c', 'm', 'o', 'v', 'e', 9, 0,
-  /* 5879 */ 'b', 's', 'f', 9, 0,
-  /* 5884 */ 'r', 'e', 't', 'f', 9, 0,
-  /* 5890 */ 'n', 'e', 'g', 9, 0,
-  /* 5895 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 9, 0,
-  /* 5904 */ 'j', 'g', 9, 0,
-  /* 5908 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
-  /* 5916 */ 's', 'e', 't', 'g', 9, 0,
-  /* 5922 */ 'c', 'm', 'o', 'v', 'g', 9, 0,
-  /* 5929 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
-  /* 5939 */ 'f', 'x', 'c', 'h', 9, 0,
-  /* 5945 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
-  /* 5956 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
-  /* 5967 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
-  /* 5979 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
-  /* 5988 */ 'p', 'u', 's', 'h', 9, 0,
-  /* 5994 */ 'b', 'l', 'c', 'i', 9, 0,
-  /* 6000 */ 'b', 'z', 'h', 'i', 9, 0,
-  /* 6006 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
-  /* 6013 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
-  /* 6021 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 6032 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 6042 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 6053 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 6063 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 6071 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 6080 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
-  /* 6092 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
-  /* 6104 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 6116 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 6127 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 6139 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 6150 */ 'b', 'l', 's', 'i', 9, 0,
-  /* 6156 */ 'm', 'o', 'v', 'n', 't', 'i', 9, 0,
-  /* 6164 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
-  /* 6172 */ 'b', 'l', 's', 'm', 's', 'k', 9, 0,
-  /* 6180 */ 't', 'z', 'm', 's', 'k', 9, 0,
-  /* 6187 */ 's', 'a', 'l', 9, 0,
-  /* 6192 */ 'r', 'c', 'l', 9, 0,
-  /* 6197 */ 's', 'h', 'l', 9, 0,
-  /* 6202 */ 'j', 'l', 9, 0,
-  /* 6206 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
-  /* 6213 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
-  /* 6222 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
-  /* 6231 */ 'r', 'o', 'l', 9, 0,
-  /* 6236 */ 'a', 'r', 'p', 'l', 9, 0,
-  /* 6242 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
-  /* 6253 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
-  /* 6265 */ 'l', 's', 'l', 9, 0,
-  /* 6270 */ 's', 'e', 't', 'l', 9, 0,
-  /* 6276 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
-  /* 6283 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
-  /* 6290 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
-  /* 6297 */ 'a', 'a', 'm', 9, 0,
-  /* 6302 */ 'f', 'c', 'o', 'm', 9, 0,
-  /* 6308 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
-  /* 6315 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
-  /* 6322 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
-  /* 6330 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
-  /* 6342 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
-  /* 6354 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
-  /* 6362 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
-  /* 6370 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
-  /* 6377 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
-  /* 6384 */ 'j', 'o', 9, 0,
-  /* 6388 */ 'j', 'n', 'o', 9, 0,
-  /* 6393 */ 's', 'e', 't', 'n', 'o', 9, 0,
-  /* 6400 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
-  /* 6408 */ 's', 'e', 't', 'o', 9, 0,
-  /* 6414 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
-  /* 6421 */ 'b', 's', 'w', 'a', 'p', 9, 0,
-  /* 6428 */ 'f', 's', 'u', 'b', 'p', 9, 0,
-  /* 6435 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
-  /* 6442 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
-  /* 6449 */ 'p', 'd', 'e', 'p', 9, 0,
-  /* 6455 */ 'j', 'p', 9, 0,
-  /* 6459 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
-  /* 6466 */ 'c', 'm', 'p', 9, 0,
-  /* 6471 */ 'l', 'j', 'm', 'p', 9, 0,
-  /* 6477 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
-  /* 6484 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
-  /* 6492 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
-  /* 6500 */ 'j', 'n', 'p', 9, 0,
-  /* 6505 */ 's', 'e', 't', 'n', 'p', 9, 0,
-  /* 6512 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
-  /* 6520 */ 'n', 'o', 'p', 9, 0,
-  /* 6525 */ 'l', 'o', 'o', 'p', 9, 0,
-  /* 6531 */ 'p', 'o', 'p', 9, 0,
-  /* 6536 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
-  /* 6544 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
-  /* 6552 */ 's', 'e', 't', 'p', 9, 0,
-  /* 6558 */ 'f', 'b', 's', 't', 'p', 9, 0,
-  /* 6565 */ 'f', 's', 't', 'p', 9, 0,
-  /* 6571 */ 'f', 'i', 's', 't', 'p', 9, 0,
-  /* 6578 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
-  /* 6586 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
-  /* 6596 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
-  /* 6607 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
-  /* 6618 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
-  /* 6634 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
-  /* 6641 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
-  /* 6648 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
-  /* 6657 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
-  /* 6665 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
-  /* 6675 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
-  /* 6686 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
-  /* 6694 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
-  /* 6705 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
-  /* 6716 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 6728 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 6739 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
-  /* 6748 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 6760 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 6771 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
-  /* 6781 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
-  /* 6789 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
-  /* 6799 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
-  /* 6811 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
-  /* 6823 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
-  /* 6832 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
-  /* 6841 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
-  /* 6850 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
-  /* 6863 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
-  /* 6876 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
-  /* 6888 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
-  /* 6900 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
-  /* 6910 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
-  /* 6921 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
-  /* 6931 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
-  /* 6942 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
-  /* 6953 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
-  /* 6962 */ 'r', 'e', 't', 'f', 'q', 9, 0,
-  /* 6969 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
-  /* 6977 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
-  /* 6985 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
-  /* 6993 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
-  /* 7001 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
-  /* 7009 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
-  /* 7017 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
-  /* 7027 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
-  /* 7039 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
-  /* 7048 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
-  /* 7057 */ 's', 't', 'o', 's', 'q', 9, 0,
-  /* 7064 */ 'c', 'm', 'p', 's', 'q', 9, 0,
-  /* 7071 */ 'm', 'o', 'v', 's', 'q', 9, 0,
-  /* 7078 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
-  /* 7088 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
-  /* 7096 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
-  /* 7104 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
-  /* 7113 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
-  /* 7127 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
-  /* 7136 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
-  /* 7145 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
-  /* 7154 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
-  /* 7163 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
-  /* 7175 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
-  /* 7182 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
-  /* 7192 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
-  /* 7203 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
-  /* 7214 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
-  /* 7225 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
-  /* 7234 */ 'l', 'a', 'r', 9, 0,
-  /* 7239 */ 's', 'a', 'r', 9, 0,
-  /* 7244 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
-  /* 7252 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
-  /* 7260 */ 'r', 'c', 'r', 9, 0,
-  /* 7265 */ 'e', 'n', 't', 'e', 'r', 9, 0,
-  /* 7272 */ 's', 'h', 'r', 9, 0,
-  /* 7277 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
-  /* 7287 */ 'v', 'p', 'o', 'r', 9, 0,
-  /* 7293 */ 'r', 'o', 'r', 9, 0,
-  /* 7298 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 7306 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 7315 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
-  /* 7322 */ 'v', 'e', 'r', 'r', 9, 0,
-  /* 7328 */ 'b', 's', 'r', 9, 0,
-  /* 7333 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 7343 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 7353 */ 'b', 'l', 's', 'r', 9, 0,
-  /* 7359 */ 'b', 't', 'r', 9, 0,
-  /* 7364 */ 'l', 't', 'r', 9, 0,
-  /* 7369 */ 's', 't', 'r', 9, 0,
-  /* 7374 */ 'b', 'e', 'x', 't', 'r', 9, 0,
-  /* 7381 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
-  /* 7388 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
-  /* 7396 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
-  /* 7404 */ 'b', 'l', 'c', 's', 9, 0,
-  /* 7410 */ 'l', 'd', 's', 9, 0,
-  /* 7415 */ 'l', 'e', 's', 9, 0,
-  /* 7420 */ 'l', 'f', 's', 9, 0,
-  /* 7425 */ 'l', 'g', 's', 9, 0,
-  /* 7430 */ 'j', 's', 9, 0,
-  /* 7434 */ 'j', 'n', 's', 9, 0,
-  /* 7439 */ 's', 'e', 't', 'n', 's', 9, 0,
-  /* 7446 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
-  /* 7454 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7470 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7483 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7497 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7513 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7526 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7540 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7556 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7569 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7583 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7599 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7612 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7626 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
-  /* 7637 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
-  /* 7648 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
-  /* 7658 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
-  /* 7670 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
-  /* 7681 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7697 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7710 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7724 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7740 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7753 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7767 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
-  /* 7776 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7789 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7800 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7809 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7819 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7830 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7838 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7851 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7860 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7870 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7881 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7889 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
-  /* 7897 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
-  /* 7907 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
-  /* 7917 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
-  /* 7929 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
-  /* 7938 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
-  /* 7949 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
-  /* 7959 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
-  /* 7968 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
-  /* 7979 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
-  /* 7989 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
-  /* 8000 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
-  /* 8011 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
-  /* 8019 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
-  /* 8028 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
-  /* 8037 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
-  /* 8046 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
-  /* 8054 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
-  /* 8062 */ 'v', 'd', 'p', 'p', 's', 9, 0,
-  /* 8069 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
-  /* 8077 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
-  /* 8089 */ 'v', 'o', 'r', 'p', 's', 9, 0,
-  /* 8096 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
-  /* 8104 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
-  /* 8116 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
-  /* 8126 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
-  /* 8137 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 8147 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 8156 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
-  /* 8165 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
-  /* 8174 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
-  /* 8185 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
-  /* 8193 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
-  /* 8205 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
-  /* 8213 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
-  /* 8222 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 8235 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 8249 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 8262 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 8276 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 8289 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 8303 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 8316 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 8330 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
-  /* 8341 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
-  /* 8352 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 9, 0,
-  /* 8364 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 8377 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 8391 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 8404 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 8418 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 8428 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 8439 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 8447 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 8457 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 8468 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 8476 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
-  /* 8486 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 8496 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 8505 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
-  /* 8513 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
-  /* 8521 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
-  /* 8529 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
-  /* 8537 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
-  /* 8546 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 8556 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 8565 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
-  /* 8579 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
-  /* 8587 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
-  /* 8595 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
-  /* 8603 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
-  /* 8612 */ 'b', 't', 's', 9, 0,
-  /* 8617 */ 's', 'e', 't', 's', 9, 0,
-  /* 8623 */ 'c', 'm', 'o', 'v', 's', 9, 0,
-  /* 8630 */ 'b', 't', 9, 0,
-  /* 8634 */ 'l', 'g', 'd', 't', 9, 0,
-  /* 8640 */ 's', 'g', 'd', 't', 9, 0,
-  /* 8646 */ 'l', 'i', 'd', 't', 9, 0,
-  /* 8652 */ 's', 'i', 'd', 't', 9, 0,
-  /* 8658 */ 'l', 'l', 'd', 't', 9, 0,
-  /* 8664 */ 's', 'l', 'd', 't', 9, 0,
-  /* 8670 */ 'r', 'e', 't', 9, 0,
-  /* 8675 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
-  /* 8684 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
-  /* 8692 */ 'l', 'z', 'c', 'n', 't', 9, 0,
-  /* 8699 */ 't', 'z', 'c', 'n', 't', 9, 0,
-  /* 8706 */ 'i', 'n', 't', 9, 0,
-  /* 8711 */ 'n', 'o', 't', 9, 0,
-  /* 8716 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
-  /* 8724 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
-  /* 8734 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
-  /* 8742 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
-  /* 8751 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 8764 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 8777 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
-  /* 8785 */ 'f', 's', 't', 9, 0,
-  /* 8790 */ 'f', 'i', 's', 't', 9, 0,
-  /* 8796 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
-  /* 8814 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
-  /* 8823 */ 'o', 'u', 't', 9, 0,
-  /* 8828 */ 'p', 'e', 'x', 't', 9, 0,
-  /* 8834 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
-  /* 8842 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 8855 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 8864 */ 'f', 'd', 'i', 'v', 9, 0,
-  /* 8870 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
-  /* 8877 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
-  /* 8885 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
-  /* 8894 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
-  /* 8902 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
-  /* 8910 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
-  /* 8918 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
-  /* 8928 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 8938 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 8947 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
-  /* 8957 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
-  /* 8969 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
-  /* 8981 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
-  /* 8992 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
-  /* 9001 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
-  /* 9009 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
-  /* 9020 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
-  /* 9031 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
-  /* 9038 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
-  /* 9046 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
-  /* 9055 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
-  /* 9063 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
-  /* 9073 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
-  /* 9084 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
-  /* 9095 */ 'p', 'i', '2', 'f', 'w', 9, 0,
-  /* 9102 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
-  /* 9110 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
-  /* 9118 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
-  /* 9129 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
-  /* 9139 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
-  /* 9148 */ 'p', 'f', '2', 'i', 'w', 9, 0,
-  /* 9155 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
-  /* 9165 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
-  /* 9173 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
-  /* 9181 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
-  /* 9190 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
-  /* 9198 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
-  /* 9206 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
-  /* 9215 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
-  /* 9225 */ 'v', 'e', 'r', 'w', 9, 0,
-  /* 9231 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
-  /* 9240 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
-  /* 9249 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
-  /* 9258 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
-  /* 9266 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
-  /* 9278 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 9288 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 9297 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 9307 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 9316 */ 'l', 'm', 's', 'w', 9, 0,
-  /* 9322 */ 's', 'm', 's', 'w', 9, 0,
-  /* 9328 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
-  /* 9337 */ 's', 't', 'o', 's', 'w', 9, 0,
-  /* 9344 */ 'c', 'm', 'p', 's', 'w', 9, 0,
-  /* 9351 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
-  /* 9362 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
-  /* 9370 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
-  /* 9380 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
-  /* 9390 */ 'm', 'o', 'v', 's', 'w', 9, 0,
-  /* 9397 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
-  /* 9406 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
-  /* 9416 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
-  /* 9424 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
-  /* 9438 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
-  /* 9448 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
-  /* 9457 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
-  /* 9466 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
-  /* 9479 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
-  /* 9488 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
-  /* 9498 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
-  /* 9509 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
-  /* 9516 */ 'a', 'd', 'c', 'x', 9, 0,
-  /* 9522 */ 's', 'h', 'l', 'x', 9, 0,
-  /* 9528 */ 'm', 'u', 'l', 'x', 9, 0,
-  /* 9534 */ 'a', 'd', 'o', 'x', 9, 0,
-  /* 9540 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 9553 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 9565 */ 's', 'a', 'r', 'x', 9, 0,
-  /* 9571 */ 's', 'h', 'r', 'x', 9, 0,
-  /* 9577 */ 'r', 'o', 'r', 'x', 9, 0,
-  /* 9583 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
-  /* 9595 */ 'm', 'o', 'v', 's', 'x', 9, 0,
-  /* 9602 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
-  /* 9609 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
-  /* 9616 */ 'j', 'c', 'x', 'z', 9, 0,
-  /* 9622 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
-  /* 9629 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9645 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9660 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9677 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9693 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9709 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9724 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9740 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9755 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9764 */ 's', 'c', 'a', 's', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9775 */ 'l', 'o', 'd', 's', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9786 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9795 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
-  /* 9804 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
-  /* 9813 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
-  /* 9822 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
-  /* 9830 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
-  /* 9839 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
-  /* 9848 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
-  /* 9860 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
-  /* 9870 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
-  /* 9879 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
-  /* 9888 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
-  /* 9897 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
-  /* 9906 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
-  /* 9915 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
-  /* 9924 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
-  /* 9934 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
-  /* 9942 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
-  /* 9951 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
-  /* 9960 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
-  /* 9972 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
-  /* 9982 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
-  /* 9991 */ 's', 'c', 'a', 's', 'w', 9, 'a', 'x', ',', 32, 0,
-  /* 10002 */ 'l', 'o', 'd', 's', 'w', 9, 'a', 'x', ',', 32, 0,
-  /* 10013 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 10023 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 10033 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 10043 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 10053 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 10063 */ 's', 'c', 'a', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 10075 */ 'l', 'o', 'd', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 10087 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 10098 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 10107 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 10117 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 10127 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 10140 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 10151 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 10161 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10171 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10181 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10191 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10201 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10211 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10222 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10232 */ 's', 'c', 'a', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10244 */ 'l', 'o', 'd', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10256 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10266 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10279 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10290 */ 'o', 'u', 't', 's', 'b', 9, 'd', 'x', ',', 32, 0,
-  /* 10301 */ 'o', 'u', 't', 's', 'd', 9, 'd', 'x', ',', 32, 0,
-  /* 10312 */ 'o', 'u', 't', 's', 'w', 9, 'd', 'x', ',', 32, 0,
-  /* 10323 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
-  /* 10334 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
-  /* 10357 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'X', 'M', 'M', 32, 0,
-  /* 10371 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
-  /* 10395 */ '#', 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 32, 0,
-  /* 10412 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 32, 0,
-  /* 10428 */ '#', 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 32, 0,
-  /* 10443 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 32, 0,
-  /* 10457 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 32, 0,
-  /* 10471 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10491 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10511 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10531 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10551 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10572 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10592 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10612 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10631 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10651 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10671 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10691 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10711 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10731 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10751 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10771 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10790 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10808 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10829 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10850 */ 'f', 's', 't', 'p', 'n', 'c', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 's', 't', '(', '0', ')', 0,
-  /* 10871 */ 'f', 's', 't', 'p', 9, 's', 't', '(', '7', ')', ',', 32, 's', 't', '(', '0', ')', 0,
-  /* 10889 */ 'x', 's', 'h', 'a', '1', 0,
-  /* 10895 */ 'f', 'l', 'd', '1', 0,
-  /* 10900 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
-  /* 10907 */ 'f', '2', 'x', 'm', '1', 0,
-  /* 10913 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
-  /* 10921 */ 'i', 'n', 't', '1', 0,
-  /* 10926 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
-  /* 10945 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
-  /* 10963 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 10976 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 10989 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 11007 */ 'u', 'd', '2', 0,
-  /* 11011 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
-  /* 11018 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
-  /* 11025 */ 'i', 'n', 't', '3', 0,
-  /* 11030 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
-  /* 11049 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
-  /* 11067 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 11080 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 11093 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 11111 */ 'r', 'e', 'x', '6', '4', 0,
-  /* 11117 */ 'd', 'a', 't', 'a', '1', '6', 0,
-  /* 11124 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
-  /* 11132 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 11145 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 11152 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 11162 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
-  /* 11171 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
-  /* 11189 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
-  /* 11205 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
-  /* 11217 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 11232 */ 'a', 'a', 'a', 0,
-  /* 11236 */ 'd', 'a', 'a', 0,
-  /* 11240 */ 'u', 'd', '2', 'b', 0,
-  /* 11245 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
-  /* 11255 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
-  /* 11265 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
-  /* 11275 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
-  /* 11285 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
-  /* 11295 */ 'x', 'l', 'a', 't', 'b', 0,
-  /* 11301 */ 'c', 'l', 'a', 'c', 0,
-  /* 11306 */ 's', 't', 'a', 'c', 0,
-  /* 11311 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
-  /* 11321 */ 'g', 'e', 't', 's', 'e', 'c', 0,
-  /* 11328 */ 's', 'a', 'l', 'c', 0,
-  /* 11333 */ 'c', 'l', 'c', 0,
-  /* 11337 */ 'c', 'm', 'c', 0,
-  /* 11341 */ 'r', 'd', 'p', 'm', 'c', 0,
-  /* 11347 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
-  /* 11354 */ 'r', 'd', 't', 's', 'c', 0,
-  /* 11360 */ 's', 't', 'c', 0,
-  /* 11364 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
-  /* 11371 */ 'p', 'o', 'p', 'f', 'd', 0,
-  /* 11377 */ 'c', 'p', 'u', 'i', 'd', 0,
-  /* 11383 */ 'c', 'l', 'd', 0,
-  /* 11387 */ 'x', 'e', 'n', 'd', 0,
-  /* 11392 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
-  /* 11402 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
-  /* 11412 */ 'i', 'r', 'e', 't', 'd', 0,
-  /* 11418 */ 's', 't', 'd', 0,
-  /* 11422 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
-  /* 11429 */ 'c', 'w', 'd', 0,
-  /* 11433 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
-  /* 11440 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 11447 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 11454 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 11461 */ 'c', 'w', 'd', 'e', 0,
-  /* 11466 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
-  /* 11473 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
-  /* 11482 */ 'r', 'e', 'p', 'n', 'e', 0,
-  /* 11488 */ 'c', 'd', 'q', 'e', 0,
-  /* 11493 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
-  /* 11502 */ 'x', 's', 't', 'o', 'r', 'e', 0,
-  /* 11509 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
-  /* 11518 */ 'p', 'a', 'u', 's', 'e', 0,
-  /* 11524 */ '#', 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
-  /* 11538 */ '#', 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
-  /* 11555 */ 'l', 'e', 'a', 'v', 'e', 0,
-  /* 11561 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
-  /* 11568 */ 'l', 'a', 'h', 'f', 0,
-  /* 11573 */ 's', 'a', 'h', 'f', 0,
-  /* 11578 */ 'p', 'u', 's', 'h', 'f', 0,
-  /* 11584 */ 'p', 'o', 'p', 'f', 0,
-  /* 11589 */ 'r', 'e', 't', 'f', 0,
-  /* 11594 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
-  /* 11603 */ 'c', 'l', 'g', 'i', 0,
-  /* 11608 */ 's', 't', 'g', 'i', 0,
-  /* 11613 */ 'c', 'l', 'i', 0,
-  /* 11617 */ 'f', 'l', 'd', 'p', 'i', 0,
-  /* 11623 */ 's', 't', 'i', 0,
-  /* 11627 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
-  /* 11642 */ 'l', 'o', 'c', 'k', 0,
-  /* 11647 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
-  /* 11658 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
-  /* 11665 */ 'p', 'o', 'p', 'a', 'l', 0,
-  /* 11671 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 11679 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 11686 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
-  /* 11694 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
-  /* 11703 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
-  /* 11711 */ 'f', 'x', 'a', 'm', 0,
-  /* 11716 */ 'f', 'p', 'r', 'e', 'm', 0,
-  /* 11722 */ 'f', 's', 'e', 't', 'p', 'm', 0,
-  /* 11729 */ 'r', 's', 'm', 0,
-  /* 11733 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
-  /* 11740 */ 'f', 'p', 't', 'a', 'n', 0,
-  /* 11746 */ 'f', 's', 'i', 'n', 0,
-  /* 11751 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
-  /* 11778 */ 'c', 'q', 'o', 0,
-  /* 11782 */ 'i', 'n', 't', 'o', 0,
-  /* 11787 */ 'r', 'd', 't', 's', 'c', 'p', 0,
-  /* 11794 */ 'r', 'e', 'p', 0,
-  /* 11798 */ 'v', 'p', 'c', 'm', 'p', 0,
-  /* 11804 */ 'v', 'c', 'm', 'p', 0,
-  /* 11809 */ 'f', 'n', 'o', 'p', 0,
-  /* 11814 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 11821 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 11829 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
-  /* 11837 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
-  /* 11845 */ 'c', 'd', 'q', 0,
-  /* 11849 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
-  /* 11856 */ 'p', 'o', 'p', 'f', 'q', 0,
-  /* 11862 */ 'r', 'e', 't', 'f', 'q', 0,
-  /* 11868 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
-  /* 11878 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
-  /* 11888 */ 'i', 'r', 'e', 't', 'q', 0,
-  /* 11894 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
-  /* 11905 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
-  /* 11914 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
-  /* 11922 */ 'r', 'd', 'm', 's', 'r', 0,
-  /* 11928 */ 'w', 'r', 'm', 's', 'r', 0,
-  /* 11934 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
-  /* 11944 */ 'a', 'a', 's', 0,
-  /* 11948 */ 'd', 'a', 's', 0,
-  /* 11952 */ 'f', 'a', 'b', 's', 0,
-  /* 11957 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
-  /* 11965 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
-  /* 11973 */ 'p', 'o', 'p', 9, 'd', 's', 0,
-  /* 11980 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
-  /* 11988 */ 'p', 'o', 'p', 9, 'e', 's', 0,
-  /* 11995 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
-  /* 12003 */ 'p', 'o', 'p', 9, 'f', 's', 0,
-  /* 12010 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
-  /* 12018 */ 'p', 'o', 'p', 9, 'g', 's', 0,
-  /* 12025 */ 's', 'w', 'a', 'p', 'g', 's', 0,
-  /* 12032 */ 'f', 'c', 'h', 's', 0,
-  /* 12037 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
-  /* 12082 */ 'e', 'n', 'c', 'l', 's', 0,
-  /* 12088 */ 'f', 'e', 'm', 'm', 's', 0,
-  /* 12094 */ 'f', 'c', 'o', 's', 0,
-  /* 12099 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
-  /* 12107 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
-  /* 12115 */ 'p', 'o', 'p', 9, 's', 's', 0,
-  /* 12122 */ 'c', 'l', 't', 's', 0,
-  /* 12127 */ 'f', 'l', 'd', 'l', '2', 't', 0,
-  /* 12134 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
-  /* 12142 */ 'i', 'r', 'e', 't', 0,
-  /* 12147 */ 's', 'y', 's', 'r', 'e', 't', 0,
-  /* 12154 */ 'm', 'w', 'a', 'i', 't', 0,
-  /* 12160 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
-  /* 12167 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
-  /* 12175 */ 'h', 'l', 't', 0,
-  /* 12179 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
-  /* 12187 */ 'f', 's', 'q', 'r', 't', 0,
-  /* 12193 */ 'x', 't', 'e', 's', 't', 0,
-  /* 12199 */ 'f', 't', 's', 't', 0,
-  /* 12204 */ 'e', 'n', 'c', 'l', 'u', 0,
-  /* 12210 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
-  /* 12217 */ 'x', 's', 'e', 't', 'b', 'v', 0,
-  /* 12224 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
-  /* 12231 */ 'p', 'o', 'p', 'a', 'w', 0,
-  /* 12237 */ 'c', 'b', 'w', 0,
-  /* 12241 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
-  /* 12251 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
-  /* 12261 */ 'f', 'y', 'l', '2', 'x', 0,
-  /* 12267 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
-  /* 12277 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
-  /* 12288 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
-  /* 12299 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
-  /* 12310 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
-  /* 12320 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
-  /* 12331 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
-  /* 12343 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
-  /* 12354 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
-  /* 12365 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
-  /* 12375 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 12392 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 12409 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
-  /* 12419 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
-  /* 12429 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
-  /* 12440 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
-  /* 12447 */ 'f', 'l', 'd', 'z', 0,
-  /* 12452 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'd', 'p', 'd', 32, 9, '{', 0,
-  /* 12469 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'd', 'p', 'd', 32, 9, '{', 0,
-  /* 12487 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'd', 'p', 'd', 32, 9, '{', 0,
-  /* 12504 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'd', 'p', 'd', 32, 9, '{', 0,
-  /* 12522 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'q', 'p', 'd', 32, 9, '{', 0,
-  /* 12539 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'q', 'p', 'd', 32, 9, '{', 0,
-  /* 12557 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'q', 'p', 'd', 32, 9, '{', 0,
-  /* 12574 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'q', 'p', 'd', 32, 9, '{', 0,
-  /* 12592 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, '{', 0,
-  /* 12609 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, '{', 0,
-  /* 12627 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, '{', 0,
-  /* 12644 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, '{', 0,
-  /* 12662 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, '{', 0,
-  /* 12679 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, '{', 0,
-  /* 12697 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, '{', 0,
-  /* 12714 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, '{', 0,
+  /* 873 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 32, 9, 0,
+  /* 886 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 32, 9, 0,
+  /* 900 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 32, 9, 0,
+  /* 912 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 32, 9, 0,
+  /* 923 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 32, 9, 0,
+  /* 933 */ 'k', 'o', 'r', 'd', 32, 9, 0,
+  /* 940 */ 'k', 'x', 'n', 'o', 'r', 'd', 32, 9, 0,
+  /* 949 */ 'v', 'p', 'o', 'r', 'd', 32, 9, 0,
+  /* 957 */ 'k', 'x', 'o', 'r', 'd', 32, 9, 0,
+  /* 965 */ 'v', 'p', 'x', 'o', 'r', 'd', 32, 9, 0,
+  /* 974 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 32, 9, 0,
+  /* 986 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 32, 9, 0,
+  /* 999 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1013 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1028 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1042 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1057 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 32, 9, 0,
+  /* 1068 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 32, 9, 0,
+  /* 1081 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, 0,
+  /* 1092 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, 0,
+  /* 1105 */ 'v', 'p', 'a', 'b', 's', 'd', 32, 9, 0,
+  /* 1114 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 32, 9, 0,
+  /* 1128 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 32, 9, 0,
+  /* 1138 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 32, 9, 0,
+  /* 1153 */ 'v', 'm', 'o', 'v', 's', 'd', 32, 9, 0,
+  /* 1162 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 32, 9, 0,
+  /* 1172 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'd', 32, 9, 0,
+  /* 1186 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'd', 32, 9, 0,
+  /* 1197 */ 'k', 'n', 'o', 't', 'd', 32, 9, 0,
+  /* 1205 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 32, 9, 0,
+  /* 1220 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 32, 9, 0,
+  /* 1230 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 32, 9, 0,
+  /* 1240 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 32, 9, 0,
+  /* 1250 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 32, 9, 0,
+  /* 1260 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 32, 9, 0,
+  /* 1270 */ 'k', 'm', 'o', 'v', 'd', 32, 9, 0,
+  /* 1278 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 32, 9, 0,
+  /* 1290 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 32, 9, 0,
+  /* 1302 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 32, 9, 0,
+  /* 1314 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
+  /* 1327 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
+  /* 1339 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
+  /* 1352 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
+  /* 1364 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1378 */ 'v', 'c', 'v', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1391 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1405 */ 'v', 'c', 'v', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1418 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 32, 9, 0,
+  /* 1429 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 32, 9, 0,
+  /* 1441 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 32, 9, 0,
+  /* 1453 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 32, 9, 0,
+  /* 1471 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 32, 9, 0,
+  /* 1482 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'q', 32, 9, 0,
+  /* 1493 */ 'v', 'p', 's', 'r', 'a', 'q', 32, 9, 0,
+  /* 1502 */ 'v', 'p', 's', 'u', 'b', 'q', 32, 9, 0,
+  /* 1511 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 32, 9, 0,
+  /* 1523 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 32, 9, 0,
+  /* 1535 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
+  /* 1548 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
+  /* 1560 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
+  /* 1573 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
+  /* 1585 */ 'v', 'p', 'a', 'd', 'd', 'q', 32, 9, 0,
+  /* 1594 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 32, 9, 0,
+  /* 1607 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 32, 9, 0,
+  /* 1620 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 32, 9, 0,
+  /* 1630 */ 'k', 'a', 'n', 'd', 'q', 32, 9, 0,
+  /* 1638 */ 'v', 'p', 'a', 'n', 'd', 'q', 32, 9, 0,
+  /* 1647 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 32, 9, 0,
+  /* 1661 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 32, 9, 0,
+  /* 1675 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 32, 9, 0,
+  /* 1688 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 32, 9, 0,
+  /* 1702 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1716 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1729 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1743 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1756 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 32, 9, 0,
+  /* 1767 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 32, 9, 0,
+  /* 1779 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 32, 9, 0,
+  /* 1791 */ 'v', 'p', 's', 'l', 'l', 'q', 32, 9, 0,
+  /* 1800 */ 'v', 'p', 's', 'r', 'l', 'q', 32, 9, 0,
+  /* 1809 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 32, 9, 0,
+  /* 1821 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'q', 32, 9, 0,
+  /* 1833 */ 'v', 'p', 'e', 'r', 'm', 'q', 32, 9, 0,
+  /* 1842 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 32, 9, 0,
+  /* 1853 */ 'k', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
+  /* 1862 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
+  /* 1872 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 32, 9, 0,
+  /* 1882 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 32, 9, 0,
+  /* 1895 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 32, 9, 0,
+  /* 1909 */ 'k', 'o', 'r', 'q', 32, 9, 0,
+  /* 1916 */ 'k', 'x', 'n', 'o', 'r', 'q', 32, 9, 0,
+  /* 1925 */ 'v', 'p', 'o', 'r', 'q', 32, 9, 0,
+  /* 1933 */ 'k', 'x', 'o', 'r', 'q', 32, 9, 0,
+  /* 1941 */ 'v', 'p', 'x', 'o', 'r', 'q', 32, 9, 0,
+  /* 1950 */ 'v', 'p', 'a', 'b', 's', 'q', 32, 9, 0,
+  /* 1959 */ 'v', 'p', 'm', 'i', 'n', 's', 'q', 32, 9, 0,
+  /* 1969 */ 'v', 'p', 'm', 'a', 'x', 's', 'q', 32, 9, 0,
+  /* 1979 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'q', 32, 9, 0,
+  /* 1993 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'q', 32, 9, 0,
+  /* 2004 */ 'k', 'n', 'o', 't', 'q', 32, 9, 0,
+  /* 2012 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 32, 9, 0,
+  /* 2027 */ 'v', 'p', 'm', 'i', 'n', 'u', 'q', 32, 9, 0,
+  /* 2037 */ 'v', 'p', 'm', 'a', 'x', 'u', 'q', 32, 9, 0,
+  /* 2047 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 32, 9, 0,
+  /* 2057 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 32, 9, 0,
+  /* 2067 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 32, 9, 0,
+  /* 2077 */ 'k', 'm', 'o', 'v', 'q', 32, 9, 0,
+  /* 2085 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 32, 9, 0,
+  /* 2097 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 32, 9, 0,
+  /* 2109 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2126 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2140 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2155 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2172 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2186 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2201 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 32, 9, 0,
+  /* 2213 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 32, 9, 0,
+  /* 2225 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 32, 9, 0,
+  /* 2237 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 32, 9, 0,
+  /* 2250 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 's', 32, 9, 0,
+  /* 2262 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2279 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2293 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2308 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2325 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2339 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2354 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 32, 9, 0,
+  /* 2365 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 32, 9, 0,
+  /* 2378 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, 0,
+  /* 2389 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, 0,
+  /* 2402 */ 'v', 's', 'u', 'b', 'p', 's', 32, 9, 0,
+  /* 2411 */ 'v', 'a', 'd', 'd', 'p', 's', 32, 9, 0,
+  /* 2420 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
+  /* 2433 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
+  /* 2447 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 32, 9, 0,
+  /* 2461 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 32, 9, 0,
+  /* 2471 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 32, 9, 0,
+  /* 2483 */ 'v', 'm', 'u', 'l', 'p', 's', 32, 9, 0,
+  /* 2492 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 32, 9, 0,
+  /* 2504 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 32, 9, 0,
+  /* 2514 */ 'v', 'm', 'i', 'n', 'p', 's', 32, 9, 0,
+  /* 2523 */ 'v', 'c', 'm', 'p', 'p', 's', 32, 9, 0,
+  /* 2532 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
+  /* 2545 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
+  /* 2559 */ 'v', 'd', 'i', 'v', 'p', 's', 32, 9, 0,
+  /* 2568 */ 'v', 'm', 'a', 'x', 'p', 's', 32, 9, 0,
+  /* 2577 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 32, 9, 0,
+  /* 2589 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 32, 9, 0,
+  /* 2602 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2616 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2631 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2645 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2660 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 32, 9, 0,
+  /* 2671 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 32, 9, 0,
+  /* 2684 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, 0,
+  /* 2695 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, 0,
+  /* 2708 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 32, 9, 0,
+  /* 2722 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 32, 9, 0,
+  /* 2737 */ 'v', 'm', 'o', 'v', 's', 's', 32, 9, 0,
+  /* 2746 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 32, 9, 0,
+  /* 2757 */ 'k', 'a', 'n', 'd', 'w', 32, 9, 0,
+  /* 2765 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 32, 9, 0,
+  /* 2777 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 32, 9, 0,
+  /* 2788 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 32, 9, 0,
+  /* 2798 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 32, 9, 0,
+  /* 2809 */ 'k', 'a', 'n', 'd', 'n', 'w', 32, 9, 0,
+  /* 2818 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 32, 9, 0,
+  /* 2830 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 32, 9, 0,
+  /* 2841 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 32, 9, 0,
+  /* 2851 */ 'k', 'o', 'r', 'w', 32, 9, 0,
+  /* 2858 */ 'k', 'x', 'n', 'o', 'r', 'w', 32, 9, 0,
+  /* 2867 */ 'k', 'x', 'o', 'r', 'w', 32, 9, 0,
+  /* 2875 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 32, 9, 0,
+  /* 2886 */ 'k', 'n', 'o', 't', 'w', 32, 9, 0,
+  /* 2894 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 32, 9, 0,
+  /* 2905 */ 'k', 'm', 'o', 'v', 'w', 32, 9, 0,
+  /* 2913 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
+  /* 2925 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
+  /* 2935 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
+  /* 2947 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
+  /* 2959 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
+  /* 2969 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
+  /* 2979 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
+  /* 2990 */ 'c', 'r', 'c', '3', '2', 9, 0,
+  /* 2997 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 9, 0,
+  /* 3008 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
+  /* 3018 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
+  /* 3030 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
+  /* 3043 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
+  /* 3055 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
+  /* 3065 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
+  /* 3076 */ 'f', 'x', 's', 'a', 'v', 'e', '6', '4', 9, 0,
+  /* 3086 */ 'f', 'x', 'r', 's', 't', 'o', 'r', '6', '4', 9, 0,
+  /* 3097 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', '6', '4', 9, 0,
+  /* 3109 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 9, 0,
+  /* 3120 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
+  /* 3131 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 3146 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 3160 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 3175 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 3189 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 3204 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 3218 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 3233 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 3247 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '1', '6', 9, 0,
+  /* 3258 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
+  /* 3270 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3284 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3297 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3313 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
+  /* 3325 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3339 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3352 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3368 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '8', 9, 0,
+  /* 3378 */ 'l', 'e', 'a', 9, 0,
+  /* 3383 */ 'j', 'a', 9, 0,
+  /* 3387 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
+  /* 3398 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
+  /* 3407 */ 's', 'e', 't', 'a', 9, 0,
+  /* 3413 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
+  /* 3426 */ 'c', 'm', 'o', 'v', 'a', 9, 0,
+  /* 3433 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
+  /* 3445 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
+  /* 3456 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
+  /* 3464 */ 's', 'b', 'b', 9, 0,
+  /* 3469 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
+  /* 3477 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
+  /* 3485 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
+  /* 3494 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
+  /* 3502 */ 'j', 'b', 9, 0,
+  /* 3506 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
+  /* 3517 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
+  /* 3525 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
+  /* 3533 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
+  /* 3542 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
+  /* 3552 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
+  /* 3561 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
+  /* 3570 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
+  /* 3578 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
+  /* 3587 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
+  /* 3596 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
+  /* 3605 */ 's', 't', 'o', 's', 'b', 9, 0,
+  /* 3612 */ 'c', 'm', 'p', 's', 'b', 9, 0,
+  /* 3619 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
+  /* 3629 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
+  /* 3639 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
+  /* 3648 */ 'm', 'o', 'v', 's', 'b', 9, 0,
+  /* 3655 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
+  /* 3664 */ 's', 'e', 't', 'b', 9, 0,
+  /* 3670 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
+  /* 3680 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
+  /* 3688 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
+  /* 3702 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
+  /* 3711 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
+  /* 3720 */ 'p', 'f', 's', 'u', 'b', 9, 0,
+  /* 3727 */ 'f', 'i', 's', 'u', 'b', 9, 0,
+  /* 3734 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
+  /* 3743 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
+  /* 3754 */ 'c', 'm', 'o', 'v', 'b', 9, 0,
+  /* 3761 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
+  /* 3772 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
+  /* 3783 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
+  /* 3790 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
+  /* 3798 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
+  /* 3807 */ 'a', 'd', 'c', 9, 0,
+  /* 3812 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
+  /* 3821 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
+  /* 3828 */ 'b', 'l', 's', 'i', 'c', 9, 0,
+  /* 3835 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
+  /* 3843 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
+  /* 3852 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
+  /* 3861 */ 'i', 'n', 'c', 9, 0,
+  /* 3866 */ 'b', 't', 'c', 9, 0,
+  /* 3871 */ 'a', 'a', 'd', 9, 0,
+  /* 3876 */ 'v', 'm', 'r', 'e', 'a', 'd', 9, 0,
+  /* 3884 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
+  /* 3892 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
+  /* 3900 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
+  /* 3910 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
+  /* 3921 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
+  /* 3930 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
+  /* 3938 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
+  /* 3949 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
+  /* 3960 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
+  /* 3967 */ 'f', 'i', 'a', 'd', 'd', 9, 0,
+  /* 3974 */ 'x', 'a', 'd', 'd', 9, 0,
+  /* 3980 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
+  /* 3989 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
+  /* 3997 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
+  /* 4007 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
+  /* 4019 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
+  /* 4029 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
+  /* 4040 */ 'r', 'd', 's', 'e', 'e', 'd', 9, 0,
+  /* 4048 */ 'p', 'i', '2', 'f', 'd', 9, 0,
+  /* 4055 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
+  /* 4064 */ 'p', 'f', '2', 'i', 'd', 9, 0,
+  /* 4071 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
+  /* 4080 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
+  /* 4089 */ 'f', 'b', 'l', 'd', 9, 0,
+  /* 4095 */ 'f', 'l', 'd', 9, 0,
+  /* 4100 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
+  /* 4108 */ 'f', 'i', 'l', 'd', 9, 0,
+  /* 4114 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
+  /* 4122 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
+  /* 4131 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
+  /* 4139 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
+  /* 4148 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
+  /* 4156 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
+  /* 4164 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
+  /* 4171 */ 'r', 'd', 'r', 'a', 'n', 'd', 9, 0,
+  /* 4179 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
+  /* 4188 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
+  /* 4195 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4211 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4224 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4238 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4254 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4267 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4281 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4297 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4310 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4324 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4340 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4353 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4367 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
+  /* 4377 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
+  /* 4389 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
+  /* 4400 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
+  /* 4411 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4427 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4440 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4454 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4470 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4483 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4497 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
+  /* 4506 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
+  /* 4514 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4527 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4538 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4547 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4557 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4568 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4576 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4589 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4598 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4608 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4619 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4627 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
+  /* 4635 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
+  /* 4645 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
+  /* 4655 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
+  /* 4667 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
+  /* 4676 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
+  /* 4687 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
+  /* 4696 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
+  /* 4707 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
+  /* 4718 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
+  /* 4729 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
+  /* 4737 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
+  /* 4746 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
+  /* 4754 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
+  /* 4763 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
+  /* 4772 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
+  /* 4780 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
+  /* 4787 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
+  /* 4795 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
+  /* 4807 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
+  /* 4814 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
+  /* 4822 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
+  /* 4832 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
+  /* 4841 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
+  /* 4850 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
+  /* 4859 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
+  /* 4870 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
+  /* 4878 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
+  /* 4890 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
+  /* 4898 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
+  /* 4907 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
+  /* 4917 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
+  /* 4929 */ 's', 'h', 'r', 'd', 9, 0,
+  /* 4935 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
+  /* 4944 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
+  /* 4953 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 4966 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 4980 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 4993 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5007 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5020 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5034 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5047 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5061 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 9, 0,
+  /* 5072 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 9, 0,
+  /* 5084 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
+  /* 5095 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5108 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5122 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5135 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5149 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
+  /* 5157 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5167 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5178 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5186 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5196 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5207 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5215 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
+  /* 5225 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 5235 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 5244 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
+  /* 5252 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 5261 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 5269 */ 's', 't', 'o', 's', 'd', 9, 0,
+  /* 5276 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
+  /* 5284 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
+  /* 5293 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
+  /* 5302 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
+  /* 5316 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
+  /* 5324 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
+  /* 5332 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 5341 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 5349 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
+  /* 5358 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
+  /* 5368 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
+  /* 5376 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
+  /* 5390 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
+  /* 5399 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
+  /* 5408 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
+  /* 5417 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
+  /* 5426 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
+  /* 5435 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
+  /* 5444 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
+  /* 5453 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
+  /* 5465 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
+  /* 5472 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
+  /* 5482 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 5492 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 5502 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
+  /* 5514 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
+  /* 5526 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
+  /* 5536 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
+  /* 5547 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 5558 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 5570 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
+  /* 5581 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
+  /* 5592 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
+  /* 5603 */ 'm', 'o', 'v', 's', 'x', 'd', 9, 0,
+  /* 5611 */ 'j', 'a', 'e', 9, 0,
+  /* 5616 */ 's', 'e', 't', 'a', 'e', 9, 0,
+  /* 5623 */ 'c', 'm', 'o', 'v', 'a', 'e', 9, 0,
+  /* 5631 */ 'j', 'b', 'e', 9, 0,
+  /* 5636 */ 's', 'e', 't', 'b', 'e', 9, 0,
+  /* 5643 */ 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
+  /* 5651 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
+  /* 5658 */ 'j', 'g', 'e', 9, 0,
+  /* 5663 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
+  /* 5672 */ 's', 'e', 't', 'g', 'e', 9, 0,
+  /* 5679 */ 'c', 'm', 'o', 'v', 'g', 'e', 9, 0,
+  /* 5687 */ 'j', 'e', 9, 0,
+  /* 5691 */ 'j', 'l', 'e', 9, 0,
+  /* 5696 */ 's', 'e', 't', 'l', 'e', 9, 0,
+  /* 5703 */ 'c', 'm', 'o', 'v', 'l', 'e', 9, 0,
+  /* 5711 */ 'j', 'n', 'e', 9, 0,
+  /* 5716 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
+  /* 5724 */ 's', 'e', 't', 'n', 'e', 9, 0,
+  /* 5731 */ 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
+  /* 5739 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
+  /* 5746 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5756 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5766 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5776 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5786 */ 's', 'e', 't', 'e', 9, 0,
+  /* 5792 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 9, 0,
+  /* 5801 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
+  /* 5812 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
+  /* 5820 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
+  /* 5828 */ 'c', 'm', 'o', 'v', 'e', 9, 0,
+  /* 5835 */ 'b', 's', 'f', 9, 0,
+  /* 5840 */ 'r', 'e', 't', 'f', 9, 0,
+  /* 5846 */ 'n', 'e', 'g', 9, 0,
+  /* 5851 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 9, 0,
+  /* 5860 */ 'j', 'g', 9, 0,
+  /* 5864 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
+  /* 5872 */ 's', 'e', 't', 'g', 9, 0,
+  /* 5878 */ 'c', 'm', 'o', 'v', 'g', 9, 0,
+  /* 5885 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
+  /* 5895 */ 'f', 'x', 'c', 'h', 9, 0,
+  /* 5901 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
+  /* 5912 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
+  /* 5923 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
+  /* 5935 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
+  /* 5944 */ 'p', 'u', 's', 'h', 9, 0,
+  /* 5950 */ 'b', 'l', 'c', 'i', 9, 0,
+  /* 5956 */ 'b', 'z', 'h', 'i', 9, 0,
+  /* 5962 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
+  /* 5969 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
+  /* 5977 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 5988 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 5998 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 6009 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 6019 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 6027 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 6036 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
+  /* 6048 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
+  /* 6060 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 6072 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 6083 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 6095 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 6106 */ 'b', 'l', 's', 'i', 9, 0,
+  /* 6112 */ 'm', 'o', 'v', 'n', 't', 'i', 9, 0,
+  /* 6120 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
+  /* 6128 */ 'b', 'l', 's', 'm', 's', 'k', 9, 0,
+  /* 6136 */ 't', 'z', 'm', 's', 'k', 9, 0,
+  /* 6143 */ 's', 'a', 'l', 9, 0,
+  /* 6148 */ 'r', 'c', 'l', 9, 0,
+  /* 6153 */ 's', 'h', 'l', 9, 0,
+  /* 6158 */ 'j', 'l', 9, 0,
+  /* 6162 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
+  /* 6169 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6178 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6187 */ 'r', 'o', 'l', 9, 0,
+  /* 6192 */ 'a', 'r', 'p', 'l', 9, 0,
+  /* 6198 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
+  /* 6209 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
+  /* 6221 */ 'l', 's', 'l', 9, 0,
+  /* 6226 */ 's', 'e', 't', 'l', 9, 0,
+  /* 6232 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
+  /* 6239 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
+  /* 6246 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
+  /* 6253 */ 'a', 'a', 'm', 9, 0,
+  /* 6258 */ 'f', 'c', 'o', 'm', 9, 0,
+  /* 6264 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
+  /* 6271 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
+  /* 6278 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
+  /* 6286 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
+  /* 6298 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
+  /* 6310 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
+  /* 6318 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
+  /* 6326 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
+  /* 6333 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
+  /* 6340 */ 'j', 'o', 9, 0,
+  /* 6344 */ 'j', 'n', 'o', 9, 0,
+  /* 6349 */ 's', 'e', 't', 'n', 'o', 9, 0,
+  /* 6356 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
+  /* 6364 */ 's', 'e', 't', 'o', 9, 0,
+  /* 6370 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
+  /* 6377 */ 'b', 's', 'w', 'a', 'p', 9, 0,
+  /* 6384 */ 'f', 's', 'u', 'b', 'p', 9, 0,
+  /* 6391 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
+  /* 6398 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
+  /* 6405 */ 'p', 'd', 'e', 'p', 9, 0,
+  /* 6411 */ 'j', 'p', 9, 0,
+  /* 6415 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
+  /* 6422 */ 'c', 'm', 'p', 9, 0,
+  /* 6427 */ 'l', 'j', 'm', 'p', 9, 0,
+  /* 6433 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6440 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6448 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6456 */ 'j', 'n', 'p', 9, 0,
+  /* 6461 */ 's', 'e', 't', 'n', 'p', 9, 0,
+  /* 6468 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
+  /* 6476 */ 'n', 'o', 'p', 9, 0,
+  /* 6481 */ 'l', 'o', 'o', 'p', 9, 0,
+  /* 6487 */ 'p', 'o', 'p', 9, 0,
+  /* 6492 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
+  /* 6500 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
+  /* 6508 */ 's', 'e', 't', 'p', 9, 0,
+  /* 6514 */ 'f', 'b', 's', 't', 'p', 9, 0,
+  /* 6521 */ 'f', 's', 't', 'p', 9, 0,
+  /* 6527 */ 'f', 'i', 's', 't', 'p', 9, 0,
+  /* 6534 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
+  /* 6542 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
+  /* 6552 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
+  /* 6563 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
+  /* 6574 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
+  /* 6590 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
+  /* 6597 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
+  /* 6604 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
+  /* 6613 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
+  /* 6621 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
+  /* 6631 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
+  /* 6642 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
+  /* 6650 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
+  /* 6661 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
+  /* 6672 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 6684 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 6695 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
+  /* 6704 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 6716 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 6727 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
+  /* 6737 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
+  /* 6745 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
+  /* 6755 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
+  /* 6767 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
+  /* 6779 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
+  /* 6788 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
+  /* 6797 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
+  /* 6806 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
+  /* 6819 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
+  /* 6832 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
+  /* 6844 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
+  /* 6856 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
+  /* 6866 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
+  /* 6877 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
+  /* 6887 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
+  /* 6898 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
+  /* 6909 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
+  /* 6918 */ 'r', 'e', 't', 'f', 'q', 9, 0,
+  /* 6925 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
+  /* 6933 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
+  /* 6941 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
+  /* 6949 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
+  /* 6957 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
+  /* 6965 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
+  /* 6973 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
+  /* 6983 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
+  /* 6995 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
+  /* 7004 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
+  /* 7013 */ 's', 't', 'o', 's', 'q', 9, 0,
+  /* 7020 */ 'c', 'm', 'p', 's', 'q', 9, 0,
+  /* 7027 */ 'm', 'o', 'v', 's', 'q', 9, 0,
+  /* 7034 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
+  /* 7044 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
+  /* 7052 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
+  /* 7060 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
+  /* 7069 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
+  /* 7083 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
+  /* 7092 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
+  /* 7101 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
+  /* 7110 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
+  /* 7119 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
+  /* 7131 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
+  /* 7138 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
+  /* 7148 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
+  /* 7159 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
+  /* 7170 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
+  /* 7181 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
+  /* 7190 */ 'l', 'a', 'r', 9, 0,
+  /* 7195 */ 's', 'a', 'r', 9, 0,
+  /* 7200 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
+  /* 7208 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
+  /* 7216 */ 'r', 'c', 'r', 9, 0,
+  /* 7221 */ 'e', 'n', 't', 'e', 'r', 9, 0,
+  /* 7228 */ 's', 'h', 'r', 9, 0,
+  /* 7233 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
+  /* 7243 */ 'v', 'p', 'o', 'r', 9, 0,
+  /* 7249 */ 'r', 'o', 'r', 9, 0,
+  /* 7254 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 7262 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 7271 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
+  /* 7278 */ 'v', 'e', 'r', 'r', 9, 0,
+  /* 7284 */ 'b', 's', 'r', 9, 0,
+  /* 7289 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 7299 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 7309 */ 'b', 'l', 's', 'r', 9, 0,
+  /* 7315 */ 'b', 't', 'r', 9, 0,
+  /* 7320 */ 'l', 't', 'r', 9, 0,
+  /* 7325 */ 's', 't', 'r', 9, 0,
+  /* 7330 */ 'b', 'e', 'x', 't', 'r', 9, 0,
+  /* 7337 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
+  /* 7344 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
+  /* 7352 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
+  /* 7360 */ 'b', 'l', 'c', 's', 9, 0,
+  /* 7366 */ 'l', 'd', 's', 9, 0,
+  /* 7371 */ 'l', 'e', 's', 9, 0,
+  /* 7376 */ 'l', 'f', 's', 9, 0,
+  /* 7381 */ 'l', 'g', 's', 9, 0,
+  /* 7386 */ 'j', 's', 9, 0,
+  /* 7390 */ 'j', 'n', 's', 9, 0,
+  /* 7395 */ 's', 'e', 't', 'n', 's', 9, 0,
+  /* 7402 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
+  /* 7410 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7426 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7439 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7453 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7469 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7482 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7496 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7512 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7525 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7539 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7555 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7568 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7582 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
+  /* 7593 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
+  /* 7604 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
+  /* 7614 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
+  /* 7626 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
+  /* 7637 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7653 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7666 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7680 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7696 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7709 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7723 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
+  /* 7732 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7745 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7756 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7765 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7775 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7786 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7794 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7807 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7816 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7826 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7837 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7845 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
+  /* 7853 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
+  /* 7863 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
+  /* 7873 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
+  /* 7885 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
+  /* 7894 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
+  /* 7905 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
+  /* 7915 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
+  /* 7924 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
+  /* 7935 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
+  /* 7945 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
+  /* 7956 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
+  /* 7967 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
+  /* 7975 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
+  /* 7984 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
+  /* 7993 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
+  /* 8002 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
+  /* 8010 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
+  /* 8018 */ 'v', 'd', 'p', 'p', 's', 9, 0,
+  /* 8025 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
+  /* 8033 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
+  /* 8045 */ 'v', 'o', 'r', 'p', 's', 9, 0,
+  /* 8052 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
+  /* 8060 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
+  /* 8072 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
+  /* 8082 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
+  /* 8093 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 8103 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 8112 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
+  /* 8121 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
+  /* 8130 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
+  /* 8141 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
+  /* 8149 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
+  /* 8161 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
+  /* 8169 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
+  /* 8178 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 8191 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 8205 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 8218 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 8232 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 8245 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 8259 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 8272 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 8286 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
+  /* 8297 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
+  /* 8308 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 9, 0,
+  /* 8320 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 8333 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 8347 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 8360 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 8374 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8384 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8395 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8403 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8413 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8424 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8432 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
+  /* 8442 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 8452 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 8461 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
+  /* 8469 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
+  /* 8477 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
+  /* 8485 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
+  /* 8493 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
+  /* 8502 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 8512 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 8521 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
+  /* 8535 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
+  /* 8543 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
+  /* 8551 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
+  /* 8559 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
+  /* 8568 */ 'b', 't', 's', 9, 0,
+  /* 8573 */ 's', 'e', 't', 's', 9, 0,
+  /* 8579 */ 'c', 'm', 'o', 'v', 's', 9, 0,
+  /* 8586 */ 'b', 't', 9, 0,
+  /* 8590 */ 'l', 'g', 'd', 't', 9, 0,
+  /* 8596 */ 's', 'g', 'd', 't', 9, 0,
+  /* 8602 */ 'l', 'i', 'd', 't', 9, 0,
+  /* 8608 */ 's', 'i', 'd', 't', 9, 0,
+  /* 8614 */ 'l', 'l', 'd', 't', 9, 0,
+  /* 8620 */ 's', 'l', 'd', 't', 9, 0,
+  /* 8626 */ 'r', 'e', 't', 9, 0,
+  /* 8631 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
+  /* 8640 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
+  /* 8648 */ 'l', 'z', 'c', 'n', 't', 9, 0,
+  /* 8655 */ 't', 'z', 'c', 'n', 't', 9, 0,
+  /* 8662 */ 'i', 'n', 't', 9, 0,
+  /* 8667 */ 'n', 'o', 't', 9, 0,
+  /* 8672 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
+  /* 8680 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
+  /* 8690 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
+  /* 8698 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
+  /* 8707 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 8720 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 8733 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
+  /* 8741 */ 'f', 's', 't', 9, 0,
+  /* 8746 */ 'f', 'i', 's', 't', 9, 0,
+  /* 8752 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
+  /* 8770 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
+  /* 8779 */ 'o', 'u', 't', 9, 0,
+  /* 8784 */ 'p', 'e', 'x', 't', 9, 0,
+  /* 8790 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
+  /* 8798 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 8811 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 8820 */ 'f', 'd', 'i', 'v', 9, 0,
+  /* 8826 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
+  /* 8833 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
+  /* 8841 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
+  /* 8850 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
+  /* 8858 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
+  /* 8866 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
+  /* 8874 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
+  /* 8884 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 8894 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 8903 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
+  /* 8913 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
+  /* 8925 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
+  /* 8937 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
+  /* 8948 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
+  /* 8957 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
+  /* 8965 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
+  /* 8976 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
+  /* 8987 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
+  /* 8994 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
+  /* 9002 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
+  /* 9011 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
+  /* 9019 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
+  /* 9029 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
+  /* 9040 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
+  /* 9051 */ 'p', 'i', '2', 'f', 'w', 9, 0,
+  /* 9058 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
+  /* 9066 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
+  /* 9074 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
+  /* 9085 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
+  /* 9095 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
+  /* 9104 */ 'p', 'f', '2', 'i', 'w', 9, 0,
+  /* 9111 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
+  /* 9121 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
+  /* 9129 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
+  /* 9137 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
+  /* 9146 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
+  /* 9154 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
+  /* 9162 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
+  /* 9171 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
+  /* 9181 */ 'v', 'e', 'r', 'w', 9, 0,
+  /* 9187 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
+  /* 9196 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
+  /* 9205 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
+  /* 9214 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
+  /* 9222 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
+  /* 9234 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 9244 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 9253 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 9263 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 9272 */ 'l', 'm', 's', 'w', 9, 0,
+  /* 9278 */ 's', 'm', 's', 'w', 9, 0,
+  /* 9284 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
+  /* 9293 */ 's', 't', 'o', 's', 'w', 9, 0,
+  /* 9300 */ 'c', 'm', 'p', 's', 'w', 9, 0,
+  /* 9307 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
+  /* 9318 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
+  /* 9326 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
+  /* 9336 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
+  /* 9346 */ 'm', 'o', 'v', 's', 'w', 9, 0,
+  /* 9353 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
+  /* 9362 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
+  /* 9372 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
+  /* 9380 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
+  /* 9394 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
+  /* 9404 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
+  /* 9413 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
+  /* 9422 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
+  /* 9435 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
+  /* 9444 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
+  /* 9454 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
+  /* 9465 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
+  /* 9472 */ 'a', 'd', 'c', 'x', 9, 0,
+  /* 9478 */ 's', 'h', 'l', 'x', 9, 0,
+  /* 9484 */ 'm', 'u', 'l', 'x', 9, 0,
+  /* 9490 */ 'a', 'd', 'o', 'x', 9, 0,
+  /* 9496 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 9509 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 9521 */ 's', 'a', 'r', 'x', 9, 0,
+  /* 9527 */ 's', 'h', 'r', 'x', 9, 0,
+  /* 9533 */ 'r', 'o', 'r', 'x', 9, 0,
+  /* 9539 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
+  /* 9551 */ 'm', 'o', 'v', 's', 'x', 9, 0,
+  /* 9558 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
+  /* 9565 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
+  /* 9572 */ 'j', 'c', 'x', 'z', 9, 0,
+  /* 9578 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
+  /* 9585 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9601 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9616 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9633 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9649 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9665 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9680 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9696 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9711 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9720 */ 's', 'c', 'a', 's', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9731 */ 'l', 'o', 'd', 's', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9742 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9751 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
+  /* 9760 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
+  /* 9769 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
+  /* 9778 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
+  /* 9786 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
+  /* 9795 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
+  /* 9804 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
+  /* 9816 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
+  /* 9826 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
+  /* 9835 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
+  /* 9844 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
+  /* 9853 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
+  /* 9862 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
+  /* 9871 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
+  /* 9880 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
+  /* 9890 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
+  /* 9898 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
+  /* 9907 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
+  /* 9916 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
+  /* 9928 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
+  /* 9938 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
+  /* 9947 */ 's', 'c', 'a', 's', 'w', 9, 'a', 'x', ',', 32, 0,
+  /* 9958 */ 'l', 'o', 'd', 's', 'w', 9, 'a', 'x', ',', 32, 0,
+  /* 9969 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9979 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9989 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9999 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10009 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10019 */ 's', 'c', 'a', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10031 */ 'l', 'o', 'd', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10043 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10054 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10063 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10073 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10083 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10096 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10107 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10117 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10127 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10137 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10147 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10157 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10167 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10178 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10188 */ 's', 'c', 'a', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10200 */ 'l', 'o', 'd', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10212 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10222 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10235 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10246 */ 'o', 'u', 't', 's', 'b', 9, 'd', 'x', ',', 32, 0,
+  /* 10257 */ 'o', 'u', 't', 's', 'd', 9, 'd', 'x', ',', 32, 0,
+  /* 10268 */ 'o', 'u', 't', 's', 'w', 9, 'd', 'x', ',', 32, 0,
+  /* 10279 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
+  /* 10290 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
+  /* 10313 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'X', 'M', 'M', 32, 0,
+  /* 10327 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
+  /* 10351 */ '#', 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 32, 0,
+  /* 10368 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 10384 */ '#', 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 10399 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 32, 0,
+  /* 10413 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 32, 0,
+  /* 10427 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10447 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10467 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10487 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10507 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10528 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10548 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10568 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10587 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10607 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10627 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10647 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10667 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10687 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10707 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10727 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10746 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10764 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10785 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10806 */ 'f', 's', 't', 'p', 'n', 'c', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 's', 't', '(', '0', ')', 0,
+  /* 10827 */ 'f', 's', 't', 'p', 9, 's', 't', '(', '7', ')', ',', 32, 's', 't', '(', '0', ')', 0,
+  /* 10845 */ 'x', 's', 'h', 'a', '1', 0,
+  /* 10851 */ 'f', 'l', 'd', '1', 0,
+  /* 10856 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
+  /* 10863 */ 'f', '2', 'x', 'm', '1', 0,
+  /* 10869 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
+  /* 10877 */ 'i', 'n', 't', '1', 0,
+  /* 10882 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
+  /* 10901 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
+  /* 10919 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 10932 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 10945 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 10963 */ 'u', 'd', '2', 0,
+  /* 10967 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
+  /* 10974 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
+  /* 10981 */ 'i', 'n', 't', '3', 0,
+  /* 10986 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
+  /* 11005 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
+  /* 11023 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 11036 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 11049 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 11067 */ 'r', 'e', 'x', '6', '4', 0,
+  /* 11073 */ 'd', 'a', 't', 'a', '1', '6', 0,
+  /* 11080 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
+  /* 11088 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 11101 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 11108 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 11118 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
+  /* 11127 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
+  /* 11145 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
+  /* 11161 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
+  /* 11173 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 11188 */ 'a', 'a', 'a', 0,
+  /* 11192 */ 'd', 'a', 'a', 0,
+  /* 11196 */ 'u', 'd', '2', 'b', 0,
+  /* 11201 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
+  /* 11211 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
+  /* 11221 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
+  /* 11231 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
+  /* 11241 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
+  /* 11251 */ 'x', 'l', 'a', 't', 'b', 0,
+  /* 11257 */ 'c', 'l', 'a', 'c', 0,
+  /* 11262 */ 's', 't', 'a', 'c', 0,
+  /* 11267 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
+  /* 11277 */ 'g', 'e', 't', 's', 'e', 'c', 0,
+  /* 11284 */ 's', 'a', 'l', 'c', 0,
+  /* 11289 */ 'c', 'l', 'c', 0,
+  /* 11293 */ 'c', 'm', 'c', 0,
+  /* 11297 */ 'r', 'd', 'p', 'm', 'c', 0,
+  /* 11303 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
+  /* 11310 */ 'r', 'd', 't', 's', 'c', 0,
+  /* 11316 */ 's', 't', 'c', 0,
+  /* 11320 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
+  /* 11327 */ 'p', 'o', 'p', 'f', 'd', 0,
+  /* 11333 */ 'c', 'p', 'u', 'i', 'd', 0,
+  /* 11339 */ 'c', 'l', 'd', 0,
+  /* 11343 */ 'x', 'e', 'n', 'd', 0,
+  /* 11348 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
+  /* 11358 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
+  /* 11368 */ 'i', 'r', 'e', 't', 'd', 0,
+  /* 11374 */ 's', 't', 'd', 0,
+  /* 11378 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
+  /* 11385 */ 'c', 'w', 'd', 0,
+  /* 11389 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
+  /* 11396 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11403 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11410 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11417 */ 'c', 'w', 'd', 'e', 0,
+  /* 11422 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
+  /* 11429 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
+  /* 11438 */ 'r', 'e', 'p', 'n', 'e', 0,
+  /* 11444 */ 'c', 'd', 'q', 'e', 0,
+  /* 11449 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
+  /* 11458 */ 'x', 's', 't', 'o', 'r', 'e', 0,
+  /* 11465 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
+  /* 11474 */ 'p', 'a', 'u', 's', 'e', 0,
+  /* 11480 */ '#', 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 11494 */ '#', 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 11511 */ 'l', 'e', 'a', 'v', 'e', 0,
+  /* 11517 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
+  /* 11524 */ 'l', 'a', 'h', 'f', 0,
+  /* 11529 */ 's', 'a', 'h', 'f', 0,
+  /* 11534 */ 'p', 'u', 's', 'h', 'f', 0,
+  /* 11540 */ 'p', 'o', 'p', 'f', 0,
+  /* 11545 */ 'r', 'e', 't', 'f', 0,
+  /* 11550 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
+  /* 11559 */ 'c', 'l', 'g', 'i', 0,
+  /* 11564 */ 's', 't', 'g', 'i', 0,
+  /* 11569 */ 'c', 'l', 'i', 0,
+  /* 11573 */ 'f', 'l', 'd', 'p', 'i', 0,
+  /* 11579 */ 's', 't', 'i', 0,
+  /* 11583 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
+  /* 11598 */ 'l', 'o', 'c', 'k', 0,
+  /* 11603 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
+  /* 11614 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
+  /* 11621 */ 'p', 'o', 'p', 'a', 'l', 0,
+  /* 11627 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 11635 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 11642 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
+  /* 11650 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
+  /* 11659 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
+  /* 11667 */ 'f', 'x', 'a', 'm', 0,
+  /* 11672 */ 'f', 'p', 'r', 'e', 'm', 0,
+  /* 11678 */ 'f', 's', 'e', 't', 'p', 'm', 0,
+  /* 11685 */ 'r', 's', 'm', 0,
+  /* 11689 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
+  /* 11696 */ 'f', 'p', 't', 'a', 'n', 0,
+  /* 11702 */ 'f', 's', 'i', 'n', 0,
+  /* 11707 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
+  /* 11734 */ 'c', 'q', 'o', 0,
+  /* 11738 */ 'i', 'n', 't', 'o', 0,
+  /* 11743 */ 'r', 'd', 't', 's', 'c', 'p', 0,
+  /* 11750 */ 'r', 'e', 'p', 0,
+  /* 11754 */ 'v', 'p', 'c', 'm', 'p', 0,
+  /* 11760 */ 'v', 'c', 'm', 'p', 0,
+  /* 11765 */ 'f', 'n', 'o', 'p', 0,
+  /* 11770 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 11777 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 11785 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
+  /* 11793 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
+  /* 11801 */ 'c', 'd', 'q', 0,
+  /* 11805 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
+  /* 11812 */ 'p', 'o', 'p', 'f', 'q', 0,
+  /* 11818 */ 'r', 'e', 't', 'f', 'q', 0,
+  /* 11824 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
+  /* 11834 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
+  /* 11844 */ 'i', 'r', 'e', 't', 'q', 0,
+  /* 11850 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
+  /* 11861 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
+  /* 11870 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
+  /* 11878 */ 'r', 'd', 'm', 's', 'r', 0,
+  /* 11884 */ 'w', 'r', 'm', 's', 'r', 0,
+  /* 11890 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
+  /* 11900 */ 'a', 'a', 's', 0,
+  /* 11904 */ 'd', 'a', 's', 0,
+  /* 11908 */ 'f', 'a', 'b', 's', 0,
+  /* 11913 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
+  /* 11921 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
+  /* 11929 */ 'p', 'o', 'p', 9, 'd', 's', 0,
+  /* 11936 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
+  /* 11944 */ 'p', 'o', 'p', 9, 'e', 's', 0,
+  /* 11951 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
+  /* 11959 */ 'p', 'o', 'p', 9, 'f', 's', 0,
+  /* 11966 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
+  /* 11974 */ 'p', 'o', 'p', 9, 'g', 's', 0,
+  /* 11981 */ 's', 'w', 'a', 'p', 'g', 's', 0,
+  /* 11988 */ 'f', 'c', 'h', 's', 0,
+  /* 11993 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
+  /* 12038 */ 'e', 'n', 'c', 'l', 's', 0,
+  /* 12044 */ 'f', 'e', 'm', 'm', 's', 0,
+  /* 12050 */ 'f', 'c', 'o', 's', 0,
+  /* 12055 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
+  /* 12063 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
+  /* 12071 */ 'p', 'o', 'p', 9, 's', 's', 0,
+  /* 12078 */ 'c', 'l', 't', 's', 0,
+  /* 12083 */ 'f', 'l', 'd', 'l', '2', 't', 0,
+  /* 12090 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
+  /* 12098 */ 'i', 'r', 'e', 't', 0,
+  /* 12103 */ 's', 'y', 's', 'r', 'e', 't', 0,
+  /* 12110 */ 'm', 'w', 'a', 'i', 't', 0,
+  /* 12116 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
+  /* 12123 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
+  /* 12131 */ 'h', 'l', 't', 0,
+  /* 12135 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
+  /* 12143 */ 'f', 's', 'q', 'r', 't', 0,
+  /* 12149 */ 'x', 't', 'e', 's', 't', 0,
+  /* 12155 */ 'f', 't', 's', 't', 0,
+  /* 12160 */ 'e', 'n', 'c', 'l', 'u', 0,
+  /* 12166 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
+  /* 12173 */ 'x', 's', 'e', 't', 'b', 'v', 0,
+  /* 12180 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
+  /* 12187 */ 'p', 'o', 'p', 'a', 'w', 0,
+  /* 12193 */ 'c', 'b', 'w', 0,
+  /* 12197 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
+  /* 12207 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
+  /* 12217 */ 'f', 'y', 'l', '2', 'x', 0,
+  /* 12223 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
+  /* 12233 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
+  /* 12244 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
+  /* 12255 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
+  /* 12266 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
+  /* 12276 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
+  /* 12287 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
+  /* 12299 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
+  /* 12310 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
+  /* 12321 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
+  /* 12331 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 12348 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 12365 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
+  /* 12375 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 12385 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 12396 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
+  /* 12403 */ 'f', 'l', 'd', 'z', 0,
+  /* 12408 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'd', 'p', 'd', 32, 9, '{', 0,
+  /* 12425 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'd', 'p', 'd', 32, 9, '{', 0,
+  /* 12443 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'd', 'p', 'd', 32, 9, '{', 0,
+  /* 12460 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'd', 'p', 'd', 32, 9, '{', 0,
+  /* 12478 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'q', 'p', 'd', 32, 9, '{', 0,
+  /* 12495 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'q', 'p', 'd', 32, 9, '{', 0,
+  /* 12513 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'q', 'p', 'd', 32, 9, '{', 0,
+  /* 12530 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'q', 'p', 'd', 32, 9, '{', 0,
+  /* 12548 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, '{', 0,
+  /* 12565 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, '{', 0,
+  /* 12583 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, '{', 0,
+  /* 12600 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, '{', 0,
+  /* 12618 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, '{', 0,
+  /* 12635 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, '{', 0,
+  /* 12653 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, '{', 0,
+  /* 12670 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, '{', 0,
   };
 #endif
 
@@ -13556,7 +13828,6 @@
 	;
 #endif
 
-
   // Fragment 0 encoded into 6 bits for 45 unique commands.
   //printf("Frag-0: %"PRIu64"\n", (Bits >> 14) & 63);
   switch ((Bits >> 14) & 63) {
@@ -13973,57 +14244,57 @@
     return;
     break;
   case 2:
-    // ADC16rr_REV, ADC32rr_REV, ADC64rr_REV, ADC8rr_REV, ADD16rr_REV, ADD32r...
+    // ADC16rr_REV, ADC32rr_REV, ADC64rr_REV, ADC8rr_REV, ADCX32rr, ADCX64rr,...
     printOperand(MI, 2, O); 
     break;
   case 3:
-    // ADCX32rm, ADOX32rm, BEXTR32rm, BEXTRI32mi, BLCFILL32rm, BLCI32rm, BLCI...
-    printi32mem(MI, 1, O); 
+    // ADCX32rm, CMOVA32rm, CMOVAE32rm, CMOVB32rm, CMOVBE32rm, CMOVE32rm, CMO...
+    printi32mem(MI, 2, O); 
     break;
   case 4:
-    // ADCX32rr, ADCX64rr, ADOX32rr, ADOX64rr, AESIMCrr, AESKEYGENASSIST128rr...
-    printOperand(MI, 1, O); 
+    // ADCX64rm, CMOVA64rm, CMOVAE64rm, CMOVB64rm, CMOVBE64rm, CMOVE64rm, CMO...
+    printi64mem(MI, 2, O); 
     break;
   case 5:
-    // ADCX64rm, ADOX64rm, BEXTR64rm, BEXTRI64mi, BLCFILL64rm, BLCI64rm, BLCI...
-    printi64mem(MI, 1, O); 
-    break;
-  case 6:
     // ADDPDrm, ADDPSrm, ADDSUBPDrm, ADDSUBPSrm, ANDNPDrm, ANDNPSrm, ANDPDrm,...
     printf128mem(MI, 2, O); 
     break;
-  case 7:
+  case 6:
     // ADDSDrm, ADDSDrm_Int, CMPSDrm, CMPSDrm_alt, DIVSDrm, DIVSDrm_Int, Int_...
     printf64mem(MI, 2, O); 
     break;
-  case 8:
+  case 7:
     // ADDSSrm, ADDSSrm_Int, CMPSSrm, CMPSSrm_alt, DIVSSrm, DIVSSrm_Int, INSE...
     printf32mem(MI, 2, O); 
     break;
+  case 8:
+    // ADOX32rm, BEXTR32rm, BEXTRI32mi, BLCFILL32rm, BLCI32rm, BLCIC32rm, BLC...
+    printi32mem(MI, 1, O); 
+    break;
   case 9:
+    // ADOX32rr, ADOX64rr, AESIMCrr, AESKEYGENASSIST128rr, ANDN32rm, ANDN32rr...
+    printOperand(MI, 1, O); 
+    break;
+  case 10:
+    // ADOX64rm, BEXTR64rm, BEXTRI64mi, BLCFILL64rm, BLCI64rm, BLCIC64rm, BLC...
+    printi64mem(MI, 1, O); 
+    break;
+  case 11:
     // AESDECLASTrm, AESDECrm, AESENCLASTrm, AESENCrm, MPSADBWrmi, PACKSSDWrm...
     printi128mem(MI, 2, O); 
     break;
-  case 10:
+  case 12:
     // AESIMCrm, AESKEYGENASSIST128rm, CVTDQ2PSrm, INVEPT32, INVEPT64, INVPCI...
     printi128mem(MI, 1, O); 
     break;
-  case 11:
+  case 13:
     // BOUNDS16rm, BSF16rm, BSR16rm, CMP16rm, IMUL16rmi, IMUL16rmi8, KMOVWkm,...
     printi16mem(MI, 1, O); 
     break;
-  case 12:
+  case 14:
     // CMOVA16rm, CMOVAE16rm, CMOVB16rm, CMOVBE16rm, CMOVE16rm, CMOVG16rm, CM...
     printi16mem(MI, 2, O); 
     break;
-  case 13:
-    // CMOVA32rm, CMOVAE32rm, CMOVB32rm, CMOVBE32rm, CMOVE32rm, CMOVG32rm, CM...
-    printi32mem(MI, 2, O); 
-    break;
-  case 14:
-    // CMOVA64rm, CMOVAE64rm, CMOVB64rm, CMOVBE64rm, CMOVE64rm, CMOVG64rm, CM...
-    printi64mem(MI, 2, O); 
-    break;
   case 15:
     // CMP8rm, KMOVBkm, MOV8rm, MOV8rm_NOREX, MOVSX16rm8, MOVSX32rm8, MOVSX64...
     printi8mem(MI, 1, O); 
@@ -14332,7 +14603,7 @@
   }
 
 
-  // Fragment 5 encoded into 3 bits for 5 unique commands.
+  // Fragment 5 encoded into 3 bits for 7 unique commands.
   //printf("Frag-5: %"PRIu64"\n", (Bits >> 40) & 7);
   switch ((Bits >> 40) & 7) {
   default:   // unreachable.
@@ -14357,6 +14628,18 @@
     return;
     break;
   case 4:
+    // VPCMPEQDZ128rmb, VPCMPEQQZ256rmb, VPCMPGTDZ128rmb, VPCMPGTQZ256rmb
+    SStream_concat0(O, "{1to4}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_4);
+    return;
+    break;
+  case 5:
+    // VPCMPEQQZ128rmb, VPCMPGTQZ128rmb
+    SStream_concat0(O, "{1to2}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_2);
+    return;
+    break;
+  case 6:
     // VRCP28SDrrb, VRCP28SSrrb, VRSQRT28SDrrb, VRSQRT28SSrrb
     SStream_concat0(O, ", {sae}"); 
 	op_addAvxSae(MI);
@@ -14391,7 +14674,7 @@
     printf32mem(MI, 3, O); 
     break;
   case 5:
-    // VALIGNDrrik, VALIGNQrrik, VMOVSDZrrk, VMOVSSZrrk, VPADDDZrrk, VPADDQZr...
+    // VALIGNDrrik, VALIGNQrrik, VFMADD213PDZrk, VFMADD213PDZrkz, VFMADD213PS...
     printOperand(MI, 4, O); 
     break;
   case 6:
@@ -14410,11 +14693,8 @@
     return;
     break;
   case 9:
-    // VPADDDZrmbkz, VPANDDZrmbkz, VPANDNDZrmbkz, VPMAXSDZrmbkz, VPMAXUDZrmbk...
+    // VPADDDZrmbkz, VPANDDZrmbkz, VPANDNDZrmbkz, VPCMPEQDZ128rmbk, VPCMPEQDZ...
     printi32mem(MI, 3, O); 
-    SStream_concat0(O, "{1to16}"); 
-	op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
-    return;
     break;
   case 10:
     // VPADDDZrmk, VPADDQZrmk, VPANDDZrmk, VPANDNDZrmk, VPANDNQZrmk, VPANDQZr...
@@ -14433,28 +14713,25 @@
     return;
     break;
   case 13:
-    // VPADDQZrmbkz, VPANDNQZrmbkz, VPANDQZrmbkz, VPMAXSQZrmbkz, VPMAXUQZrmbk...
+    // VPADDQZrmbkz, VPANDNQZrmbkz, VPANDQZrmbkz, VPCMPEQQZ128rmbk, VPCMPEQQZ...
     printi64mem(MI, 3, O); 
-    SStream_concat0(O, "{1to8}"); 
-	op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
-    return;
     break;
   case 14:
-    // VPCMOVrm, VPPERMrm, VPSLLDZrmk, VPSLLQZrmk, VPSRADZrmk, VPSRAQZrmk, VP...
+    // VPCMOVrm, VPCMPEQBZ128rmk, VPCMPEQDZ128rmk, VPCMPEQQZ128rmk, VPCMPEQWZ...
     printi128mem(MI, 3, O); 
     return;
     break;
   case 15:
-    // VPCMOVrmY
+    // VPCMOVrmY, VPCMPEQBZ256rmk, VPCMPEQDZ256rmk, VPCMPEQQZ256rmk, VPCMPEQW...
     printi256mem(MI, 3, O); 
     return;
     break;
   }
 
 
-  // Fragment 7 encoded into 2 bits for 4 unique commands.
-  //printf("Frag-7: %"PRIu64"\n", (Bits >> 47) & 3);
-  switch ((Bits >> 47) & 3) {
+  // Fragment 7 encoded into 3 bits for 6 unique commands.
+  //printf("Frag-7: %"PRIu64"\n", (Bits >> 47) & 7);
+  switch ((Bits >> 47) & 7) {
   default:   // unreachable.
   case 0:
     // VAARG_64, VALIGNDrrik, VALIGNDrrikz, VALIGNQrrik, VALIGNQrrikz, VPCMPD...
@@ -14476,12 +14753,24 @@
 	op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
     return;
     break;
+  case 4:
+    // VPCMPEQDZ128rmbk, VPCMPEQQZ256rmbk, VPCMPGTDZ128rmbk, VPCMPGTQZ256rmbk
+    SStream_concat0(O, "{1to4}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_4);
+    return;
+    break;
+  case 5:
+    // VPCMPEQQZ128rmbk, VPCMPGTQZ128rmbk
+    SStream_concat0(O, "{1to2}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_2);
+    return;
+    break;
   }
 
 
   // Fragment 8 encoded into 2 bits for 3 unique commands.
-  //printf("Frag-8: %"PRIu64"\n", (Bits >> 49) & 3);
-  switch ((Bits >> 49) & 3) {
+  //printf("Frag-8: %"PRIu64"\n", (Bits >> 50) & 3);
+  switch ((Bits >> 50) & 3) {
   default:   // unreachable.
   case 0:
     // VAARG_64, VPCMPDZrmik_alt, VPCMPQZrmik_alt, VPCMPUDZrmik_alt, VPCMPUQZ...
@@ -14892,13 +15181,13 @@
     for (c = AsmOps; *c; c++) {
       if (*c == '$') {
         c += 1;
-		if (*c == (char)0xff) {
-			c += 1;
-			OpIdx = *c - 1;
-			c += 1;
-			PrintMethodIdx = *c -1;
-			printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
-		} else
+        if (*c == (char)0xff) {
+          c += 1;
+          OpIdx = *c - 1;
+          c += 1;
+          PrintMethodIdx = *c - 1;
+          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
+        } else
           printOperand(MI, *c - 1, OS);
       } else {
         SStream_concat(OS, "%c", *c);
diff --git a/arch/X86/X86GenAsmWriter1_reduce.inc b/arch/X86/X86GenAsmWriter1_reduce.inc
index 15378d2..acc8198 100644
--- a/arch/X86/X86GenAsmWriter1_reduce.inc
+++ b/arch/X86/X86GenAsmWriter1_reduce.inc
@@ -77,9 +77,9 @@
     274563U,	// ADC8rr
     2232451U,	// ADC8rr_REV
     4330644U,	// ADCX32rm
-    6427796U,	// ADCX32rr
-    8524948U,	// ADCX64rm
-    6427796U,	// ADCX64rr
+    2233492U,	// ADCX32rr
+    6427796U,	// ADCX64rm
+    2233492U,	// ADCX64rr
     5495U,	// ADD16i16
     139451U,	// ADD16mi
     139451U,	// ADD16mi8
@@ -128,10 +128,10 @@
     2576U,	// ADJCALLSTACKDOWN64
     2594U,	// ADJCALLSTACKUP32
     2594U,	// ADJCALLSTACKUP64
-    4330662U,	// ADOX32rm
-    6427814U,	// ADOX32rr
-    8524966U,	// ADOX64rm
-    6427814U,	// ADOX64rr
+    8524966U,	// ADOX32rm
+    10622118U,	// ADOX32rr
+    12719270U,	// ADOX64rm
+    10622118U,	// ADOX64rr
     5504U,	// AND16i16
     139500U,	// AND16mi
     139500U,	// AND16mi8
@@ -167,128 +167,128 @@
     798956U,	// AND8rm
     274668U,	// AND8rr
     2232556U,	// AND8rr_REV
-    39981714U,	// ANDN32rm
-    174199442U,	// ANDN32rr
-    308417170U,	// ANDN64rm
-    174199442U,	// ANDN64rr
+    44176018U,	// ANDN32rm
+    178393746U,	// ANDN32rr
+    312611474U,	// ANDN64rm
+    178393746U,	// ANDN64rr
     139887U,	// ARPL16mr
-    6427247U,	// ARPL16rr
-    440538003U,	// BEXTR32rm
-    174199699U,	// BEXTR32rr
-    444732307U,	// BEXTR64rm
-    174199699U,	// BEXTR64rr
-    440538003U,	// BEXTRI32mi
-    174199699U,	// BEXTRI32ri
-    444732307U,	// BEXTRI64mi
-    174199699U,	// BEXTRI64ri
-    4330072U,	// BLCFILL32rm
-    6427224U,	// BLCFILL32rr
-    8524376U,	// BLCFILL64rm
-    6427224U,	// BLCFILL64rr
-    4330005U,	// BLCI32rm
-    6427157U,	// BLCI32rr
-    8524309U,	// BLCI64rm
-    6427157U,	// BLCI64rr
-    4329613U,	// BLCIC32rm
-    6426765U,	// BLCIC32rr
-    8523917U,	// BLCIC64rm
-    6426765U,	// BLCIC64rr
-    4330023U,	// BLCMSK32rm
-    6427175U,	// BLCMSK32rr
-    8524327U,	// BLCMSK64rm
-    6427175U,	// BLCMSK64rr
-    4330402U,	// BLCS32rm
-    6427554U,	// BLCS32rr
-    8524706U,	// BLCS64rm
-    6427554U,	// BLCS64rr
-    4330081U,	// BLSFILL32rm
-    6427233U,	// BLSFILL32rr
-    8524385U,	// BLSFILL64rm
-    6427233U,	// BLSFILL64rr
-    4330017U,	// BLSI32rm
-    6427169U,	// BLSI32rr
-    8524321U,	// BLSI64rm
-    6427169U,	// BLSI64rr
-    4329620U,	// BLSIC32rm
-    6426772U,	// BLSIC32rr
-    8523924U,	// BLSIC64rm
-    6426772U,	// BLSIC64rr
-    4330031U,	// BLSMSK32rm
-    6427183U,	// BLSMSK32rr
-    8524335U,	// BLSMSK64rm
-    6427183U,	// BLSMSK64rr
-    4330366U,	// BLSR32rm
-    6427518U,	// BLSR32rr
-    8524670U,	// BLSR64rm
-    6427518U,	// BLSR64rr
-    10621169U,	// BOUNDS16rm
-    4329713U,	// BOUNDS32rm
-    10621405U,	// BSF16rm
-    6427101U,	// BSF16rr
-    4329949U,	// BSF32rm
-    6427101U,	// BSF32rr
-    8524253U,	// BSF64rm
-    6427101U,	// BSF64rr
-    10621817U,	// BSR16rm
-    6427513U,	// BSR16rr
-    4330361U,	// BSR32rm
-    6427513U,	// BSR32rr
-    8524665U,	// BSR64rm
-    6427513U,	// BSR64rr
+    10621551U,	// ARPL16rr
+    444732307U,	// BEXTR32rm
+    178394003U,	// BEXTR32rr
+    448926611U,	// BEXTR64rm
+    178394003U,	// BEXTR64rr
+    444732307U,	// BEXTRI32mi
+    178394003U,	// BEXTRI32ri
+    448926611U,	// BEXTRI64mi
+    178394003U,	// BEXTRI64ri
+    8524376U,	// BLCFILL32rm
+    10621528U,	// BLCFILL32rr
+    12718680U,	// BLCFILL64rm
+    10621528U,	// BLCFILL64rr
+    8524309U,	// BLCI32rm
+    10621461U,	// BLCI32rr
+    12718613U,	// BLCI64rm
+    10621461U,	// BLCI64rr
+    8523917U,	// BLCIC32rm
+    10621069U,	// BLCIC32rr
+    12718221U,	// BLCIC64rm
+    10621069U,	// BLCIC64rr
+    8524327U,	// BLCMSK32rm
+    10621479U,	// BLCMSK32rr
+    12718631U,	// BLCMSK64rm
+    10621479U,	// BLCMSK64rr
+    8524706U,	// BLCS32rm
+    10621858U,	// BLCS32rr
+    12719010U,	// BLCS64rm
+    10621858U,	// BLCS64rr
+    8524385U,	// BLSFILL32rm
+    10621537U,	// BLSFILL32rr
+    12718689U,	// BLSFILL64rm
+    10621537U,	// BLSFILL64rr
+    8524321U,	// BLSI32rm
+    10621473U,	// BLSI32rr
+    12718625U,	// BLSI64rm
+    10621473U,	// BLSI64rr
+    8523924U,	// BLSIC32rm
+    10621076U,	// BLSIC32rr
+    12718228U,	// BLSIC64rm
+    10621076U,	// BLSIC64rr
+    8524335U,	// BLSMSK32rm
+    10621487U,	// BLSMSK32rr
+    12718639U,	// BLSMSK64rm
+    10621487U,	// BLSMSK64rr
+    8524670U,	// BLSR32rm
+    10621822U,	// BLSR32rr
+    12718974U,	// BLSR64rm
+    10621822U,	// BLSR64rr
+    14815473U,	// BOUNDS16rm
+    8524017U,	// BOUNDS32rm
+    14815709U,	// BSF16rm
+    10621405U,	// BSF16rr
+    8524253U,	// BSF32rm
+    10621405U,	// BSF32rr
+    12718557U,	// BSF64rm
+    10621405U,	// BSF64rr
+    14816121U,	// BSR16rm
+    10621817U,	// BSR16rr
+    8524665U,	// BSR32rm
+    10621817U,	// BSR32rr
+    12718969U,	// BSR64rm
+    10621817U,	// BSR64rr
     4804U,	// BSWAP32r
     4804U,	// BSWAP64r
     140267U,	// BT16mi8
     140267U,	// BT16mr
-    6427627U,	// BT16ri8
-    6427627U,	// BT16rr
+    10621931U,	// BT16ri8
+    10621931U,	// BT16rr
     148459U,	// BT32mi8
     148459U,	// BT32mr
-    6427627U,	// BT32ri8
-    6427627U,	// BT32rr
+    10621931U,	// BT32ri8
+    10621931U,	// BT32rr
     152555U,	// BT64mi8
     152555U,	// BT64mr
-    6427627U,	// BT64ri8
-    6427627U,	// BT64rr
+    10621931U,	// BT64ri8
+    10621931U,	// BT64rr
     139432U,	// BTC16mi8
     139432U,	// BTC16mr
-    6426792U,	// BTC16ri8
-    6426792U,	// BTC16rr
+    10621096U,	// BTC16ri8
+    10621096U,	// BTC16rr
     147624U,	// BTC32mi8
     147624U,	// BTC32mr
-    6426792U,	// BTC32ri8
-    6426792U,	// BTC32rr
+    10621096U,	// BTC32ri8
+    10621096U,	// BTC32rr
     151720U,	// BTC64mi8
     151720U,	// BTC64mr
-    6426792U,	// BTC64ri8
-    6426792U,	// BTC64rr
+    10621096U,	// BTC64ri8
+    10621096U,	// BTC64rr
     140164U,	// BTR16mi8
     140164U,	// BTR16mr
-    6427524U,	// BTR16ri8
-    6427524U,	// BTR16rr
+    10621828U,	// BTR16ri8
+    10621828U,	// BTR16rr
     148356U,	// BTR32mi8
     148356U,	// BTR32mr
-    6427524U,	// BTR32ri8
-    6427524U,	// BTR32rr
+    10621828U,	// BTR32ri8
+    10621828U,	// BTR32rr
     152452U,	// BTR64mi8
     152452U,	// BTR64mr
-    6427524U,	// BTR64ri8
-    6427524U,	// BTR64rr
+    10621828U,	// BTR64ri8
+    10621828U,	// BTR64rr
     140249U,	// BTS16mi8
     140249U,	// BTS16mr
-    6427609U,	// BTS16ri8
-    6427609U,	// BTS16rr
+    10621913U,	// BTS16ri8
+    10621913U,	// BTS16rr
     148441U,	// BTS32mi8
     148441U,	// BTS32mr
-    6427609U,	// BTS32ri8
-    6427609U,	// BTS32rr
+    10621913U,	// BTS32ri8
+    10621913U,	// BTS32rr
     152537U,	// BTS64mi8
     152537U,	// BTS64mr
-    6427609U,	// BTS64ri8
-    6427609U,	// BTS64rr
-    440537627U,	// BZHI32rm
-    174199323U,	// BZHI32rr
-    444731931U,	// BZHI64rm
-    174199323U,	// BZHI64rr
+    10621913U,	// BTS64ri8
+    10621913U,	// BTS64rr
+    444731931U,	// BZHI32rm
+    178393627U,	// BZHI32rr
+    448926235U,	// BZHI64rm
+    178393627U,	// BZHI64rr
     8786U,	// CALL16m
     4690U,	// CALL16r
     16978U,	// CALL32m
@@ -308,101 +308,101 @@
     2954U,	// CLI
     3322U,	// CLTS
     2742U,	// CMC
-    12718127U,	// CMOVA16rm
+    16912431U,	// CMOVA16rm
     2232367U,	// CMOVA16rr
-    14815279U,	// CMOVA32rm
+    4329519U,	// CMOVA32rm
     2232367U,	// CMOVA32rr
-    16912431U,	// CMOVA64rm
+    6426671U,	// CMOVA64rm
     2232367U,	// CMOVA64rr
-    12718381U,	// CMOVAE16rm
+    16912685U,	// CMOVAE16rm
     2232621U,	// CMOVAE16rr
-    14815533U,	// CMOVAE32rm
+    4329773U,	// CMOVAE32rm
     2232621U,	// CMOVAE32rr
-    16912685U,	// CMOVAE64rm
+    6426925U,	// CMOVAE64rm
     2232621U,	// CMOVAE64rr
-    12718204U,	// CMOVB16rm
+    16912508U,	// CMOVB16rm
     2232444U,	// CMOVB16rr
-    14815356U,	// CMOVB32rm
+    4329596U,	// CMOVB32rm
     2232444U,	// CMOVB32rr
-    16912508U,	// CMOVB64rm
+    6426748U,	// CMOVB64rm
     2232444U,	// CMOVB64rr
-    12718401U,	// CMOVBE16rm
+    16912705U,	// CMOVBE16rm
     2232641U,	// CMOVBE16rr
-    14815553U,	// CMOVBE32rm
+    4329793U,	// CMOVBE32rm
     2232641U,	// CMOVBE32rr
-    16912705U,	// CMOVBE64rm
+    6426945U,	// CMOVBE64rm
     2232641U,	// CMOVBE64rr
-    12718550U,	// CMOVE16rm
+    16912854U,	// CMOVE16rm
     2232790U,	// CMOVE16rr
-    14815702U,	// CMOVE32rm
+    4329942U,	// CMOVE32rm
     2232790U,	// CMOVE32rr
-    16912854U,	// CMOVE64rm
+    6427094U,	// CMOVE64rm
     2232790U,	// CMOVE64rr
-    12718600U,	// CMOVG16rm
+    16912904U,	// CMOVG16rm
     2232840U,	// CMOVG16rr
-    14815752U,	// CMOVG32rm
+    4329992U,	// CMOVG32rm
     2232840U,	// CMOVG32rr
-    16912904U,	// CMOVG64rm
+    6427144U,	// CMOVG64rm
     2232840U,	// CMOVG64rr
-    12718421U,	// CMOVGE16rm
+    16912725U,	// CMOVGE16rm
     2232661U,	// CMOVGE16rr
-    14815573U,	// CMOVGE32rm
+    4329813U,	// CMOVGE32rm
     2232661U,	// CMOVGE32rr
-    16912725U,	// CMOVGE64rm
+    6426965U,	// CMOVGE64rm
     2232661U,	// CMOVGE64rr
-    12718726U,	// CMOVL16rm
+    16913030U,	// CMOVL16rm
     2232966U,	// CMOVL16rr
-    14815878U,	// CMOVL32rm
+    4330118U,	// CMOVL32rm
     2232966U,	// CMOVL32rr
-    16913030U,	// CMOVL64rm
+    6427270U,	// CMOVL64rm
     2232966U,	// CMOVL64rr
-    12718445U,	// CMOVLE16rm
+    16912749U,	// CMOVLE16rm
     2232685U,	// CMOVLE16rr
-    14815597U,	// CMOVLE32rm
+    4329837U,	// CMOVLE32rm
     2232685U,	// CMOVLE32rr
-    16912749U,	// CMOVLE64rm
+    6426989U,	// CMOVLE64rm
     2232685U,	// CMOVLE64rr
-    12718473U,	// CMOVNE16rm
+    16912777U,	// CMOVNE16rm
     2232713U,	// CMOVNE16rr
-    14815625U,	// CMOVNE32rm
+    4329865U,	// CMOVNE32rm
     2232713U,	// CMOVNE32rr
-    16912777U,	// CMOVNE64rm
+    6427017U,	// CMOVNE64rm
     2232713U,	// CMOVNE64rr
-    12718767U,	// CMOVNO16rm
+    16913071U,	// CMOVNO16rm
     2233007U,	// CMOVNO16rr
-    14815919U,	// CMOVNO32rm
+    4330159U,	// CMOVNO32rm
     2233007U,	// CMOVNO32rr
-    16913071U,	// CMOVNO64rm
+    6427311U,	// CMOVNO64rm
     2233007U,	// CMOVNO64rr
-    12718828U,	// CMOVNP16rm
+    16913132U,	// CMOVNP16rm
     2233068U,	// CMOVNP16rr
-    14815980U,	// CMOVNP32rm
+    4330220U,	// CMOVNP32rm
     2233068U,	// CMOVNP32rr
-    16913132U,	// CMOVNP64rm
+    6427372U,	// CMOVNP64rm
     2233068U,	// CMOVNP64rr
-    12719052U,	// CMOVNS16rm
+    16913356U,	// CMOVNS16rm
     2233292U,	// CMOVNS16rr
-    14816204U,	// CMOVNS32rm
+    4330444U,	// CMOVNS32rm
     2233292U,	// CMOVNS32rr
-    16913356U,	// CMOVNS64rm
+    6427596U,	// CMOVNS64rm
     2233292U,	// CMOVNS64rr
-    12718781U,	// CMOVO16rm
+    16913085U,	// CMOVO16rm
     2233021U,	// CMOVO16rr
-    14815933U,	// CMOVO32rm
+    4330173U,	// CMOVO32rm
     2233021U,	// CMOVO32rr
-    16913085U,	// CMOVO64rm
+    6427325U,	// CMOVO64rm
     2233021U,	// CMOVO64rr
-    12718874U,	// CMOVP16rm
+    16913178U,	// CMOVP16rm
     2233114U,	// CMOVP16rr
-    14816026U,	// CMOVP32rm
+    4330266U,	// CMOVP32rm
     2233114U,	// CMOVP32rr
-    16913178U,	// CMOVP64rm
+    6427418U,	// CMOVP64rm
     2233114U,	// CMOVP64rr
-    12719076U,	// CMOVS16rm
+    16913380U,	// CMOVS16rm
     2233316U,	// CMOVS16rr
-    14816228U,	// CMOVS32rm
+    4330468U,	// CMOVS32rm
     2233316U,	// CMOVS32rr
-    16913380U,	// CMOVS64rm
+    6427620U,	// CMOVS64rm
     2233316U,	// CMOVS64rr
     2105U,	// CMOV_FR32
     2264U,	// CMOV_FR64
@@ -425,54 +425,53 @@
     139989U,	// CMP16mi
     139989U,	// CMP16mi8
     139989U,	// CMP16mr
-    6427349U,	// CMP16ri
-    6427349U,	// CMP16ri8
-    10621653U,	// CMP16rm
-    6427349U,	// CMP16rr
-    6427349U,	// CMP16rr_REV
+    10621653U,	// CMP16ri
+    10621653U,	// CMP16ri8
+    14815957U,	// CMP16rm
+    10621653U,	// CMP16rr
+    10621653U,	// CMP16rr_REV
     5696U,	// CMP32i32
     148181U,	// CMP32mi
     148181U,	// CMP32mi8
     148181U,	// CMP32mr
-    6427349U,	// CMP32ri
-    6427349U,	// CMP32ri8
-    4330197U,	// CMP32rm
-    6427349U,	// CMP32rr
-    6427349U,	// CMP32rr_REV
+    10621653U,	// CMP32ri
+    10621653U,	// CMP32ri8
+    8524501U,	// CMP32rm
+    10621653U,	// CMP32rr
+    10621653U,	// CMP32rr_REV
     5811U,	// CMP64i32
     152277U,	// CMP64mi32
     152277U,	// CMP64mi8
     152277U,	// CMP64mr
-    6427349U,	// CMP64ri32
-    6427349U,	// CMP64ri8
-    8524501U,	// CMP64rm
-    6427349U,	// CMP64rr
-    6427349U,	// CMP64rr_REV
+    10621653U,	// CMP64ri32
+    10621653U,	// CMP64ri8
+    12718805U,	// CMP64rm
+    10621653U,	// CMP64rr
+    10621653U,	// CMP64rr_REV
     5419U,	// CMP8i8
     156373U,	// CMP8mi
     156373U,	// CMP8mr
-    6427349U,	// CMP8ri
+    10621653U,	// CMP8ri
     19010261U,	// CMP8rm
-    6427349U,	// CMP8rr
-    6427349U,	// CMP8rr_REV
+    10621653U,	// CMP8rr
+    10621653U,	// CMP8rr_REV
     32867U,	// CMPSB
     37131U,	// CMPSL
     41775U,	// CMPSQ
     46214U,	// CMPSW
     49206U,	// CMPXCHG16B
     139757U,	// CMPXCHG16rm
-    6427117U,	// CMPXCHG16rr
+    10621421U,	// CMPXCHG16rr
     147949U,	// CMPXCHG32rm
-    6427117U,	// CMPXCHG32rr
+    10621421U,	// CMPXCHG32rr
     152045U,	// CMPXCHG64rm
-    6427117U,	// CMPXCHG64rr
+    10621421U,	// CMPXCHG64rr
     20546U,	// CMPXCHG8B
     156141U,	// CMPXCHG8rm
-    6427117U,	// CMPXCHG8rr
+    10621421U,	// CMPXCHG8rr
     2782U,	// CPUID32
     2782U,	// CPUID64
     3075U,	// CQO
-    3192U,	// CS_PREFIX
     2829U,	// CWD
     2833U,	// CWDE
     2641U,	// DAA
@@ -500,7 +499,6 @@
     5213U,	// DIV64r
     25693U,	// DIV8m
     5213U,	// DIV8r
-    3200U,	// DS_PREFIX
     5923U,	// EH_RETURN
     5923U,	// EH_RETURN64
     2354U,	// EH_SjLj_LongJmp32
@@ -508,22 +506,19 @@
     2373U,	// EH_SjLj_SetJmp32
     2463U,	// EH_SjLj_SetJmp64
     29450U,	// EH_SjLj_Setup
-    6427477U,	// ENTER
-    3215U,	// ES_PREFIX
-    6427217U,	// FARCALL16i
+    10621781U,	// ENTER
+    10621521U,	// FARCALL16i
     53841U,	// FARCALL16m
-    6427217U,	// FARCALL32i
+    10621521U,	// FARCALL32i
     53841U,	// FARCALL32m
     53841U,	// FARCALL64
-    6427354U,	// FARJMP16i
+    10621658U,	// FARJMP16i
     53978U,	// FARJMP16m
-    6427354U,	// FARJMP32i
+    10621658U,	// FARJMP32i
     53978U,	// FARJMP32m
     53978U,	// FARJMP64
     3037U,	// FSETPM
-    3230U,	// FS_PREFIX
     2726U,	// GETSEC
-    3245U,	// GS_PREFIX
     3347U,	// HLT
     9308U,	// IDIV16m
     5212U,	// IDIV16r
@@ -535,28 +530,28 @@
     5212U,	// IDIV8r
     8832U,	// IMUL16m
     4736U,	// IMUL16r
-    12718720U,	// IMUL16rm
-    446829184U,	// IMUL16rmi
-    446829184U,	// IMUL16rmi8
+    16913024U,	// IMUL16rm
+    451023488U,	// IMUL16rmi
+    451023488U,	// IMUL16rmi8
     2232960U,	// IMUL16rr
-    174199424U,	// IMUL16rri
-    174199424U,	// IMUL16rri8
+    178393728U,	// IMUL16rri
+    178393728U,	// IMUL16rri8
     17024U,	// IMUL32m
     4736U,	// IMUL32r
-    14815872U,	// IMUL32rm
-    440537728U,	// IMUL32rmi
-    440537728U,	// IMUL32rmi8
+    4330112U,	// IMUL32rm
+    444732032U,	// IMUL32rmi
+    444732032U,	// IMUL32rmi8
     2232960U,	// IMUL32rr
-    174199424U,	// IMUL32rri
-    174199424U,	// IMUL32rri8
+    178393728U,	// IMUL32rri
+    178393728U,	// IMUL32rri8
     21120U,	// IMUL64m
     4736U,	// IMUL64r
-    16913024U,	// IMUL64rm
-    444732032U,	// IMUL64rmi32
-    444732032U,	// IMUL64rmi8
+    6427264U,	// IMUL64rm
+    448926336U,	// IMUL64rmi32
+    448926336U,	// IMUL64rmi8
     2232960U,	// IMUL64rr
-    174199424U,	// IMUL64rri32
-    174199424U,	// IMUL64rri8
+    178393728U,	// IMUL64rri32
+    178393728U,	// IMUL64rri8
     25216U,	// IMUL8m
     4736U,	// IMUL8r
     5523U,	// IN16ri
@@ -662,12 +657,12 @@
     29628U,	// JS_2
     29628U,	// JS_4
     2909U,	// LAHF
-    10621766U,	// LAR16rm
-    6427462U,	// LAR16rr
-    10621766U,	// LAR32rm
-    6427462U,	// LAR32rr
-    10621766U,	// LAR64rm
-    6427462U,	// LAR64rr
+    14816070U,	// LAR16rm
+    10621766U,	// LAR16rr
+    14816070U,	// LAR32rm
+    10621766U,	// LAR32rr
+    14816070U,	// LAR64rm
+    10621766U,	// LAR64rr
     139757U,	// LCMPXCHG16
     49206U,	// LCMPXCHG16B
     147949U,	// LCMPXCHG32
@@ -676,10 +671,10 @@
     20546U,	// LCMPXCHG8B
     23204776U,	// LDS16rm
     23204776U,	// LDS32rm
-    10620960U,	// LEA16r
-    4329504U,	// LEA32r
-    4329504U,	// LEA64_32r
-    8523808U,	// LEA64r
+    14815264U,	// LEA16r
+    8523808U,	// LEA32r
+    8523808U,	// LEA64_32r
+    12718112U,	// LEA64r
     2896U,	// LEAVE
     2896U,	// LEAVE64
     23204781U,	// LES16rm
@@ -777,12 +772,12 @@
     2930U,	// LRETL
     3116U,	// LRETQ
     2930U,	// LRETW
-    10621557U,	// LSL16rm
-    6427253U,	// LSL16rr
-    4330101U,	// LSL32rm
-    6427253U,	// LSL32rr
-    8524405U,	// LSL64rm
-    6427253U,	// LSL64rr
+    14815861U,	// LSL16rm
+    10621557U,	// LSL16rr
+    8524405U,	// LSL32rm
+    10621557U,	// LSL32rr
+    12718709U,	// LSL64rm
+    10621557U,	// LSL64rr
     23204820U,	// LSS16rm
     23204820U,	// LSS32rm
     23204820U,	// LSS64rm
@@ -792,12 +787,12 @@
     90298U,	// LXADD32
     94394U,	// LXADD64
     98490U,	// LXADD8
-    10621976U,	// LZCNT16rm
-    6427672U,	// LZCNT16rr
-    4330520U,	// LZCNT32rm
-    6427672U,	// LZCNT32rr
-    8524824U,	// LZCNT64rm
-    6427672U,	// LZCNT64rr
+    14816280U,	// LZCNT16rm
+    10621976U,	// LZCNT16rr
+    8524824U,	// LZCNT32rm
+    10621976U,	// LZCNT32rr
+    12719128U,	// LZCNT64rm
+    10621976U,	// LZCNT64rr
     3029U,	// MONTMUL
     0U,	// MORESTACK_RET
     0U,	// MORESTACK_RET_RESTORE_R10
@@ -808,41 +803,41 @@
     140386U,	// MOV16ms
     103875U,	// MOV16o16a
     103875U,	// MOV16o16a_16
-    6427746U,	// MOV16ri
-    6427746U,	// MOV16ri_alt
-    10622050U,	// MOV16rm
-    6427746U,	// MOV16rr
-    6427746U,	// MOV16rr_REV
-    6427746U,	// MOV16rs
-    10622050U,	// MOV16sm
-    6427746U,	// MOV16sr
+    10622050U,	// MOV16ri
+    10622050U,	// MOV16ri_alt
+    14816354U,	// MOV16rm
+    10622050U,	// MOV16rr
+    10622050U,	// MOV16rr_REV
+    10622050U,	// MOV16rs
+    14816354U,	// MOV16sm
+    10622050U,	// MOV16sr
     1287266U,	// MOV32ao32
     1287266U,	// MOV32ao32_16
-    6427746U,	// MOV32cr
-    6427746U,	// MOV32dr
+    10622050U,	// MOV32cr
+    10622050U,	// MOV32dr
     148578U,	// MOV32mi
     148578U,	// MOV32mr
     148578U,	// MOV32ms
     108140U,	// MOV32o32a
     108140U,	// MOV32o32a_16
     0U,	// MOV32r0
-    6427746U,	// MOV32rc
-    6427746U,	// MOV32rd
-    6427746U,	// MOV32ri
+    10622050U,	// MOV32rc
+    10622050U,	// MOV32rd
+    10622050U,	// MOV32ri
     0U,	// MOV32ri64
-    6427746U,	// MOV32ri_alt
-    4330594U,	// MOV32rm
-    6427746U,	// MOV32rr
-    6427746U,	// MOV32rr_REV
-    6427746U,	// MOV32rs
-    4330594U,	// MOV32sm
-    6427746U,	// MOV32sr
+    10622050U,	// MOV32ri_alt
+    8524898U,	// MOV32rm
+    10622050U,	// MOV32rr
+    10622050U,	// MOV32rr_REV
+    10622050U,	// MOV32rs
+    8524898U,	// MOV32sm
+    10622050U,	// MOV32sr
     1151898U,	// MOV64ao16
     1287066U,	// MOV64ao32
     1422234U,	// MOV64ao64
     1557402U,	// MOV64ao8
-    6427746U,	// MOV64cr
-    6427746U,	// MOV64dr
+    10622050U,	// MOV64cr
+    10622050U,	// MOV64dr
     152674U,	// MOV64mi32
     152674U,	// MOV64mr
     152674U,	// MOV64ms
@@ -850,16 +845,16 @@
     108116U,	// MOV64o32a
     112351U,	// MOV64o64a
     116029U,	// MOV64o8a
-    6427746U,	// MOV64rc
-    6427746U,	// MOV64rd
-    6427546U,	// MOV64ri
-    6427746U,	// MOV64ri32
-    8524898U,	// MOV64rm
-    6427746U,	// MOV64rr
-    6427746U,	// MOV64rr_REV
-    6427746U,	// MOV64rs
-    8524898U,	// MOV64sm
-    6427746U,	// MOV64sr
+    10622050U,	// MOV64rc
+    10622050U,	// MOV64rd
+    10621850U,	// MOV64ri
+    10622050U,	// MOV64ri32
+    12719202U,	// MOV64rm
+    10622050U,	// MOV64rr
+    10622050U,	// MOV64rr_REV
+    10622050U,	// MOV64rs
+    12719202U,	// MOV64sm
+    10622050U,	// MOV64sr
     1557602U,	// MOV8ao8
     1557602U,	// MOV8ao8_16
     156770U,	// MOV8mi
@@ -867,48 +862,48 @@
     67265634U,	// MOV8mr_NOREX
     116051U,	// MOV8o8a
     116051U,	// MOV8o8a_16
-    6427746U,	// MOV8ri
-    6427746U,	// MOV8ri_alt
+    10622050U,	// MOV8ri
+    10622050U,	// MOV8ri_alt
     19010658U,	// MOV8rm
     86119522U,	// MOV8rm_NOREX
-    6427746U,	// MOV8rr
-    73536610U,	// MOV8rr_NOREX
-    6427746U,	// MOV8rr_REV
+    10622050U,	// MOV8rr
+    77730914U,	// MOV8rr_NOREX
+    10622050U,	// MOV8rr_REV
     139586U,	// MOVBE16mr
-    10621250U,	// MOVBE16rm
+    14815554U,	// MOVBE16rm
     147778U,	// MOVBE32mr
-    4329794U,	// MOVBE32rm
+    8524098U,	// MOVBE32rm
     151874U,	// MOVBE64mr
-    8524098U,	// MOVBE64rm
+    12718402U,	// MOVBE64rm
     0U,	// MOVPC32r
     25354346U,	// MOVSB
     27455762U,	// MOVSL
     29610806U,	// MOVSQ
     31655053U,	// MOVSW
     19010750U,	// MOVSX16rm8
-    6427838U,	// MOVSX16rr8
-    10622142U,	// MOVSX32rm16
+    10622142U,	// MOVSX16rr8
+    14816446U,	// MOVSX32rm16
     19010750U,	// MOVSX32rm8
-    6427838U,	// MOVSX32rr16
-    6427838U,	// MOVSX32rr8
-    10622142U,	// MOVSX64rm16
-    4329753U,	// MOVSX64rm32
+    10622142U,	// MOVSX32rr16
+    10622142U,	// MOVSX32rr8
+    14816446U,	// MOVSX64rm16
+    8524057U,	// MOVSX64rm32
     19010750U,	// MOVSX64rm8
-    6427838U,	// MOVSX64rr16
-    6426905U,	// MOVSX64rr32
-    6427838U,	// MOVSX64rr8
+    10622142U,	// MOVSX64rr16
+    10621209U,	// MOVSX64rr32
+    10622142U,	// MOVSX64rr8
     19010757U,	// MOVZX16rm8
-    6427845U,	// MOVZX16rr8
+    10622149U,	// MOVZX16rr8
     19010757U,	// MOVZX32_NOREXrm8
-    6427845U,	// MOVZX32_NOREXrr8
-    10622149U,	// MOVZX32rm16
+    10622149U,	// MOVZX32_NOREXrr8
+    14816453U,	// MOVZX32rm16
     19010757U,	// MOVZX32rm8
-    6427845U,	// MOVZX32rr16
-    6427845U,	// MOVZX32rr8
-    10622149U,	// MOVZX64rm16_Q
+    10622149U,	// MOVZX32rr16
+    10622149U,	// MOVZX32rr8
+    14816453U,	// MOVZX64rm16_Q
     19010757U,	// MOVZX64rm8_Q
-    6427845U,	// MOVZX64rr16_Q
-    6427845U,	// MOVZX64rr8_Q
+    10622149U,	// MOVZX64rr16_Q
+    10622149U,	// MOVZX64rr8_Q
     8833U,	// MUL16m
     4737U,	// MUL16r
     17025U,	// MUL32m
@@ -917,10 +912,10 @@
     4737U,	// MUL64r
     25217U,	// MUL8m
     4737U,	// MUL8r
-    39982240U,	// MULX32rm
-    174199968U,	// MULX32rr
-    308417696U,	// MULX64rm
-    174199968U,	// MULX64rr
+    44176544U,	// MULX32rm
+    178394272U,	// MULX32rr
+    312612000U,	// MULX64rm
+    178394272U,	// MULX64rr
     8680U,	// NEG16m
     4584U,	// NEG16r
     16872U,	// NEG32m
@@ -1013,14 +1008,14 @@
     71415U,	// OUTSB
     75522U,	// OUTSL
     83725U,	// OUTSW
-    39981771U,	// PDEP32rm
-    174199499U,	// PDEP32rr
-    308417227U,	// PDEP64rm
-    174199499U,	// PDEP64rr
-    39982166U,	// PEXT32rm
-    174199894U,	// PEXT32rr
-    308417622U,	// PEXT64rm
-    174199894U,	// PEXT64rr
+    44176075U,	// PDEP32rm
+    178393803U,	// PDEP32rr
+    312611531U,	// PDEP64rm
+    178393803U,	// PDEP64rr
+    44176470U,	// PEXT32rm
+    178394198U,	// PEXT32rr
+    312611926U,	// PEXT64rm
+    178394198U,	// PEXT64rr
     4863U,	// POP16r
     8959U,	// POP16rmm
     4863U,	// POP16rmr
@@ -1219,10 +1214,10 @@
     1708897U,	// ROR8r1
     1577825U,	// ROR8rCL
     2233185U,	// ROR8ri
-    440538296U,	// RORX32mi
-    174199992U,	// RORX32ri
-    444732600U,	// RORX64mi
-    174199992U,	// RORX64ri
+    444732600U,	// RORX32mi
+    178394296U,	// RORX32ri
+    448926904U,	// RORX64mi
+    178394296U,	// RORX64ri
     3044U,	// RSM
     2914U,	// SAHF
     1712702U,	// SAL16m1
@@ -1274,10 +1269,10 @@
     1708875U,	// SAR8r1
     1577803U,	// SAR8rCL
     2233163U,	// SAR8ri
-    440538284U,	// SARX32rm
-    174199980U,	// SARX32rr
-    444732588U,	// SARX64rm
-    174199980U,	// SARX64rr
+    444732588U,	// SARX32rm
+    178394284U,	// SARX32rr
+    448926892U,	// SARX64rm
+    178394284U,	// SARX64rr
     5468U,	// SBB16i16
     139341U,	// SBB16mi
     139341U,	// SBB16mi8
@@ -1322,9 +1317,9 @@
     2865U,	// SEH_Epilogue
     6001U,	// SEH_PushFrame
     6046U,	// SEH_PushReg
-    6428560U,	// SEH_SaveReg
-    6428474U,	// SEH_SaveXMM
-    6428545U,	// SEH_SetFrame
+    10622864U,	// SEH_SaveReg
+    10622778U,	// SEH_SaveXMM
+    10622849U,	// SEH_SetFrame
     5984U,	// SEH_StackAlloc
     24870U,	// SETAEm
     4390U,	// SETAEr
@@ -1401,10 +1396,10 @@
     436359386U,	// SHLD64mri8
     102895834U,	// SHLD64rrCL
     572657882U,	// SHLD64rri8
-    440538266U,	// SHLX32rm
-    174199962U,	// SHLX32rr
-    444732570U,	// SHLX64rm
-    174199962U,	// SHLX64rr
+    444732570U,	// SHLX32rm
+    178394266U,	// SHLX32rr
+    448926874U,	// SHLX64rm
+    178394266U,	// SHLX64rr
     1712988U,	// SHR16m1
     1581916U,	// SHR16mCL
     140124U,	// SHR16mi
@@ -1441,10 +1436,10 @@
     436359416U,	// SHRD64mri8
     102895864U,	// SHRD64rrCL
     572657912U,	// SHRD64rri8
-    440538290U,	// SHRX32rm
-    174199986U,	// SHRX32rr
-    444732594U,	// SHRX64rm
-    174199986U,	// SHRX64rr
+    444732594U,	// SHRX32rm
+    178394290U,	// SHRX32rr
+    448926898U,	// SHRX64rm
+    178394290U,	// SHRX64rr
     54273U,	// SIDT16m
     54273U,	// SIDT32m
     54273U,	// SIDT64m
@@ -1458,7 +1453,6 @@
     5235U,	// SMSW16r
     5235U,	// SMSW32r
     5235U,	// SMSW64r
-    3312U,	// SS_PREFIX
     2711U,	// STAC
     2765U,	// STC
     2818U,	// STD
@@ -1514,10 +1508,10 @@
     3339U,	// SYSEXIT64
     3332U,	// SYSRET
     3332U,	// SYSRET64
-    4329627U,	// T1MSKC32rm
-    6426779U,	// T1MSKC32rr
-    8523931U,	// T1MSKC64rm
-    6426779U,	// T1MSKC64rr
+    8523931U,	// T1MSKC32rm
+    10621083U,	// T1MSKC32rr
+    12718235U,	// T1MSKC64rm
+    10621083U,	// T1MSKC64rr
     1864411U,	// TAILJMPd
     1864411U,	// TAILJMPd64
     1852123U,	// TAILJMPm
@@ -1533,32 +1527,32 @@
     5561U,	// TEST16i16
     140354U,	// TEST16mi
     140354U,	// TEST16mi_alt
-    6427714U,	// TEST16ri
-    6427714U,	// TEST16ri_alt
+    10622018U,	// TEST16ri
+    10622018U,	// TEST16ri_alt
     140354U,	// TEST16rm
-    6427714U,	// TEST16rr
+    10622018U,	// TEST16rr
     5729U,	// TEST32i32
     148546U,	// TEST32mi
     148546U,	// TEST32mi_alt
-    6427714U,	// TEST32ri
-    6427714U,	// TEST32ri_alt
+    10622018U,	// TEST32ri
+    10622018U,	// TEST32ri_alt
     148546U,	// TEST32rm
-    6427714U,	// TEST32rr
+    10622018U,	// TEST32rr
     5868U,	// TEST64i32
     152642U,	// TEST64mi32
     152642U,	// TEST64mi32_alt
-    6427714U,	// TEST64ri32
-    6427714U,	// TEST64ri32_alt
+    10622018U,	// TEST64ri32
+    10622018U,	// TEST64ri32_alt
     152642U,	// TEST64rm
-    6427714U,	// TEST64rr
+    10622018U,	// TEST64rr
     5449U,	// TEST8i8
     156738U,	// TEST8mi
     156738U,	// TEST8mi_alt
-    6427714U,	// TEST8ri
+    10622018U,	// TEST8ri
     0U,	// TEST8ri_NOREX
-    6427714U,	// TEST8ri_alt
+    10622018U,	// TEST8ri_alt
     156738U,	// TEST8rm
-    6427714U,	// TEST8rr
+    10622018U,	// TEST8rr
     2391U,	// TLSCall_32
     2481U,	// TLSCall_64
     2404U,	// TLS_addr32
@@ -1566,19 +1560,19 @@
     2417U,	// TLS_base_addr32
     2507U,	// TLS_base_addr64
     2435U,	// TRAP
-    10621983U,	// TZCNT16rm
-    6427679U,	// TZCNT16rr
-    4330527U,	// TZCNT32rm
-    6427679U,	// TZCNT32rr
-    8524831U,	// TZCNT64rm
-    6427679U,	// TZCNT64rr
-    4330039U,	// TZMSK32rm
-    6427191U,	// TZMSK32rr
-    8524343U,	// TZMSK64rm
-    6427191U,	// TZMSK64rr
+    14816287U,	// TZCNT16rm
+    10621983U,	// TZCNT16rr
+    8524831U,	// TZCNT32rm
+    10621983U,	// TZCNT32rr
+    12719135U,	// TZCNT64rm
+    10621983U,	// TZCNT64rr
+    8524343U,	// TZMSK32rm
+    10621495U,	// TZMSK32rr
+    12718647U,	// TZMSK64rm
+    10621495U,	// TZMSK64rr
     2645U,	// UD2B
     1528960792U,	// VAARG_64
-    174200648U,	// VASTART_SAVE_XMM_REGS
+    178394952U,	// VASTART_SAVE_XMM_REGS
     9075U,	// VERRm
     4979U,	// VERRr
     9319U,	// VERWm
@@ -1593,18 +1587,18 @@
     20704U,	// VMPTRLDm
     21576U,	// VMPTRSTm
     147634U,	// VMREAD32rm
-    6426802U,	// VMREAD32rr
+    10621106U,	// VMREAD32rr
     151730U,	// VMREAD64rm
-    6426802U,	// VMREAD64rr
+    10621106U,	// VMREAD64rr
     2838U,	// VMRESUME
     3435U,	// VMRUN32
     3490U,	// VMRUN64
     3424U,	// VMSAVE32
     3479U,	// VMSAVE64
-    4329926U,	// VMWRITE32rm
-    6427078U,	// VMWRITE32rr
-    8524230U,	// VMWRITE64rm
-    6427078U,	// VMWRITE64rr
+    8524230U,	// VMWRITE32rm
+    10621382U,	// VMWRITE32rr
+    12718534U,	// VMWRITE64rm
+    10621382U,	// VMWRITE64rr
     2902U,	// VMXOFF
     21144U,	// VMXON
     29266U,	// W64ALLOCA
@@ -1618,13 +1612,13 @@
     4534U,	// WRGSBASE64
     3163U,	// WRMSR
     139450U,	// XADD16rm
-    6426810U,	// XADD16rr
+    10621114U,	// XADD16rr
     147642U,	// XADD32rm
-    6426810U,	// XADD32rr
+    10621114U,	// XADD32rr
     151738U,	// XADD64rm
-    6426810U,	// XADD64rr
+    10621114U,	// XADD64rr
     155834U,	// XADD8rm
-    6426810U,	// XADD8rr
+    10621114U,	// XADD8rr
     5513U,	// XCHG16ar
     86512U,	// XCHG16rm
     123376U,	// XCHG16rr
@@ -2382,40 +2376,38 @@
     printOperand(MI, 5, O); 
     break;
   case 1:
-    // ADC16rr_REV, ADC32rr_REV, ADC64rr_REV, ADC8rr_REV, ADD16rr_REV, ADD32r...
+    // ADC16rr_REV, ADC32rr_REV, ADC64rr_REV, ADC8rr_REV, ADCX32rr, ADCX64rr,...
     printOperand(MI, 2, O); 
     break;
   case 2:
-    // ADCX32rm, ADOX32rm, BEXTR32rm, BEXTRI32mi, BLCFILL32rm, BLCI32rm, BLCI...
-    printi32mem(MI, 1, O); 
+    // ADCX32rm, CMOVA32rm, CMOVAE32rm, CMOVB32rm, CMOVBE32rm, CMOVE32rm, CMO...
+    printi32mem(MI, 2, O); 
     break;
   case 3:
-    // ADCX32rr, ADCX64rr, ADOX32rr, ADOX64rr, ANDN32rm, ANDN32rr, ANDN64rm, ...
-    printOperand(MI, 1, O); 
+    // ADCX64rm, CMOVA64rm, CMOVAE64rm, CMOVB64rm, CMOVBE64rm, CMOVE64rm, CMO...
+    printi64mem(MI, 2, O); 
     break;
   case 4:
-    // ADCX64rm, ADOX64rm, BEXTR64rm, BEXTRI64mi, BLCFILL64rm, BLCI64rm, BLCI...
-    printi64mem(MI, 1, O); 
+    // ADOX32rm, BEXTR32rm, BEXTRI32mi, BLCFILL32rm, BLCI32rm, BLCIC32rm, BLC...
+    printi32mem(MI, 1, O); 
     break;
   case 5:
+    // ADOX32rr, ADOX64rr, ANDN32rm, ANDN32rr, ANDN64rm, ANDN64rr, ARPL16rr, ...
+    printOperand(MI, 1, O); 
+    break;
+  case 6:
+    // ADOX64rm, BEXTR64rm, BEXTRI64mi, BLCFILL64rm, BLCI64rm, BLCIC64rm, BLC...
+    printi64mem(MI, 1, O); 
+    break;
+  case 7:
     // BOUNDS16rm, BSF16rm, BSR16rm, CMP16rm, IMUL16rmi, IMUL16rmi8, LAR16rm,...
     printi16mem(MI, 1, O); 
     break;
-  case 6:
+  case 8:
     // CMOVA16rm, CMOVAE16rm, CMOVB16rm, CMOVBE16rm, CMOVE16rm, CMOVG16rm, CM...
     printi16mem(MI, 2, O); 
     return;
     break;
-  case 7:
-    // CMOVA32rm, CMOVAE32rm, CMOVB32rm, CMOVBE32rm, CMOVE32rm, CMOVG32rm, CM...
-    printi32mem(MI, 2, O); 
-    return;
-    break;
-  case 8:
-    // CMOVA64rm, CMOVAE64rm, CMOVB64rm, CMOVBE64rm, CMOVE64rm, CMOVG64rm, CM...
-    printi64mem(MI, 2, O); 
-    return;
-    break;
   case 9:
     // CMP8rm, MOV8rm, MOV8rm_NOREX, MOVSX16rm8, MOVSX32rm8, MOVSX64rm8, MOVZ...
     printi8mem(MI, 1, O); 
diff --git a/arch/X86/X86GenAsmWriter_reduce.inc b/arch/X86/X86GenAsmWriter_reduce.inc
index f0d23e0..40cbec6 100644
--- a/arch/X86/X86GenAsmWriter_reduce.inc
+++ b/arch/X86/X86GenAsmWriter_reduce.inc
@@ -76,10 +76,10 @@
     57390U,	// ADC8rm
     1597486U,	// ADC8rr
     2121774U,	// ADC8rr_REV
-    66713U,	// ADCX32rm
-    2172057U,	// ADCX32rr
-    83933U,	// ADCX64rm
-    2172893U,	// ADCX64rr
+    2139289U,	// ADCX32rm
+    2122905U,	// ADCX32rr
+    2148317U,	// ADCX64rm
+    2123741U,	// ADCX64rr
     534714U,	// ADD16i16
     1067194U,	// ADD16mi
     1067194U,	// ADD16mi8
@@ -472,7 +472,6 @@
     4402U,	// CPUID32
     4402U,	// CPUID64
     4723U,	// CQO
-    4856U,	// CS_PREFIX
     4421U,	// CWD
     4667U,	// CWDE
     4274U,	// DAA
@@ -500,7 +499,6 @@
     10170U,	// DIV64r
     188649U,	// DIV8m
     8425U,	// DIV8r
-    4876U,	// DS_PREFIX
     11601U,	// EH_RETURN
     11601U,	// EH_RETURN64
     3987U,	// EH_SjLj_LongJmp32
@@ -509,7 +507,6 @@
     4096U,	// EH_SjLj_SetJmp64
     132365U,	// EH_SjLj_Setup
     107489303U,	// ENTER
-    4914U,	// ES_PREFIX
     2173260U,	// FARCALL16i
     200567U,	// FARCALL16m
     2171646U,	// FARCALL32i
@@ -521,9 +518,7 @@
     200542U,	// FARJMP32m
     200559U,	// FARJMP64
     4680U,	// FSETPM
-    4952U,	// FS_PREFIX
     4359U,	// GETSEC
-    5009U,	// GS_PREFIX
     5154U,	// HLT
     109214U,	// IDIV16m
     10910U,	// IDIV16r
@@ -1458,7 +1453,6 @@
     10930U,	// SMSW16r
     9346U,	// SMSW32r
     10182U,	// SMSW64r
-    5118U,	// SS_PREFIX
     4344U,	// STAC
     4398U,	// STC
     4417U,	// STD
@@ -2373,12 +2367,12 @@
     SStream_concat0(O, ", "); 
     break;
   case 5:
-    // ADC32rm, ADD32rm, AND32rm, ANDN32rm, CMOVA32rm, CMOVAE32rm, CMOVB32rm,...
+    // ADC32rm, ADCX32rm, ADD32rm, AND32rm, ANDN32rm, CMOVA32rm, CMOVAE32rm, ...
     printi32mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
     break;
   case 6:
-    // ADC64rm, ADD64rm, AND64rm, ANDN64rm, CMOVA64rm, CMOVAE64rm, CMOVB64rm,...
+    // ADC64rm, ADCX64rm, ADD64rm, AND64rm, ANDN64rm, CMOVA64rm, CMOVAE64rm, ...
     printi64mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
     break;
@@ -2390,19 +2384,19 @@
     return;
     break;
   case 8:
-    // ADCX32rm, ADOX32rm, BLCFILL32rm, BLCI32rm, BLCIC32rm, BLCMSK32rm, BLCS...
+    // ADOX32rm, BLCFILL32rm, BLCI32rm, BLCIC32rm, BLCMSK32rm, BLCS32rm, BLSF...
     printi32mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
   case 9:
-    // ADCX32rr, ADCX64rr, ADOX32rr, ADOX64rr, ARPL16rr, BLCFILL32rr, BLCFILL...
+    // ADOX32rr, ADOX64rr, ARPL16rr, BLCFILL32rr, BLCFILL64rr, BLCI32rr, BLCI...
     printOperand(MI, 1, O); 
     SStream_concat0(O, ", "); 
     break;
   case 10:
-    // ADCX64rm, ADOX64rm, BLCFILL64rm, BLCI64rm, BLCIC64rm, BLCMSK64rm, BLCS...
+    // ADOX64rm, BLCFILL64rm, BLCI64rm, BLCIC64rm, BLCMSK64rm, BLCS64rm, BLSF...
     printi64mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
@@ -2587,7 +2581,7 @@
     printOperand(MI, 1, O); 
     break;
   case 4:
-    // ADC16rr_REV, ADC32rr_REV, ADC64rr_REV, ADC8rr_REV, ADCX32rr, ADCX64rr,...
+    // ADC16rr_REV, ADC32rr_REV, ADC64rr_REV, ADC8rr_REV, ADCX32rm, ADCX32rr,...
     printOperand(MI, 0, O); 
     break;
   case 5:
diff --git a/arch/X86/X86GenDisassemblerTables.inc b/arch/X86/X86GenDisassemblerTables.inc
index 2dd149e..8ab0642 100644
--- a/arch/X86/X86GenDisassemblerTables.inc
+++ b/arch/X86/X86GenDisassemblerTables.inc
@@ -1521,38 +1521,22 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 28 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 29 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 30 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 31 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 32 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M128 },
@@ -1560,7 +1544,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 33 */
+  { /* 31 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
@@ -1568,7 +1552,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 34 */
+  { /* 32 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_RM, TYPE_M64FP },
@@ -1576,7 +1560,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 35 */
+  { /* 33 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_RM, TYPE_XMM64 },
@@ -1584,7 +1568,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 36 */
+  { /* 34 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_RM, TYPE_M32FP },
@@ -1592,7 +1576,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 37 */
+  { /* 35 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_RM, TYPE_XMM32 },
@@ -1600,7 +1584,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 38 */
+  { /* 36 */
     { ENCODING_RM, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1608,7 +1592,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 39 */
+  { /* 37 */
     { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1616,7 +1600,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 40 */
+  { /* 38 */
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1624,7 +1608,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 41 */
+  { /* 39 */
     { ENCODING_FP, TYPE_ST },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1632,17 +1616,33 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
+  { /* 40 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 41 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
   { /* 42 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 43 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1651,7 +1651,7 @@
   { /* 44 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1659,12 +1659,28 @@
   { /* 45 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 46 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 47 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 48 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
@@ -1672,7 +1688,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 47 */
+  { /* 49 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_RM, TYPE_R32 },
@@ -1680,7 +1696,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 48 */
+  { /* 50 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_RM, TYPE_Mv },
@@ -1688,7 +1704,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 49 */
+  { /* 51 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_RM, TYPE_R64 },
@@ -1696,7 +1712,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 50 */
+  { /* 52 */
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_R16 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1704,7 +1720,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 51 */
+  { /* 53 */
     { ENCODING_RM, TYPE_R16 },
     { ENCODING_REG, TYPE_R16 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1712,39 +1728,39 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 52 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_VVVV, TYPE_R32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 53 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_VVVV, TYPE_R32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 54 */
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
-    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 55 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 56 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 57 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 58 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_Iv, TYPE_IMMv },
@@ -1752,7 +1768,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 57 */
+  { /* 59 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_Iv, TYPE_IMMv },
@@ -1760,7 +1776,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 58 */
+  { /* 60 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_ID, TYPE_IMM64 },
@@ -1768,7 +1784,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 59 */
+  { /* 61 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_ID, TYPE_IMM64 },
@@ -1776,24 +1792,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 60 */
-    { ENCODING_VVVV, TYPE_R32 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 61 */
-    { ENCODING_VVVV, TYPE_R32 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 62 */
-    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1801,6 +1801,22 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 63 */
+    { ENCODING_VVVV, TYPE_R32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 64 */
+    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 65 */
     { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1808,7 +1824,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 64 */
+  { /* 66 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M128 },
@@ -1816,7 +1832,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 65 */
+  { /* 67 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
@@ -1824,7 +1840,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 66 */
+  { /* 68 */
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
@@ -1832,7 +1848,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 67 */
+  { /* 69 */
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
@@ -1840,7 +1856,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 68 */
+  { /* 70 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_Rv, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
@@ -1848,7 +1864,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 69 */
+  { /* 71 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RO, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1856,7 +1872,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 70 */
+  { /* 72 */
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_IB, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
@@ -1864,7 +1880,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 71 */
+  { /* 73 */
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
@@ -1872,7 +1888,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 72 */
+  { /* 74 */
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1880,7 +1896,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 73 */
+  { /* 75 */
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1888,7 +1904,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 74 */
+  { /* 76 */
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1896,24 +1912,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 75 */
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 76 */
-    { ENCODING_ID, TYPE_REL64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 77 */
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1921,7 +1921,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 78 */
-    { ENCODING_IW, TYPE_REL16 },
+    { ENCODING_ID, TYPE_REL64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1929,7 +1929,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 79 */
-    { ENCODING_ID, TYPE_REL32 },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1937,7 +1937,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 80 */
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_IW, TYPE_REL16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1945,6 +1945,22 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 81 */
+    { ENCODING_ID, TYPE_REL32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 82 */
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 83 */
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
@@ -1952,7 +1968,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 82 */
+  { /* 84 */
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_ID, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1960,7 +1976,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 83 */
+  { /* 85 */
     { ENCODING_RM, TYPE_R8 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1968,7 +1984,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 84 */
+  { /* 86 */
     { ENCODING_REG, TYPE_R8 },
     { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1976,23 +1992,23 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 85 */
-    { ENCODING_RM, TYPE_R8 },
-    { ENCODING_REG, TYPE_R8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 86 */
-    { ENCODING_REG, TYPE_R8 },
-    { ENCODING_RM, TYPE_R8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 87 */
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_REG, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 88 */
+    { ENCODING_REG, TYPE_R8 },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 89 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M128 },
@@ -2000,7 +2016,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 88 */
+  { /* 90 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
@@ -2008,7 +2024,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 89 */
+  { /* 91 */
     { ENCODING_DI, TYPE_DSTIDX8 },
     { ENCODING_SI, TYPE_SRCIDX8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2016,7 +2032,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 90 */
+  { /* 92 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_RM, TYPE_M64FP },
@@ -2024,7 +2040,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 91 */
+  { /* 93 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_RM, TYPE_XMM64 },
@@ -2032,7 +2048,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 92 */
+  { /* 94 */
     { ENCODING_DI, TYPE_DSTIDX32 },
     { ENCODING_SI, TYPE_SRCIDX32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2040,7 +2056,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 93 */
+  { /* 95 */
     { ENCODING_DI, TYPE_DSTIDX64 },
     { ENCODING_SI, TYPE_SRCIDX64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2048,7 +2064,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 94 */
+  { /* 96 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_RM, TYPE_M32FP },
@@ -2056,7 +2072,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 95 */
+  { /* 97 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_RM, TYPE_XMM32 },
@@ -2064,7 +2080,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 96 */
+  { /* 98 */
     { ENCODING_DI, TYPE_DSTIDX16 },
     { ENCODING_SI, TYPE_SRCIDX16 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2072,7 +2088,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 97 */
+  { /* 99 */
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2080,26 +2096,10 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 98 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 99 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_M8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 100 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2107,15 +2107,15 @@
   { /* 101 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 102 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2123,12 +2123,20 @@
   { /* 103 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 104 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 105 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
@@ -2136,16 +2144,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 105 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 106 */
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2154,15 +2154,15 @@
   },
   { /* 107 */
     { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 108 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2170,15 +2170,15 @@
   },
   { /* 109 */
     { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 110 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2186,15 +2186,15 @@
   },
   { /* 111 */
     { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 112 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2202,7 +2202,7 @@
   },
   { /* 113 */
     { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2210,15 +2210,15 @@
   },
   { /* 114 */
     { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 115 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2226,7 +2226,7 @@
   },
   { /* 116 */
     { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2234,15 +2234,15 @@
   },
   { /* 117 */
     { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 118 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2250,22 +2250,22 @@
   },
   { /* 119 */
     { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 120 */
-    { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
+  { /* 120 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
   { /* 121 */
-    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2273,15 +2273,15 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 122 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 123 */
-    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2289,15 +2289,15 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 124 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 125 */
-    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2305,8 +2305,8 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 126 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2314,7 +2314,7 @@
   },
   { /* 127 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2322,13 +2322,21 @@
   },
   { /* 128 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 129 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 130 */
     { ENCODING_RM, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2336,7 +2344,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 130 */
+  { /* 131 */
     { ENCODING_IW, TYPE_IMM16 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2344,16 +2352,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 131 */
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 132 */
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM, TYPE_M32FP },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2361,6 +2361,14 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 133 */
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 134 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM8 },
@@ -2368,7 +2376,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 134 */
+  { /* 135 */
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
@@ -2376,7 +2384,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 135 */
+  { /* 136 */
     { ENCODING_RM, TYPE_M1616 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2384,7 +2392,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 136 */
+  { /* 137 */
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_IW, TYPE_IMM16 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2392,16 +2400,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 137 */
-    { ENCODING_RM, TYPE_M1632 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 138 */
-    { ENCODING_RM, TYPE_M1664 },
+    { ENCODING_RM, TYPE_M1632 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2409,7 +2409,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 139 */
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_RM, TYPE_M1664 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2417,9 +2417,9 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 140 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2427,15 +2427,15 @@
   { /* 141 */
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 142 */
     { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2443,20 +2443,28 @@
   { /* 143 */
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 144 */
     { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 145 */
+    { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 145 */
+  { /* 146 */
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_IB, TYPE_IMM32 },
@@ -2464,7 +2472,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 146 */
+  { /* 147 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM64 },
@@ -2472,7 +2480,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 147 */
+  { /* 148 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_IB, TYPE_IMM64 },
@@ -2480,7 +2488,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 148 */
+  { /* 149 */
     { ENCODING_DI, TYPE_DSTIDX8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2488,7 +2496,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 149 */
+  { /* 150 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M32FP },
@@ -2496,7 +2504,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 150 */
+  { /* 151 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
@@ -2504,7 +2512,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 151 */
+  { /* 152 */
     { ENCODING_DI, TYPE_DSTIDX32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2512,7 +2520,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 152 */
+  { /* 153 */
     { ENCODING_DI, TYPE_DSTIDX16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2520,16 +2528,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 153 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 154 */
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2537,6 +2537,14 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 155 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 156 */
     { ENCODING_IB, TYPE_REL8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2544,7 +2552,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 156 */
+  { /* 157 */
     { ENCODING_Iv, TYPE_RELv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2552,7 +2560,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 157 */
+  { /* 158 */
     { ENCODING_REG, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_VK8 },
     { ENCODING_RM, TYPE_VK8 },
@@ -2560,7 +2568,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 158 */
+  { /* 159 */
     { ENCODING_REG, TYPE_VK32 },
     { ENCODING_VVVV, TYPE_VK32 },
     { ENCODING_RM, TYPE_VK32 },
@@ -2568,7 +2576,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 159 */
+  { /* 160 */
     { ENCODING_REG, TYPE_VK64 },
     { ENCODING_VVVV, TYPE_VK64 },
     { ENCODING_RM, TYPE_VK64 },
@@ -2576,7 +2584,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 160 */
+  { /* 161 */
     { ENCODING_REG, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_VK16 },
     { ENCODING_RM, TYPE_VK16 },
@@ -2584,17 +2592,9 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 161 */
-    { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_RM, TYPE_VK8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 162 */
     { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_RM, TYPE_VK8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2602,13 +2602,21 @@
   },
   { /* 163 */
     { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 164 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 165 */
     { ENCODING_RM, TYPE_M8 },
     { ENCODING_REG, TYPE_VK8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2616,7 +2624,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 165 */
+  { /* 166 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_VK8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2624,17 +2632,9 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 166 */
-    { ENCODING_REG, TYPE_VK32 },
-    { ENCODING_RM, TYPE_VK32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 167 */
     { ENCODING_REG, TYPE_VK32 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_RM, TYPE_VK32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2642,13 +2642,21 @@
   },
   { /* 168 */
     { ENCODING_REG, TYPE_VK32 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 169 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 170 */
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_VK32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2656,7 +2664,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 170 */
+  { /* 171 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_VK32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2664,17 +2672,9 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 171 */
-    { ENCODING_REG, TYPE_VK64 },
-    { ENCODING_RM, TYPE_VK64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 172 */
     { ENCODING_REG, TYPE_VK64 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_RM, TYPE_VK64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2682,13 +2682,21 @@
   },
   { /* 173 */
     { ENCODING_REG, TYPE_VK64 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 174 */
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 175 */
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_VK64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2696,7 +2704,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 175 */
+  { /* 176 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_VK64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2704,17 +2712,9 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 176 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_RM, TYPE_VK16 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 177 */
     { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_RM, TYPE_VK16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2722,13 +2722,21 @@
   },
   { /* 178 */
     { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 179 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 180 */
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_VK16 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2736,7 +2744,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 180 */
+  { /* 181 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_VK16 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2744,7 +2752,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 181 */
+  { /* 182 */
     { ENCODING_REG, TYPE_VK16 },
     { ENCODING_RM, TYPE_VK16 },
     { ENCODING_IB, TYPE_IMM8 },
@@ -2752,7 +2760,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 182 */
+  { /* 183 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2760,7 +2768,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 183 */
+  { /* 184 */
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_M1616 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2768,7 +2776,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 184 */
+  { /* 185 */
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_M1632 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2776,7 +2784,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 185 */
+  { /* 186 */
     { ENCODING_RM, TYPE_M80FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2784,16 +2792,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 186 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_LEA },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 187 */
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_LEA },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2802,22 +2802,22 @@
   },
   { /* 188 */
     { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_M1664 },
+    { ENCODING_RM, TYPE_LEA },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 189 */
-    { ENCODING_RM, TYPE_R16 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_M1664 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 190 */
-    { ENCODING_SI, TYPE_SRCIDX8 },
+    { ENCODING_RM, TYPE_R16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2825,7 +2825,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 191 */
-    { ENCODING_SI, TYPE_SRCIDX32 },
+    { ENCODING_SI, TYPE_SRCIDX8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2833,7 +2833,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 192 */
-    { ENCODING_SI, TYPE_SRCIDX64 },
+    { ENCODING_SI, TYPE_SRCIDX32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2841,7 +2841,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 193 */
-    { ENCODING_SI, TYPE_SRCIDX16 },
+    { ENCODING_SI, TYPE_SRCIDX64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2849,7 +2849,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 194 */
-    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_SI, TYPE_SRCIDX16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2857,8 +2857,8 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 195 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2866,24 +2866,24 @@
   },
   { /* 196 */
     { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 197 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 198 */
-    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2891,12 +2891,20 @@
   { /* 199 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 200 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 201 */
     { ENCODING_REG, TYPE_MM64 },
     { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
@@ -2904,7 +2912,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 201 */
+  { /* 202 */
     { ENCODING_REG, TYPE_MM64 },
     { ENCODING_RM, TYPE_MM64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2912,16 +2920,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 202 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 203 */
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_REG, TYPE_MM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2929,7 +2929,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 204 */
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_RM, TYPE_R32 },
     { ENCODING_REG, TYPE_MM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2937,8 +2937,8 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 205 */
-    { ENCODING_REG, TYPE_MM64 },
     { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_MM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2946,7 +2946,7 @@
   },
   { /* 206 */
     { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2954,24 +2954,24 @@
   },
   { /* 207 */
     { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 208 */
-    { ENCODING_RM, TYPE_MM64 },
     { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 209 */
-    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_MM64 },
     { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2979,7 +2979,7 @@
   { /* 210 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2987,12 +2987,20 @@
   { /* 211 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 212 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_MM64 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 212 */
+  { /* 213 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_MM64 },
     { ENCODING_RM, TYPE_MM64 },
@@ -3000,7 +3008,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 213 */
+  { /* 214 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_MM64 },
     { ENCODING_IB, TYPE_IMM32 },
@@ -3008,7 +3016,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 214 */
+  { /* 215 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_MM64 },
     { ENCODING_RM, TYPE_Mv },
@@ -3016,7 +3024,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 215 */
+  { /* 216 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_MM64 },
     { ENCODING_RM, TYPE_R32 },
@@ -3024,7 +3032,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 216 */
+  { /* 217 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_MM64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -3032,7 +3040,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 217 */
+  { /* 218 */
     { ENCODING_REG, TYPE_MM64 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM8 },
@@ -3040,7 +3048,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 218 */
+  { /* 219 */
     { ENCODING_REG, TYPE_MM64 },
     { ENCODING_RM, TYPE_MM64 },
     { ENCODING_IB, TYPE_IMM8 },
@@ -3048,7 +3056,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 219 */
+  { /* 220 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_MM64 },
     { ENCODING_IB, TYPE_IMM32 },
@@ -3056,7 +3064,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 220 */
+  { /* 221 */
     { ENCODING_Ia, TYPE_MOFFS16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3064,7 +3072,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 221 */
+  { /* 222 */
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_SEGMENTREG },
     { ENCODING_NONE, TYPE_NONE },
@@ -3072,7 +3080,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 222 */
+  { /* 223 */
     { ENCODING_Rv, TYPE_Rv },
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
@@ -3080,7 +3088,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 223 */
+  { /* 224 */
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_REG, TYPE_SEGMENTREG },
     { ENCODING_NONE, TYPE_NONE },
@@ -3088,23 +3096,23 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 224 */
-    { ENCODING_REG, TYPE_SEGMENTREG },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 225 */
     { ENCODING_REG, TYPE_SEGMENTREG },
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 226 */
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 227 */
     { ENCODING_Ia, TYPE_MOFFS32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3112,16 +3120,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 227 */
-    { ENCODING_REG, TYPE_CONTROLREG },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 228 */
-    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_REG, TYPE_CONTROLREG },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3129,8 +3129,8 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 229 */
+    { ENCODING_REG, TYPE_DEBUGREG },
     { ENCODING_RM, TYPE_R32 },
-    { ENCODING_REG, TYPE_CONTROLREG },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3138,13 +3138,21 @@
   },
   { /* 230 */
     { ENCODING_RM, TYPE_R32 },
-    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_REG, TYPE_CONTROLREG },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 231 */
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 232 */
     { ENCODING_Ia, TYPE_MOFFS64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3152,7 +3160,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 232 */
+  { /* 233 */
     { ENCODING_Ia, TYPE_MOFFS8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3160,16 +3168,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 233 */
-    { ENCODING_REG, TYPE_CONTROLREG },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 234 */
-    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_REG, TYPE_CONTROLREG },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3177,8 +3177,8 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 235 */
+    { ENCODING_REG, TYPE_DEBUGREG },
     { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_CONTROLREG },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3186,13 +3186,21 @@
   },
   { /* 236 */
     { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_REG, TYPE_CONTROLREG },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 237 */
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 238 */
     { ENCODING_RO, TYPE_R64 },
     { ENCODING_IO, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
@@ -3200,24 +3208,16 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 238 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_SEGMENTREG },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 239 */
-    { ENCODING_REG, TYPE_SEGMENTREG },
     { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_SEGMENTREG },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 240 */
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_SEGMENTREG },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3225,6 +3225,14 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 241 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 242 */
     { ENCODING_RB, TYPE_R8 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -3232,16 +3240,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 242 */
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 243 */
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3249,6 +3249,14 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 244 */
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 245 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -3256,7 +3264,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 245 */
+  { /* 246 */
     { ENCODING_RM, TYPE_M64FP },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
@@ -3264,7 +3272,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 246 */
+  { /* 247 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M64FP },
@@ -3272,7 +3280,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 247 */
+  { /* 248 */
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -3280,16 +3288,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 248 */
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 249 */
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_RM, TYPE_M32FP },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3297,7 +3297,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 250 */
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3305,7 +3305,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 251 */
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_R32 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3313,14 +3313,22 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 252 */
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 253 */
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 254 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
@@ -3328,7 +3336,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 254 */
+  { /* 255 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM64 },
@@ -3336,7 +3344,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 255 */
+  { /* 256 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_REG, TYPE_XMM64 },
@@ -3344,23 +3352,23 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 256 */
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 257 */
-    { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 258 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 259 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM32 },
@@ -3368,7 +3376,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 259 */
+  { /* 260 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_REG, TYPE_XMM32 },
@@ -3376,17 +3384,9 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 260 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_M8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 261 */
     { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3394,15 +3394,15 @@
   },
   { /* 262 */
     { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_RM, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 263 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_R16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3410,7 +3410,7 @@
   },
   { /* 264 */
     { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3418,13 +3418,21 @@
   },
   { /* 265 */
     { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_R16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 266 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 267 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M128 },
@@ -3432,7 +3440,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 267 */
+  { /* 268 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
@@ -3440,16 +3448,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 268 */
-    { ENCODING_RM, TYPE_M8 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 269 */
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_RM, TYPE_M8 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -3457,7 +3457,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 270 */
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -3465,6 +3465,14 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 271 */
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 272 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM32 },
@@ -3472,7 +3480,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 272 */
+  { /* 273 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M8 },
@@ -3480,18 +3488,10 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 273 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_IB, TYPE_IMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 274 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_RM, TYPE_R32 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3499,12 +3499,20 @@
   { /* 275 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 276 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 277 */
     { ENCODING_Rv, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3512,7 +3520,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 277 */
+  { /* 278 */
     { ENCODING_RO, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3520,7 +3528,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 278 */
+  { /* 279 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM32 },
@@ -3528,7 +3536,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 279 */
+  { /* 280 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM8 },
@@ -3536,7 +3544,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 280 */
+  { /* 281 */
     { ENCODING_IB, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3544,16 +3552,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 281 */
-    { ENCODING_IB, TYPE_IMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 282 */
-    { ENCODING_IB, TYPE_IMM64 },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3561,6 +3561,14 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 283 */
+    { ENCODING_IB, TYPE_IMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 284 */
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -3568,7 +3576,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 284 */
+  { /* 285 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_IB, TYPE_IMM8 },
@@ -3576,7 +3584,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 285 */
+  { /* 286 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_IB, TYPE_IMM8 },
@@ -3584,7 +3592,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 286 */
+  { /* 287 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_RM, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -3592,7 +3600,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 287 */
+  { /* 288 */
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3600,25 +3608,17 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 288 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 289 */
     { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 290 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_R32 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3626,13 +3626,21 @@
   },
   { /* 291 */
     { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 292 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 293 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_IB, TYPE_IMM32 },
@@ -3640,7 +3648,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 293 */
+  { /* 294 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM32 },
@@ -3648,7 +3656,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 294 */
+  { /* 295 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M64FP },
@@ -3656,7 +3664,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 295 */
+  { /* 296 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_RM, TYPE_XMM64 },
@@ -3664,7 +3672,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 296 */
+  { /* 297 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_RM, TYPE_XMM32 },
@@ -3672,7 +3680,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 297 */
+  { /* 298 */
     { ENCODING_DI, TYPE_DSTIDX64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3680,7 +3688,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 298 */
+  { /* 299 */
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_IB, TYPE_IMM8 },
@@ -3688,7 +3696,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 299 */
+  { /* 300 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_REG, TYPE_Rv },
@@ -3696,7 +3704,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 300 */
+  { /* 301 */
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_IB, TYPE_IMM8 },
@@ -3704,7 +3712,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 301 */
+  { /* 302 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_REG, TYPE_R64 },
@@ -3712,7 +3720,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 302 */
+  { /* 303 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -3720,26 +3728,18 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 303 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 304 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 305 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3747,36 +3747,44 @@
   { /* 306 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 307 */
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_RM_CD8, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 308 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 309 */
     { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 310 */
+    { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 310 */
+  { /* 311 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
@@ -3784,7 +3792,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 311 */
+  { /* 312 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M128 },
@@ -3792,7 +3800,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 312 */
+  { /* 313 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
@@ -3800,27 +3808,19 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 313 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD4, TYPE_M32FP },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 314 */
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 315 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
@@ -3828,22 +3828,22 @@
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 317 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM_CD8, TYPE_M64FP },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 318 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3851,7 +3851,7 @@
   { /* 319 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3859,15 +3859,15 @@
   { /* 320 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 321 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3875,7 +3875,7 @@
   { /* 322 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3883,7 +3883,7 @@
   { /* 323 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3891,12 +3891,20 @@
   { /* 324 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 325 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 326 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_RM_CD64, TYPE_M512 },
@@ -3904,23 +3912,23 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 326 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 327 */
-    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 328 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
+  },
+  { /* 329 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
@@ -3928,7 +3936,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 329 */
+  { /* 330 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
@@ -3936,7 +3944,7 @@
     { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM8 },
   },
-  { /* 330 */
+  { /* 331 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
@@ -3944,7 +3952,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 331 */
+  { /* 332 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M256 },
@@ -3952,7 +3960,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 332 */
+  { /* 333 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM256 },
@@ -3960,23 +3968,23 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 333 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_IMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 334 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 335 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 336 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M256 },
@@ -3984,7 +3992,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 336 */
+  { /* 337 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM256 },
@@ -3992,7 +4000,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 337 */
+  { /* 338 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M128 },
@@ -4000,7 +4008,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 338 */
+  { /* 339 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
@@ -4008,7 +4016,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 339 */
+  { /* 340 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
@@ -4016,7 +4024,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 340 */
+  { /* 341 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_RM_CD16, TYPE_M128 },
@@ -4024,33 +4032,25 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 341 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM_CD16, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 342 */
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 343 */
     { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 344 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4058,15 +4058,15 @@
   },
   { /* 345 */
     { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 346 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4074,23 +4074,23 @@
   },
   { /* 347 */
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 348 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 349 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4098,13 +4098,21 @@
   },
   { /* 350 */
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM_CD4, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 351 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 352 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
@@ -4112,7 +4120,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 352 */
+  { /* 353 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M256 },
@@ -4120,7 +4128,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 353 */
+  { /* 354 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM256 },
@@ -4128,18 +4136,10 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 354 */
-    { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_M512 },
-    { ENCODING_IB, TYPE_IMM5 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 355 */
     { ENCODING_REG, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4147,15 +4147,15 @@
   { /* 356 */
     { ENCODING_REG, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD8, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 357 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4163,15 +4163,15 @@
   { /* 358 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
     { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 359 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4179,7 +4179,7 @@
   { /* 360 */
     { ENCODING_REG, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4187,15 +4187,15 @@
   { /* 361 */
     { ENCODING_REG, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD4, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 362 */
-    { ENCODING_REG, TYPE_VK1 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM_CD16, TYPE_M64FP },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4203,15 +4203,15 @@
   { /* 363 */
     { ENCODING_REG, TYPE_VK1 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM_CD16, TYPE_XMM64 },
+    { ENCODING_RM_CD16, TYPE_M64FP },
     { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 364 */
-    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_VK1 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_RM_CD16, TYPE_XMM64 },
     { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4219,15 +4219,15 @@
   { /* 365 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
     { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 366 */
-    { ENCODING_REG, TYPE_VK1 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM_CD16, TYPE_M32FP },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4235,15 +4235,15 @@
   { /* 367 */
     { ENCODING_REG, TYPE_VK1 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM_CD16, TYPE_XMM32 },
+    { ENCODING_RM_CD16, TYPE_M32FP },
     { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 368 */
-    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_REG, TYPE_VK1 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_RM_CD16, TYPE_XMM32 },
     { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4251,22 +4251,22 @@
   { /* 369 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
     { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 370 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM_CD8, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 371 */
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4274,7 +4274,7 @@
   },
   { /* 372 */
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM_CD4, TYPE_M128 },
+    { ENCODING_RM_CD8, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4282,23 +4282,23 @@
   },
   { /* 373 */
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM_CD4, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 374 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 375 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4306,15 +4306,15 @@
   },
   { /* 376 */
     { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 377 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4322,7 +4322,7 @@
   },
   { /* 378 */
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4330,13 +4330,21 @@
   },
   { /* 379 */
     { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 380 */
+    { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_RM_CD4, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 380 */
+  { /* 381 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
@@ -4344,7 +4352,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 381 */
+  { /* 382 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
@@ -4352,17 +4360,9 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 382 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM_CD64, TYPE_M512 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 383 */
     { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4370,22 +4370,22 @@
   },
   { /* 384 */
     { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 385 */
+    { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_RM_CD8, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 385 */
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 386 */
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -4393,15 +4393,15 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 387 */
-    { ENCODING_RM_CD32, TYPE_M256 },
-    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 388 */
-    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -4409,15 +4409,15 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 389 */
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 390 */
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M64FP },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -4425,24 +4425,24 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 391 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM_CD8, TYPE_M64FP },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 392 */
     { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 393 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM_CD8, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4450,16 +4450,16 @@
   },
   { /* 394 */
     { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 395 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4467,7 +4467,7 @@
   { /* 396 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM_CD16, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4475,7 +4475,7 @@
   { /* 397 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_RM_CD16, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4483,15 +4483,15 @@
   { /* 398 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 399 */
-    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4499,7 +4499,7 @@
   { /* 400 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4507,7 +4507,7 @@
   { /* 401 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4515,7 +4515,7 @@
   { /* 402 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM_CD4, TYPE_R32 },
+    { ENCODING_RM_CD4, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4523,15 +4523,15 @@
   { /* 403 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM_CD4, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 404 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4539,7 +4539,7 @@
   { /* 405 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4547,7 +4547,7 @@
   { /* 406 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4555,7 +4555,7 @@
   { /* 407 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM_CD4, TYPE_R32 },
+    { ENCODING_RM_CD4, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4563,15 +4563,15 @@
   { /* 408 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM_CD4, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 409 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4579,15 +4579,15 @@
   { /* 410 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM_CD8, TYPE_R64 },
+    { ENCODING_RM_CD8, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 411 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4595,15 +4595,15 @@
   { /* 412 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM_CD8, TYPE_R64 },
+    { ENCODING_RM_CD8, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 413 */
-    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_RM_CD8, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4611,7 +4611,7 @@
   { /* 414 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM_CD16, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4619,7 +4619,7 @@
   { /* 415 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_RM_CD16, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4627,30 +4627,30 @@
   { /* 416 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 417 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM_CD4, TYPE_M32FP },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 418 */
     { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM_CD4, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 419 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM_CD4, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4658,22 +4658,22 @@
   },
   { /* 420 */
     { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM_CD4, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 421 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM_CD4, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 422 */
-    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM_CD8, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -4681,6 +4681,14 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 423 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 424 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM_CD4, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -4688,7 +4696,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 424 */
+  { /* 425 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM_CD4, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -4696,16 +4704,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 425 */
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 426 */
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -4713,15 +4713,15 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 427 */
-    { ENCODING_RM_CD16, TYPE_M128 },
-    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 428 */
-    { ENCODING_RM_CD64, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -4729,7 +4729,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 429 */
-    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_RM_CD64, TYPE_XMM128 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -4737,7 +4737,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 430 */
-    { ENCODING_RM_CD64, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -4745,15 +4745,15 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 431 */
-    { ENCODING_RM_CD4, TYPE_M32FP },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM_CD64, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 432 */
-    { ENCODING_RM_CD16, TYPE_R32 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -4761,10 +4761,10 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 433 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_RM_CD16, TYPE_R32 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
@@ -4772,7 +4772,7 @@
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
@@ -4780,7 +4780,7 @@
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_RM_CD8, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
@@ -4788,19 +4788,43 @@
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 437 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 438 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 439 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 440 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 441 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_IB, TYPE_XMM256 },
@@ -4808,7 +4832,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 439 */
+  { /* 442 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_IB, TYPE_XMM128 },
@@ -4816,7 +4840,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 440 */
+  { /* 443 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_IB, TYPE_XMM256 },
@@ -4824,91 +4848,67 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 441 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 442 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 443 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 444 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 445 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_IB, TYPE_XMM64 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 446 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_IB, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 447 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_IB, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 448 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
     { ENCODING_IB, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 449 */
-    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM_CD8, TYPE_M128 },
+    { ENCODING_IB, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 450 */
-    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 451 */
-    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
@@ -4916,63 +4916,63 @@
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 453 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_IB, TYPE_XMM32 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 454 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_IB, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 455 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_IB, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 456 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
     { ENCODING_IB, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 457 */
-    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM_CD4, TYPE_M128 },
+    { ENCODING_IB, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 458 */
-    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 459 */
-    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
@@ -4980,39 +4980,39 @@
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 461 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP4 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M64 },
-    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 462 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP3 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM_CD8, TYPE_M64 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 463 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP4 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M64 },
-    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 464 */
     { ENCODING_DUP, TYPE_DUP2 },
     { ENCODING_DUP, TYPE_DUP4 },
     { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M32 },
+    { ENCODING_RM, TYPE_M64 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
   },
@@ -5020,11 +5020,35 @@
     { ENCODING_DUP, TYPE_DUP2 },
     { ENCODING_DUP, TYPE_DUP3 },
     { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD8, TYPE_M64 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 466 */
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP4 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M64 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 467 */
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP4 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M32 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 468 */
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP3 },
+    { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_RM_CD4, TYPE_M32 },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 466 */
+  { /* 469 */
     { ENCODING_DUP, TYPE_DUP2 },
     { ENCODING_DUP, TYPE_DUP4 },
     { ENCODING_REG, TYPE_XMM128 },
@@ -5032,7 +5056,7 @@
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 467 */
+  { /* 470 */
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_RM_CD4, TYPE_M32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -5040,7 +5064,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 468 */
+  { /* 471 */
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_RM_CD4, TYPE_M32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -5048,7 +5072,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 469 */
+  { /* 472 */
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_RM_CD8, TYPE_M64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -5056,7 +5080,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 470 */
+  { /* 473 */
     { ENCODING_DUP, TYPE_DUP2 },
     { ENCODING_DUP, TYPE_DUP3 },
     { ENCODING_REG, TYPE_XMM256 },
@@ -5064,7 +5088,7 @@
     { ENCODING_RM_CD4, TYPE_M64 },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 471 */
+  { /* 474 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M128 },
@@ -5072,7 +5096,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 472 */
+  { /* 475 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM128 },
@@ -5080,7 +5104,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 473 */
+  { /* 476 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_RM_CD16, TYPE_M128 },
@@ -5088,7 +5112,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 474 */
+  { /* 477 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_RM_CD64, TYPE_XMM128 },
@@ -5096,7 +5120,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 475 */
+  { /* 478 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_RM_CD32, TYPE_M256 },
@@ -5104,7 +5128,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 476 */
+  { /* 479 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_RM_CD64, TYPE_XMM256 },
@@ -5112,7 +5136,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 477 */
+  { /* 480 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M32FP },
@@ -5120,7 +5144,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 478 */
+  { /* 481 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM_CD4, TYPE_M32FP },
@@ -5128,7 +5152,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 479 */
+  { /* 482 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM_CD16, TYPE_XMM128 },
@@ -5136,7 +5160,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 480 */
+  { /* 483 */
     { ENCODING_RM, TYPE_M256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_REG, TYPE_XMM256 },
@@ -5144,7 +5168,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 481 */
+  { /* 484 */
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_REG, TYPE_XMM128 },
@@ -5152,7 +5176,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 482 */
+  { /* 485 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM_CD16, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -5160,7 +5184,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 483 */
+  { /* 486 */
     { ENCODING_RM, TYPE_M256 },
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
@@ -5168,7 +5192,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 484 */
+  { /* 487 */
     { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
@@ -5176,49 +5200,25 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 485 */
-    { ENCODING_RM_CD16, TYPE_M128 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 486 */
-    { ENCODING_RM_CD16, TYPE_M128 },
-    { ENCODING_WRITEMASK, TYPE_VK2 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 487 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM_CD16, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 488 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_WRITEMASK, TYPE_VK2 },
     { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 489 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_WRITEMASK, TYPE_VK2 },
     { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 490 */
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -5228,61 +5228,61 @@
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_WRITEMASK, TYPE_VK2 },
-    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 492 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 493 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 494 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 495 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
     { ENCODING_RM_CD16, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 493 */
-    { ENCODING_RM_CD32, TYPE_M256 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 494 */
-    { ENCODING_RM_CD32, TYPE_M256 },
-    { ENCODING_WRITEMASK, TYPE_VK4 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 495 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM_CD32, TYPE_M256 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 496 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_WRITEMASK, TYPE_VK4 },
     { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 497 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_WRITEMASK, TYPE_VK4 },
     { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 498 */
     { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -5292,171 +5292,195 @@
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_WRITEMASK, TYPE_VK4 },
-    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 500 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_WRITEMASK, TYPE_VK4 },
-    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 501 */
-    { ENCODING_RM_CD64, TYPE_M512 },
-    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 502 */
-    { ENCODING_RM_CD64, TYPE_M512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 503 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 504 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 505 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 506 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM_CD64, TYPE_XMM512 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 507 */
-    { ENCODING_RM_CD16, TYPE_M128 },
-    { ENCODING_WRITEMASK, TYPE_VK4 },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 508 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_WRITEMASK, TYPE_VK4 },
-    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 509 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_WRITEMASK, TYPE_VK4 },
-    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 510 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_WRITEMASK, TYPE_VK4 },
-    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 511 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_WRITEMASK, TYPE_VK4 },
-    { ENCODING_RM_CD16, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 512 */
-    { ENCODING_RM_CD32, TYPE_M256 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 513 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 514 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 515 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 516 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM_CD32, TYPE_XMM256 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 517 */
-    { ENCODING_RM_CD64, TYPE_M512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 518 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 519 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 520 */
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 521 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 522 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 523 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
@@ -5464,7 +5488,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 521 */
+  { /* 524 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_RM_CD64, TYPE_XMM512 },
@@ -5472,7 +5496,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 522 */
+  { /* 525 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM_CD4, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
@@ -5480,7 +5504,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 523 */
+  { /* 526 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM_CD16, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -5488,231 +5512,231 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 524 */
-    { ENCODING_RM_CD16, TYPE_M128 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 525 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM_CD16, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 526 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM_CD16, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 527 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 528 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM_CD16, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 529 */
-    { ENCODING_RM_CD32, TYPE_M256 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 530 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 531 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 532 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 533 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM_CD32, TYPE_XMM256 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 534 */
-    { ENCODING_RM_CD64, TYPE_M512 },
-    { ENCODING_WRITEMASK, TYPE_VK32 },
-    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 535 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK32 },
-    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 536 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK32 },
-    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 537 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_WRITEMASK, TYPE_VK32 },
-    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 538 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK32 },
-    { ENCODING_RM_CD64, TYPE_XMM512 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 539 */
-    { ENCODING_RM_CD16, TYPE_M128 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 540 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 541 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 542 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 543 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM_CD16, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 544 */
-    { ENCODING_RM_CD32, TYPE_M256 },
-    { ENCODING_WRITEMASK, TYPE_VK32 },
-    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 545 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_WRITEMASK, TYPE_VK32 },
-    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 546 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_WRITEMASK, TYPE_VK32 },
-    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 547 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_WRITEMASK, TYPE_VK32 },
-    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 548 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_WRITEMASK, TYPE_VK32 },
-    { ENCODING_RM_CD32, TYPE_XMM256 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 549 */
-    { ENCODING_RM_CD64, TYPE_M512 },
-    { ENCODING_WRITEMASK, TYPE_VK64 },
-    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 550 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK64 },
-    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 551 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK64 },
-    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 552 */
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 553 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 554 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 555 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK64 },
@@ -5720,7 +5744,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 553 */
+  { /* 556 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK64 },
     { ENCODING_RM_CD64, TYPE_XMM512 },
@@ -5728,7 +5752,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 554 */
+  { /* 557 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM_CD16, TYPE_XMM128 },
@@ -5736,7 +5760,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 555 */
+  { /* 558 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M64FP },
@@ -5744,7 +5768,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 556 */
+  { /* 559 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
@@ -5752,32 +5776,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 557 */
-    { ENCODING_RM_CD4, TYPE_Mv },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 558 */
-    { ENCODING_RM_CD16, TYPE_R32 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 559 */
-    { ENCODING_RM_CD8, TYPE_Mv },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 560 */
-    { ENCODING_RM_CD16, TYPE_R64 },
+    { ENCODING_RM_CD4, TYPE_Mv },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -5785,24 +5785,24 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 561 */
+    { ENCODING_RM_CD16, TYPE_R32 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM_CD8, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 562 */
-    { ENCODING_RM_CD8, TYPE_M64FP },
-    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 563 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_RM_CD16, TYPE_R64 },
+    { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -5810,38 +5810,38 @@
   },
   { /* 564 */
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 565 */
-    { ENCODING_RM_CD16, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 566 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_WRITEMASK, TYPE_VK1 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 567 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 568 */
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -5849,6 +5849,30 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 569 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK1 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 570 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 571 */
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 572 */
     { ENCODING_RM_CD8, TYPE_Mv },
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -5856,7 +5880,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 570 */
+  { /* 573 */
     { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -5864,7 +5888,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 571 */
+  { /* 574 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
@@ -5872,7 +5896,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 572 */
+  { /* 575 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM_CD4, TYPE_XMM32 },
@@ -5880,7 +5904,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 573 */
+  { /* 576 */
     { ENCODING_RM_CD16, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_REG, TYPE_XMM32 },
@@ -5888,7 +5912,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 574 */
+  { /* 577 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_WRITEMASK, TYPE_VK1 },
@@ -5896,7 +5920,7 @@
     { ENCODING_RM_CD4, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 575 */
+  { /* 578 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM32 },
@@ -5904,7 +5928,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 576 */
+  { /* 579 */
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_REG, TYPE_XMM32 },
@@ -5912,7 +5936,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 577 */
+  { /* 580 */
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -5920,7 +5944,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 578 */
+  { /* 581 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_RM_CD4, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
@@ -5928,7 +5952,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 579 */
+  { /* 582 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_RM_CD4, TYPE_Mv },
@@ -5936,7 +5960,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 580 */
+  { /* 583 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_RM_CD8, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
@@ -5944,7 +5968,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 581 */
+  { /* 584 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_RM_CD8, TYPE_Mv },
@@ -5952,36 +5976,12 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 582 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD4, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 583 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD4, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 584 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD4, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 585 */
-    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 586 */
@@ -5989,50 +5989,58 @@
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 587 */
     { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD8, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_RM_CD4, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 588 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 589 */
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_RM_CD8, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 590 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_RM_CD8, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 591 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 592 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 592 */
+  { /* 593 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M128 },
@@ -6040,7 +6048,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 593 */
+  { /* 594 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
@@ -6048,32 +6056,24 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 594 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 595 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 596 */
     { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 597 */
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -6081,17 +6081,17 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 598 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 599 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM_CD4, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -6099,22 +6099,22 @@
   { /* 600 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM_CD64, TYPE_R32 },
+    { ENCODING_RM_CD4, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 601 */
     { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_RM_CD64, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 602 */
-    { ENCODING_RM_CD64, TYPE_XMM512 },
-    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -6122,16 +6122,16 @@
   },
   { /* 603 */
     { ENCODING_RM_CD64, TYPE_XMM512 },
-    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_REG, TYPE_VK8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 604 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -6139,36 +6139,364 @@
   { /* 605 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM_CD64, TYPE_R64 },
+    { ENCODING_RM_CD8, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 606 */
     { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD64, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 607 */
+    { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_RM_CD64, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 607 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_M512 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 608 */
     { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 609 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 610 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 611 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 612 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 613 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 614 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 615 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 616 */
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 617 */
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 618 */
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 619 */
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 620 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 621 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 622 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 623 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 624 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 625 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 626 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 627 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 628 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 629 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 630 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 631 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 632 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 633 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 634 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 635 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 636 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 637 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 638 */
+    { ENCODING_REG, TYPE_VK2 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 639 */
+    { ENCODING_REG, TYPE_VK2 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 640 */
+    { ENCODING_REG, TYPE_VK2 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 641 */
+    { ENCODING_REG, TYPE_VK2 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 642 */
+    { ENCODING_REG, TYPE_VK2 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 643 */
+    { ENCODING_REG, TYPE_VK2 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 644 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 645 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 646 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 647 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 648 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 649 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 650 */
     { ENCODING_REG, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_RM_CD64, TYPE_M512 },
@@ -6176,7 +6504,31 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 610 */
+  { /* 651 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 652 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 653 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 654 */
     { ENCODING_REG, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_RM_CD64, TYPE_XMM512 },
@@ -6184,7 +6536,111 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 611 */
+  { /* 655 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 656 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 657 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 658 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 659 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 660 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 661 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 662 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 663 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 664 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 665 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 666 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 667 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 668 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
@@ -6192,7 +6648,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 612 */
+  { /* 669 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
@@ -6200,7 +6656,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 613 */
+  { /* 670 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M128 },
@@ -6208,7 +6664,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 614 */
+  { /* 671 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M256 },
@@ -6216,7 +6672,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 615 */
+  { /* 672 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_IB, TYPE_XMM128 },
@@ -6224,7 +6680,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 616 */
+  { /* 673 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_IB, TYPE_XMM256 },
@@ -6232,7 +6688,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 617 */
+  { /* 674 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
@@ -6240,7 +6696,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 618 */
+  { /* 675 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM256 },
@@ -6248,7 +6704,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 619 */
+  { /* 676 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M256 },
     { ENCODING_IB, TYPE_IMM8 },
@@ -6256,7 +6712,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 620 */
+  { /* 677 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_IB, TYPE_IMM8 },
@@ -6264,7 +6720,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 621 */
+  { /* 678 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_IB, TYPE_IMM8 },
@@ -6272,7 +6728,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 622 */
+  { /* 679 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM8 },
@@ -6280,7 +6736,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 623 */
+  { /* 680 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M8 },
@@ -6288,7 +6744,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 624 */
+  { /* 681 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_R32 },
@@ -6296,7 +6752,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 625 */
+  { /* 682 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_Mv },
@@ -6304,7 +6760,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 626 */
+  { /* 683 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_R64 },
@@ -6312,7 +6768,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 627 */
+  { /* 684 */
     { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
@@ -6320,7 +6776,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 628 */
+  { /* 685 */
     { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_REG, TYPE_XMM512 },
@@ -6328,7 +6784,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 629 */
+  { /* 686 */
     { ENCODING_RM_CD16, TYPE_XMM128 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
@@ -6336,7 +6792,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 630 */
+  { /* 687 */
     { ENCODING_RM_CD16, TYPE_XMM128 },
     { ENCODING_REG, TYPE_VK16 },
     { ENCODING_IB, TYPE_XMM512 },
@@ -6344,7 +6800,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 631 */
+  { /* 688 */
     { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
@@ -6352,7 +6808,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 632 */
+  { /* 689 */
     { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_REG, TYPE_XMM512 },
@@ -6360,7 +6816,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 633 */
+  { /* 690 */
     { ENCODING_RM_CD32, TYPE_XMM256 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
@@ -6368,7 +6824,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 634 */
+  { /* 691 */
     { ENCODING_RM_CD32, TYPE_XMM256 },
     { ENCODING_REG, TYPE_VK16 },
     { ENCODING_IB, TYPE_XMM512 },
@@ -6376,7 +6832,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 635 */
+  { /* 692 */
     { ENCODING_RM_CD8, TYPE_M128 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
@@ -6384,7 +6840,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 636 */
+  { /* 693 */
     { ENCODING_RM_CD8, TYPE_M128 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_REG, TYPE_XMM512 },
@@ -6392,7 +6848,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 637 */
+  { /* 694 */
     { ENCODING_RM_CD8, TYPE_XMM128 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
@@ -6400,7 +6856,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 638 */
+  { /* 695 */
     { ENCODING_RM_CD8, TYPE_XMM128 },
     { ENCODING_REG, TYPE_VK8 },
     { ENCODING_IB, TYPE_XMM512 },
@@ -6408,7 +6864,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 639 */
+  { /* 696 */
     { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_REG, TYPE_XMM512 },
@@ -6416,7 +6872,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 640 */
+  { /* 697 */
     { ENCODING_RM_CD32, TYPE_XMM256 },
     { ENCODING_REG, TYPE_VK8 },
     { ENCODING_IB, TYPE_XMM512 },
@@ -6424,7 +6880,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 641 */
+  { /* 698 */
     { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_REG, TYPE_XMM512 },
@@ -6432,7 +6888,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 642 */
+  { /* 699 */
     { ENCODING_RM_CD16, TYPE_XMM128 },
     { ENCODING_REG, TYPE_VK8 },
     { ENCODING_IB, TYPE_XMM512 },
@@ -6440,7 +6896,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 643 */
+  { /* 700 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_RM_CD16, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
@@ -6448,7 +6904,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 644 */
+  { /* 701 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_RM_CD16, TYPE_XMM128 },
@@ -6456,7 +6912,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 645 */
+  { /* 702 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_RM_CD8, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
@@ -6464,7 +6920,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 646 */
+  { /* 703 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_RM_CD8, TYPE_M128 },
@@ -6472,7 +6928,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 647 */
+  { /* 704 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_RM_CD32, TYPE_M256 },
@@ -6480,7 +6936,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 648 */
+  { /* 705 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_RM_CD32, TYPE_XMM256 },
@@ -6488,7 +6944,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 649 */
+  { /* 706 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_RM_CD32, TYPE_XMM256 },
@@ -6496,7 +6952,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 650 */
+  { /* 707 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_RM_CD16, TYPE_M128 },
@@ -6504,7 +6960,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 651 */
+  { /* 708 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_RM_CD16, TYPE_XMM128 },
@@ -6512,7 +6968,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 652 */
+  { /* 709 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_VVVV, TYPE_XMM128 },
@@ -6520,7 +6976,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 653 */
+  { /* 710 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
@@ -6528,7 +6984,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 654 */
+  { /* 711 */
     { ENCODING_DUP, TYPE_DUP2 },
     { ENCODING_RM_CD4, TYPE_M32 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
@@ -6536,7 +6992,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 655 */
+  { /* 712 */
     { ENCODING_DUP, TYPE_DUP2 },
     { ENCODING_RM_CD8, TYPE_M64 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
@@ -6544,7 +7000,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 656 */
+  { /* 713 */
     { ENCODING_DUP, TYPE_DUP2 },
     { ENCODING_RM_CD4, TYPE_M64 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
@@ -6552,7 +7008,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 657 */
+  { /* 714 */
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_IB, TYPE_IMM32 },
@@ -6560,7 +7016,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 658 */
+  { /* 715 */
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM32 },
@@ -6568,7 +7024,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 659 */
+  { /* 716 */
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_IB, TYPE_IMM8 },
@@ -6576,7 +7032,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 660 */
+  { /* 717 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M128 },
@@ -6584,7 +7040,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 661 */
+  { /* 718 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM128 },
@@ -6592,7 +7048,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 662 */
+  { /* 719 */
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_IB, TYPE_IMM8 },
@@ -6600,7 +7056,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 663 */
+  { /* 720 */
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_RM_CD64, TYPE_M512 },
@@ -6608,7 +7064,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 664 */
+  { /* 721 */
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM8 },
@@ -6616,7 +7072,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 665 */
+  { /* 722 */
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_RM_CD64, TYPE_XMM512 },
@@ -6624,7 +7080,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 666 */
+  { /* 723 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_RM_CD16, TYPE_M128 },
@@ -6632,7 +7088,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 667 */
+  { /* 724 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
@@ -6640,7 +7096,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 668 */
+  { /* 725 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_RM_CD16, TYPE_XMM128 },
@@ -6648,7 +7104,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 669 */
+  { /* 726 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
@@ -6656,7 +7112,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 670 */
+  { /* 727 */
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM8 },
@@ -6664,7 +7120,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 671 */
+  { /* 728 */
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_RM_CD64, TYPE_M512 },
@@ -6672,7 +7128,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 672 */
+  { /* 729 */
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_RM_CD64, TYPE_XMM512 },
@@ -6680,7 +7136,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 673 */
+  { /* 730 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
@@ -6688,7 +7144,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 674 */
+  { /* 731 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
@@ -6696,7 +7152,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 675 */
+  { /* 732 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_RM_CD8, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
@@ -6704,7 +7160,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 676 */
+  { /* 733 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_RM_CD4, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
@@ -6712,7 +7168,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 677 */
+  { /* 734 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_IB, TYPE_IMM32 },
@@ -6720,7 +7176,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 678 */
+  { /* 735 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM32 },
@@ -6728,7 +7184,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 679 */
+  { /* 736 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
     { ENCODING_RM_CD8, TYPE_M64FP },
@@ -6736,7 +7192,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 680 */
+  { /* 737 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
     { ENCODING_RM_CD8, TYPE_XMM64 },
@@ -6744,7 +7200,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 681 */
+  { /* 738 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
     { ENCODING_RM_CD4, TYPE_M32FP },
@@ -6752,7 +7208,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 682 */
+  { /* 739 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
     { ENCODING_RM_CD4, TYPE_XMM32 },
@@ -6760,7 +7216,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 683 */
+  { /* 740 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M64FP },
@@ -6768,7 +7224,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 684 */
+  { /* 741 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
     { ENCODING_RM, TYPE_XMM64 },
@@ -6776,7 +7232,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 685 */
+  { /* 742 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
     { ENCODING_RM, TYPE_XMM32 },
@@ -6784,7 +7240,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 686 */
+  { /* 743 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M256 },
     { ENCODING_IB, TYPE_IMM32 },
@@ -6792,7 +7248,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 687 */
+  { /* 744 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_IB, TYPE_IMM32 },
@@ -6800,7 +7256,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 688 */
+  { /* 745 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
     { ENCODING_RM_CD16, TYPE_XMM64 },
@@ -6808,7 +7264,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 689 */
+  { /* 746 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
     { ENCODING_RM_CD16, TYPE_XMM32 },
@@ -6816,7 +7272,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 690 */
+  { /* 747 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_RM_CD8, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -6824,7 +7280,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 691 */
+  { /* 748 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_RM_CD4, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -6834,7 +7290,7 @@
   },
 };
 
-static const struct InstructionSpecifier x86DisassemblerInstrSpecifiers[6121] = {
+static const struct InstructionSpecifier x86DisassemblerInstrSpecifiers[6259] = {
   { /* 0 */
     0,
     /*  */
@@ -7108,11 +7564,11 @@
     /* ADCX32rr */
   },
   { /* 68 */
-    30,
+    19,
     /* ADCX64rm */
   },
   { /* 69 */
-    31,
+    21,
     /* ADCX64rr */
   },
   { /* 70 */
@@ -7292,23 +7748,23 @@
     /* ADD8rr_REV */
   },
   { /* 114 */
-    32,
+    30,
     /* ADDPDrm */
   },
   { /* 115 */
-    33,
+    31,
     /* ADDPDrr */
   },
   { /* 116 */
-    32,
+    30,
     /* ADDPSrm */
   },
   { /* 117 */
-    33,
+    31,
     /* ADDPSrr */
   },
   { /* 118 */
-    34,
+    32,
     /* ADDSDrm */
   },
   { /* 119 */
@@ -7316,7 +7772,7 @@
     /*  */
   },
   { /* 120 */
-    35,
+    33,
     /* ADDSDrr */
   },
   { /* 121 */
@@ -7324,7 +7780,7 @@
     /*  */
   },
   { /* 122 */
-    36,
+    34,
     /* ADDSSrm */
   },
   { /* 123 */
@@ -7332,7 +7788,7 @@
     /*  */
   },
   { /* 124 */
-    37,
+    35,
     /* ADDSSrr */
   },
   { /* 125 */
@@ -7340,43 +7796,43 @@
     /*  */
   },
   { /* 126 */
-    32,
+    30,
     /* ADDSUBPDrm */
   },
   { /* 127 */
-    33,
+    31,
     /* ADDSUBPDrr */
   },
   { /* 128 */
-    32,
+    30,
     /* ADDSUBPSrm */
   },
   { /* 129 */
-    33,
+    31,
     /* ADDSUBPSrr */
   },
   { /* 130 */
-    38,
+    36,
     /* ADD_F32m */
   },
   { /* 131 */
-    39,
+    37,
     /* ADD_F64m */
   },
   { /* 132 */
-    40,
+    38,
     /* ADD_FI16m */
   },
   { /* 133 */
-    40,
+    38,
     /* ADD_FI32m */
   },
   { /* 134 */
-    41,
+    39,
     /* ADD_FPrST0 */
   },
   { /* 135 */
-    41,
+    39,
     /* ADD_FST0r */
   },
   { /* 136 */
@@ -7436,7 +7892,7 @@
     /*  */
   },
   { /* 150 */
-    41,
+    39,
     /* ADD_FrST0 */
   },
   { /* 151 */
@@ -7456,67 +7912,67 @@
     /*  */
   },
   { /* 155 */
-    28,
+    40,
     /* ADOX32rm */
   },
   { /* 156 */
-    29,
+    41,
     /* ADOX32rr */
   },
   { /* 157 */
-    30,
+    42,
     /* ADOX64rm */
   },
   { /* 158 */
-    31,
+    43,
     /* ADOX64rr */
   },
   { /* 159 */
-    32,
+    30,
     /* AESDECLASTrm */
   },
   { /* 160 */
-    33,
+    31,
     /* AESDECLASTrr */
   },
   { /* 161 */
-    32,
+    30,
     /* AESDECrm */
   },
   { /* 162 */
-    33,
+    31,
     /* AESDECrr */
   },
   { /* 163 */
-    32,
+    30,
     /* AESENCLASTrm */
   },
   { /* 164 */
-    33,
+    31,
     /* AESENCLASTrr */
   },
   { /* 165 */
-    32,
+    30,
     /* AESENCrm */
   },
   { /* 166 */
-    33,
+    31,
     /* AESENCrr */
   },
   { /* 167 */
-    42,
+    44,
     /* AESIMCrm */
   },
   { /* 168 */
-    43,
+    45,
     /* AESIMCrr */
   },
   { /* 169 */
-    44,
+    46,
     /* AESKEYGENASSIST128rm */
   },
   { /* 170 */
-    45,
+    47,
     /* AESKEYGENASSIST128rr */
   },
   { /* 171 */
@@ -7660,59 +8116,59 @@
     /* AND8rr_REV */
   },
   { /* 206 */
-    46,
+    48,
     /* ANDN32rm */
   },
   { /* 207 */
-    47,
+    49,
     /* ANDN32rr */
   },
   { /* 208 */
-    48,
+    50,
     /* ANDN64rm */
   },
   { /* 209 */
-    49,
+    51,
     /* ANDN64rr */
   },
   { /* 210 */
-    32,
+    30,
     /* ANDNPDrm */
   },
   { /* 211 */
-    33,
+    31,
     /* ANDNPDrr */
   },
   { /* 212 */
-    32,
+    30,
     /* ANDNPSrm */
   },
   { /* 213 */
-    33,
+    31,
     /* ANDNPSrr */
   },
   { /* 214 */
-    32,
+    30,
     /* ANDPDrm */
   },
   { /* 215 */
-    33,
+    31,
     /* ANDPDrr */
   },
   { /* 216 */
-    32,
+    30,
     /* ANDPSrm */
   },
   { /* 217 */
-    33,
+    31,
     /* ANDPSrr */
   },
   { /* 218 */
-    50,
+    52,
     /* ARPL16mr */
   },
   { /* 219 */
-    51,
+    53,
     /* ARPL16rr */
   },
   { /* 220 */
@@ -7728,291 +8184,291 @@
     /*  */
   },
   { /* 223 */
-    52,
+    54,
     /* BEXTR32rm */
   },
   { /* 224 */
-    53,
+    55,
     /* BEXTR32rr */
   },
   { /* 225 */
-    54,
+    56,
     /* BEXTR64rm */
   },
   { /* 226 */
-    55,
+    57,
     /* BEXTR64rr */
   },
   { /* 227 */
-    56,
+    58,
     /* BEXTRI32mi */
   },
   { /* 228 */
-    57,
+    59,
     /* BEXTRI32ri */
   },
   { /* 229 */
-    58,
+    60,
     /* BEXTRI64mi */
   },
   { /* 230 */
-    59,
+    61,
     /* BEXTRI64ri */
   },
   { /* 231 */
-    60,
+    62,
     /* BLCFILL32rm */
   },
   { /* 232 */
-    61,
+    63,
     /* BLCFILL32rr */
   },
   { /* 233 */
-    62,
+    64,
     /* BLCFILL64rm */
   },
   { /* 234 */
-    63,
+    65,
     /* BLCFILL64rr */
   },
   { /* 235 */
-    60,
+    62,
     /* BLCI32rm */
   },
   { /* 236 */
-    61,
+    63,
     /* BLCI32rr */
   },
   { /* 237 */
-    62,
+    64,
     /* BLCI64rm */
   },
   { /* 238 */
-    63,
+    65,
     /* BLCI64rr */
   },
   { /* 239 */
-    60,
+    62,
     /* BLCIC32rm */
   },
   { /* 240 */
-    61,
+    63,
     /* BLCIC32rr */
   },
   { /* 241 */
-    62,
+    64,
     /* BLCIC64rm */
   },
   { /* 242 */
-    63,
+    65,
     /* BLCIC64rr */
   },
   { /* 243 */
-    60,
+    62,
     /* BLCMSK32rm */
   },
   { /* 244 */
-    61,
+    63,
     /* BLCMSK32rr */
   },
   { /* 245 */
-    62,
+    64,
     /* BLCMSK64rm */
   },
   { /* 246 */
-    63,
+    65,
     /* BLCMSK64rr */
   },
   { /* 247 */
-    60,
+    62,
     /* BLCS32rm */
   },
   { /* 248 */
-    61,
+    63,
     /* BLCS32rr */
   },
   { /* 249 */
-    62,
+    64,
     /* BLCS64rm */
   },
   { /* 250 */
-    63,
+    65,
     /* BLCS64rr */
   },
   { /* 251 */
-    64,
+    66,
     /* BLENDPDrmi */
   },
   { /* 252 */
-    65,
+    67,
     /* BLENDPDrri */
   },
   { /* 253 */
-    64,
+    66,
     /* BLENDPSrmi */
   },
   { /* 254 */
-    65,
+    67,
     /* BLENDPSrri */
   },
   { /* 255 */
-    32,
+    30,
     /* BLENDVPDrm0 */
   },
   { /* 256 */
-    33,
+    31,
     /* BLENDVPDrr0 */
   },
   { /* 257 */
-    32,
+    30,
     /* BLENDVPSrm0 */
   },
   { /* 258 */
-    33,
+    31,
     /* BLENDVPSrr0 */
   },
   { /* 259 */
-    60,
+    62,
     /* BLSFILL32rm */
   },
   { /* 260 */
-    61,
+    63,
     /* BLSFILL32rr */
   },
   { /* 261 */
-    62,
+    64,
     /* BLSFILL64rm */
   },
   { /* 262 */
-    63,
+    65,
     /* BLSFILL64rr */
   },
   { /* 263 */
-    60,
+    62,
     /* BLSI32rm */
   },
   { /* 264 */
-    61,
+    63,
     /* BLSI32rr */
   },
   { /* 265 */
-    62,
+    64,
     /* BLSI64rm */
   },
   { /* 266 */
-    63,
+    65,
     /* BLSI64rr */
   },
   { /* 267 */
-    60,
+    62,
     /* BLSIC32rm */
   },
   { /* 268 */
-    61,
+    63,
     /* BLSIC32rr */
   },
   { /* 269 */
-    62,
+    64,
     /* BLSIC64rm */
   },
   { /* 270 */
-    63,
+    65,
     /* BLSIC64rr */
   },
   { /* 271 */
-    60,
+    62,
     /* BLSMSK32rm */
   },
   { /* 272 */
-    61,
+    63,
     /* BLSMSK32rr */
   },
   { /* 273 */
-    62,
+    64,
     /* BLSMSK64rm */
   },
   { /* 274 */
-    63,
+    65,
     /* BLSMSK64rr */
   },
   { /* 275 */
-    60,
+    62,
     /* BLSR32rm */
   },
   { /* 276 */
-    61,
+    63,
     /* BLSR32rr */
   },
   { /* 277 */
-    62,
+    64,
     /* BLSR64rm */
   },
   { /* 278 */
-    63,
+    65,
     /* BLSR64rr */
   },
   { /* 279 */
-    66,
+    68,
     /* BOUNDS16rm */
   },
   { /* 280 */
-    66,
+    68,
     /* BOUNDS32rm */
   },
   { /* 281 */
-    66,
+    68,
     /* BSF16rm */
   },
   { /* 282 */
-    67,
+    69,
     /* BSF16rr */
   },
   { /* 283 */
-    66,
+    68,
     /* BSF32rm */
   },
   { /* 284 */
-    67,
+    69,
     /* BSF32rr */
   },
   { /* 285 */
-    30,
+    42,
     /* BSF64rm */
   },
   { /* 286 */
-    31,
+    43,
     /* BSF64rr */
   },
   { /* 287 */
-    66,
+    68,
     /* BSR16rm */
   },
   { /* 288 */
-    67,
+    69,
     /* BSR16rr */
   },
   { /* 289 */
-    66,
+    68,
     /* BSR32rm */
   },
   { /* 290 */
-    67,
+    69,
     /* BSR32rr */
   },
   { /* 291 */
-    30,
+    42,
     /* BSR64rm */
   },
   { /* 292 */
-    31,
+    43,
     /* BSR64rr */
   },
   { /* 293 */
-    68,
+    70,
     /* BSWAP32r */
   },
   { /* 294 */
-    69,
+    71,
     /* BSWAP64r */
   },
   { /* 295 */
@@ -8024,11 +8480,11 @@
     /* BT16mr */
   },
   { /* 297 */
-    70,
+    72,
     /* BT16ri8 */
   },
   { /* 298 */
-    71,
+    73,
     /* BT16rr */
   },
   { /* 299 */
@@ -8040,11 +8496,11 @@
     /* BT32mr */
   },
   { /* 301 */
-    72,
+    74,
     /* BT32ri8 */
   },
   { /* 302 */
-    71,
+    73,
     /* BT32rr */
   },
   { /* 303 */
@@ -8056,11 +8512,11 @@
     /* BT64mr */
   },
   { /* 305 */
-    73,
+    75,
     /* BT64ri8 */
   },
   { /* 306 */
-    74,
+    76,
     /* BT64rr */
   },
   { /* 307 */
@@ -8072,11 +8528,11 @@
     /* BTC16mr */
   },
   { /* 309 */
-    70,
+    72,
     /* BTC16ri8 */
   },
   { /* 310 */
-    71,
+    73,
     /* BTC16rr */
   },
   { /* 311 */
@@ -8088,11 +8544,11 @@
     /* BTC32mr */
   },
   { /* 313 */
-    72,
+    74,
     /* BTC32ri8 */
   },
   { /* 314 */
-    71,
+    73,
     /* BTC32rr */
   },
   { /* 315 */
@@ -8104,11 +8560,11 @@
     /* BTC64mr */
   },
   { /* 317 */
-    73,
+    75,
     /* BTC64ri8 */
   },
   { /* 318 */
-    74,
+    76,
     /* BTC64rr */
   },
   { /* 319 */
@@ -8120,11 +8576,11 @@
     /* BTR16mr */
   },
   { /* 321 */
-    70,
+    72,
     /* BTR16ri8 */
   },
   { /* 322 */
-    71,
+    73,
     /* BTR16rr */
   },
   { /* 323 */
@@ -8136,11 +8592,11 @@
     /* BTR32mr */
   },
   { /* 325 */
-    72,
+    74,
     /* BTR32ri8 */
   },
   { /* 326 */
-    71,
+    73,
     /* BTR32rr */
   },
   { /* 327 */
@@ -8152,11 +8608,11 @@
     /* BTR64mr */
   },
   { /* 329 */
-    73,
+    75,
     /* BTR64ri8 */
   },
   { /* 330 */
-    74,
+    76,
     /* BTR64rr */
   },
   { /* 331 */
@@ -8168,11 +8624,11 @@
     /* BTS16mr */
   },
   { /* 333 */
-    70,
+    72,
     /* BTS16ri8 */
   },
   { /* 334 */
-    71,
+    73,
     /* BTS16rr */
   },
   { /* 335 */
@@ -8184,11 +8640,11 @@
     /* BTS32mr */
   },
   { /* 337 */
-    72,
+    74,
     /* BTS32ri8 */
   },
   { /* 338 */
-    71,
+    73,
     /* BTS32rr */
   },
   { /* 339 */
@@ -8200,63 +8656,63 @@
     /* BTS64mr */
   },
   { /* 341 */
-    73,
+    75,
     /* BTS64ri8 */
   },
   { /* 342 */
-    74,
+    76,
     /* BTS64rr */
   },
   { /* 343 */
-    52,
+    54,
     /* BZHI32rm */
   },
   { /* 344 */
-    53,
+    55,
     /* BZHI32rr */
   },
   { /* 345 */
-    54,
+    56,
     /* BZHI64rm */
   },
   { /* 346 */
-    55,
+    57,
     /* BZHI64rr */
   },
   { /* 347 */
-    40,
+    38,
     /* CALL16m */
   },
   { /* 348 */
-    75,
+    77,
     /* CALL16r */
   },
   { /* 349 */
-    40,
+    38,
     /* CALL32m */
   },
   { /* 350 */
-    75,
+    77,
     /* CALL32r */
   },
   { /* 351 */
-    40,
+    38,
     /* CALL64m */
   },
   { /* 352 */
-    76,
+    78,
     /* CALL64pcrel32 */
   },
   { /* 353 */
-    77,
+    79,
     /* CALL64r */
   },
   { /* 354 */
-    78,
+    80,
     /* CALLpcrel16 */
   },
   { /* 355 */
-    79,
+    81,
     /* CALLpcrel32 */
   },
   { /* 356 */
@@ -8300,7 +8756,7 @@
     /* CLD */
   },
   { /* 366 */
-    80,
+    82,
     /* CLFLUSH */
   },
   { /* 367 */
@@ -8416,7 +8872,7 @@
     /* CMOVBE64rr */
   },
   { /* 395 */
-    41,
+    39,
     /* CMOVBE_F */
   },
   { /* 396 */
@@ -8432,7 +8888,7 @@
     /*  */
   },
   { /* 399 */
-    41,
+    39,
     /* CMOVB_F */
   },
   { /* 400 */
@@ -8472,7 +8928,7 @@
     /* CMOVE64rr */
   },
   { /* 409 */
-    41,
+    39,
     /* CMOVE_F */
   },
   { /* 410 */
@@ -8584,7 +9040,7 @@
     /* CMOVLE64rr */
   },
   { /* 437 */
-    41,
+    39,
     /* CMOVNBE_F */
   },
   { /* 438 */
@@ -8600,7 +9056,7 @@
     /*  */
   },
   { /* 441 */
-    41,
+    39,
     /* CMOVNB_F */
   },
   { /* 442 */
@@ -8640,7 +9096,7 @@
     /* CMOVNE64rr */
   },
   { /* 451 */
-    41,
+    39,
     /* CMOVNE_F */
   },
   { /* 452 */
@@ -8704,7 +9160,7 @@
     /* CMOVNP64rr */
   },
   { /* 467 */
-    41,
+    39,
     /* CMOVNP_F */
   },
   { /* 468 */
@@ -8792,7 +9248,7 @@
     /* CMOVP64rr */
   },
   { /* 489 */
-    41,
+    39,
     /* CMOVP_F */
   },
   { /* 490 */
@@ -8916,23 +9372,23 @@
     /* CMP16mr */
   },
   { /* 520 */
-    81,
+    83,
     /* CMP16ri */
   },
   { /* 521 */
-    70,
+    72,
     /* CMP16ri8 */
   },
   { /* 522 */
-    66,
+    68,
     /* CMP16rm */
   },
   { /* 523 */
-    71,
+    73,
     /* CMP16rr */
   },
   { /* 524 */
-    67,
+    69,
     /* CMP16rr_REV */
   },
   { /* 525 */
@@ -8952,23 +9408,23 @@
     /* CMP32mr */
   },
   { /* 529 */
-    81,
+    83,
     /* CMP32ri */
   },
   { /* 530 */
-    72,
+    74,
     /* CMP32ri8 */
   },
   { /* 531 */
-    66,
+    68,
     /* CMP32rm */
   },
   { /* 532 */
-    71,
+    73,
     /* CMP32rr */
   },
   { /* 533 */
-    67,
+    69,
     /* CMP32rr_REV */
   },
   { /* 534 */
@@ -8988,23 +9444,23 @@
     /* CMP64mr */
   },
   { /* 538 */
-    82,
+    84,
     /* CMP64ri32 */
   },
   { /* 539 */
-    73,
+    75,
     /* CMP64ri8 */
   },
   { /* 540 */
-    30,
+    42,
     /* CMP64rm */
   },
   { /* 541 */
-    74,
+    76,
     /* CMP64rr */
   },
   { /* 542 */
-    31,
+    43,
     /* CMP64rr_REV */
   },
   { /* 543 */
@@ -9020,23 +9476,23 @@
     /* CMP8mr */
   },
   { /* 546 */
-    83,
+    85,
     /* CMP8ri */
   },
   { /* 547 */
-    84,
+    86,
     /* CMP8rm */
   },
   { /* 548 */
-    85,
+    87,
     /* CMP8rr */
   },
   { /* 549 */
-    86,
+    88,
     /* CMP8rr_REV */
   },
   { /* 550 */
-    87,
+    89,
     /* CMPPDrmi */
   },
   { /* 551 */
@@ -9044,7 +9500,7 @@
     /*  */
   },
   { /* 552 */
-    88,
+    90,
     /* CMPPDrri */
   },
   { /* 553 */
@@ -9052,7 +9508,7 @@
     /*  */
   },
   { /* 554 */
-    87,
+    89,
     /* CMPPSrmi */
   },
   { /* 555 */
@@ -9060,7 +9516,7 @@
     /*  */
   },
   { /* 556 */
-    88,
+    90,
     /* CMPPSrri */
   },
   { /* 557 */
@@ -9068,11 +9524,11 @@
     /*  */
   },
   { /* 558 */
-    89,
+    91,
     /* CMPSB */
   },
   { /* 559 */
-    90,
+    92,
     /* CMPSDrm */
   },
   { /* 560 */
@@ -9080,7 +9536,7 @@
     /*  */
   },
   { /* 561 */
-    91,
+    93,
     /* CMPSDrr */
   },
   { /* 562 */
@@ -9088,15 +9544,15 @@
     /*  */
   },
   { /* 563 */
-    92,
+    94,
     /* CMPSL */
   },
   { /* 564 */
-    93,
+    95,
     /* CMPSQ */
   },
   { /* 565 */
-    94,
+    96,
     /* CMPSSrm */
   },
   { /* 566 */
@@ -9104,7 +9560,7 @@
     /*  */
   },
   { /* 567 */
-    95,
+    97,
     /* CMPSSrr */
   },
   { /* 568 */
@@ -9112,11 +9568,11 @@
     /*  */
   },
   { /* 569 */
-    96,
+    98,
     /* CMPSW */
   },
   { /* 570 */
-    97,
+    99,
     /* CMPXCHG16B */
   },
   { /* 571 */
@@ -9124,7 +9580,7 @@
     /* CMPXCHG16rm */
   },
   { /* 572 */
-    71,
+    73,
     /* CMPXCHG16rr */
   },
   { /* 573 */
@@ -9132,7 +9588,7 @@
     /* CMPXCHG32rm */
   },
   { /* 574 */
-    71,
+    73,
     /* CMPXCHG32rr */
   },
   { /* 575 */
@@ -9140,11 +9596,11 @@
     /* CMPXCHG64rm */
   },
   { /* 576 */
-    74,
+    76,
     /* CMPXCHG64rr */
   },
   { /* 577 */
-    40,
+    38,
     /* CMPXCHG8B */
   },
   { /* 578 */
@@ -9152,39 +9608,39 @@
     /* CMPXCHG8rm */
   },
   { /* 579 */
-    85,
+    87,
     /* CMPXCHG8rr */
   },
   { /* 580 */
-    42,
+    44,
     /* COMISDrm */
   },
   { /* 581 */
-    43,
+    45,
     /* COMISDrr */
   },
   { /* 582 */
-    42,
+    44,
     /* COMISSrm */
   },
   { /* 583 */
-    43,
+    45,
     /* COMISSrr */
   },
   { /* 584 */
-    41,
+    39,
     /* COMP_FST0r */
   },
   { /* 585 */
-    41,
+    39,
     /* COM_FIPr */
   },
   { /* 586 */
-    41,
+    39,
     /* COM_FIr */
   },
   { /* 587 */
-    41,
+    39,
     /* COM_FST0r */
   },
   { /* 588 */
@@ -9216,7 +9672,7 @@
     /* CQO */
   },
   { /* 595 */
-    98,
+    28,
     /* CRC32r32m16 */
   },
   { /* 596 */
@@ -9224,11 +9680,11 @@
     /* CRC32r32m32 */
   },
   { /* 597 */
-    99,
+    100,
     /* CRC32r32m8 */
   },
   { /* 598 */
-    100,
+    101,
     /* CRC32r32r16 */
   },
   { /* 599 */
@@ -9236,7 +9692,7 @@
     /* CRC32r32r32 */
   },
   { /* 600 */
-    101,
+    102,
     /* CRC32r32r8 */
   },
   { /* 601 */
@@ -9244,7 +9700,7 @@
     /* CRC32r64m64 */
   },
   { /* 602 */
-    102,
+    103,
     /* CRC32r64m8 */
   },
   { /* 603 */
@@ -9252,337 +9708,337 @@
     /* CRC32r64r64 */
   },
   { /* 604 */
-    103,
+    104,
     /* CRC32r64r8 */
   },
   { /* 605 */
-    0,
-    /* CS_PREFIX */
-  },
-  { /* 606 */
-    104,
+    105,
     /* CVTDQ2PDrm */
   },
-  { /* 607 */
-    43,
+  { /* 606 */
+    45,
     /* CVTDQ2PDrr */
   },
-  { /* 608 */
-    42,
+  { /* 607 */
+    44,
     /* CVTDQ2PSrm */
   },
-  { /* 609 */
-    43,
+  { /* 608 */
+    45,
     /* CVTDQ2PSrr */
   },
-  { /* 610 */
-    42,
+  { /* 609 */
+    44,
     /* CVTPD2DQrm */
   },
-  { /* 611 */
-    43,
+  { /* 610 */
+    45,
     /* CVTPD2DQrr */
   },
-  { /* 612 */
-    42,
+  { /* 611 */
+    44,
     /* CVTPD2PSrm */
   },
-  { /* 613 */
-    43,
+  { /* 612 */
+    45,
     /* CVTPD2PSrr */
   },
-  { /* 614 */
-    42,
+  { /* 613 */
+    44,
     /* CVTPS2DQrm */
   },
-  { /* 615 */
-    43,
+  { /* 614 */
+    45,
     /* CVTPS2DQrr */
   },
-  { /* 616 */
-    105,
+  { /* 615 */
+    106,
     /* CVTPS2PDrm */
   },
-  { /* 617 */
-    43,
+  { /* 616 */
+    45,
     /* CVTPS2PDrr */
   },
-  { /* 618 */
-    106,
+  { /* 617 */
+    107,
     /* CVTSD2SI64rm */
   },
-  { /* 619 */
-    107,
+  { /* 618 */
+    108,
     /* CVTSD2SI64rr */
   },
-  { /* 620 */
-    108,
+  { /* 619 */
+    109,
     /* CVTSD2SIrm */
   },
-  { /* 621 */
-    109,
+  { /* 620 */
+    110,
     /* CVTSD2SIrr */
   },
-  { /* 622 */
-    110,
+  { /* 621 */
+    111,
     /* CVTSD2SSrm */
   },
-  { /* 623 */
-    111,
+  { /* 622 */
+    112,
     /* CVTSD2SSrr */
   },
-  { /* 624 */
-    112,
+  { /* 623 */
+    113,
     /* CVTSI2SD64rm */
   },
+  { /* 624 */
+    114,
+    /* CVTSI2SD64rr */
+  },
   { /* 625 */
     113,
-    /* CVTSI2SD64rr */
-  },
-  { /* 626 */
-    112,
     /* CVTSI2SDrm */
   },
-  { /* 627 */
-    114,
+  { /* 626 */
+    115,
     /* CVTSI2SDrr */
   },
-  { /* 628 */
-    115,
+  { /* 627 */
+    116,
     /* CVTSI2SS64rm */
   },
+  { /* 628 */
+    117,
+    /* CVTSI2SS64rr */
+  },
   { /* 629 */
     116,
-    /* CVTSI2SS64rr */
-  },
-  { /* 630 */
-    115,
     /* CVTSI2SSrm */
   },
-  { /* 631 */
-    117,
+  { /* 630 */
+    118,
     /* CVTSI2SSrr */
   },
-  { /* 632 */
-    118,
+  { /* 631 */
+    119,
     /* CVTSS2SDrm */
   },
-  { /* 633 */
-    119,
+  { /* 632 */
+    120,
     /* CVTSS2SDrr */
   },
-  { /* 634 */
-    120,
+  { /* 633 */
+    121,
     /* CVTSS2SI64rm */
   },
-  { /* 635 */
-    107,
+  { /* 634 */
+    108,
     /* CVTSS2SI64rr */
   },
-  { /* 636 */
-    121,
+  { /* 635 */
+    122,
     /* CVTSS2SIrm */
   },
-  { /* 637 */
-    109,
+  { /* 636 */
+    110,
     /* CVTSS2SIrr */
   },
-  { /* 638 */
-    42,
+  { /* 637 */
+    44,
     /* CVTTPD2DQrm */
   },
-  { /* 639 */
-    43,
+  { /* 638 */
+    45,
     /* CVTTPD2DQrr */
   },
-  { /* 640 */
-    42,
+  { /* 639 */
+    44,
     /* CVTTPS2DQrm */
   },
-  { /* 641 */
-    43,
+  { /* 640 */
+    45,
     /* CVTTPS2DQrr */
   },
-  { /* 642 */
-    106,
+  { /* 641 */
+    107,
     /* CVTTSD2SI64rm */
   },
-  { /* 643 */
-    122,
+  { /* 642 */
+    123,
     /* CVTTSD2SI64rr */
   },
-  { /* 644 */
-    108,
+  { /* 643 */
+    109,
     /* CVTTSD2SIrm */
   },
-  { /* 645 */
-    123,
+  { /* 644 */
+    124,
     /* CVTTSD2SIrr */
   },
-  { /* 646 */
-    120,
+  { /* 645 */
+    121,
     /* CVTTSS2SI64rm */
   },
-  { /* 647 */
-    124,
+  { /* 646 */
+    125,
     /* CVTTSS2SI64rr */
   },
-  { /* 648 */
-    121,
+  { /* 647 */
+    122,
     /* CVTTSS2SIrm */
   },
-  { /* 649 */
-    125,
+  { /* 648 */
+    126,
     /* CVTTSS2SIrr */
   },
-  { /* 650 */
+  { /* 649 */
     0,
     /* CWD */
   },
-  { /* 651 */
+  { /* 650 */
     0,
     /* CWDE */
   },
-  { /* 652 */
+  { /* 651 */
     0,
     /* DAA */
   },
-  { /* 653 */
+  { /* 652 */
     0,
     /* DAS */
   },
-  { /* 654 */
+  { /* 653 */
     0,
     /* DATA16_PREFIX */
   },
-  { /* 655 */
-    40,
+  { /* 654 */
+    38,
     /* DEC16m */
   },
-  { /* 656 */
-    68,
+  { /* 655 */
+    70,
     /* DEC16r */
   },
-  { /* 657 */
-    126,
+  { /* 656 */
+    127,
     /* DEC32_16r */
   },
-  { /* 658 */
-    126,
+  { /* 657 */
+    127,
     /* DEC32_32r */
   },
-  { /* 659 */
-    40,
+  { /* 658 */
+    38,
     /* DEC32m */
   },
-  { /* 660 */
-    68,
+  { /* 659 */
+    70,
     /* DEC32r */
   },
-  { /* 661 */
-    40,
+  { /* 660 */
+    38,
     /* DEC64_16m */
   },
-  { /* 662 */
-    126,
+  { /* 661 */
+    127,
     /* DEC64_16r */
   },
-  { /* 663 */
-    40,
+  { /* 662 */
+    38,
     /* DEC64_32m */
   },
-  { /* 664 */
-    126,
+  { /* 663 */
+    127,
     /* DEC64_32r */
   },
-  { /* 665 */
-    40,
+  { /* 664 */
+    38,
     /* DEC64m */
   },
-  { /* 666 */
-    127,
+  { /* 665 */
+    128,
     /* DEC64r */
   },
-  { /* 667 */
-    80,
+  { /* 666 */
+    82,
     /* DEC8m */
   },
-  { /* 668 */
-    128,
+  { /* 667 */
+    129,
     /* DEC8r */
   },
-  { /* 669 */
-    40,
+  { /* 668 */
+    38,
     /* DIV16m */
   },
-  { /* 670 */
-    75,
+  { /* 669 */
+    77,
     /* DIV16r */
   },
-  { /* 671 */
-    40,
+  { /* 670 */
+    38,
     /* DIV32m */
   },
-  { /* 672 */
-    75,
+  { /* 671 */
+    77,
     /* DIV32r */
   },
-  { /* 673 */
-    40,
+  { /* 672 */
+    38,
     /* DIV64m */
   },
-  { /* 674 */
-    77,
+  { /* 673 */
+    79,
     /* DIV64r */
   },
-  { /* 675 */
-    80,
+  { /* 674 */
+    82,
     /* DIV8m */
   },
-  { /* 676 */
-    129,
+  { /* 675 */
+    130,
     /* DIV8r */
   },
-  { /* 677 */
-    32,
+  { /* 676 */
+    30,
     /* DIVPDrm */
   },
-  { /* 678 */
-    33,
+  { /* 677 */
+    31,
     /* DIVPDrr */
   },
-  { /* 679 */
-    32,
+  { /* 678 */
+    30,
     /* DIVPSrm */
   },
-  { /* 680 */
-    33,
+  { /* 679 */
+    31,
     /* DIVPSrr */
   },
-  { /* 681 */
-    38,
+  { /* 680 */
+    36,
     /* DIVR_F32m */
   },
-  { /* 682 */
-    39,
+  { /* 681 */
+    37,
     /* DIVR_F64m */
   },
-  { /* 683 */
-    40,
+  { /* 682 */
+    38,
     /* DIVR_FI16m */
   },
-  { /* 684 */
-    40,
+  { /* 683 */
+    38,
     /* DIVR_FI32m */
   },
-  { /* 685 */
-    41,
+  { /* 684 */
+    39,
     /* DIVR_FPrST0 */
   },
-  { /* 686 */
-    41,
+  { /* 685 */
+    39,
     /* DIVR_FST0r */
   },
+  { /* 686 */
+    0,
+    /*  */
+  },
   { /* 687 */
     0,
     /*  */
@@ -9624,69 +10080,69 @@
     /*  */
   },
   { /* 697 */
-    0,
-    /*  */
-  },
-  { /* 698 */
-    41,
+    39,
     /* DIVR_FrST0 */
   },
-  { /* 699 */
-    34,
+  { /* 698 */
+    32,
     /* DIVSDrm */
   },
-  { /* 700 */
+  { /* 699 */
     0,
     /*  */
   },
-  { /* 701 */
-    35,
+  { /* 700 */
+    33,
     /* DIVSDrr */
   },
-  { /* 702 */
+  { /* 701 */
     0,
     /*  */
   },
-  { /* 703 */
-    36,
+  { /* 702 */
+    34,
     /* DIVSSrm */
   },
-  { /* 704 */
+  { /* 703 */
     0,
     /*  */
   },
-  { /* 705 */
-    37,
+  { /* 704 */
+    35,
     /* DIVSSrr */
   },
-  { /* 706 */
+  { /* 705 */
     0,
     /*  */
   },
-  { /* 707 */
-    38,
+  { /* 706 */
+    36,
     /* DIV_F32m */
   },
-  { /* 708 */
-    39,
+  { /* 707 */
+    37,
     /* DIV_F64m */
   },
-  { /* 709 */
-    40,
+  { /* 708 */
+    38,
     /* DIV_FI16m */
   },
-  { /* 710 */
-    40,
+  { /* 709 */
+    38,
     /* DIV_FI32m */
   },
-  { /* 711 */
-    41,
+  { /* 710 */
+    39,
     /* DIV_FPrST0 */
   },
-  { /* 712 */
-    41,
+  { /* 711 */
+    39,
     /* DIV_FST0r */
   },
+  { /* 712 */
+    0,
+    /*  */
+  },
   { /* 713 */
     0,
     /*  */
@@ -9740,32 +10196,32 @@
     /*  */
   },
   { /* 726 */
+    39,
+    /* DIV_FrST0 */
+  },
+  { /* 727 */
+    66,
+    /* DPPDrmi */
+  },
+  { /* 728 */
+    67,
+    /* DPPDrri */
+  },
+  { /* 729 */
+    66,
+    /* DPPSrmi */
+  },
+  { /* 730 */
+    67,
+    /* DPPSrri */
+  },
+  { /* 731 */
     0,
     /*  */
   },
-  { /* 727 */
-    41,
-    /* DIV_FrST0 */
-  },
-  { /* 728 */
-    64,
-    /* DPPDrmi */
-  },
-  { /* 729 */
-    65,
-    /* DPPDrri */
-  },
-  { /* 730 */
-    64,
-    /* DPPSrmi */
-  },
-  { /* 731 */
-    65,
-    /* DPPSrri */
-  },
   { /* 732 */
     0,
-    /* DS_PREFIX */
+    /*  */
   },
   { /* 733 */
     0,
@@ -9789,200 +10245,200 @@
   },
   { /* 738 */
     0,
-    /*  */
+    /* ENCLS */
   },
   { /* 739 */
     0,
-    /*  */
-  },
-  { /* 740 */
-    0,
-    /* ENCLS */
-  },
-  { /* 741 */
-    0,
     /* ENCLU */
   },
-  { /* 742 */
-    130,
+  { /* 740 */
+    131,
     /* ENTER */
   },
-  { /* 743 */
-    0,
-    /* ES_PREFIX */
-  },
-  { /* 744 */
-    131,
+  { /* 741 */
+    132,
     /* EXTRACTPSmr */
   },
-  { /* 745 */
-    132,
+  { /* 742 */
+    133,
     /* EXTRACTPSrr */
   },
-  { /* 746 */
-    33,
+  { /* 743 */
+    31,
     /* EXTRQ */
   },
-  { /* 747 */
-    133,
+  { /* 744 */
+    134,
     /* EXTRQI */
   },
-  { /* 748 */
+  { /* 745 */
     0,
     /* F2XM1 */
   },
-  { /* 749 */
-    134,
+  { /* 746 */
+    135,
     /* FARCALL16i */
   },
-  { /* 750 */
-    135,
+  { /* 747 */
+    136,
     /* FARCALL16m */
   },
-  { /* 751 */
-    136,
+  { /* 748 */
+    137,
     /* FARCALL32i */
   },
-  { /* 752 */
-    137,
+  { /* 749 */
+    138,
     /* FARCALL32m */
   },
-  { /* 753 */
-    138,
+  { /* 750 */
+    139,
     /* FARCALL64 */
   },
-  { /* 754 */
-    134,
+  { /* 751 */
+    135,
     /* FARJMP16i */
   },
-  { /* 755 */
-    135,
+  { /* 752 */
+    136,
     /* FARJMP16m */
   },
-  { /* 756 */
-    136,
+  { /* 753 */
+    137,
     /* FARJMP32i */
   },
-  { /* 757 */
-    137,
+  { /* 754 */
+    138,
     /* FARJMP32m */
   },
-  { /* 758 */
-    138,
+  { /* 755 */
+    139,
     /* FARJMP64 */
   },
-  { /* 759 */
-    38,
+  { /* 756 */
+    36,
     /* FBLDm */
   },
-  { /* 760 */
-    38,
+  { /* 757 */
+    36,
     /* FBSTPm */
   },
-  { /* 761 */
-    38,
+  { /* 758 */
+    36,
     /* FCOM32m */
   },
-  { /* 762 */
-    39,
+  { /* 759 */
+    37,
     /* FCOM64m */
   },
-  { /* 763 */
-    38,
+  { /* 760 */
+    36,
     /* FCOMP32m */
   },
-  { /* 764 */
-    39,
+  { /* 761 */
+    37,
     /* FCOMP64m */
   },
-  { /* 765 */
+  { /* 762 */
     0,
     /* FCOMPP */
   },
-  { /* 766 */
+  { /* 763 */
     0,
     /* FDECSTP */
   },
-  { /* 767 */
+  { /* 764 */
     0,
     /* FEMMS */
   },
-  { /* 768 */
-    41,
+  { /* 765 */
+    39,
     /* FFREE */
   },
-  { /* 769 */
-    40,
+  { /* 766 */
+    38,
     /* FICOM16m */
   },
-  { /* 770 */
-    40,
+  { /* 767 */
+    38,
     /* FICOM32m */
   },
-  { /* 771 */
-    40,
+  { /* 768 */
+    38,
     /* FICOMP16m */
   },
-  { /* 772 */
-    40,
+  { /* 769 */
+    38,
     /* FICOMP32m */
   },
-  { /* 773 */
+  { /* 770 */
     0,
     /* FINCSTP */
   },
-  { /* 774 */
-    40,
+  { /* 771 */
+    38,
     /* FLDCW16m */
   },
-  { /* 775 */
-    38,
+  { /* 772 */
+    36,
     /* FLDENVm */
   },
-  { /* 776 */
+  { /* 773 */
     0,
     /* FLDL2E */
   },
-  { /* 777 */
+  { /* 774 */
     0,
     /* FLDL2T */
   },
-  { /* 778 */
+  { /* 775 */
     0,
     /* FLDLG2 */
   },
-  { /* 779 */
+  { /* 776 */
     0,
     /* FLDLN2 */
   },
-  { /* 780 */
+  { /* 777 */
     0,
     /* FLDPI */
   },
-  { /* 781 */
+  { /* 778 */
     0,
     /* FNCLEX */
   },
-  { /* 782 */
+  { /* 779 */
     0,
     /* FNINIT */
   },
-  { /* 783 */
+  { /* 780 */
     0,
     /* FNOP */
   },
-  { /* 784 */
-    40,
+  { /* 781 */
+    38,
     /* FNSTCW16m */
   },
-  { /* 785 */
+  { /* 782 */
     0,
     /* FNSTSW16r */
   },
-  { /* 786 */
-    38,
+  { /* 783 */
+    36,
     /* FNSTSWm */
   },
+  { /* 784 */
+    0,
+    /*  */
+  },
+  { /* 785 */
+    0,
+    /*  */
+  },
+  { /* 786 */
+    0,
+    /*  */
+  },
   { /* 787 */
     0,
     /*  */
@@ -10009,96 +10465,96 @@
   },
   { /* 793 */
     0,
-    /*  */
+    /* FPATAN */
   },
   { /* 794 */
     0,
-    /*  */
+    /* FPREM */
   },
   { /* 795 */
     0,
-    /*  */
+    /* FPREM1 */
   },
   { /* 796 */
     0,
-    /* FPATAN */
+    /* FPTAN */
   },
   { /* 797 */
     0,
-    /* FPREM */
+    /* FRNDINT */
   },
   { /* 798 */
-    0,
-    /* FPREM1 */
+    36,
+    /* FRSTORm */
   },
   { /* 799 */
-    0,
-    /* FPTAN */
+    36,
+    /* FSAVEm */
   },
   { /* 800 */
     0,
-    /* FRNDINT */
-  },
-  { /* 801 */
-    38,
-    /* FRSTORm */
-  },
-  { /* 802 */
-    38,
-    /* FSAVEm */
-  },
-  { /* 803 */
-    0,
     /* FSCALE */
   },
-  { /* 804 */
+  { /* 801 */
     0,
     /* FSETPM */
   },
-  { /* 805 */
+  { /* 802 */
     0,
     /* FSINCOS */
   },
-  { /* 806 */
-    38,
+  { /* 803 */
+    36,
     /* FSTENVm */
   },
-  { /* 807 */
-    0,
-    /* FS_PREFIX */
-  },
-  { /* 808 */
+  { /* 804 */
     0,
     /* FXAM */
   },
-  { /* 809 */
-    139,
+  { /* 805 */
+    140,
     /* FXRSTOR */
   },
-  { /* 810 */
-    139,
+  { /* 806 */
+    140,
     /* FXRSTOR64 */
   },
-  { /* 811 */
-    139,
+  { /* 807 */
+    140,
     /* FXSAVE */
   },
-  { /* 812 */
-    139,
+  { /* 808 */
+    140,
     /* FXSAVE64 */
   },
-  { /* 813 */
+  { /* 809 */
     0,
     /* FXTRACT */
   },
-  { /* 814 */
+  { /* 810 */
     0,
     /* FYL2X */
   },
-  { /* 815 */
+  { /* 811 */
     0,
     /* FYL2XP1 */
   },
+  { /* 812 */
+    0,
+    /*  */
+  },
+  { /* 813 */
+    0,
+    /*  */
+  },
+  { /* 814 */
+    0,
+    /*  */
+  },
+  { /* 815 */
+    0,
+    /*  */
+  },
   { /* 816 */
     0,
     /*  */
@@ -10173,108 +10629,108 @@
   },
   { /* 834 */
     0,
-    /*  */
+    /* GETSEC */
   },
   { /* 835 */
-    0,
-    /*  */
+    30,
+    /* HADDPDrm */
   },
   { /* 836 */
-    0,
-    /*  */
+    31,
+    /* HADDPDrr */
   },
   { /* 837 */
-    0,
-    /*  */
+    30,
+    /* HADDPSrm */
   },
   { /* 838 */
-    0,
-    /* GETSEC */
+    31,
+    /* HADDPSrr */
   },
   { /* 839 */
     0,
-    /* GS_PREFIX */
-  },
-  { /* 840 */
-    32,
-    /* HADDPDrm */
-  },
-  { /* 841 */
-    33,
-    /* HADDPDrr */
-  },
-  { /* 842 */
-    32,
-    /* HADDPSrm */
-  },
-  { /* 843 */
-    33,
-    /* HADDPSrr */
-  },
-  { /* 844 */
-    0,
     /* HLT */
   },
-  { /* 845 */
-    32,
+  { /* 840 */
+    30,
     /* HSUBPDrm */
   },
-  { /* 846 */
-    33,
+  { /* 841 */
+    31,
     /* HSUBPDrr */
   },
-  { /* 847 */
-    32,
+  { /* 842 */
+    30,
     /* HSUBPSrm */
   },
-  { /* 848 */
-    33,
+  { /* 843 */
+    31,
     /* HSUBPSrr */
   },
-  { /* 849 */
-    40,
+  { /* 844 */
+    38,
     /* IDIV16m */
   },
-  { /* 850 */
-    75,
+  { /* 845 */
+    77,
     /* IDIV16r */
   },
-  { /* 851 */
-    40,
+  { /* 846 */
+    38,
     /* IDIV32m */
   },
-  { /* 852 */
-    75,
+  { /* 847 */
+    77,
     /* IDIV32r */
   },
-  { /* 853 */
-    40,
+  { /* 848 */
+    38,
     /* IDIV64m */
   },
-  { /* 854 */
-    77,
+  { /* 849 */
+    79,
     /* IDIV64r */
   },
-  { /* 855 */
-    80,
+  { /* 850 */
+    82,
     /* IDIV8m */
   },
-  { /* 856 */
-    129,
+  { /* 851 */
+    130,
     /* IDIV8r */
   },
-  { /* 857 */
-    40,
+  { /* 852 */
+    38,
     /* ILD_F16m */
   },
-  { /* 858 */
-    40,
+  { /* 853 */
+    38,
     /* ILD_F32m */
   },
-  { /* 859 */
-    40,
+  { /* 854 */
+    38,
     /* ILD_F64m */
   },
+  { /* 855 */
+    0,
+    /*  */
+  },
+  { /* 856 */
+    0,
+    /*  */
+  },
+  { /* 857 */
+    0,
+    /*  */
+  },
+  { /* 858 */
+    0,
+    /*  */
+  },
+  { /* 859 */
+    0,
+    /*  */
+  },
   { /* 860 */
     0,
     /*  */
@@ -10292,317 +10748,317 @@
     /*  */
   },
   { /* 864 */
-    0,
-    /*  */
-  },
-  { /* 865 */
-    0,
-    /*  */
-  },
-  { /* 866 */
-    0,
-    /*  */
-  },
-  { /* 867 */
-    0,
-    /*  */
-  },
-  { /* 868 */
-    0,
-    /*  */
-  },
-  { /* 869 */
-    40,
+    38,
     /* IMUL16m */
   },
-  { /* 870 */
-    75,
+  { /* 865 */
+    77,
     /* IMUL16r */
   },
-  { /* 871 */
+  { /* 866 */
     8,
     /* IMUL16rm */
   },
-  { /* 872 */
-    140,
+  { /* 867 */
+    141,
     /* IMUL16rmi */
   },
-  { /* 873 */
-    141,
+  { /* 868 */
+    142,
     /* IMUL16rmi8 */
   },
-  { /* 874 */
+  { /* 869 */
     10,
     /* IMUL16rr */
   },
-  { /* 875 */
-    142,
+  { /* 870 */
+    143,
     /* IMUL16rri */
   },
-  { /* 876 */
-    143,
+  { /* 871 */
+    144,
     /* IMUL16rri8 */
   },
-  { /* 877 */
-    40,
+  { /* 872 */
+    38,
     /* IMUL32m */
   },
-  { /* 878 */
-    75,
+  { /* 873 */
+    77,
     /* IMUL32r */
   },
-  { /* 879 */
+  { /* 874 */
     8,
     /* IMUL32rm */
   },
-  { /* 880 */
-    140,
+  { /* 875 */
+    141,
     /* IMUL32rmi */
   },
-  { /* 881 */
-    144,
+  { /* 876 */
+    145,
     /* IMUL32rmi8 */
   },
-  { /* 882 */
+  { /* 877 */
     10,
     /* IMUL32rr */
   },
-  { /* 883 */
-    142,
+  { /* 878 */
+    143,
     /* IMUL32rri */
   },
-  { /* 884 */
-    145,
+  { /* 879 */
+    146,
     /* IMUL32rri8 */
   },
-  { /* 885 */
-    40,
+  { /* 880 */
+    38,
     /* IMUL64m */
   },
-  { /* 886 */
-    77,
+  { /* 881 */
+    79,
     /* IMUL64r */
   },
-  { /* 887 */
+  { /* 882 */
     19,
     /* IMUL64rm */
   },
-  { /* 888 */
-    58,
+  { /* 883 */
+    60,
     /* IMUL64rmi32 */
   },
-  { /* 889 */
-    146,
+  { /* 884 */
+    147,
     /* IMUL64rmi8 */
   },
-  { /* 890 */
+  { /* 885 */
     21,
     /* IMUL64rr */
   },
-  { /* 891 */
-    59,
+  { /* 886 */
+    61,
     /* IMUL64rri32 */
   },
-  { /* 892 */
-    147,
+  { /* 887 */
+    148,
     /* IMUL64rri8 */
   },
-  { /* 893 */
-    80,
+  { /* 888 */
+    82,
     /* IMUL8m */
   },
-  { /* 894 */
-    129,
+  { /* 889 */
+    130,
     /* IMUL8r */
   },
-  { /* 895 */
+  { /* 890 */
     1,
     /* IN16ri */
   },
-  { /* 896 */
+  { /* 891 */
     0,
     /* IN16rr */
   },
-  { /* 897 */
+  { /* 892 */
     1,
     /* IN32ri */
   },
-  { /* 898 */
+  { /* 893 */
     0,
     /* IN32rr */
   },
-  { /* 899 */
+  { /* 894 */
     1,
     /* IN8ri */
   },
-  { /* 900 */
+  { /* 895 */
     0,
     /* IN8rr */
   },
-  { /* 901 */
-    40,
+  { /* 896 */
+    38,
     /* INC16m */
   },
-  { /* 902 */
-    68,
+  { /* 897 */
+    70,
     /* INC16r */
   },
-  { /* 903 */
-    126,
+  { /* 898 */
+    127,
     /* INC32_16r */
   },
-  { /* 904 */
-    126,
+  { /* 899 */
+    127,
     /* INC32_32r */
   },
-  { /* 905 */
-    40,
+  { /* 900 */
+    38,
     /* INC32m */
   },
-  { /* 906 */
-    68,
+  { /* 901 */
+    70,
     /* INC32r */
   },
-  { /* 907 */
-    40,
+  { /* 902 */
+    38,
     /* INC64_16m */
   },
-  { /* 908 */
-    126,
+  { /* 903 */
+    127,
     /* INC64_16r */
   },
-  { /* 909 */
-    40,
+  { /* 904 */
+    38,
     /* INC64_32m */
   },
-  { /* 910 */
-    126,
+  { /* 905 */
+    127,
     /* INC64_32r */
   },
-  { /* 911 */
-    40,
+  { /* 906 */
+    38,
     /* INC64m */
   },
-  { /* 912 */
-    127,
+  { /* 907 */
+    128,
     /* INC64r */
   },
-  { /* 913 */
-    80,
+  { /* 908 */
+    82,
     /* INC8m */
   },
-  { /* 914 */
-    128,
+  { /* 909 */
+    129,
     /* INC8r */
   },
-  { /* 915 */
-    148,
+  { /* 910 */
+    149,
     /* INSB */
   },
-  { /* 916 */
-    149,
+  { /* 911 */
+    150,
     /* INSERTPSrm */
   },
-  { /* 917 */
-    65,
+  { /* 912 */
+    67,
     /* INSERTPSrr */
   },
-  { /* 918 */
-    33,
+  { /* 913 */
+    31,
     /* INSERTQ */
   },
-  { /* 919 */
-    150,
+  { /* 914 */
+    151,
     /* INSERTQI */
   },
-  { /* 920 */
-    151,
+  { /* 915 */
+    152,
     /* INSL */
   },
-  { /* 921 */
-    152,
+  { /* 916 */
+    153,
     /* INSW */
   },
-  { /* 922 */
+  { /* 917 */
     1,
     /* INT */
   },
-  { /* 923 */
+  { /* 918 */
     0,
     /* INT1 */
   },
-  { /* 924 */
+  { /* 919 */
     0,
     /* INT3 */
   },
-  { /* 925 */
+  { /* 920 */
     0,
     /* INTO */
   },
-  { /* 926 */
+  { /* 921 */
     0,
     /* INVD */
   },
-  { /* 927 */
-    153,
+  { /* 922 */
+    154,
     /* INVEPT32 */
   },
-  { /* 928 */
-    154,
+  { /* 923 */
+    155,
     /* INVEPT64 */
   },
-  { /* 929 */
-    80,
+  { /* 924 */
+    82,
     /* INVLPG */
   },
-  { /* 930 */
+  { /* 925 */
     0,
     /* INVLPGA32 */
   },
-  { /* 931 */
+  { /* 926 */
     0,
     /* INVLPGA64 */
   },
-  { /* 932 */
-    153,
+  { /* 927 */
+    154,
     /* INVPCID32 */
   },
-  { /* 933 */
-    154,
+  { /* 928 */
+    155,
     /* INVPCID64 */
   },
-  { /* 934 */
-    153,
+  { /* 929 */
+    154,
     /* INVVPID32 */
   },
-  { /* 935 */
-    154,
+  { /* 930 */
+    155,
     /* INVVPID64 */
   },
-  { /* 936 */
+  { /* 931 */
     0,
     /* IRET16 */
   },
-  { /* 937 */
+  { /* 932 */
     0,
     /* IRET32 */
   },
-  { /* 938 */
+  { /* 933 */
     0,
     /* IRET64 */
   },
-  { /* 939 */
-    40,
+  { /* 934 */
+    38,
     /* ISTT_FP16m */
   },
-  { /* 940 */
-    40,
+  { /* 935 */
+    38,
     /* ISTT_FP32m */
   },
-  { /* 941 */
-    40,
+  { /* 936 */
+    38,
     /* ISTT_FP64m */
   },
+  { /* 937 */
+    0,
+    /*  */
+  },
+  { /* 938 */
+    0,
+    /*  */
+  },
+  { /* 939 */
+    0,
+    /*  */
+  },
+  { /* 940 */
+    0,
+    /*  */
+  },
+  { /* 941 */
+    0,
+    /*  */
+  },
   { /* 942 */
     0,
     /*  */
@@ -10620,45 +11076,45 @@
     /*  */
   },
   { /* 946 */
-    0,
-    /*  */
-  },
-  { /* 947 */
-    0,
-    /*  */
-  },
-  { /* 948 */
-    0,
-    /*  */
-  },
-  { /* 949 */
-    0,
-    /*  */
-  },
-  { /* 950 */
-    0,
-    /*  */
-  },
-  { /* 951 */
-    40,
+    38,
     /* IST_F16m */
   },
-  { /* 952 */
-    40,
+  { /* 947 */
+    38,
     /* IST_F32m */
   },
-  { /* 953 */
-    40,
+  { /* 948 */
+    38,
     /* IST_FP16m */
   },
-  { /* 954 */
-    40,
+  { /* 949 */
+    38,
     /* IST_FP32m */
   },
-  { /* 955 */
-    40,
+  { /* 950 */
+    38,
     /* IST_FP64m */
   },
+  { /* 951 */
+    0,
+    /*  */
+  },
+  { /* 952 */
+    0,
+    /*  */
+  },
+  { /* 953 */
+    0,
+    /*  */
+  },
+  { /* 954 */
+    0,
+    /*  */
+  },
+  { /* 955 */
+    0,
+    /*  */
+  },
   { /* 956 */
     0,
     /*  */
@@ -11096,565 +11552,565 @@
     /*  */
   },
   { /* 1065 */
-    0,
-    /*  */
+    156,
+    /* JAE_1 */
   },
   { /* 1066 */
-    0,
-    /*  */
+    157,
+    /* JAE_2 */
   },
   { /* 1067 */
-    0,
-    /*  */
+    157,
+    /* JAE_4 */
   },
   { /* 1068 */
-    0,
-    /*  */
+    156,
+    /* JA_1 */
   },
   { /* 1069 */
-    0,
-    /*  */
+    157,
+    /* JA_2 */
   },
   { /* 1070 */
-    155,
-    /* JAE_1 */
+    157,
+    /* JA_4 */
   },
   { /* 1071 */
     156,
-    /* JAE_2 */
+    /* JBE_1 */
   },
   { /* 1072 */
-    156,
-    /* JAE_4 */
+    157,
+    /* JBE_2 */
   },
   { /* 1073 */
-    155,
-    /* JA_1 */
+    157,
+    /* JBE_4 */
   },
   { /* 1074 */
     156,
-    /* JA_2 */
+    /* JB_1 */
   },
   { /* 1075 */
-    156,
-    /* JA_4 */
+    157,
+    /* JB_2 */
   },
   { /* 1076 */
-    155,
-    /* JBE_1 */
+    157,
+    /* JB_4 */
   },
   { /* 1077 */
     156,
-    /* JBE_2 */
+    /* JCXZ */
   },
   { /* 1078 */
     156,
-    /* JBE_4 */
+    /* JECXZ_32 */
   },
   { /* 1079 */
-    155,
-    /* JB_1 */
+    156,
+    /* JECXZ_64 */
   },
   { /* 1080 */
     156,
-    /* JB_2 */
+    /* JE_1 */
   },
   { /* 1081 */
-    156,
-    /* JB_4 */
+    157,
+    /* JE_2 */
   },
   { /* 1082 */
-    155,
-    /* JCXZ */
+    157,
+    /* JE_4 */
   },
   { /* 1083 */
-    155,
-    /* JECXZ_32 */
+    156,
+    /* JGE_1 */
   },
   { /* 1084 */
-    155,
-    /* JECXZ_64 */
+    157,
+    /* JGE_2 */
   },
   { /* 1085 */
-    155,
-    /* JE_1 */
+    157,
+    /* JGE_4 */
   },
   { /* 1086 */
     156,
-    /* JE_2 */
+    /* JG_1 */
   },
   { /* 1087 */
-    156,
-    /* JE_4 */
+    157,
+    /* JG_2 */
   },
   { /* 1088 */
-    155,
-    /* JGE_1 */
+    157,
+    /* JG_4 */
   },
   { /* 1089 */
     156,
-    /* JGE_2 */
+    /* JLE_1 */
   },
   { /* 1090 */
-    156,
-    /* JGE_4 */
+    157,
+    /* JLE_2 */
   },
   { /* 1091 */
-    155,
-    /* JG_1 */
+    157,
+    /* JLE_4 */
   },
   { /* 1092 */
     156,
-    /* JG_2 */
-  },
-  { /* 1093 */
-    156,
-    /* JG_4 */
-  },
-  { /* 1094 */
-    155,
-    /* JLE_1 */
-  },
-  { /* 1095 */
-    156,
-    /* JLE_2 */
-  },
-  { /* 1096 */
-    156,
-    /* JLE_4 */
-  },
-  { /* 1097 */
-    155,
     /* JL_1 */
   },
-  { /* 1098 */
-    156,
+  { /* 1093 */
+    157,
     /* JL_2 */
   },
-  { /* 1099 */
-    156,
+  { /* 1094 */
+    157,
     /* JL_4 */
   },
-  { /* 1100 */
-    40,
+  { /* 1095 */
+    38,
     /* JMP16m */
   },
-  { /* 1101 */
-    75,
+  { /* 1096 */
+    77,
     /* JMP16r */
   },
-  { /* 1102 */
-    40,
+  { /* 1097 */
+    38,
     /* JMP32m */
   },
-  { /* 1103 */
-    75,
+  { /* 1098 */
+    77,
     /* JMP32r */
   },
-  { /* 1104 */
-    40,
+  { /* 1099 */
+    38,
     /* JMP64m */
   },
-  { /* 1105 */
-    77,
+  { /* 1100 */
+    79,
     /* JMP64r */
   },
-  { /* 1106 */
-    155,
+  { /* 1101 */
+    156,
     /* JMP_1 */
   },
+  { /* 1102 */
+    157,
+    /* JMP_2 */
+  },
+  { /* 1103 */
+    157,
+    /* JMP_4 */
+  },
+  { /* 1104 */
+    156,
+    /* JNE_1 */
+  },
+  { /* 1105 */
+    157,
+    /* JNE_2 */
+  },
+  { /* 1106 */
+    157,
+    /* JNE_4 */
+  },
   { /* 1107 */
     156,
-    /* JMP_2 */
+    /* JNO_1 */
   },
   { /* 1108 */
-    156,
-    /* JMP_4 */
+    157,
+    /* JNO_2 */
   },
   { /* 1109 */
-    155,
-    /* JNE_1 */
+    157,
+    /* JNO_4 */
   },
   { /* 1110 */
     156,
-    /* JNE_2 */
+    /* JNP_1 */
   },
   { /* 1111 */
-    156,
-    /* JNE_4 */
+    157,
+    /* JNP_2 */
   },
   { /* 1112 */
-    155,
-    /* JNO_1 */
+    157,
+    /* JNP_4 */
   },
   { /* 1113 */
     156,
-    /* JNO_2 */
+    /* JNS_1 */
   },
   { /* 1114 */
-    156,
-    /* JNO_4 */
+    157,
+    /* JNS_2 */
   },
   { /* 1115 */
-    155,
-    /* JNP_1 */
+    157,
+    /* JNS_4 */
   },
   { /* 1116 */
     156,
-    /* JNP_2 */
+    /* JO_1 */
   },
   { /* 1117 */
-    156,
-    /* JNP_4 */
+    157,
+    /* JO_2 */
   },
   { /* 1118 */
-    155,
-    /* JNS_1 */
+    157,
+    /* JO_4 */
   },
   { /* 1119 */
     156,
-    /* JNS_2 */
+    /* JP_1 */
   },
   { /* 1120 */
-    156,
-    /* JNS_4 */
+    157,
+    /* JP_2 */
   },
   { /* 1121 */
-    155,
-    /* JO_1 */
+    157,
+    /* JP_4 */
   },
   { /* 1122 */
     156,
-    /* JO_2 */
+    /* JRCXZ */
   },
   { /* 1123 */
     156,
-    /* JO_4 */
-  },
-  { /* 1124 */
-    155,
-    /* JP_1 */
-  },
-  { /* 1125 */
-    156,
-    /* JP_2 */
-  },
-  { /* 1126 */
-    156,
-    /* JP_4 */
-  },
-  { /* 1127 */
-    155,
-    /* JRCXZ */
-  },
-  { /* 1128 */
-    155,
     /* JS_1 */
   },
-  { /* 1129 */
-    156,
+  { /* 1124 */
+    157,
     /* JS_2 */
   },
-  { /* 1130 */
-    156,
+  { /* 1125 */
+    157,
     /* JS_4 */
   },
-  { /* 1131 */
-    157,
+  { /* 1126 */
+    158,
     /* KANDBrr */
   },
-  { /* 1132 */
-    158,
+  { /* 1127 */
+    159,
     /* KANDDrr */
   },
-  { /* 1133 */
-    157,
+  { /* 1128 */
+    158,
     /* KANDNBrr */
   },
-  { /* 1134 */
-    158,
+  { /* 1129 */
+    159,
     /* KANDNDrr */
   },
-  { /* 1135 */
-    159,
+  { /* 1130 */
+    160,
     /* KANDNQrr */
   },
-  { /* 1136 */
-    160,
+  { /* 1131 */
+    161,
     /* KANDNWrr */
   },
-  { /* 1137 */
-    159,
+  { /* 1132 */
+    160,
     /* KANDQrr */
   },
-  { /* 1138 */
-    160,
+  { /* 1133 */
+    161,
     /* KANDWrr */
   },
-  { /* 1139 */
-    161,
+  { /* 1134 */
+    162,
     /* KMOVBkk */
   },
-  { /* 1140 */
-    162,
+  { /* 1135 */
+    163,
     /* KMOVBkm */
   },
-  { /* 1141 */
-    163,
+  { /* 1136 */
+    164,
     /* KMOVBkr */
   },
-  { /* 1142 */
-    164,
+  { /* 1137 */
+    165,
     /* KMOVBmk */
   },
-  { /* 1143 */
-    165,
+  { /* 1138 */
+    166,
     /* KMOVBrk */
   },
-  { /* 1144 */
-    166,
+  { /* 1139 */
+    167,
     /* KMOVDkk */
   },
-  { /* 1145 */
-    167,
+  { /* 1140 */
+    168,
     /* KMOVDkm */
   },
-  { /* 1146 */
-    168,
+  { /* 1141 */
+    169,
     /* KMOVDkr */
   },
-  { /* 1147 */
-    169,
+  { /* 1142 */
+    170,
     /* KMOVDmk */
   },
-  { /* 1148 */
-    170,
+  { /* 1143 */
+    171,
     /* KMOVDrk */
   },
-  { /* 1149 */
-    171,
+  { /* 1144 */
+    172,
     /* KMOVQkk */
   },
-  { /* 1150 */
-    172,
+  { /* 1145 */
+    173,
     /* KMOVQkm */
   },
-  { /* 1151 */
-    173,
+  { /* 1146 */
+    174,
     /* KMOVQkr */
   },
-  { /* 1152 */
-    174,
+  { /* 1147 */
+    175,
     /* KMOVQmk */
   },
-  { /* 1153 */
-    175,
+  { /* 1148 */
+    176,
     /* KMOVQrk */
   },
-  { /* 1154 */
-    176,
+  { /* 1149 */
+    177,
     /* KMOVWkk */
   },
-  { /* 1155 */
-    177,
+  { /* 1150 */
+    178,
     /* KMOVWkm */
   },
-  { /* 1156 */
-    178,
+  { /* 1151 */
+    179,
     /* KMOVWkr */
   },
-  { /* 1157 */
-    179,
+  { /* 1152 */
+    180,
     /* KMOVWmk */
   },
-  { /* 1158 */
-    180,
+  { /* 1153 */
+    181,
     /* KMOVWrk */
   },
-  { /* 1159 */
-    161,
+  { /* 1154 */
+    162,
     /* KNOTBrr */
   },
-  { /* 1160 */
-    166,
+  { /* 1155 */
+    167,
     /* KNOTDrr */
   },
-  { /* 1161 */
-    171,
+  { /* 1156 */
+    172,
     /* KNOTQrr */
   },
-  { /* 1162 */
-    176,
+  { /* 1157 */
+    177,
     /* KNOTWrr */
   },
-  { /* 1163 */
-    157,
+  { /* 1158 */
+    158,
     /* KORBrr */
   },
-  { /* 1164 */
-    158,
+  { /* 1159 */
+    159,
     /* KORDrr */
   },
-  { /* 1165 */
-    159,
+  { /* 1160 */
+    160,
     /* KORQrr */
   },
-  { /* 1166 */
-    176,
+  { /* 1161 */
+    177,
     /* KORTESTWrr */
   },
-  { /* 1167 */
-    160,
+  { /* 1162 */
+    161,
     /* KORWrr */
   },
-  { /* 1168 */
+  { /* 1163 */
     0,
     /*  */
   },
-  { /* 1169 */
+  { /* 1164 */
     0,
     /*  */
   },
-  { /* 1170 */
+  { /* 1165 */
     0,
     /*  */
   },
-  { /* 1171 */
+  { /* 1166 */
     0,
     /*  */
   },
-  { /* 1172 */
-    181,
+  { /* 1167 */
+    182,
     /* KSHIFTLWri */
   },
-  { /* 1173 */
-    181,
+  { /* 1168 */
+    182,
     /* KSHIFTRWri */
   },
-  { /* 1174 */
-    160,
+  { /* 1169 */
+    161,
     /* KUNPCKBWrr */
   },
-  { /* 1175 */
-    157,
+  { /* 1170 */
+    158,
     /* KXNORBrr */
   },
-  { /* 1176 */
-    158,
+  { /* 1171 */
+    159,
     /* KXNORDrr */
   },
-  { /* 1177 */
-    159,
+  { /* 1172 */
+    160,
     /* KXNORQrr */
   },
-  { /* 1178 */
-    160,
+  { /* 1173 */
+    161,
     /* KXNORWrr */
   },
-  { /* 1179 */
-    157,
+  { /* 1174 */
+    158,
     /* KXORBrr */
   },
-  { /* 1180 */
-    158,
+  { /* 1175 */
+    159,
     /* KXORDrr */
   },
-  { /* 1181 */
-    159,
+  { /* 1176 */
+    160,
     /* KXORQrr */
   },
-  { /* 1182 */
-    160,
+  { /* 1177 */
+    161,
     /* KXORWrr */
   },
-  { /* 1183 */
+  { /* 1178 */
     0,
     /* LAHF */
   },
-  { /* 1184 */
-    66,
+  { /* 1179 */
+    68,
     /* LAR16rm */
   },
-  { /* 1185 */
-    67,
+  { /* 1180 */
+    69,
     /* LAR16rr */
   },
-  { /* 1186 */
-    66,
+  { /* 1181 */
+    68,
     /* LAR32rm */
   },
-  { /* 1187 */
-    67,
+  { /* 1182 */
+    69,
     /* LAR32rr */
   },
-  { /* 1188 */
-    30,
+  { /* 1183 */
+    42,
     /* LAR64rm */
   },
-  { /* 1189 */
-    182,
+  { /* 1184 */
+    183,
     /* LAR64rr */
   },
+  { /* 1185 */
+    0,
+    /*  */
+  },
+  { /* 1186 */
+    0,
+    /*  */
+  },
+  { /* 1187 */
+    0,
+    /*  */
+  },
+  { /* 1188 */
+    0,
+    /*  */
+  },
+  { /* 1189 */
+    0,
+    /*  */
+  },
   { /* 1190 */
     0,
     /*  */
   },
   { /* 1191 */
-    0,
-    /*  */
+    44,
+    /* LDDQUrm */
   },
   { /* 1192 */
-    0,
-    /*  */
+    38,
+    /* LDMXCSR */
   },
   { /* 1193 */
-    0,
-    /*  */
+    184,
+    /* LDS16rm */
   },
   { /* 1194 */
-    0,
-    /*  */
+    185,
+    /* LDS32rm */
   },
   { /* 1195 */
     0,
-    /*  */
-  },
-  { /* 1196 */
-    42,
-    /* LDDQUrm */
-  },
-  { /* 1197 */
-    40,
-    /* LDMXCSR */
-  },
-  { /* 1198 */
-    183,
-    /* LDS16rm */
-  },
-  { /* 1199 */
-    184,
-    /* LDS32rm */
-  },
-  { /* 1200 */
-    0,
     /* LD_F0 */
   },
-  { /* 1201 */
+  { /* 1196 */
     0,
     /* LD_F1 */
   },
-  { /* 1202 */
-    38,
+  { /* 1197 */
+    36,
     /* LD_F32m */
   },
-  { /* 1203 */
-    39,
+  { /* 1198 */
+    37,
     /* LD_F64m */
   },
-  { /* 1204 */
-    185,
+  { /* 1199 */
+    186,
     /* LD_F80m */
   },
+  { /* 1200 */
+    0,
+    /*  */
+  },
+  { /* 1201 */
+    0,
+    /*  */
+  },
+  { /* 1202 */
+    0,
+    /*  */
+  },
+  { /* 1203 */
+    0,
+    /*  */
+  },
+  { /* 1204 */
+    0,
+    /*  */
+  },
   { /* 1205 */
     0,
     /*  */
@@ -11684,129 +12140,129 @@
     /*  */
   },
   { /* 1212 */
-    0,
-    /*  */
-  },
-  { /* 1213 */
-    0,
-    /*  */
-  },
-  { /* 1214 */
-    0,
-    /*  */
-  },
-  { /* 1215 */
-    0,
-    /*  */
-  },
-  { /* 1216 */
-    0,
-    /*  */
-  },
-  { /* 1217 */
-    41,
+    39,
     /* LD_Frr */
   },
-  { /* 1218 */
-    66,
+  { /* 1213 */
+    68,
     /* LEA16r */
   },
-  { /* 1219 */
-    66,
+  { /* 1214 */
+    68,
     /* LEA32r */
   },
-  { /* 1220 */
-    186,
+  { /* 1215 */
+    187,
     /* LEA64_32r */
   },
-  { /* 1221 */
-    187,
+  { /* 1216 */
+    188,
     /* LEA64r */
   },
-  { /* 1222 */
+  { /* 1217 */
     0,
     /* LEAVE */
   },
-  { /* 1223 */
+  { /* 1218 */
     0,
     /* LEAVE64 */
   },
-  { /* 1224 */
-    183,
+  { /* 1219 */
+    184,
     /* LES16rm */
   },
-  { /* 1225 */
-    184,
+  { /* 1220 */
+    185,
     /* LES32rm */
   },
-  { /* 1226 */
+  { /* 1221 */
     0,
     /* LFENCE */
   },
-  { /* 1227 */
-    183,
+  { /* 1222 */
+    184,
     /* LFS16rm */
   },
+  { /* 1223 */
+    185,
+    /* LFS32rm */
+  },
+  { /* 1224 */
+    189,
+    /* LFS64rm */
+  },
+  { /* 1225 */
+    138,
+    /* LGDT16m */
+  },
+  { /* 1226 */
+    138,
+    /* LGDT32m */
+  },
+  { /* 1227 */
+    139,
+    /* LGDT64m */
+  },
   { /* 1228 */
     184,
-    /* LFS32rm */
+    /* LGS16rm */
   },
   { /* 1229 */
-    188,
-    /* LFS64rm */
+    185,
+    /* LGS32rm */
   },
   { /* 1230 */
-    137,
-    /* LGDT16m */
+    189,
+    /* LGS64rm */
   },
   { /* 1231 */
-    137,
-    /* LGDT32m */
+    138,
+    /* LIDT16m */
   },
   { /* 1232 */
     138,
-    /* LGDT64m */
-  },
-  { /* 1233 */
-    183,
-    /* LGS16rm */
-  },
-  { /* 1234 */
-    184,
-    /* LGS32rm */
-  },
-  { /* 1235 */
-    188,
-    /* LGS64rm */
-  },
-  { /* 1236 */
-    137,
-    /* LIDT16m */
-  },
-  { /* 1237 */
-    137,
     /* LIDT32m */
   },
-  { /* 1238 */
-    138,
+  { /* 1233 */
+    139,
     /* LIDT64m */
   },
-  { /* 1239 */
-    40,
+  { /* 1234 */
+    38,
     /* LLDT16m */
   },
-  { /* 1240 */
-    189,
+  { /* 1235 */
+    190,
     /* LLDT16r */
   },
-  { /* 1241 */
-    40,
+  { /* 1236 */
+    38,
     /* LMSW16m */
   },
-  { /* 1242 */
-    189,
+  { /* 1237 */
+    190,
     /* LMSW16r */
   },
+  { /* 1238 */
+    0,
+    /*  */
+  },
+  { /* 1239 */
+    0,
+    /*  */
+  },
+  { /* 1240 */
+    0,
+    /*  */
+  },
+  { /* 1241 */
+    0,
+    /*  */
+  },
+  { /* 1242 */
+    0,
+    /*  */
+  },
   { /* 1243 */
     0,
     /*  */
@@ -11953,7 +12409,7 @@
   },
   { /* 1279 */
     0,
-    /*  */
+    /* LOCK_PREFIX */
   },
   { /* 1280 */
     0,
@@ -11973,7 +12429,7 @@
   },
   { /* 1284 */
     0,
-    /* LOCK_PREFIX */
+    /*  */
   },
   { /* 1285 */
     0,
@@ -12044,169 +12500,169 @@
     /*  */
   },
   { /* 1302 */
-    0,
-    /*  */
-  },
-  { /* 1303 */
-    0,
-    /*  */
-  },
-  { /* 1304 */
-    0,
-    /*  */
-  },
-  { /* 1305 */
-    0,
-    /*  */
-  },
-  { /* 1306 */
-    0,
-    /*  */
-  },
-  { /* 1307 */
-    190,
+    191,
     /* LODSB */
   },
-  { /* 1308 */
-    191,
+  { /* 1303 */
+    192,
     /* LODSL */
   },
-  { /* 1309 */
-    192,
+  { /* 1304 */
+    193,
     /* LODSQ */
   },
-  { /* 1310 */
-    193,
+  { /* 1305 */
+    194,
     /* LODSW */
   },
-  { /* 1311 */
-    155,
+  { /* 1306 */
+    156,
     /* LOOP */
   },
-  { /* 1312 */
-    155,
+  { /* 1307 */
+    156,
     /* LOOPE */
   },
-  { /* 1313 */
-    155,
+  { /* 1308 */
+    156,
     /* LOOPNE */
   },
-  { /* 1314 */
-    194,
+  { /* 1309 */
+    195,
     /* LRETIL */
   },
-  { /* 1315 */
-    194,
+  { /* 1310 */
+    195,
     /* LRETIQ */
   },
-  { /* 1316 */
+  { /* 1311 */
     2,
     /* LRETIW */
   },
-  { /* 1317 */
+  { /* 1312 */
     0,
     /* LRETL */
   },
-  { /* 1318 */
+  { /* 1313 */
     0,
     /* LRETQ */
   },
-  { /* 1319 */
+  { /* 1314 */
     0,
     /* LRETW */
   },
-  { /* 1320 */
-    66,
+  { /* 1315 */
+    68,
     /* LSL16rm */
   },
-  { /* 1321 */
-    67,
+  { /* 1316 */
+    69,
     /* LSL16rr */
   },
-  { /* 1322 */
-    66,
+  { /* 1317 */
+    68,
     /* LSL32rm */
   },
-  { /* 1323 */
-    67,
+  { /* 1318 */
+    69,
     /* LSL32rr */
   },
-  { /* 1324 */
-    30,
+  { /* 1319 */
+    42,
     /* LSL64rm */
   },
-  { /* 1325 */
-    31,
+  { /* 1320 */
+    43,
     /* LSL64rr */
   },
-  { /* 1326 */
-    183,
+  { /* 1321 */
+    184,
     /* LSS16rm */
   },
-  { /* 1327 */
-    184,
+  { /* 1322 */
+    185,
     /* LSS32rm */
   },
-  { /* 1328 */
-    188,
+  { /* 1323 */
+    189,
     /* LSS64rm */
   },
-  { /* 1329 */
-    40,
+  { /* 1324 */
+    38,
     /* LTRm */
   },
-  { /* 1330 */
-    189,
+  { /* 1325 */
+    190,
     /* LTRr */
   },
-  { /* 1331 */
+  { /* 1326 */
     0,
     /*  */
   },
-  { /* 1332 */
+  { /* 1327 */
     0,
     /*  */
   },
-  { /* 1333 */
+  { /* 1328 */
     0,
     /*  */
   },
-  { /* 1334 */
+  { /* 1329 */
     0,
     /*  */
   },
-  { /* 1335 */
-    66,
+  { /* 1330 */
+    68,
     /* LZCNT16rm */
   },
-  { /* 1336 */
-    67,
+  { /* 1331 */
+    69,
     /* LZCNT16rr */
   },
-  { /* 1337 */
-    66,
+  { /* 1332 */
+    68,
     /* LZCNT32rm */
   },
-  { /* 1338 */
-    67,
+  { /* 1333 */
+    69,
     /* LZCNT32rr */
   },
-  { /* 1339 */
-    30,
+  { /* 1334 */
+    42,
     /* LZCNT64rm */
   },
-  { /* 1340 */
-    31,
+  { /* 1335 */
+    43,
     /* LZCNT64rr */
   },
-  { /* 1341 */
-    43,
+  { /* 1336 */
+    45,
     /* MASKMOVDQU */
   },
-  { /* 1342 */
-    43,
+  { /* 1337 */
+    45,
     /* MASKMOVDQU64 */
   },
+  { /* 1338 */
+    0,
+    /*  */
+  },
+  { /* 1339 */
+    0,
+    /*  */
+  },
+  { /* 1340 */
+    0,
+    /*  */
+  },
+  { /* 1341 */
+    0,
+    /*  */
+  },
+  { /* 1342 */
+    0,
+    /*  */
+  },
   { /* 1343 */
     0,
     /*  */
@@ -12220,68 +12676,68 @@
     /*  */
   },
   { /* 1346 */
-    0,
-    /*  */
+    30,
+    /* MAXPDrm */
   },
   { /* 1347 */
-    0,
-    /*  */
+    31,
+    /* MAXPDrr */
   },
   { /* 1348 */
-    0,
-    /*  */
+    30,
+    /* MAXPSrm */
   },
   { /* 1349 */
-    0,
-    /*  */
+    31,
+    /* MAXPSrr */
   },
   { /* 1350 */
-    0,
-    /*  */
+    32,
+    /* MAXSDrm */
   },
   { /* 1351 */
-    32,
-    /* MAXPDrm */
+    0,
+    /*  */
   },
   { /* 1352 */
     33,
-    /* MAXPDrr */
+    /* MAXSDrr */
   },
   { /* 1353 */
-    32,
-    /* MAXPSrm */
-  },
-  { /* 1354 */
-    33,
-    /* MAXPSrr */
-  },
-  { /* 1355 */
-    34,
-    /* MAXSDrm */
-  },
-  { /* 1356 */
     0,
     /*  */
   },
-  { /* 1357 */
+  { /* 1354 */
+    34,
+    /* MAXSSrm */
+  },
+  { /* 1355 */
+    0,
+    /*  */
+  },
+  { /* 1356 */
     35,
-    /* MAXSDrr */
+    /* MAXSSrr */
+  },
+  { /* 1357 */
+    0,
+    /*  */
   },
   { /* 1358 */
     0,
-    /*  */
+    /* MFENCE */
   },
   { /* 1359 */
-    36,
-    /* MAXSSrm */
+    0,
+    /*  */
   },
   { /* 1360 */
     0,
     /*  */
   },
   { /* 1361 */
-    37,
-    /* MAXSSrr */
+    0,
+    /*  */
   },
   { /* 1362 */
     0,
@@ -12289,7 +12745,7 @@
   },
   { /* 1363 */
     0,
-    /* MFENCE */
+    /*  */
   },
   { /* 1364 */
     0,
@@ -12304,1713 +12760,1713 @@
     /*  */
   },
   { /* 1367 */
-    0,
-    /*  */
+    30,
+    /* MINPDrm */
   },
   { /* 1368 */
-    0,
-    /*  */
+    31,
+    /* MINPDrr */
   },
   { /* 1369 */
-    0,
-    /*  */
+    30,
+    /* MINPSrm */
   },
   { /* 1370 */
-    0,
-    /*  */
+    31,
+    /* MINPSrr */
   },
   { /* 1371 */
-    0,
-    /*  */
+    32,
+    /* MINSDrm */
   },
   { /* 1372 */
-    32,
-    /* MINPDrm */
+    0,
+    /*  */
   },
   { /* 1373 */
     33,
-    /* MINPDrr */
-  },
-  { /* 1374 */
-    32,
-    /* MINPSrm */
-  },
-  { /* 1375 */
-    33,
-    /* MINPSrr */
-  },
-  { /* 1376 */
-    34,
-    /* MINSDrm */
-  },
-  { /* 1377 */
-    0,
-    /*  */
-  },
-  { /* 1378 */
-    35,
     /* MINSDrr */
   },
-  { /* 1379 */
+  { /* 1374 */
     0,
     /*  */
   },
-  { /* 1380 */
-    36,
+  { /* 1375 */
+    34,
     /* MINSSrm */
   },
-  { /* 1381 */
+  { /* 1376 */
     0,
     /*  */
   },
-  { /* 1382 */
-    37,
+  { /* 1377 */
+    35,
     /* MINSSrr */
   },
-  { /* 1383 */
+  { /* 1378 */
     0,
     /*  */
   },
-  { /* 1384 */
-    195,
+  { /* 1379 */
+    196,
     /* MMX_CVTPD2PIirm */
   },
-  { /* 1385 */
-    196,
+  { /* 1380 */
+    197,
     /* MMX_CVTPD2PIirr */
   },
-  { /* 1386 */
-    104,
+  { /* 1381 */
+    105,
     /* MMX_CVTPI2PDirm */
   },
-  { /* 1387 */
-    197,
+  { /* 1382 */
+    198,
     /* MMX_CVTPI2PDirr */
   },
-  { /* 1388 */
-    198,
+  { /* 1383 */
+    199,
     /* MMX_CVTPI2PSirm */
   },
-  { /* 1389 */
-    199,
+  { /* 1384 */
+    200,
     /* MMX_CVTPI2PSirr */
   },
-  { /* 1390 */
-    200,
+  { /* 1385 */
+    201,
     /* MMX_CVTPS2PIirm */
   },
-  { /* 1391 */
-    196,
+  { /* 1386 */
+    197,
     /* MMX_CVTPS2PIirr */
   },
-  { /* 1392 */
-    195,
+  { /* 1387 */
+    196,
     /* MMX_CVTTPD2PIirm */
   },
-  { /* 1393 */
-    196,
+  { /* 1388 */
+    197,
     /* MMX_CVTTPD2PIirr */
   },
-  { /* 1394 */
-    200,
+  { /* 1389 */
+    201,
     /* MMX_CVTTPS2PIirm */
   },
-  { /* 1395 */
-    196,
+  { /* 1390 */
+    197,
     /* MMX_CVTTPS2PIirr */
   },
-  { /* 1396 */
+  { /* 1391 */
     0,
     /* MMX_EMMS */
   },
-  { /* 1397 */
-    201,
+  { /* 1392 */
+    202,
     /* MMX_MASKMOVQ */
   },
-  { /* 1398 */
-    201,
+  { /* 1393 */
+    202,
     /* MMX_MASKMOVQ64 */
   },
-  { /* 1399 */
-    202,
+  { /* 1394 */
+    203,
     /* MMX_MOVD64from64rr */
   },
-  { /* 1400 */
-    203,
+  { /* 1395 */
+    204,
     /* MMX_MOVD64grr */
   },
-  { /* 1401 */
-    204,
+  { /* 1396 */
+    205,
     /* MMX_MOVD64mr */
   },
+  { /* 1397 */
+    206,
+    /* MMX_MOVD64rm */
+  },
+  { /* 1398 */
+    207,
+    /* MMX_MOVD64rr */
+  },
+  { /* 1399 */
+    208,
+    /* MMX_MOVD64to64rr */
+  },
+  { /* 1400 */
+    197,
+    /* MMX_MOVDQ2Qrr */
+  },
+  { /* 1401 */
+    0,
+    /*  */
+  },
   { /* 1402 */
     205,
-    /* MMX_MOVD64rm */
-  },
-  { /* 1403 */
-    206,
-    /* MMX_MOVD64rr */
-  },
-  { /* 1404 */
-    207,
-    /* MMX_MOVD64to64rr */
-  },
-  { /* 1405 */
-    196,
-    /* MMX_MOVDQ2Qrr */
-  },
-  { /* 1406 */
-    0,
-    /*  */
-  },
-  { /* 1407 */
-    204,
     /* MMX_MOVNTQmr */
   },
-  { /* 1408 */
-    197,
+  { /* 1403 */
+    198,
     /* MMX_MOVQ2DQrr */
   },
-  { /* 1409 */
+  { /* 1404 */
     0,
     /*  */
   },
-  { /* 1410 */
-    204,
+  { /* 1405 */
+    205,
     /* MMX_MOVQ64mr */
   },
-  { /* 1411 */
-    205,
+  { /* 1406 */
+    206,
     /* MMX_MOVQ64rm */
   },
-  { /* 1412 */
-    201,
+  { /* 1407 */
+    202,
     /* MMX_MOVQ64rr */
   },
-  { /* 1413 */
-    208,
+  { /* 1408 */
+    209,
     /* MMX_MOVQ64rr_REV */
   },
-  { /* 1414 */
-    205,
+  { /* 1409 */
+    206,
     /* MMX_PABSBrm64 */
   },
-  { /* 1415 */
-    201,
+  { /* 1410 */
+    202,
     /* MMX_PABSBrr64 */
   },
-  { /* 1416 */
-    205,
+  { /* 1411 */
+    206,
     /* MMX_PABSDrm64 */
   },
-  { /* 1417 */
-    201,
+  { /* 1412 */
+    202,
     /* MMX_PABSDrr64 */
   },
-  { /* 1418 */
-    205,
+  { /* 1413 */
+    206,
     /* MMX_PABSWrm64 */
   },
-  { /* 1419 */
-    201,
+  { /* 1414 */
+    202,
     /* MMX_PABSWrr64 */
   },
-  { /* 1420 */
-    209,
+  { /* 1415 */
+    210,
     /* MMX_PACKSSDWirm */
   },
+  { /* 1416 */
+    211,
+    /* MMX_PACKSSDWirr */
+  },
+  { /* 1417 */
+    210,
+    /* MMX_PACKSSWBirm */
+  },
+  { /* 1418 */
+    211,
+    /* MMX_PACKSSWBirr */
+  },
+  { /* 1419 */
+    210,
+    /* MMX_PACKUSWBirm */
+  },
+  { /* 1420 */
+    211,
+    /* MMX_PACKUSWBirr */
+  },
   { /* 1421 */
     210,
-    /* MMX_PACKSSDWirr */
+    /* MMX_PADDBirm */
   },
   { /* 1422 */
-    209,
-    /* MMX_PACKSSWBirm */
+    211,
+    /* MMX_PADDBirr */
   },
   { /* 1423 */
     210,
-    /* MMX_PACKSSWBirr */
+    /* MMX_PADDDirm */
   },
   { /* 1424 */
-    209,
-    /* MMX_PACKUSWBirm */
+    211,
+    /* MMX_PADDDirr */
   },
   { /* 1425 */
     210,
-    /* MMX_PACKUSWBirr */
+    /* MMX_PADDQirm */
   },
   { /* 1426 */
-    209,
-    /* MMX_PADDBirm */
+    211,
+    /* MMX_PADDQirr */
   },
   { /* 1427 */
     210,
-    /* MMX_PADDBirr */
+    /* MMX_PADDSBirm */
   },
   { /* 1428 */
-    209,
-    /* MMX_PADDDirm */
+    211,
+    /* MMX_PADDSBirr */
   },
   { /* 1429 */
     210,
-    /* MMX_PADDDirr */
+    /* MMX_PADDSWirm */
   },
   { /* 1430 */
-    209,
-    /* MMX_PADDQirm */
+    211,
+    /* MMX_PADDSWirr */
   },
   { /* 1431 */
     210,
-    /* MMX_PADDQirr */
+    /* MMX_PADDUSBirm */
   },
   { /* 1432 */
-    209,
-    /* MMX_PADDSBirm */
+    211,
+    /* MMX_PADDUSBirr */
   },
   { /* 1433 */
     210,
-    /* MMX_PADDSBirr */
+    /* MMX_PADDUSWirm */
   },
   { /* 1434 */
-    209,
-    /* MMX_PADDSWirm */
+    211,
+    /* MMX_PADDUSWirr */
   },
   { /* 1435 */
     210,
-    /* MMX_PADDSWirr */
+    /* MMX_PADDWirm */
   },
   { /* 1436 */
-    209,
-    /* MMX_PADDUSBirm */
+    211,
+    /* MMX_PADDWirr */
   },
   { /* 1437 */
-    210,
-    /* MMX_PADDUSBirr */
+    212,
+    /* MMX_PALIGNR64irm */
   },
   { /* 1438 */
-    209,
-    /* MMX_PADDUSWirm */
+    213,
+    /* MMX_PALIGNR64irr */
   },
   { /* 1439 */
     210,
-    /* MMX_PADDUSWirr */
+    /* MMX_PANDNirm */
   },
   { /* 1440 */
-    209,
-    /* MMX_PADDWirm */
+    211,
+    /* MMX_PANDNirr */
   },
   { /* 1441 */
     210,
-    /* MMX_PADDWirr */
+    /* MMX_PANDirm */
   },
   { /* 1442 */
     211,
-    /* MMX_PALIGNR64irm */
+    /* MMX_PANDirr */
   },
   { /* 1443 */
-    212,
-    /* MMX_PALIGNR64irr */
+    210,
+    /* MMX_PAVGBirm */
   },
   { /* 1444 */
-    209,
-    /* MMX_PANDNirm */
+    211,
+    /* MMX_PAVGBirr */
   },
   { /* 1445 */
     210,
-    /* MMX_PANDNirr */
+    /* MMX_PAVGWirm */
   },
   { /* 1446 */
-    209,
-    /* MMX_PANDirm */
+    211,
+    /* MMX_PAVGWirr */
   },
   { /* 1447 */
     210,
-    /* MMX_PANDirr */
+    /* MMX_PCMPEQBirm */
   },
   { /* 1448 */
-    209,
-    /* MMX_PAVGBirm */
+    211,
+    /* MMX_PCMPEQBirr */
   },
   { /* 1449 */
     210,
-    /* MMX_PAVGBirr */
+    /* MMX_PCMPEQDirm */
   },
   { /* 1450 */
-    209,
-    /* MMX_PAVGWirm */
+    211,
+    /* MMX_PCMPEQDirr */
   },
   { /* 1451 */
     210,
-    /* MMX_PAVGWirr */
+    /* MMX_PCMPEQWirm */
   },
   { /* 1452 */
-    209,
-    /* MMX_PCMPEQBirm */
+    211,
+    /* MMX_PCMPEQWirr */
   },
   { /* 1453 */
     210,
-    /* MMX_PCMPEQBirr */
+    /* MMX_PCMPGTBirm */
   },
   { /* 1454 */
-    209,
-    /* MMX_PCMPEQDirm */
+    211,
+    /* MMX_PCMPGTBirr */
   },
   { /* 1455 */
     210,
-    /* MMX_PCMPEQDirr */
+    /* MMX_PCMPGTDirm */
   },
   { /* 1456 */
-    209,
-    /* MMX_PCMPEQWirm */
+    211,
+    /* MMX_PCMPGTDirr */
   },
   { /* 1457 */
     210,
-    /* MMX_PCMPEQWirr */
-  },
-  { /* 1458 */
-    209,
-    /* MMX_PCMPGTBirm */
-  },
-  { /* 1459 */
-    210,
-    /* MMX_PCMPGTBirr */
-  },
-  { /* 1460 */
-    209,
-    /* MMX_PCMPGTDirm */
-  },
-  { /* 1461 */
-    210,
-    /* MMX_PCMPGTDirr */
-  },
-  { /* 1462 */
-    209,
     /* MMX_PCMPGTWirm */
   },
-  { /* 1463 */
-    210,
+  { /* 1458 */
+    211,
     /* MMX_PCMPGTWirr */
   },
-  { /* 1464 */
-    213,
+  { /* 1459 */
+    214,
     /* MMX_PEXTRWirri */
   },
-  { /* 1465 */
-    209,
+  { /* 1460 */
+    210,
     /* MMX_PHADDSWrm64 */
   },
+  { /* 1461 */
+    211,
+    /* MMX_PHADDSWrr64 */
+  },
+  { /* 1462 */
+    210,
+    /* MMX_PHADDWrm64 */
+  },
+  { /* 1463 */
+    211,
+    /* MMX_PHADDWrr64 */
+  },
+  { /* 1464 */
+    210,
+    /* MMX_PHADDrm64 */
+  },
+  { /* 1465 */
+    211,
+    /* MMX_PHADDrr64 */
+  },
   { /* 1466 */
     210,
-    /* MMX_PHADDSWrr64 */
+    /* MMX_PHSUBDrm64 */
   },
   { /* 1467 */
-    209,
-    /* MMX_PHADDWrm64 */
+    211,
+    /* MMX_PHSUBDrr64 */
   },
   { /* 1468 */
     210,
-    /* MMX_PHADDWrr64 */
+    /* MMX_PHSUBSWrm64 */
   },
   { /* 1469 */
-    209,
-    /* MMX_PHADDrm64 */
+    211,
+    /* MMX_PHSUBSWrr64 */
   },
   { /* 1470 */
     210,
-    /* MMX_PHADDrr64 */
+    /* MMX_PHSUBWrm64 */
   },
   { /* 1471 */
-    209,
-    /* MMX_PHSUBDrm64 */
+    211,
+    /* MMX_PHSUBWrr64 */
   },
   { /* 1472 */
-    210,
-    /* MMX_PHSUBDrr64 */
+    215,
+    /* MMX_PINSRWirmi */
   },
   { /* 1473 */
-    209,
-    /* MMX_PHSUBSWrm64 */
+    216,
+    /* MMX_PINSRWirri */
   },
   { /* 1474 */
     210,
-    /* MMX_PHSUBSWrr64 */
+    /* MMX_PMADDUBSWrm64 */
   },
   { /* 1475 */
-    209,
-    /* MMX_PHSUBWrm64 */
+    211,
+    /* MMX_PMADDUBSWrr64 */
   },
   { /* 1476 */
     210,
-    /* MMX_PHSUBWrr64 */
+    /* MMX_PMADDWDirm */
   },
   { /* 1477 */
-    214,
-    /* MMX_PINSRWirmi */
+    211,
+    /* MMX_PMADDWDirr */
   },
   { /* 1478 */
-    215,
-    /* MMX_PINSRWirri */
+    210,
+    /* MMX_PMAXSWirm */
   },
   { /* 1479 */
-    209,
-    /* MMX_PMADDUBSWrm64 */
+    211,
+    /* MMX_PMAXSWirr */
   },
   { /* 1480 */
     210,
-    /* MMX_PMADDUBSWrr64 */
+    /* MMX_PMAXUBirm */
   },
   { /* 1481 */
-    209,
-    /* MMX_PMADDWDirm */
+    211,
+    /* MMX_PMAXUBirr */
   },
   { /* 1482 */
     210,
-    /* MMX_PMADDWDirr */
+    /* MMX_PMINSWirm */
   },
   { /* 1483 */
-    209,
-    /* MMX_PMAXSWirm */
+    211,
+    /* MMX_PMINSWirr */
   },
   { /* 1484 */
     210,
-    /* MMX_PMAXSWirr */
-  },
-  { /* 1485 */
-    209,
-    /* MMX_PMAXUBirm */
-  },
-  { /* 1486 */
-    210,
-    /* MMX_PMAXUBirr */
-  },
-  { /* 1487 */
-    209,
-    /* MMX_PMINSWirm */
-  },
-  { /* 1488 */
-    210,
-    /* MMX_PMINSWirr */
-  },
-  { /* 1489 */
-    209,
     /* MMX_PMINUBirm */
   },
-  { /* 1490 */
-    210,
+  { /* 1485 */
+    211,
     /* MMX_PMINUBirr */
   },
-  { /* 1491 */
-    216,
+  { /* 1486 */
+    217,
     /* MMX_PMOVMSKBrr */
   },
-  { /* 1492 */
-    209,
+  { /* 1487 */
+    210,
     /* MMX_PMULHRSWrm64 */
   },
+  { /* 1488 */
+    211,
+    /* MMX_PMULHRSWrr64 */
+  },
+  { /* 1489 */
+    210,
+    /* MMX_PMULHUWirm */
+  },
+  { /* 1490 */
+    211,
+    /* MMX_PMULHUWirr */
+  },
+  { /* 1491 */
+    210,
+    /* MMX_PMULHWirm */
+  },
+  { /* 1492 */
+    211,
+    /* MMX_PMULHWirr */
+  },
   { /* 1493 */
     210,
-    /* MMX_PMULHRSWrr64 */
+    /* MMX_PMULLWirm */
   },
   { /* 1494 */
-    209,
-    /* MMX_PMULHUWirm */
+    211,
+    /* MMX_PMULLWirr */
   },
   { /* 1495 */
     210,
-    /* MMX_PMULHUWirr */
+    /* MMX_PMULUDQirm */
   },
   { /* 1496 */
-    209,
-    /* MMX_PMULHWirm */
+    211,
+    /* MMX_PMULUDQirr */
   },
   { /* 1497 */
     210,
-    /* MMX_PMULHWirr */
+    /* MMX_PORirm */
   },
   { /* 1498 */
-    209,
-    /* MMX_PMULLWirm */
+    211,
+    /* MMX_PORirr */
   },
   { /* 1499 */
     210,
-    /* MMX_PMULLWirr */
+    /* MMX_PSADBWirm */
   },
   { /* 1500 */
-    209,
-    /* MMX_PMULUDQirm */
+    211,
+    /* MMX_PSADBWirr */
   },
   { /* 1501 */
     210,
-    /* MMX_PMULUDQirr */
+    /* MMX_PSHUFBrm64 */
   },
   { /* 1502 */
-    209,
-    /* MMX_PORirm */
+    211,
+    /* MMX_PSHUFBrr64 */
   },
   { /* 1503 */
-    210,
-    /* MMX_PORirr */
+    218,
+    /* MMX_PSHUFWmi */
   },
   { /* 1504 */
-    209,
-    /* MMX_PSADBWirm */
+    219,
+    /* MMX_PSHUFWri */
   },
   { /* 1505 */
     210,
-    /* MMX_PSADBWirr */
+    /* MMX_PSIGNBrm64 */
   },
   { /* 1506 */
-    209,
-    /* MMX_PSHUFBrm64 */
+    211,
+    /* MMX_PSIGNBrr64 */
   },
   { /* 1507 */
     210,
-    /* MMX_PSHUFBrr64 */
-  },
-  { /* 1508 */
-    217,
-    /* MMX_PSHUFWmi */
-  },
-  { /* 1509 */
-    218,
-    /* MMX_PSHUFWri */
-  },
-  { /* 1510 */
-    209,
-    /* MMX_PSIGNBrm64 */
-  },
-  { /* 1511 */
-    210,
-    /* MMX_PSIGNBrr64 */
-  },
-  { /* 1512 */
-    209,
     /* MMX_PSIGNDrm64 */
   },
-  { /* 1513 */
-    210,
+  { /* 1508 */
+    211,
     /* MMX_PSIGNDrr64 */
   },
-  { /* 1514 */
-    209,
+  { /* 1509 */
+    210,
     /* MMX_PSIGNWrm64 */
   },
+  { /* 1510 */
+    211,
+    /* MMX_PSIGNWrr64 */
+  },
+  { /* 1511 */
+    220,
+    /* MMX_PSLLDri */
+  },
+  { /* 1512 */
+    210,
+    /* MMX_PSLLDrm */
+  },
+  { /* 1513 */
+    211,
+    /* MMX_PSLLDrr */
+  },
+  { /* 1514 */
+    220,
+    /* MMX_PSLLQri */
+  },
   { /* 1515 */
     210,
-    /* MMX_PSIGNWrr64 */
+    /* MMX_PSLLQrm */
   },
   { /* 1516 */
-    219,
-    /* MMX_PSLLDri */
+    211,
+    /* MMX_PSLLQrr */
   },
   { /* 1517 */
-    209,
-    /* MMX_PSLLDrm */
+    220,
+    /* MMX_PSLLWri */
   },
   { /* 1518 */
     210,
-    /* MMX_PSLLDrr */
+    /* MMX_PSLLWrm */
   },
   { /* 1519 */
-    219,
-    /* MMX_PSLLQri */
+    211,
+    /* MMX_PSLLWrr */
   },
   { /* 1520 */
-    209,
-    /* MMX_PSLLQrm */
+    220,
+    /* MMX_PSRADri */
   },
   { /* 1521 */
     210,
-    /* MMX_PSLLQrr */
+    /* MMX_PSRADrm */
   },
   { /* 1522 */
-    219,
-    /* MMX_PSLLWri */
+    211,
+    /* MMX_PSRADrr */
   },
   { /* 1523 */
-    209,
-    /* MMX_PSLLWrm */
+    220,
+    /* MMX_PSRAWri */
   },
   { /* 1524 */
     210,
-    /* MMX_PSLLWrr */
+    /* MMX_PSRAWrm */
   },
   { /* 1525 */
-    219,
-    /* MMX_PSRADri */
+    211,
+    /* MMX_PSRAWrr */
   },
   { /* 1526 */
-    209,
-    /* MMX_PSRADrm */
+    220,
+    /* MMX_PSRLDri */
   },
   { /* 1527 */
     210,
-    /* MMX_PSRADrr */
+    /* MMX_PSRLDrm */
   },
   { /* 1528 */
-    219,
-    /* MMX_PSRAWri */
+    211,
+    /* MMX_PSRLDrr */
   },
   { /* 1529 */
-    209,
-    /* MMX_PSRAWrm */
+    220,
+    /* MMX_PSRLQri */
   },
   { /* 1530 */
     210,
-    /* MMX_PSRAWrr */
+    /* MMX_PSRLQrm */
   },
   { /* 1531 */
-    219,
-    /* MMX_PSRLDri */
+    211,
+    /* MMX_PSRLQrr */
   },
   { /* 1532 */
-    209,
-    /* MMX_PSRLDrm */
+    220,
+    /* MMX_PSRLWri */
   },
   { /* 1533 */
     210,
-    /* MMX_PSRLDrr */
+    /* MMX_PSRLWrm */
   },
   { /* 1534 */
-    219,
-    /* MMX_PSRLQri */
+    211,
+    /* MMX_PSRLWrr */
   },
   { /* 1535 */
-    209,
-    /* MMX_PSRLQrm */
+    210,
+    /* MMX_PSUBBirm */
   },
   { /* 1536 */
-    210,
-    /* MMX_PSRLQrr */
+    211,
+    /* MMX_PSUBBirr */
   },
   { /* 1537 */
-    219,
-    /* MMX_PSRLWri */
+    210,
+    /* MMX_PSUBDirm */
   },
   { /* 1538 */
-    209,
-    /* MMX_PSRLWrm */
+    211,
+    /* MMX_PSUBDirr */
   },
   { /* 1539 */
     210,
-    /* MMX_PSRLWrr */
+    /* MMX_PSUBQirm */
   },
   { /* 1540 */
-    209,
-    /* MMX_PSUBBirm */
+    211,
+    /* MMX_PSUBQirr */
   },
   { /* 1541 */
     210,
-    /* MMX_PSUBBirr */
+    /* MMX_PSUBSBirm */
   },
   { /* 1542 */
-    209,
-    /* MMX_PSUBDirm */
+    211,
+    /* MMX_PSUBSBirr */
   },
   { /* 1543 */
     210,
-    /* MMX_PSUBDirr */
+    /* MMX_PSUBSWirm */
   },
   { /* 1544 */
-    209,
-    /* MMX_PSUBQirm */
+    211,
+    /* MMX_PSUBSWirr */
   },
   { /* 1545 */
     210,
-    /* MMX_PSUBQirr */
+    /* MMX_PSUBUSBirm */
   },
   { /* 1546 */
-    209,
-    /* MMX_PSUBSBirm */
+    211,
+    /* MMX_PSUBUSBirr */
   },
   { /* 1547 */
     210,
-    /* MMX_PSUBSBirr */
+    /* MMX_PSUBUSWirm */
   },
   { /* 1548 */
-    209,
-    /* MMX_PSUBSWirm */
+    211,
+    /* MMX_PSUBUSWirr */
   },
   { /* 1549 */
     210,
-    /* MMX_PSUBSWirr */
+    /* MMX_PSUBWirm */
   },
   { /* 1550 */
-    209,
-    /* MMX_PSUBUSBirm */
+    211,
+    /* MMX_PSUBWirr */
   },
   { /* 1551 */
     210,
-    /* MMX_PSUBUSBirr */
+    /* MMX_PUNPCKHBWirm */
   },
   { /* 1552 */
-    209,
-    /* MMX_PSUBUSWirm */
+    211,
+    /* MMX_PUNPCKHBWirr */
   },
   { /* 1553 */
     210,
-    /* MMX_PSUBUSWirr */
+    /* MMX_PUNPCKHDQirm */
   },
   { /* 1554 */
-    209,
-    /* MMX_PSUBWirm */
+    211,
+    /* MMX_PUNPCKHDQirr */
   },
   { /* 1555 */
     210,
-    /* MMX_PSUBWirr */
+    /* MMX_PUNPCKHWDirm */
   },
   { /* 1556 */
-    209,
-    /* MMX_PUNPCKHBWirm */
+    211,
+    /* MMX_PUNPCKHWDirr */
   },
   { /* 1557 */
     210,
-    /* MMX_PUNPCKHBWirr */
+    /* MMX_PUNPCKLBWirm */
   },
   { /* 1558 */
-    209,
-    /* MMX_PUNPCKHDQirm */
+    211,
+    /* MMX_PUNPCKLBWirr */
   },
   { /* 1559 */
     210,
-    /* MMX_PUNPCKHDQirr */
+    /* MMX_PUNPCKLDQirm */
   },
   { /* 1560 */
-    209,
-    /* MMX_PUNPCKHWDirm */
+    211,
+    /* MMX_PUNPCKLDQirr */
   },
   { /* 1561 */
     210,
-    /* MMX_PUNPCKHWDirr */
+    /* MMX_PUNPCKLWDirm */
   },
   { /* 1562 */
-    209,
-    /* MMX_PUNPCKLBWirm */
+    211,
+    /* MMX_PUNPCKLWDirr */
   },
   { /* 1563 */
     210,
-    /* MMX_PUNPCKLBWirr */
-  },
-  { /* 1564 */
-    209,
-    /* MMX_PUNPCKLDQirm */
-  },
-  { /* 1565 */
-    210,
-    /* MMX_PUNPCKLDQirr */
-  },
-  { /* 1566 */
-    209,
-    /* MMX_PUNPCKLWDirm */
-  },
-  { /* 1567 */
-    210,
-    /* MMX_PUNPCKLWDirr */
-  },
-  { /* 1568 */
-    209,
     /* MMX_PXORirm */
   },
-  { /* 1569 */
-    210,
+  { /* 1564 */
+    211,
     /* MMX_PXORirr */
   },
-  { /* 1570 */
+  { /* 1565 */
     0,
     /*  */
   },
-  { /* 1571 */
+  { /* 1566 */
     0,
     /* MONITORrrr */
   },
-  { /* 1572 */
+  { /* 1567 */
     0,
     /* MONTMUL */
   },
-  { /* 1573 */
+  { /* 1568 */
     0,
     /*  */
   },
-  { /* 1574 */
+  { /* 1569 */
     0,
     /*  */
   },
-  { /* 1575 */
-    220,
+  { /* 1570 */
+    221,
     /* MOV16ao16 */
   },
-  { /* 1576 */
-    220,
+  { /* 1571 */
+    221,
     /* MOV16ao16_16 */
   },
-  { /* 1577 */
+  { /* 1572 */
     3,
     /* MOV16mi */
   },
-  { /* 1578 */
+  { /* 1573 */
     5,
     /* MOV16mr */
   },
-  { /* 1579 */
-    221,
+  { /* 1574 */
+    222,
     /* MOV16ms */
   },
-  { /* 1580 */
-    220,
+  { /* 1575 */
+    221,
     /* MOV16o16a */
   },
-  { /* 1581 */
-    220,
+  { /* 1576 */
+    221,
     /* MOV16o16a_16 */
   },
-  { /* 1582 */
-    222,
+  { /* 1577 */
+    223,
     /* MOV16ri */
   },
-  { /* 1583 */
-    81,
+  { /* 1578 */
+    83,
     /* MOV16ri_alt */
   },
-  { /* 1584 */
-    66,
+  { /* 1579 */
+    68,
     /* MOV16rm */
   },
-  { /* 1585 */
-    71,
+  { /* 1580 */
+    73,
     /* MOV16rr */
   },
-  { /* 1586 */
-    67,
+  { /* 1581 */
+    69,
     /* MOV16rr_REV */
   },
-  { /* 1587 */
-    223,
+  { /* 1582 */
+    224,
     /* MOV16rs */
   },
-  { /* 1588 */
-    224,
+  { /* 1583 */
+    225,
     /* MOV16sm */
   },
-  { /* 1589 */
-    225,
+  { /* 1584 */
+    226,
     /* MOV16sr */
   },
-  { /* 1590 */
-    226,
+  { /* 1585 */
+    227,
     /* MOV32ao32 */
   },
-  { /* 1591 */
-    226,
+  { /* 1586 */
+    227,
     /* MOV32ao32_16 */
   },
-  { /* 1592 */
-    227,
+  { /* 1587 */
+    228,
     /* MOV32cr */
   },
-  { /* 1593 */
-    228,
+  { /* 1588 */
+    229,
     /* MOV32dr */
   },
-  { /* 1594 */
+  { /* 1589 */
     3,
     /* MOV32mi */
   },
-  { /* 1595 */
+  { /* 1590 */
     5,
     /* MOV32mr */
   },
-  { /* 1596 */
-    221,
+  { /* 1591 */
+    222,
     /* MOV32ms */
   },
-  { /* 1597 */
-    226,
+  { /* 1592 */
+    227,
     /* MOV32o32a */
   },
-  { /* 1598 */
-    226,
+  { /* 1593 */
+    227,
     /* MOV32o32a_16 */
   },
-  { /* 1599 */
+  { /* 1594 */
     0,
     /*  */
   },
-  { /* 1600 */
-    229,
+  { /* 1595 */
+    230,
     /* MOV32rc */
   },
-  { /* 1601 */
-    230,
+  { /* 1596 */
+    231,
     /* MOV32rd */
   },
-  { /* 1602 */
-    222,
+  { /* 1597 */
+    223,
     /* MOV32ri */
   },
-  { /* 1603 */
+  { /* 1598 */
     0,
     /*  */
   },
-  { /* 1604 */
-    81,
+  { /* 1599 */
+    83,
     /* MOV32ri_alt */
   },
-  { /* 1605 */
-    66,
+  { /* 1600 */
+    68,
     /* MOV32rm */
   },
-  { /* 1606 */
-    71,
+  { /* 1601 */
+    73,
     /* MOV32rr */
   },
-  { /* 1607 */
-    67,
+  { /* 1602 */
+    69,
     /* MOV32rr_REV */
   },
-  { /* 1608 */
-    223,
+  { /* 1603 */
+    224,
     /* MOV32rs */
   },
-  { /* 1609 */
-    224,
+  { /* 1604 */
+    225,
     /* MOV32sm */
   },
-  { /* 1610 */
-    225,
+  { /* 1605 */
+    226,
     /* MOV32sr */
   },
-  { /* 1611 */
-    220,
+  { /* 1606 */
+    221,
     /* MOV64ao16 */
   },
-  { /* 1612 */
-    226,
+  { /* 1607 */
+    227,
     /* MOV64ao32 */
   },
-  { /* 1613 */
-    231,
+  { /* 1608 */
+    232,
     /* MOV64ao64 */
   },
-  { /* 1614 */
-    232,
+  { /* 1609 */
+    233,
     /* MOV64ao8 */
   },
-  { /* 1615 */
-    233,
+  { /* 1610 */
+    234,
     /* MOV64cr */
   },
-  { /* 1616 */
-    234,
+  { /* 1611 */
+    235,
     /* MOV64dr */
   },
-  { /* 1617 */
+  { /* 1612 */
     14,
     /* MOV64mi32 */
   },
-  { /* 1618 */
+  { /* 1613 */
     16,
     /* MOV64mr */
   },
-  { /* 1619 */
-    221,
+  { /* 1614 */
+    222,
     /* MOV64ms */
   },
-  { /* 1620 */
-    220,
+  { /* 1615 */
+    221,
     /* MOV64o16a */
   },
-  { /* 1621 */
-    226,
+  { /* 1616 */
+    227,
     /* MOV64o32a */
   },
-  { /* 1622 */
-    231,
+  { /* 1617 */
+    232,
     /* MOV64o64a */
   },
-  { /* 1623 */
-    232,
+  { /* 1618 */
+    233,
     /* MOV64o8a */
   },
-  { /* 1624 */
-    235,
+  { /* 1619 */
+    236,
     /* MOV64rc */
   },
-  { /* 1625 */
-    236,
+  { /* 1620 */
+    237,
     /* MOV64rd */
   },
-  { /* 1626 */
-    237,
+  { /* 1621 */
+    238,
     /* MOV64ri */
   },
-  { /* 1627 */
-    82,
+  { /* 1622 */
+    84,
     /* MOV64ri32 */
   },
-  { /* 1628 */
-    30,
+  { /* 1623 */
+    42,
     /* MOV64rm */
   },
-  { /* 1629 */
-    74,
+  { /* 1624 */
+    76,
     /* MOV64rr */
   },
-  { /* 1630 */
-    31,
+  { /* 1625 */
+    43,
     /* MOV64rr_REV */
   },
-  { /* 1631 */
-    238,
+  { /* 1626 */
+    239,
     /* MOV64rs */
   },
-  { /* 1632 */
-    224,
+  { /* 1627 */
+    225,
     /* MOV64sm */
   },
-  { /* 1633 */
-    239,
+  { /* 1628 */
+    240,
     /* MOV64sr */
   },
-  { /* 1634 */
-    240,
+  { /* 1629 */
+    241,
     /* MOV64toPQIrr */
   },
-  { /* 1635 */
+  { /* 1630 */
     0,
     /*  */
   },
+  { /* 1631 */
+    0,
+    /*  */
+  },
+  { /* 1632 */
+    233,
+    /* MOV8ao8 */
+  },
+  { /* 1633 */
+    233,
+    /* MOV8ao8_16 */
+  },
+  { /* 1634 */
+    22,
+    /* MOV8mi */
+  },
+  { /* 1635 */
+    23,
+    /* MOV8mr */
+  },
   { /* 1636 */
     0,
     /*  */
   },
   { /* 1637 */
-    232,
-    /* MOV8ao8 */
-  },
-  { /* 1638 */
-    232,
-    /* MOV8ao8_16 */
-  },
-  { /* 1639 */
-    22,
-    /* MOV8mi */
-  },
-  { /* 1640 */
-    23,
-    /* MOV8mr */
-  },
-  { /* 1641 */
-    0,
-    /*  */
-  },
-  { /* 1642 */
-    232,
+    233,
     /* MOV8o8a */
   },
-  { /* 1643 */
-    232,
+  { /* 1638 */
+    233,
     /* MOV8o8a_16 */
   },
-  { /* 1644 */
-    241,
+  { /* 1639 */
+    242,
     /* MOV8ri */
   },
-  { /* 1645 */
-    83,
+  { /* 1640 */
+    85,
     /* MOV8ri_alt */
   },
-  { /* 1646 */
-    84,
+  { /* 1641 */
+    86,
     /* MOV8rm */
   },
-  { /* 1647 */
+  { /* 1642 */
     0,
     /*  */
   },
-  { /* 1648 */
-    85,
+  { /* 1643 */
+    87,
     /* MOV8rr */
   },
-  { /* 1649 */
+  { /* 1644 */
     0,
     /*  */
   },
-  { /* 1650 */
-    86,
+  { /* 1645 */
+    88,
     /* MOV8rr_REV */
   },
-  { /* 1651 */
-    242,
+  { /* 1646 */
+    243,
     /* MOVAPDmr */
   },
-  { /* 1652 */
-    42,
+  { /* 1647 */
+    44,
     /* MOVAPDrm */
   },
-  { /* 1653 */
-    43,
+  { /* 1648 */
+    45,
     /* MOVAPDrr */
   },
-  { /* 1654 */
-    243,
+  { /* 1649 */
+    244,
     /* MOVAPDrr_REV */
   },
-  { /* 1655 */
-    242,
+  { /* 1650 */
+    243,
     /* MOVAPSmr */
   },
-  { /* 1656 */
-    42,
+  { /* 1651 */
+    44,
     /* MOVAPSrm */
   },
-  { /* 1657 */
-    43,
+  { /* 1652 */
+    45,
     /* MOVAPSrr */
   },
-  { /* 1658 */
-    243,
+  { /* 1653 */
+    244,
     /* MOVAPSrr_REV */
   },
-  { /* 1659 */
+  { /* 1654 */
     5,
     /* MOVBE16mr */
   },
-  { /* 1660 */
-    66,
+  { /* 1655 */
+    68,
     /* MOVBE16rm */
   },
-  { /* 1661 */
+  { /* 1656 */
     5,
     /* MOVBE32mr */
   },
-  { /* 1662 */
-    66,
+  { /* 1657 */
+    68,
     /* MOVBE32rm */
   },
-  { /* 1663 */
+  { /* 1658 */
     16,
     /* MOVBE64mr */
   },
-  { /* 1664 */
-    30,
+  { /* 1659 */
+    42,
     /* MOVBE64rm */
   },
-  { /* 1665 */
-    105,
+  { /* 1660 */
+    106,
     /* MOVDDUPrm */
   },
-  { /* 1666 */
-    43,
+  { /* 1661 */
+    45,
     /* MOVDDUPrr */
   },
-  { /* 1667 */
-    104,
+  { /* 1662 */
+    105,
     /* MOVDI2PDIrm */
   },
-  { /* 1668 */
-    244,
+  { /* 1663 */
+    245,
     /* MOVDI2PDIrr */
   },
-  { /* 1669 */
+  { /* 1664 */
     0,
     /*  */
   },
-  { /* 1670 */
+  { /* 1665 */
     0,
     /*  */
   },
-  { /* 1671 */
-    242,
+  { /* 1666 */
+    243,
     /* MOVDQAmr */
   },
-  { /* 1672 */
-    42,
+  { /* 1667 */
+    44,
     /* MOVDQArm */
   },
-  { /* 1673 */
-    43,
+  { /* 1668 */
+    45,
     /* MOVDQArr */
   },
-  { /* 1674 */
-    243,
+  { /* 1669 */
+    244,
     /* MOVDQArr_REV */
   },
-  { /* 1675 */
-    242,
+  { /* 1670 */
+    243,
     /* MOVDQUmr */
   },
-  { /* 1676 */
-    42,
+  { /* 1671 */
+    44,
     /* MOVDQUrm */
   },
-  { /* 1677 */
-    43,
+  { /* 1672 */
+    45,
     /* MOVDQUrr */
   },
-  { /* 1678 */
-    243,
+  { /* 1673 */
+    244,
     /* MOVDQUrr_REV */
   },
-  { /* 1679 */
-    33,
+  { /* 1674 */
+    31,
     /* MOVHLPSrr */
   },
-  { /* 1680 */
-    245,
+  { /* 1675 */
+    246,
     /* MOVHPDmr */
   },
-  { /* 1681 */
-    246,
+  { /* 1676 */
+    247,
     /* MOVHPDrm */
   },
-  { /* 1682 */
-    245,
+  { /* 1677 */
+    246,
     /* MOVHPSmr */
   },
-  { /* 1683 */
-    246,
+  { /* 1678 */
+    247,
     /* MOVHPSrm */
   },
-  { /* 1684 */
-    33,
+  { /* 1679 */
+    31,
     /* MOVLHPSrr */
   },
-  { /* 1685 */
-    245,
+  { /* 1680 */
+    246,
     /* MOVLPDmr */
   },
-  { /* 1686 */
-    246,
+  { /* 1681 */
+    247,
     /* MOVLPDrm */
   },
-  { /* 1687 */
-    245,
+  { /* 1682 */
+    246,
     /* MOVLPSmr */
   },
-  { /* 1688 */
-    246,
+  { /* 1683 */
+    247,
     /* MOVLPSrm */
   },
-  { /* 1689 */
-    109,
+  { /* 1684 */
+    110,
     /* MOVMSKPDrr */
   },
-  { /* 1690 */
-    109,
+  { /* 1685 */
+    110,
     /* MOVMSKPSrr */
   },
-  { /* 1691 */
-    42,
+  { /* 1686 */
+    44,
     /* MOVNTDQArm */
   },
-  { /* 1692 */
-    242,
+  { /* 1687 */
+    243,
     /* MOVNTDQmr */
   },
-  { /* 1693 */
+  { /* 1688 */
     16,
     /* MOVNTI_64mr */
   },
-  { /* 1694 */
-    247,
+  { /* 1689 */
+    248,
     /* MOVNTImr */
   },
-  { /* 1695 */
-    242,
+  { /* 1690 */
+    243,
     /* MOVNTPDmr */
   },
-  { /* 1696 */
-    242,
+  { /* 1691 */
+    243,
     /* MOVNTPSmr */
   },
-  { /* 1697 */
-    245,
+  { /* 1692 */
+    246,
     /* MOVNTSD */
   },
-  { /* 1698 */
-    248,
+  { /* 1693 */
+    249,
     /* MOVNTSS */
   },
-  { /* 1699 */
+  { /* 1694 */
     0,
     /*  */
   },
-  { /* 1700 */
-    249,
+  { /* 1695 */
+    250,
     /* MOVPDI2DImr */
   },
-  { /* 1701 */
-    250,
+  { /* 1696 */
+    251,
     /* MOVPDI2DIrr */
   },
-  { /* 1702 */
-    249,
+  { /* 1697 */
+    250,
     /* MOVPQI2QImr */
   },
-  { /* 1703 */
-    243,
+  { /* 1698 */
+    244,
     /* MOVPQI2QIrr */
   },
-  { /* 1704 */
-    251,
+  { /* 1699 */
+    252,
     /* MOVPQIto64rr */
   },
-  { /* 1705 */
-    104,
+  { /* 1700 */
+    105,
     /* MOVQI2PQIrm */
   },
-  { /* 1706 */
-    89,
+  { /* 1701 */
+    91,
     /* MOVSB */
   },
-  { /* 1707 */
-    252,
+  { /* 1702 */
+    253,
     /* MOVSDmr */
   },
-  { /* 1708 */
-    253,
+  { /* 1703 */
+    254,
     /* MOVSDrm */
   },
-  { /* 1709 */
-    254,
+  { /* 1704 */
+    255,
     /* MOVSDrr */
   },
-  { /* 1710 */
-    255,
+  { /* 1705 */
+    256,
     /* MOVSDrr_REV */
   },
-  { /* 1711 */
+  { /* 1706 */
     0,
     /*  */
   },
-  { /* 1712 */
+  { /* 1707 */
     0,
     /*  */
   },
-  { /* 1713 */
-    42,
+  { /* 1708 */
+    44,
     /* MOVSHDUPrm */
   },
-  { /* 1714 */
-    43,
+  { /* 1709 */
+    45,
     /* MOVSHDUPrr */
   },
-  { /* 1715 */
-    92,
+  { /* 1710 */
+    94,
     /* MOVSL */
   },
-  { /* 1716 */
-    42,
+  { /* 1711 */
+    44,
     /* MOVSLDUPrm */
   },
-  { /* 1717 */
-    43,
+  { /* 1712 */
+    45,
     /* MOVSLDUPrr */
   },
-  { /* 1718 */
-    93,
+  { /* 1713 */
+    95,
     /* MOVSQ */
   },
-  { /* 1719 */
+  { /* 1714 */
     0,
     /*  */
   },
-  { /* 1720 */
+  { /* 1715 */
     0,
     /*  */
   },
-  { /* 1721 */
-    256,
+  { /* 1716 */
+    257,
     /* MOVSSmr */
   },
-  { /* 1722 */
-    257,
+  { /* 1717 */
+    258,
     /* MOVSSrm */
   },
-  { /* 1723 */
-    258,
+  { /* 1718 */
+    259,
     /* MOVSSrr */
   },
-  { /* 1724 */
-    259,
+  { /* 1719 */
+    260,
     /* MOVSSrr_REV */
   },
-  { /* 1725 */
-    96,
+  { /* 1720 */
+    98,
     /* MOVSW */
   },
-  { /* 1726 */
-    260,
+  { /* 1721 */
+    261,
     /* MOVSX16rm8 */
   },
-  { /* 1727 */
-    261,
+  { /* 1722 */
+    262,
     /* MOVSX16rr8 */
   },
-  { /* 1728 */
-    66,
+  { /* 1723 */
+    68,
     /* MOVSX32rm16 */
   },
-  { /* 1729 */
-    260,
+  { /* 1724 */
+    261,
     /* MOVSX32rm8 */
   },
-  { /* 1730 */
-    262,
+  { /* 1725 */
+    263,
     /* MOVSX32rr16 */
   },
-  { /* 1731 */
-    261,
+  { /* 1726 */
+    262,
     /* MOVSX32rr8 */
   },
-  { /* 1732 */
-    30,
+  { /* 1727 */
+    42,
     /* MOVSX64rm16 */
   },
-  { /* 1733 */
-    30,
+  { /* 1728 */
+    42,
     /* MOVSX64rm32 */
   },
-  { /* 1734 */
-    263,
+  { /* 1729 */
+    264,
     /* MOVSX64rm8 */
   },
-  { /* 1735 */
-    264,
+  { /* 1730 */
+    265,
     /* MOVSX64rr16 */
   },
-  { /* 1736 */
-    182,
+  { /* 1731 */
+    183,
     /* MOVSX64rr32 */
   },
-  { /* 1737 */
-    265,
+  { /* 1732 */
+    266,
     /* MOVSX64rr8 */
   },
-  { /* 1738 */
-    242,
+  { /* 1733 */
+    243,
     /* MOVUPDmr */
   },
-  { /* 1739 */
-    42,
+  { /* 1734 */
+    44,
     /* MOVUPDrm */
   },
-  { /* 1740 */
-    43,
+  { /* 1735 */
+    45,
     /* MOVUPDrr */
   },
-  { /* 1741 */
-    243,
+  { /* 1736 */
+    244,
     /* MOVUPDrr_REV */
   },
-  { /* 1742 */
-    242,
+  { /* 1737 */
+    243,
     /* MOVUPSmr */
   },
-  { /* 1743 */
-    42,
+  { /* 1738 */
+    44,
     /* MOVUPSrm */
   },
-  { /* 1744 */
-    43,
+  { /* 1739 */
+    45,
     /* MOVUPSrr */
   },
-  { /* 1745 */
-    243,
+  { /* 1740 */
+    244,
     /* MOVUPSrr_REV */
   },
-  { /* 1746 */
+  { /* 1741 */
     0,
     /*  */
   },
-  { /* 1747 */
-    43,
+  { /* 1742 */
+    45,
     /* MOVZPQILo2PQIrr */
   },
+  { /* 1743 */
+    0,
+    /*  */
+  },
+  { /* 1744 */
+    0,
+    /*  */
+  },
+  { /* 1745 */
+    261,
+    /* MOVZX16rm8 */
+  },
+  { /* 1746 */
+    262,
+    /* MOVZX16rr8 */
+  },
+  { /* 1747 */
+    0,
+    /*  */
+  },
   { /* 1748 */
     0,
     /*  */
   },
   { /* 1749 */
-    0,
-    /*  */
-  },
-  { /* 1750 */
-    260,
-    /* MOVZX16rm8 */
-  },
-  { /* 1751 */
-    261,
-    /* MOVZX16rr8 */
-  },
-  { /* 1752 */
-    0,
-    /*  */
-  },
-  { /* 1753 */
-    0,
-    /*  */
-  },
-  { /* 1754 */
-    66,
+    68,
     /* MOVZX32rm16 */
   },
-  { /* 1755 */
-    260,
+  { /* 1750 */
+    261,
     /* MOVZX32rm8 */
   },
-  { /* 1756 */
-    262,
+  { /* 1751 */
+    263,
     /* MOVZX32rr16 */
   },
-  { /* 1757 */
-    261,
+  { /* 1752 */
+    262,
     /* MOVZX32rr8 */
   },
-  { /* 1758 */
-    30,
+  { /* 1753 */
+    42,
     /* MOVZX64rm16_Q */
   },
-  { /* 1759 */
-    263,
+  { /* 1754 */
+    264,
     /* MOVZX64rm8_Q */
   },
-  { /* 1760 */
-    264,
+  { /* 1755 */
+    265,
     /* MOVZX64rr16_Q */
   },
-  { /* 1761 */
-    265,
+  { /* 1756 */
+    266,
     /* MOVZX64rr8_Q */
   },
-  { /* 1762 */
-    64,
+  { /* 1757 */
+    66,
     /* MPSADBWrmi */
   },
-  { /* 1763 */
-    65,
+  { /* 1758 */
+    67,
     /* MPSADBWrri */
   },
-  { /* 1764 */
-    40,
+  { /* 1759 */
+    38,
     /* MUL16m */
   },
-  { /* 1765 */
-    75,
+  { /* 1760 */
+    77,
     /* MUL16r */
   },
-  { /* 1766 */
-    40,
+  { /* 1761 */
+    38,
     /* MUL32m */
   },
-  { /* 1767 */
-    75,
+  { /* 1762 */
+    77,
     /* MUL32r */
   },
-  { /* 1768 */
-    40,
+  { /* 1763 */
+    38,
     /* MUL64m */
   },
-  { /* 1769 */
-    77,
+  { /* 1764 */
+    79,
     /* MUL64r */
   },
-  { /* 1770 */
-    80,
+  { /* 1765 */
+    82,
     /* MUL8m */
   },
-  { /* 1771 */
-    129,
+  { /* 1766 */
+    130,
     /* MUL8r */
   },
-  { /* 1772 */
-    32,
+  { /* 1767 */
+    30,
     /* MULPDrm */
   },
+  { /* 1768 */
+    31,
+    /* MULPDrr */
+  },
+  { /* 1769 */
+    30,
+    /* MULPSrm */
+  },
+  { /* 1770 */
+    31,
+    /* MULPSrr */
+  },
+  { /* 1771 */
+    32,
+    /* MULSDrm */
+  },
+  { /* 1772 */
+    0,
+    /*  */
+  },
   { /* 1773 */
     33,
-    /* MULPDrr */
-  },
-  { /* 1774 */
-    32,
-    /* MULPSrm */
-  },
-  { /* 1775 */
-    33,
-    /* MULPSrr */
-  },
-  { /* 1776 */
-    34,
-    /* MULSDrm */
-  },
-  { /* 1777 */
-    0,
-    /*  */
-  },
-  { /* 1778 */
-    35,
     /* MULSDrr */
   },
-  { /* 1779 */
+  { /* 1774 */
     0,
     /*  */
   },
-  { /* 1780 */
-    36,
+  { /* 1775 */
+    34,
     /* MULSSrm */
   },
-  { /* 1781 */
+  { /* 1776 */
     0,
     /*  */
   },
-  { /* 1782 */
-    37,
+  { /* 1777 */
+    35,
     /* MULSSrr */
   },
-  { /* 1783 */
+  { /* 1778 */
     0,
     /*  */
   },
-  { /* 1784 */
-    46,
+  { /* 1779 */
+    48,
     /* MULX32rm */
   },
-  { /* 1785 */
-    47,
+  { /* 1780 */
+    49,
     /* MULX32rr */
   },
-  { /* 1786 */
-    48,
+  { /* 1781 */
+    50,
     /* MULX64rm */
   },
-  { /* 1787 */
-    49,
+  { /* 1782 */
+    51,
     /* MULX64rr */
   },
-  { /* 1788 */
-    38,
+  { /* 1783 */
+    36,
     /* MUL_F32m */
   },
-  { /* 1789 */
-    39,
+  { /* 1784 */
+    37,
     /* MUL_F64m */
   },
-  { /* 1790 */
-    40,
+  { /* 1785 */
+    38,
     /* MUL_FI16m */
   },
-  { /* 1791 */
-    40,
+  { /* 1786 */
+    38,
     /* MUL_FI32m */
   },
-  { /* 1792 */
-    41,
+  { /* 1787 */
+    39,
     /* MUL_FPrST0 */
   },
-  { /* 1793 */
-    41,
+  { /* 1788 */
+    39,
     /* MUL_FST0r */
   },
+  { /* 1789 */
+    0,
+    /*  */
+  },
+  { /* 1790 */
+    0,
+    /*  */
+  },
+  { /* 1791 */
+    0,
+    /*  */
+  },
+  { /* 1792 */
+    0,
+    /*  */
+  },
+  { /* 1793 */
+    0,
+    /*  */
+  },
   { /* 1794 */
     0,
     /*  */
@@ -14048,2241 +14504,2241 @@
     /*  */
   },
   { /* 1803 */
-    0,
-    /*  */
+    39,
+    /* MUL_FrST0 */
   },
   { /* 1804 */
     0,
-    /*  */
-  },
-  { /* 1805 */
-    0,
-    /*  */
-  },
-  { /* 1806 */
-    0,
-    /*  */
-  },
-  { /* 1807 */
-    0,
-    /*  */
-  },
-  { /* 1808 */
-    41,
-    /* MUL_FrST0 */
-  },
-  { /* 1809 */
-    0,
     /* MWAITrr */
   },
-  { /* 1810 */
-    40,
+  { /* 1805 */
+    38,
     /* NEG16m */
   },
-  { /* 1811 */
-    126,
+  { /* 1806 */
+    127,
     /* NEG16r */
   },
-  { /* 1812 */
-    40,
+  { /* 1807 */
+    38,
     /* NEG32m */
   },
-  { /* 1813 */
-    126,
+  { /* 1808 */
+    127,
     /* NEG32r */
   },
-  { /* 1814 */
-    40,
+  { /* 1809 */
+    38,
     /* NEG64m */
   },
-  { /* 1815 */
-    127,
+  { /* 1810 */
+    128,
     /* NEG64r */
   },
-  { /* 1816 */
-    80,
+  { /* 1811 */
+    82,
     /* NEG8m */
   },
-  { /* 1817 */
-    128,
+  { /* 1812 */
+    129,
     /* NEG8r */
   },
-  { /* 1818 */
+  { /* 1813 */
     0,
     /* NOOP */
   },
-  { /* 1819 */
-    40,
+  { /* 1814 */
+    38,
     /* NOOP18_16m4 */
   },
-  { /* 1820 */
-    40,
+  { /* 1815 */
+    38,
     /* NOOP18_16m5 */
   },
-  { /* 1821 */
-    40,
+  { /* 1816 */
+    38,
     /* NOOP18_16m6 */
   },
-  { /* 1822 */
-    40,
+  { /* 1817 */
+    38,
     /* NOOP18_16m7 */
   },
-  { /* 1823 */
-    75,
+  { /* 1818 */
+    77,
     /* NOOP18_16r4 */
   },
-  { /* 1824 */
-    75,
+  { /* 1819 */
+    77,
     /* NOOP18_16r5 */
   },
-  { /* 1825 */
-    75,
+  { /* 1820 */
+    77,
     /* NOOP18_16r6 */
   },
-  { /* 1826 */
-    75,
+  { /* 1821 */
+    77,
     /* NOOP18_16r7 */
   },
-  { /* 1827 */
-    40,
+  { /* 1822 */
+    38,
     /* NOOP18_m4 */
   },
-  { /* 1828 */
-    40,
+  { /* 1823 */
+    38,
     /* NOOP18_m5 */
   },
-  { /* 1829 */
-    40,
+  { /* 1824 */
+    38,
     /* NOOP18_m6 */
   },
-  { /* 1830 */
-    40,
+  { /* 1825 */
+    38,
     /* NOOP18_m7 */
   },
-  { /* 1831 */
-    75,
+  { /* 1826 */
+    77,
     /* NOOP18_r4 */
   },
-  { /* 1832 */
-    75,
+  { /* 1827 */
+    77,
     /* NOOP18_r5 */
   },
-  { /* 1833 */
-    75,
+  { /* 1828 */
+    77,
     /* NOOP18_r6 */
   },
-  { /* 1834 */
-    75,
+  { /* 1829 */
+    77,
     /* NOOP18_r7 */
   },
-  { /* 1835 */
-    40,
+  { /* 1830 */
+    38,
     /* NOOPL */
   },
-  { /* 1836 */
-    40,
+  { /* 1831 */
+    38,
     /* NOOPL_19 */
   },
-  { /* 1837 */
-    40,
+  { /* 1832 */
+    38,
     /* NOOPL_1a */
   },
-  { /* 1838 */
-    40,
+  { /* 1833 */
+    38,
     /* NOOPL_1b */
   },
-  { /* 1839 */
-    40,
+  { /* 1834 */
+    38,
     /* NOOPL_1c */
   },
-  { /* 1840 */
-    40,
+  { /* 1835 */
+    38,
     /* NOOPL_1d */
   },
-  { /* 1841 */
-    40,
+  { /* 1836 */
+    38,
     /* NOOPL_1e */
   },
-  { /* 1842 */
-    40,
+  { /* 1837 */
+    38,
     /* NOOPW */
   },
-  { /* 1843 */
-    40,
+  { /* 1838 */
+    38,
     /* NOOPW_19 */
   },
-  { /* 1844 */
-    40,
+  { /* 1839 */
+    38,
     /* NOOPW_1a */
   },
-  { /* 1845 */
-    40,
+  { /* 1840 */
+    38,
     /* NOOPW_1b */
   },
-  { /* 1846 */
-    40,
+  { /* 1841 */
+    38,
     /* NOOPW_1c */
   },
-  { /* 1847 */
-    40,
+  { /* 1842 */
+    38,
     /* NOOPW_1d */
   },
-  { /* 1848 */
-    40,
+  { /* 1843 */
+    38,
     /* NOOPW_1e */
   },
-  { /* 1849 */
-    40,
+  { /* 1844 */
+    38,
     /* NOT16m */
   },
-  { /* 1850 */
-    126,
+  { /* 1845 */
+    127,
     /* NOT16r */
   },
-  { /* 1851 */
-    40,
+  { /* 1846 */
+    38,
     /* NOT32m */
   },
-  { /* 1852 */
-    126,
+  { /* 1847 */
+    127,
     /* NOT32r */
   },
-  { /* 1853 */
-    40,
+  { /* 1848 */
+    38,
     /* NOT64m */
   },
-  { /* 1854 */
-    127,
+  { /* 1849 */
+    128,
     /* NOT64r */
   },
-  { /* 1855 */
-    80,
+  { /* 1850 */
+    82,
     /* NOT8m */
   },
-  { /* 1856 */
-    128,
+  { /* 1851 */
+    129,
     /* NOT8r */
   },
-  { /* 1857 */
+  { /* 1852 */
     2,
     /* OR16i16 */
   },
-  { /* 1858 */
+  { /* 1853 */
     3,
     /* OR16mi */
   },
-  { /* 1859 */
+  { /* 1854 */
     4,
     /* OR16mi8 */
   },
-  { /* 1860 */
+  { /* 1855 */
     5,
     /* OR16mr */
   },
-  { /* 1861 */
+  { /* 1856 */
     6,
     /* OR16ri */
   },
-  { /* 1862 */
+  { /* 1857 */
     7,
     /* OR16ri8 */
   },
-  { /* 1863 */
+  { /* 1858 */
     8,
     /* OR16rm */
   },
-  { /* 1864 */
+  { /* 1859 */
     9,
     /* OR16rr */
   },
-  { /* 1865 */
+  { /* 1860 */
     10,
     /* OR16rr_REV */
   },
-  { /* 1866 */
+  { /* 1861 */
     2,
     /* OR32i32 */
   },
-  { /* 1867 */
+  { /* 1862 */
     3,
     /* OR32mi */
   },
-  { /* 1868 */
+  { /* 1863 */
     11,
     /* OR32mi8 */
   },
-  { /* 1869 */
+  { /* 1864 */
     5,
     /* OR32mr */
   },
-  { /* 1870 */
+  { /* 1865 */
     0,
     /*  */
   },
-  { /* 1871 */
+  { /* 1866 */
     6,
     /* OR32ri */
   },
-  { /* 1872 */
+  { /* 1867 */
     12,
     /* OR32ri8 */
   },
-  { /* 1873 */
+  { /* 1868 */
     8,
     /* OR32rm */
   },
-  { /* 1874 */
+  { /* 1869 */
     9,
     /* OR32rr */
   },
-  { /* 1875 */
+  { /* 1870 */
     10,
     /* OR32rr_REV */
   },
-  { /* 1876 */
+  { /* 1871 */
     13,
     /* OR64i32 */
   },
-  { /* 1877 */
+  { /* 1872 */
     14,
     /* OR64mi32 */
   },
-  { /* 1878 */
+  { /* 1873 */
     15,
     /* OR64mi8 */
   },
-  { /* 1879 */
+  { /* 1874 */
     16,
     /* OR64mr */
   },
-  { /* 1880 */
+  { /* 1875 */
     17,
     /* OR64ri32 */
   },
-  { /* 1881 */
+  { /* 1876 */
     18,
     /* OR64ri8 */
   },
-  { /* 1882 */
+  { /* 1877 */
     19,
     /* OR64rm */
   },
-  { /* 1883 */
+  { /* 1878 */
     20,
     /* OR64rr */
   },
-  { /* 1884 */
+  { /* 1879 */
     21,
     /* OR64rr_REV */
   },
-  { /* 1885 */
+  { /* 1880 */
     1,
     /* OR8i8 */
   },
-  { /* 1886 */
+  { /* 1881 */
     22,
     /* OR8mi */
   },
-  { /* 1887 */
+  { /* 1882 */
     23,
     /* OR8mr */
   },
-  { /* 1888 */
+  { /* 1883 */
     24,
     /* OR8ri */
   },
-  { /* 1889 */
+  { /* 1884 */
     24,
     /* OR8ri8 */
   },
-  { /* 1890 */
+  { /* 1885 */
     25,
     /* OR8rm */
   },
-  { /* 1891 */
+  { /* 1886 */
     26,
     /* OR8rr */
   },
-  { /* 1892 */
+  { /* 1887 */
     27,
     /* OR8rr_REV */
   },
-  { /* 1893 */
-    32,
+  { /* 1888 */
+    30,
     /* ORPDrm */
   },
-  { /* 1894 */
-    33,
+  { /* 1889 */
+    31,
     /* ORPDrr */
   },
-  { /* 1895 */
-    32,
+  { /* 1890 */
+    30,
     /* ORPSrm */
   },
-  { /* 1896 */
-    33,
+  { /* 1891 */
+    31,
     /* ORPSrr */
   },
-  { /* 1897 */
+  { /* 1892 */
     1,
     /* OUT16ir */
   },
-  { /* 1898 */
+  { /* 1893 */
     0,
     /* OUT16rr */
   },
-  { /* 1899 */
+  { /* 1894 */
     1,
     /* OUT32ir */
   },
-  { /* 1900 */
+  { /* 1895 */
     0,
     /* OUT32rr */
   },
-  { /* 1901 */
+  { /* 1896 */
     1,
     /* OUT8ir */
   },
-  { /* 1902 */
+  { /* 1897 */
     0,
     /* OUT8rr */
   },
-  { /* 1903 */
-    190,
+  { /* 1898 */
+    191,
     /* OUTSB */
   },
-  { /* 1904 */
-    191,
+  { /* 1899 */
+    192,
     /* OUTSL */
   },
-  { /* 1905 */
-    193,
+  { /* 1900 */
+    194,
     /* OUTSW */
   },
-  { /* 1906 */
-    42,
+  { /* 1901 */
+    44,
     /* PABSBrm128 */
   },
-  { /* 1907 */
-    43,
+  { /* 1902 */
+    45,
     /* PABSBrr128 */
   },
-  { /* 1908 */
-    42,
+  { /* 1903 */
+    44,
     /* PABSDrm128 */
   },
-  { /* 1909 */
-    43,
+  { /* 1904 */
+    45,
     /* PABSDrr128 */
   },
-  { /* 1910 */
-    42,
+  { /* 1905 */
+    44,
     /* PABSWrm128 */
   },
-  { /* 1911 */
-    43,
+  { /* 1906 */
+    45,
     /* PABSWrr128 */
   },
-  { /* 1912 */
-    32,
+  { /* 1907 */
+    30,
     /* PACKSSDWrm */
   },
-  { /* 1913 */
-    33,
+  { /* 1908 */
+    31,
     /* PACKSSDWrr */
   },
-  { /* 1914 */
-    32,
+  { /* 1909 */
+    30,
     /* PACKSSWBrm */
   },
-  { /* 1915 */
-    33,
+  { /* 1910 */
+    31,
     /* PACKSSWBrr */
   },
-  { /* 1916 */
-    32,
+  { /* 1911 */
+    30,
     /* PACKUSDWrm */
   },
-  { /* 1917 */
-    33,
+  { /* 1912 */
+    31,
     /* PACKUSDWrr */
   },
-  { /* 1918 */
-    32,
+  { /* 1913 */
+    30,
     /* PACKUSWBrm */
   },
-  { /* 1919 */
-    33,
+  { /* 1914 */
+    31,
     /* PACKUSWBrr */
   },
-  { /* 1920 */
-    32,
+  { /* 1915 */
+    30,
     /* PADDBrm */
   },
-  { /* 1921 */
-    33,
+  { /* 1916 */
+    31,
     /* PADDBrr */
   },
-  { /* 1922 */
-    32,
+  { /* 1917 */
+    30,
     /* PADDDrm */
   },
-  { /* 1923 */
-    33,
+  { /* 1918 */
+    31,
     /* PADDDrr */
   },
-  { /* 1924 */
-    32,
+  { /* 1919 */
+    30,
     /* PADDQrm */
   },
-  { /* 1925 */
-    33,
+  { /* 1920 */
+    31,
     /* PADDQrr */
   },
-  { /* 1926 */
-    32,
+  { /* 1921 */
+    30,
     /* PADDSBrm */
   },
-  { /* 1927 */
-    33,
+  { /* 1922 */
+    31,
     /* PADDSBrr */
   },
-  { /* 1928 */
-    32,
+  { /* 1923 */
+    30,
     /* PADDSWrm */
   },
-  { /* 1929 */
-    33,
+  { /* 1924 */
+    31,
     /* PADDSWrr */
   },
-  { /* 1930 */
-    32,
+  { /* 1925 */
+    30,
     /* PADDUSBrm */
   },
-  { /* 1931 */
-    33,
+  { /* 1926 */
+    31,
     /* PADDUSBrr */
   },
-  { /* 1932 */
-    32,
+  { /* 1927 */
+    30,
     /* PADDUSWrm */
   },
-  { /* 1933 */
-    33,
+  { /* 1928 */
+    31,
     /* PADDUSWrr */
   },
-  { /* 1934 */
-    32,
+  { /* 1929 */
+    30,
     /* PADDWrm */
   },
-  { /* 1935 */
-    33,
+  { /* 1930 */
+    31,
     /* PADDWrr */
   },
-  { /* 1936 */
-    266,
+  { /* 1931 */
+    267,
     /* PALIGNR128rm */
   },
-  { /* 1937 */
-    267,
+  { /* 1932 */
+    268,
     /* PALIGNR128rr */
   },
-  { /* 1938 */
-    32,
+  { /* 1933 */
+    30,
     /* PANDNrm */
   },
-  { /* 1939 */
-    33,
+  { /* 1934 */
+    31,
     /* PANDNrr */
   },
-  { /* 1940 */
-    32,
+  { /* 1935 */
+    30,
     /* PANDrm */
   },
-  { /* 1941 */
-    33,
+  { /* 1936 */
+    31,
     /* PANDrr */
   },
-  { /* 1942 */
+  { /* 1937 */
     0,
     /* PAUSE */
   },
-  { /* 1943 */
-    32,
+  { /* 1938 */
+    30,
     /* PAVGBrm */
   },
-  { /* 1944 */
-    33,
+  { /* 1939 */
+    31,
     /* PAVGBrr */
   },
-  { /* 1945 */
-    209,
+  { /* 1940 */
+    210,
     /* PAVGUSBrm */
   },
-  { /* 1946 */
-    210,
+  { /* 1941 */
+    211,
     /* PAVGUSBrr */
   },
-  { /* 1947 */
-    32,
+  { /* 1942 */
+    30,
     /* PAVGWrm */
   },
-  { /* 1948 */
-    33,
+  { /* 1943 */
+    31,
     /* PAVGWrr */
   },
-  { /* 1949 */
-    32,
+  { /* 1944 */
+    30,
     /* PBLENDVBrm0 */
   },
-  { /* 1950 */
-    33,
+  { /* 1945 */
+    31,
     /* PBLENDVBrr0 */
   },
-  { /* 1951 */
-    64,
+  { /* 1946 */
+    66,
     /* PBLENDWrmi */
   },
-  { /* 1952 */
-    65,
+  { /* 1947 */
+    67,
     /* PBLENDWrri */
   },
-  { /* 1953 */
-    266,
+  { /* 1948 */
+    267,
     /* PCLMULQDQrm */
   },
-  { /* 1954 */
-    267,
+  { /* 1949 */
+    268,
     /* PCLMULQDQrr */
   },
-  { /* 1955 */
-    32,
+  { /* 1950 */
+    30,
     /* PCMPEQBrm */
   },
-  { /* 1956 */
-    33,
+  { /* 1951 */
+    31,
     /* PCMPEQBrr */
   },
-  { /* 1957 */
-    32,
+  { /* 1952 */
+    30,
     /* PCMPEQDrm */
   },
-  { /* 1958 */
-    33,
+  { /* 1953 */
+    31,
     /* PCMPEQDrr */
   },
-  { /* 1959 */
-    32,
+  { /* 1954 */
+    30,
     /* PCMPEQQrm */
   },
-  { /* 1960 */
-    33,
+  { /* 1955 */
+    31,
     /* PCMPEQQrr */
   },
-  { /* 1961 */
-    32,
+  { /* 1956 */
+    30,
     /* PCMPEQWrm */
   },
-  { /* 1962 */
-    33,
+  { /* 1957 */
+    31,
     /* PCMPEQWrr */
   },
+  { /* 1958 */
+    0,
+    /*  */
+  },
+  { /* 1959 */
+    0,
+    /*  */
+  },
+  { /* 1960 */
+    46,
+    /* PCMPESTRIrm */
+  },
+  { /* 1961 */
+    47,
+    /* PCMPESTRIrr */
+  },
+  { /* 1962 */
+    0,
+    /*  */
+  },
   { /* 1963 */
     0,
     /*  */
   },
   { /* 1964 */
-    0,
-    /*  */
-  },
-  { /* 1965 */
-    44,
-    /* PCMPESTRIrm */
-  },
-  { /* 1966 */
-    45,
-    /* PCMPESTRIrr */
-  },
-  { /* 1967 */
-    0,
-    /*  */
-  },
-  { /* 1968 */
-    0,
-    /*  */
-  },
-  { /* 1969 */
-    44,
+    46,
     /* PCMPESTRM128rm */
   },
-  { /* 1970 */
-    45,
+  { /* 1965 */
+    47,
     /* PCMPESTRM128rr */
   },
-  { /* 1971 */
-    32,
+  { /* 1966 */
+    30,
     /* PCMPGTBrm */
   },
-  { /* 1972 */
-    33,
+  { /* 1967 */
+    31,
     /* PCMPGTBrr */
   },
-  { /* 1973 */
-    32,
+  { /* 1968 */
+    30,
     /* PCMPGTDrm */
   },
-  { /* 1974 */
-    33,
+  { /* 1969 */
+    31,
     /* PCMPGTDrr */
   },
-  { /* 1975 */
-    32,
+  { /* 1970 */
+    30,
     /* PCMPGTQrm */
   },
-  { /* 1976 */
-    33,
+  { /* 1971 */
+    31,
     /* PCMPGTQrr */
   },
-  { /* 1977 */
-    32,
+  { /* 1972 */
+    30,
     /* PCMPGTWrm */
   },
-  { /* 1978 */
-    33,
+  { /* 1973 */
+    31,
     /* PCMPGTWrr */
   },
+  { /* 1974 */
+    0,
+    /*  */
+  },
+  { /* 1975 */
+    0,
+    /*  */
+  },
+  { /* 1976 */
+    46,
+    /* PCMPISTRIrm */
+  },
+  { /* 1977 */
+    47,
+    /* PCMPISTRIrr */
+  },
+  { /* 1978 */
+    0,
+    /*  */
+  },
   { /* 1979 */
     0,
     /*  */
   },
   { /* 1980 */
-    0,
-    /*  */
-  },
-  { /* 1981 */
-    44,
-    /* PCMPISTRIrm */
-  },
-  { /* 1982 */
-    45,
-    /* PCMPISTRIrr */
-  },
-  { /* 1983 */
-    0,
-    /*  */
-  },
-  { /* 1984 */
-    0,
-    /*  */
-  },
-  { /* 1985 */
-    44,
+    46,
     /* PCMPISTRM128rm */
   },
-  { /* 1986 */
-    45,
+  { /* 1981 */
+    47,
     /* PCMPISTRM128rr */
   },
-  { /* 1987 */
-    46,
+  { /* 1982 */
+    48,
     /* PDEP32rm */
   },
-  { /* 1988 */
-    47,
+  { /* 1983 */
+    49,
     /* PDEP32rr */
   },
-  { /* 1989 */
-    48,
+  { /* 1984 */
+    50,
     /* PDEP64rm */
   },
-  { /* 1990 */
-    49,
+  { /* 1985 */
+    51,
     /* PDEP64rr */
   },
-  { /* 1991 */
-    46,
+  { /* 1986 */
+    48,
     /* PEXT32rm */
   },
-  { /* 1992 */
-    47,
+  { /* 1987 */
+    49,
     /* PEXT32rr */
   },
-  { /* 1993 */
-    48,
+  { /* 1988 */
+    50,
     /* PEXT64rm */
   },
-  { /* 1994 */
-    49,
+  { /* 1989 */
+    51,
     /* PEXT64rr */
   },
-  { /* 1995 */
-    268,
+  { /* 1990 */
+    269,
     /* PEXTRBmr */
   },
-  { /* 1996 */
-    132,
+  { /* 1991 */
+    133,
     /* PEXTRBrr */
   },
-  { /* 1997 */
-    269,
+  { /* 1992 */
+    270,
     /* PEXTRDmr */
   },
-  { /* 1998 */
-    132,
+  { /* 1993 */
+    133,
     /* PEXTRDrr */
   },
-  { /* 1999 */
-    269,
+  { /* 1994 */
+    270,
     /* PEXTRQmr */
   },
-  { /* 2000 */
-    270,
+  { /* 1995 */
+    271,
     /* PEXTRQrr */
   },
-  { /* 2001 */
-    269,
+  { /* 1996 */
+    270,
     /* PEXTRWmr */
   },
-  { /* 2002 */
-    271,
+  { /* 1997 */
+    272,
     /* PEXTRWri */
   },
-  { /* 2003 */
-    132,
+  { /* 1998 */
+    133,
     /* PEXTRWrr_REV */
   },
-  { /* 2004 */
-    205,
+  { /* 1999 */
+    206,
     /* PF2IDrm */
   },
-  { /* 2005 */
-    201,
+  { /* 2000 */
+    202,
     /* PF2IDrr */
   },
-  { /* 2006 */
-    205,
+  { /* 2001 */
+    206,
     /* PF2IWrm */
   },
-  { /* 2007 */
-    201,
+  { /* 2002 */
+    202,
     /* PF2IWrr */
   },
-  { /* 2008 */
-    209,
+  { /* 2003 */
+    210,
     /* PFACCrm */
   },
+  { /* 2004 */
+    211,
+    /* PFACCrr */
+  },
+  { /* 2005 */
+    210,
+    /* PFADDrm */
+  },
+  { /* 2006 */
+    211,
+    /* PFADDrr */
+  },
+  { /* 2007 */
+    210,
+    /* PFCMPEQrm */
+  },
+  { /* 2008 */
+    211,
+    /* PFCMPEQrr */
+  },
   { /* 2009 */
     210,
-    /* PFACCrr */
+    /* PFCMPGErm */
   },
   { /* 2010 */
-    209,
-    /* PFADDrm */
+    211,
+    /* PFCMPGErr */
   },
   { /* 2011 */
     210,
-    /* PFADDrr */
+    /* PFCMPGTrm */
   },
   { /* 2012 */
-    209,
-    /* PFCMPEQrm */
+    211,
+    /* PFCMPGTrr */
   },
   { /* 2013 */
     210,
-    /* PFCMPEQrr */
+    /* PFMAXrm */
   },
   { /* 2014 */
-    209,
-    /* PFCMPGErm */
+    211,
+    /* PFMAXrr */
   },
   { /* 2015 */
     210,
-    /* PFCMPGErr */
+    /* PFMINrm */
   },
   { /* 2016 */
-    209,
-    /* PFCMPGTrm */
+    211,
+    /* PFMINrr */
   },
   { /* 2017 */
     210,
-    /* PFCMPGTrr */
+    /* PFMULrm */
   },
   { /* 2018 */
-    209,
-    /* PFMAXrm */
+    211,
+    /* PFMULrr */
   },
   { /* 2019 */
     210,
-    /* PFMAXrr */
+    /* PFNACCrm */
   },
   { /* 2020 */
-    209,
-    /* PFMINrm */
+    211,
+    /* PFNACCrr */
   },
   { /* 2021 */
     210,
-    /* PFMINrr */
+    /* PFPNACCrm */
   },
   { /* 2022 */
-    209,
-    /* PFMULrm */
+    211,
+    /* PFPNACCrr */
   },
   { /* 2023 */
     210,
-    /* PFMULrr */
+    /* PFRCPIT1rm */
   },
   { /* 2024 */
-    209,
-    /* PFNACCrm */
+    211,
+    /* PFRCPIT1rr */
   },
   { /* 2025 */
     210,
-    /* PFNACCrr */
+    /* PFRCPIT2rm */
   },
   { /* 2026 */
-    209,
-    /* PFPNACCrm */
+    211,
+    /* PFRCPIT2rr */
   },
   { /* 2027 */
-    210,
-    /* PFPNACCrr */
+    206,
+    /* PFRCPrm */
   },
   { /* 2028 */
-    209,
-    /* PFRCPIT1rm */
+    202,
+    /* PFRCPrr */
   },
   { /* 2029 */
     210,
-    /* PFRCPIT1rr */
+    /* PFRSQIT1rm */
   },
   { /* 2030 */
-    209,
-    /* PFRCPIT2rm */
+    211,
+    /* PFRSQIT1rr */
   },
   { /* 2031 */
-    210,
-    /* PFRCPIT2rr */
+    206,
+    /* PFRSQRTrm */
   },
   { /* 2032 */
-    205,
-    /* PFRCPrm */
+    202,
+    /* PFRSQRTrr */
   },
   { /* 2033 */
-    201,
-    /* PFRCPrr */
+    210,
+    /* PFSUBRrm */
   },
   { /* 2034 */
-    209,
-    /* PFRSQIT1rm */
+    211,
+    /* PFSUBRrr */
   },
   { /* 2035 */
     210,
-    /* PFRSQIT1rr */
-  },
-  { /* 2036 */
-    205,
-    /* PFRSQRTrm */
-  },
-  { /* 2037 */
-    201,
-    /* PFRSQRTrr */
-  },
-  { /* 2038 */
-    209,
-    /* PFSUBRrm */
-  },
-  { /* 2039 */
-    210,
-    /* PFSUBRrr */
-  },
-  { /* 2040 */
-    209,
     /* PFSUBrm */
   },
-  { /* 2041 */
-    210,
+  { /* 2036 */
+    211,
     /* PFSUBrr */
   },
-  { /* 2042 */
-    32,
+  { /* 2037 */
+    30,
     /* PHADDDrm */
   },
-  { /* 2043 */
-    33,
+  { /* 2038 */
+    31,
     /* PHADDDrr */
   },
-  { /* 2044 */
-    32,
+  { /* 2039 */
+    30,
     /* PHADDSWrm128 */
   },
-  { /* 2045 */
-    33,
+  { /* 2040 */
+    31,
     /* PHADDSWrr128 */
   },
-  { /* 2046 */
-    32,
+  { /* 2041 */
+    30,
     /* PHADDWrm */
   },
-  { /* 2047 */
-    33,
+  { /* 2042 */
+    31,
     /* PHADDWrr */
   },
-  { /* 2048 */
-    42,
+  { /* 2043 */
+    44,
     /* PHMINPOSUWrm128 */
   },
-  { /* 2049 */
-    43,
+  { /* 2044 */
+    45,
     /* PHMINPOSUWrr128 */
   },
-  { /* 2050 */
-    32,
+  { /* 2045 */
+    30,
     /* PHSUBDrm */
   },
-  { /* 2051 */
-    33,
+  { /* 2046 */
+    31,
     /* PHSUBDrr */
   },
-  { /* 2052 */
-    32,
+  { /* 2047 */
+    30,
     /* PHSUBSWrm128 */
   },
-  { /* 2053 */
-    33,
+  { /* 2048 */
+    31,
     /* PHSUBSWrr128 */
   },
-  { /* 2054 */
-    32,
+  { /* 2049 */
+    30,
     /* PHSUBWrm */
   },
-  { /* 2055 */
-    33,
+  { /* 2050 */
+    31,
     /* PHSUBWrr */
   },
-  { /* 2056 */
-    205,
+  { /* 2051 */
+    206,
     /* PI2FDrm */
   },
-  { /* 2057 */
-    201,
+  { /* 2052 */
+    202,
     /* PI2FDrr */
   },
-  { /* 2058 */
-    205,
+  { /* 2053 */
+    206,
     /* PI2FWrm */
   },
-  { /* 2059 */
-    201,
+  { /* 2054 */
+    202,
     /* PI2FWrr */
   },
-  { /* 2060 */
-    272,
+  { /* 2055 */
+    273,
     /* PINSRBrm */
   },
-  { /* 2061 */
-    273,
+  { /* 2056 */
+    274,
     /* PINSRBrr */
   },
+  { /* 2057 */
+    275,
+    /* PINSRDrm */
+  },
+  { /* 2058 */
+    274,
+    /* PINSRDrr */
+  },
+  { /* 2059 */
+    275,
+    /* PINSRQrm */
+  },
+  { /* 2060 */
+    276,
+    /* PINSRQrr */
+  },
+  { /* 2061 */
+    275,
+    /* PINSRWrmi */
+  },
   { /* 2062 */
     274,
-    /* PINSRDrm */
-  },
-  { /* 2063 */
-    273,
-    /* PINSRDrr */
-  },
-  { /* 2064 */
-    274,
-    /* PINSRQrm */
-  },
-  { /* 2065 */
-    275,
-    /* PINSRQrr */
-  },
-  { /* 2066 */
-    274,
-    /* PINSRWrmi */
-  },
-  { /* 2067 */
-    273,
     /* PINSRWrri */
   },
-  { /* 2068 */
-    32,
+  { /* 2063 */
+    30,
     /* PMADDUBSWrm128 */
   },
-  { /* 2069 */
-    33,
+  { /* 2064 */
+    31,
     /* PMADDUBSWrr128 */
   },
-  { /* 2070 */
-    32,
+  { /* 2065 */
+    30,
     /* PMADDWDrm */
   },
-  { /* 2071 */
-    33,
+  { /* 2066 */
+    31,
     /* PMADDWDrr */
   },
-  { /* 2072 */
-    32,
+  { /* 2067 */
+    30,
     /* PMAXSBrm */
   },
-  { /* 2073 */
-    33,
+  { /* 2068 */
+    31,
     /* PMAXSBrr */
   },
-  { /* 2074 */
-    32,
+  { /* 2069 */
+    30,
     /* PMAXSDrm */
   },
-  { /* 2075 */
-    33,
+  { /* 2070 */
+    31,
     /* PMAXSDrr */
   },
-  { /* 2076 */
-    32,
+  { /* 2071 */
+    30,
     /* PMAXSWrm */
   },
-  { /* 2077 */
-    33,
+  { /* 2072 */
+    31,
     /* PMAXSWrr */
   },
-  { /* 2078 */
-    32,
+  { /* 2073 */
+    30,
     /* PMAXUBrm */
   },
-  { /* 2079 */
-    33,
+  { /* 2074 */
+    31,
     /* PMAXUBrr */
   },
-  { /* 2080 */
-    32,
+  { /* 2075 */
+    30,
     /* PMAXUDrm */
   },
-  { /* 2081 */
-    33,
+  { /* 2076 */
+    31,
     /* PMAXUDrr */
   },
-  { /* 2082 */
-    32,
+  { /* 2077 */
+    30,
     /* PMAXUWrm */
   },
-  { /* 2083 */
-    33,
+  { /* 2078 */
+    31,
     /* PMAXUWrr */
   },
-  { /* 2084 */
-    32,
+  { /* 2079 */
+    30,
     /* PMINSBrm */
   },
-  { /* 2085 */
-    33,
+  { /* 2080 */
+    31,
     /* PMINSBrr */
   },
-  { /* 2086 */
-    32,
+  { /* 2081 */
+    30,
     /* PMINSDrm */
   },
-  { /* 2087 */
-    33,
+  { /* 2082 */
+    31,
     /* PMINSDrr */
   },
-  { /* 2088 */
-    32,
+  { /* 2083 */
+    30,
     /* PMINSWrm */
   },
-  { /* 2089 */
-    33,
+  { /* 2084 */
+    31,
     /* PMINSWrr */
   },
-  { /* 2090 */
-    32,
+  { /* 2085 */
+    30,
     /* PMINUBrm */
   },
-  { /* 2091 */
-    33,
+  { /* 2086 */
+    31,
     /* PMINUBrr */
   },
-  { /* 2092 */
-    32,
+  { /* 2087 */
+    30,
     /* PMINUDrm */
   },
-  { /* 2093 */
-    33,
+  { /* 2088 */
+    31,
     /* PMINUDrr */
   },
-  { /* 2094 */
-    32,
+  { /* 2089 */
+    30,
     /* PMINUWrm */
   },
-  { /* 2095 */
-    33,
+  { /* 2090 */
+    31,
     /* PMINUWrr */
   },
-  { /* 2096 */
-    109,
+  { /* 2091 */
+    110,
     /* PMOVMSKBrr */
   },
-  { /* 2097 */
-    104,
+  { /* 2092 */
+    105,
     /* PMOVSXBDrm */
   },
-  { /* 2098 */
-    43,
+  { /* 2093 */
+    45,
     /* PMOVSXBDrr */
   },
-  { /* 2099 */
-    104,
+  { /* 2094 */
+    105,
     /* PMOVSXBQrm */
   },
-  { /* 2100 */
-    43,
+  { /* 2095 */
+    45,
     /* PMOVSXBQrr */
   },
-  { /* 2101 */
-    104,
+  { /* 2096 */
+    105,
     /* PMOVSXBWrm */
   },
-  { /* 2102 */
-    43,
+  { /* 2097 */
+    45,
     /* PMOVSXBWrr */
   },
-  { /* 2103 */
-    104,
+  { /* 2098 */
+    105,
     /* PMOVSXDQrm */
   },
-  { /* 2104 */
-    43,
+  { /* 2099 */
+    45,
     /* PMOVSXDQrr */
   },
-  { /* 2105 */
-    104,
+  { /* 2100 */
+    105,
     /* PMOVSXWDrm */
   },
-  { /* 2106 */
-    43,
+  { /* 2101 */
+    45,
     /* PMOVSXWDrr */
   },
-  { /* 2107 */
-    104,
+  { /* 2102 */
+    105,
     /* PMOVSXWQrm */
   },
-  { /* 2108 */
-    43,
+  { /* 2103 */
+    45,
     /* PMOVSXWQrr */
   },
-  { /* 2109 */
-    104,
+  { /* 2104 */
+    105,
     /* PMOVZXBDrm */
   },
-  { /* 2110 */
-    43,
+  { /* 2105 */
+    45,
     /* PMOVZXBDrr */
   },
-  { /* 2111 */
-    104,
+  { /* 2106 */
+    105,
     /* PMOVZXBQrm */
   },
-  { /* 2112 */
-    43,
+  { /* 2107 */
+    45,
     /* PMOVZXBQrr */
   },
-  { /* 2113 */
-    104,
+  { /* 2108 */
+    105,
     /* PMOVZXBWrm */
   },
-  { /* 2114 */
-    43,
+  { /* 2109 */
+    45,
     /* PMOVZXBWrr */
   },
-  { /* 2115 */
-    104,
+  { /* 2110 */
+    105,
     /* PMOVZXDQrm */
   },
-  { /* 2116 */
-    43,
+  { /* 2111 */
+    45,
     /* PMOVZXDQrr */
   },
-  { /* 2117 */
-    104,
+  { /* 2112 */
+    105,
     /* PMOVZXWDrm */
   },
-  { /* 2118 */
-    43,
+  { /* 2113 */
+    45,
     /* PMOVZXWDrr */
   },
-  { /* 2119 */
-    104,
+  { /* 2114 */
+    105,
     /* PMOVZXWQrm */
   },
-  { /* 2120 */
-    43,
+  { /* 2115 */
+    45,
     /* PMOVZXWQrr */
   },
-  { /* 2121 */
-    32,
+  { /* 2116 */
+    30,
     /* PMULDQrm */
   },
-  { /* 2122 */
-    33,
+  { /* 2117 */
+    31,
     /* PMULDQrr */
   },
-  { /* 2123 */
-    32,
+  { /* 2118 */
+    30,
     /* PMULHRSWrm128 */
   },
-  { /* 2124 */
-    33,
+  { /* 2119 */
+    31,
     /* PMULHRSWrr128 */
   },
-  { /* 2125 */
-    209,
+  { /* 2120 */
+    210,
     /* PMULHRWrm */
   },
-  { /* 2126 */
-    210,
+  { /* 2121 */
+    211,
     /* PMULHRWrr */
   },
-  { /* 2127 */
-    32,
+  { /* 2122 */
+    30,
     /* PMULHUWrm */
   },
-  { /* 2128 */
-    33,
+  { /* 2123 */
+    31,
     /* PMULHUWrr */
   },
-  { /* 2129 */
-    32,
+  { /* 2124 */
+    30,
     /* PMULHWrm */
   },
-  { /* 2130 */
-    33,
+  { /* 2125 */
+    31,
     /* PMULHWrr */
   },
-  { /* 2131 */
-    32,
+  { /* 2126 */
+    30,
     /* PMULLDrm */
   },
-  { /* 2132 */
-    33,
+  { /* 2127 */
+    31,
     /* PMULLDrr */
   },
-  { /* 2133 */
-    32,
+  { /* 2128 */
+    30,
     /* PMULLWrm */
   },
-  { /* 2134 */
-    33,
+  { /* 2129 */
+    31,
     /* PMULLWrr */
   },
-  { /* 2135 */
-    32,
+  { /* 2130 */
+    30,
     /* PMULUDQrm */
   },
-  { /* 2136 */
-    33,
+  { /* 2131 */
+    31,
     /* PMULUDQrr */
   },
-  { /* 2137 */
-    276,
+  { /* 2132 */
+    277,
     /* POP16r */
   },
-  { /* 2138 */
-    40,
+  { /* 2133 */
+    38,
     /* POP16rmm */
   },
-  { /* 2139 */
-    75,
+  { /* 2134 */
+    77,
     /* POP16rmr */
   },
-  { /* 2140 */
-    276,
+  { /* 2135 */
+    277,
     /* POP32r */
   },
-  { /* 2141 */
-    40,
+  { /* 2136 */
+    38,
     /* POP32rmm */
   },
-  { /* 2142 */
-    75,
+  { /* 2137 */
+    77,
     /* POP32rmr */
   },
-  { /* 2143 */
-    277,
+  { /* 2138 */
+    278,
     /* POP64r */
   },
-  { /* 2144 */
-    40,
+  { /* 2139 */
+    38,
     /* POP64rmm */
   },
-  { /* 2145 */
-    77,
+  { /* 2140 */
+    79,
     /* POP64rmr */
   },
-  { /* 2146 */
+  { /* 2141 */
     0,
     /* POPA16 */
   },
-  { /* 2147 */
+  { /* 2142 */
     0,
     /* POPA32 */
   },
-  { /* 2148 */
-    66,
+  { /* 2143 */
+    68,
     /* POPCNT16rm */
   },
-  { /* 2149 */
-    67,
+  { /* 2144 */
+    69,
     /* POPCNT16rr */
   },
-  { /* 2150 */
-    66,
+  { /* 2145 */
+    68,
     /* POPCNT32rm */
   },
-  { /* 2151 */
-    67,
+  { /* 2146 */
+    69,
     /* POPCNT32rr */
   },
-  { /* 2152 */
-    30,
+  { /* 2147 */
+    42,
     /* POPCNT64rm */
   },
-  { /* 2153 */
-    31,
+  { /* 2148 */
+    43,
     /* POPCNT64rr */
   },
-  { /* 2154 */
+  { /* 2149 */
     0,
     /* POPDS16 */
   },
-  { /* 2155 */
+  { /* 2150 */
     0,
     /* POPDS32 */
   },
-  { /* 2156 */
+  { /* 2151 */
     0,
     /* POPES16 */
   },
-  { /* 2157 */
+  { /* 2152 */
     0,
     /* POPES32 */
   },
-  { /* 2158 */
+  { /* 2153 */
     0,
     /* POPF16 */
   },
-  { /* 2159 */
+  { /* 2154 */
     0,
     /* POPF32 */
   },
-  { /* 2160 */
+  { /* 2155 */
     0,
     /* POPF64 */
   },
-  { /* 2161 */
+  { /* 2156 */
     0,
     /* POPFS16 */
   },
-  { /* 2162 */
+  { /* 2157 */
     0,
     /* POPFS32 */
   },
-  { /* 2163 */
+  { /* 2158 */
     0,
     /* POPFS64 */
   },
-  { /* 2164 */
+  { /* 2159 */
     0,
     /* POPGS16 */
   },
-  { /* 2165 */
+  { /* 2160 */
     0,
     /* POPGS32 */
   },
-  { /* 2166 */
+  { /* 2161 */
     0,
     /* POPGS64 */
   },
-  { /* 2167 */
+  { /* 2162 */
     0,
     /* POPSS16 */
   },
-  { /* 2168 */
+  { /* 2163 */
     0,
     /* POPSS32 */
   },
-  { /* 2169 */
-    32,
+  { /* 2164 */
+    30,
     /* PORrm */
   },
-  { /* 2170 */
-    33,
+  { /* 2165 */
+    31,
     /* PORrr */
   },
-  { /* 2171 */
-    80,
+  { /* 2166 */
+    82,
     /* PREFETCH */
   },
-  { /* 2172 */
-    80,
+  { /* 2167 */
+    82,
     /* PREFETCHNTA */
   },
-  { /* 2173 */
-    80,
+  { /* 2168 */
+    82,
     /* PREFETCHT0 */
   },
-  { /* 2174 */
-    80,
+  { /* 2169 */
+    82,
     /* PREFETCHT1 */
   },
-  { /* 2175 */
-    80,
+  { /* 2170 */
+    82,
     /* PREFETCHT2 */
   },
-  { /* 2176 */
-    80,
+  { /* 2171 */
+    82,
     /* PREFETCHW */
   },
-  { /* 2177 */
-    32,
+  { /* 2172 */
+    30,
     /* PSADBWrm */
   },
-  { /* 2178 */
-    33,
+  { /* 2173 */
+    31,
     /* PSADBWrr */
   },
-  { /* 2179 */
-    32,
+  { /* 2174 */
+    30,
     /* PSHUFBrm */
   },
-  { /* 2180 */
-    33,
+  { /* 2175 */
+    31,
     /* PSHUFBrr */
   },
-  { /* 2181 */
-    44,
+  { /* 2176 */
+    46,
     /* PSHUFDmi */
   },
-  { /* 2182 */
-    45,
+  { /* 2177 */
+    47,
     /* PSHUFDri */
   },
-  { /* 2183 */
-    44,
+  { /* 2178 */
+    46,
     /* PSHUFHWmi */
   },
-  { /* 2184 */
-    45,
+  { /* 2179 */
+    47,
     /* PSHUFHWri */
   },
-  { /* 2185 */
-    44,
+  { /* 2180 */
+    46,
     /* PSHUFLWmi */
   },
-  { /* 2186 */
-    45,
+  { /* 2181 */
+    47,
     /* PSHUFLWri */
   },
-  { /* 2187 */
-    32,
+  { /* 2182 */
+    30,
     /* PSIGNBrm */
   },
-  { /* 2188 */
-    33,
+  { /* 2183 */
+    31,
     /* PSIGNBrr */
   },
-  { /* 2189 */
-    32,
+  { /* 2184 */
+    30,
     /* PSIGNDrm */
   },
-  { /* 2190 */
-    33,
+  { /* 2185 */
+    31,
     /* PSIGNDrr */
   },
-  { /* 2191 */
-    32,
+  { /* 2186 */
+    30,
     /* PSIGNWrm */
   },
-  { /* 2192 */
-    33,
+  { /* 2187 */
+    31,
     /* PSIGNWrr */
   },
-  { /* 2193 */
-    278,
+  { /* 2188 */
+    279,
     /* PSLLDQri */
   },
-  { /* 2194 */
-    279,
+  { /* 2189 */
+    280,
     /* PSLLDri */
   },
-  { /* 2195 */
-    32,
+  { /* 2190 */
+    30,
     /* PSLLDrm */
   },
-  { /* 2196 */
-    33,
+  { /* 2191 */
+    31,
     /* PSLLDrr */
   },
-  { /* 2197 */
-    279,
+  { /* 2192 */
+    280,
     /* PSLLQri */
   },
-  { /* 2198 */
-    32,
+  { /* 2193 */
+    30,
     /* PSLLQrm */
   },
-  { /* 2199 */
-    33,
+  { /* 2194 */
+    31,
     /* PSLLQrr */
   },
-  { /* 2200 */
-    279,
+  { /* 2195 */
+    280,
     /* PSLLWri */
   },
-  { /* 2201 */
-    32,
+  { /* 2196 */
+    30,
     /* PSLLWrm */
   },
-  { /* 2202 */
-    33,
+  { /* 2197 */
+    31,
     /* PSLLWrr */
   },
-  { /* 2203 */
-    279,
+  { /* 2198 */
+    280,
     /* PSRADri */
   },
-  { /* 2204 */
-    32,
+  { /* 2199 */
+    30,
     /* PSRADrm */
   },
-  { /* 2205 */
-    33,
+  { /* 2200 */
+    31,
     /* PSRADrr */
   },
-  { /* 2206 */
-    279,
+  { /* 2201 */
+    280,
     /* PSRAWri */
   },
-  { /* 2207 */
-    32,
+  { /* 2202 */
+    30,
     /* PSRAWrm */
   },
-  { /* 2208 */
-    33,
+  { /* 2203 */
+    31,
     /* PSRAWrr */
   },
-  { /* 2209 */
-    278,
+  { /* 2204 */
+    279,
     /* PSRLDQri */
   },
-  { /* 2210 */
-    279,
+  { /* 2205 */
+    280,
     /* PSRLDri */
   },
-  { /* 2211 */
-    32,
+  { /* 2206 */
+    30,
     /* PSRLDrm */
   },
-  { /* 2212 */
-    33,
+  { /* 2207 */
+    31,
     /* PSRLDrr */
   },
-  { /* 2213 */
-    279,
+  { /* 2208 */
+    280,
     /* PSRLQri */
   },
-  { /* 2214 */
-    32,
+  { /* 2209 */
+    30,
     /* PSRLQrm */
   },
-  { /* 2215 */
-    33,
+  { /* 2210 */
+    31,
     /* PSRLQrr */
   },
-  { /* 2216 */
-    279,
+  { /* 2211 */
+    280,
     /* PSRLWri */
   },
-  { /* 2217 */
-    32,
+  { /* 2212 */
+    30,
     /* PSRLWrm */
   },
-  { /* 2218 */
-    33,
+  { /* 2213 */
+    31,
     /* PSRLWrr */
   },
-  { /* 2219 */
-    32,
+  { /* 2214 */
+    30,
     /* PSUBBrm */
   },
-  { /* 2220 */
-    33,
+  { /* 2215 */
+    31,
     /* PSUBBrr */
   },
-  { /* 2221 */
-    32,
+  { /* 2216 */
+    30,
     /* PSUBDrm */
   },
-  { /* 2222 */
-    33,
+  { /* 2217 */
+    31,
     /* PSUBDrr */
   },
-  { /* 2223 */
-    32,
+  { /* 2218 */
+    30,
     /* PSUBQrm */
   },
-  { /* 2224 */
-    33,
+  { /* 2219 */
+    31,
     /* PSUBQrr */
   },
-  { /* 2225 */
-    32,
+  { /* 2220 */
+    30,
     /* PSUBSBrm */
   },
-  { /* 2226 */
-    33,
+  { /* 2221 */
+    31,
     /* PSUBSBrr */
   },
-  { /* 2227 */
-    32,
+  { /* 2222 */
+    30,
     /* PSUBSWrm */
   },
-  { /* 2228 */
-    33,
+  { /* 2223 */
+    31,
     /* PSUBSWrr */
   },
-  { /* 2229 */
-    32,
+  { /* 2224 */
+    30,
     /* PSUBUSBrm */
   },
-  { /* 2230 */
-    33,
+  { /* 2225 */
+    31,
     /* PSUBUSBrr */
   },
-  { /* 2231 */
-    32,
+  { /* 2226 */
+    30,
     /* PSUBUSWrm */
   },
-  { /* 2232 */
-    33,
+  { /* 2227 */
+    31,
     /* PSUBUSWrr */
   },
-  { /* 2233 */
-    32,
+  { /* 2228 */
+    30,
     /* PSUBWrm */
   },
-  { /* 2234 */
-    33,
+  { /* 2229 */
+    31,
     /* PSUBWrr */
   },
-  { /* 2235 */
-    205,
+  { /* 2230 */
+    206,
     /* PSWAPDrm */
   },
-  { /* 2236 */
-    201,
+  { /* 2231 */
+    202,
     /* PSWAPDrr */
   },
-  { /* 2237 */
-    42,
+  { /* 2232 */
+    44,
     /* PTESTrm */
   },
-  { /* 2238 */
-    43,
+  { /* 2233 */
+    45,
     /* PTESTrr */
   },
-  { /* 2239 */
-    32,
+  { /* 2234 */
+    30,
     /* PUNPCKHBWrm */
   },
-  { /* 2240 */
-    33,
+  { /* 2235 */
+    31,
     /* PUNPCKHBWrr */
   },
-  { /* 2241 */
-    32,
+  { /* 2236 */
+    30,
     /* PUNPCKHDQrm */
   },
-  { /* 2242 */
-    33,
+  { /* 2237 */
+    31,
     /* PUNPCKHDQrr */
   },
-  { /* 2243 */
-    32,
+  { /* 2238 */
+    30,
     /* PUNPCKHQDQrm */
   },
-  { /* 2244 */
-    33,
+  { /* 2239 */
+    31,
     /* PUNPCKHQDQrr */
   },
-  { /* 2245 */
-    32,
+  { /* 2240 */
+    30,
     /* PUNPCKHWDrm */
   },
-  { /* 2246 */
-    33,
+  { /* 2241 */
+    31,
     /* PUNPCKHWDrr */
   },
-  { /* 2247 */
-    32,
+  { /* 2242 */
+    30,
     /* PUNPCKLBWrm */
   },
-  { /* 2248 */
-    33,
+  { /* 2243 */
+    31,
     /* PUNPCKLBWrr */
   },
-  { /* 2249 */
-    32,
+  { /* 2244 */
+    30,
     /* PUNPCKLDQrm */
   },
-  { /* 2250 */
-    33,
+  { /* 2245 */
+    31,
     /* PUNPCKLDQrr */
   },
-  { /* 2251 */
-    32,
+  { /* 2246 */
+    30,
     /* PUNPCKLQDQrm */
   },
-  { /* 2252 */
-    33,
+  { /* 2247 */
+    31,
     /* PUNPCKLQDQrr */
   },
-  { /* 2253 */
-    32,
+  { /* 2248 */
+    30,
     /* PUNPCKLWDrm */
   },
-  { /* 2254 */
-    33,
+  { /* 2249 */
+    31,
     /* PUNPCKLWDrr */
   },
-  { /* 2255 */
-    280,
+  { /* 2250 */
+    281,
     /* PUSH16i8 */
   },
-  { /* 2256 */
-    276,
+  { /* 2251 */
+    277,
     /* PUSH16r */
   },
-  { /* 2257 */
-    40,
+  { /* 2252 */
+    38,
     /* PUSH16rmm */
   },
-  { /* 2258 */
-    75,
+  { /* 2253 */
+    77,
     /* PUSH16rmr */
   },
-  { /* 2259 */
-    281,
+  { /* 2254 */
+    282,
     /* PUSH32i8 */
   },
-  { /* 2260 */
-    276,
+  { /* 2255 */
+    277,
     /* PUSH32r */
   },
-  { /* 2261 */
-    40,
+  { /* 2256 */
+    38,
     /* PUSH32rmm */
   },
-  { /* 2262 */
-    75,
+  { /* 2257 */
+    77,
     /* PUSH32rmr */
   },
-  { /* 2263 */
+  { /* 2258 */
     2,
     /* PUSH64i16 */
   },
-  { /* 2264 */
+  { /* 2259 */
     13,
     /* PUSH64i32 */
   },
-  { /* 2265 */
-    282,
+  { /* 2260 */
+    283,
     /* PUSH64i8 */
   },
-  { /* 2266 */
-    277,
+  { /* 2261 */
+    278,
     /* PUSH64r */
   },
-  { /* 2267 */
-    40,
+  { /* 2262 */
+    38,
     /* PUSH64rmm */
   },
-  { /* 2268 */
-    77,
+  { /* 2263 */
+    79,
     /* PUSH64rmr */
   },
-  { /* 2269 */
+  { /* 2264 */
     0,
     /* PUSHA16 */
   },
-  { /* 2270 */
+  { /* 2265 */
     0,
     /* PUSHA32 */
   },
-  { /* 2271 */
+  { /* 2266 */
     0,
     /* PUSHCS16 */
   },
-  { /* 2272 */
+  { /* 2267 */
     0,
     /* PUSHCS32 */
   },
-  { /* 2273 */
+  { /* 2268 */
     0,
     /* PUSHDS16 */
   },
-  { /* 2274 */
+  { /* 2269 */
     0,
     /* PUSHDS32 */
   },
-  { /* 2275 */
+  { /* 2270 */
     0,
     /* PUSHES16 */
   },
-  { /* 2276 */
+  { /* 2271 */
     0,
     /* PUSHES32 */
   },
-  { /* 2277 */
+  { /* 2272 */
     0,
     /* PUSHF16 */
   },
-  { /* 2278 */
+  { /* 2273 */
     0,
     /* PUSHF32 */
   },
-  { /* 2279 */
+  { /* 2274 */
     0,
     /* PUSHF64 */
   },
-  { /* 2280 */
+  { /* 2275 */
     0,
     /* PUSHFS16 */
   },
-  { /* 2281 */
+  { /* 2276 */
     0,
     /* PUSHFS32 */
   },
-  { /* 2282 */
+  { /* 2277 */
     0,
     /* PUSHFS64 */
   },
-  { /* 2283 */
+  { /* 2278 */
     0,
     /* PUSHGS16 */
   },
-  { /* 2284 */
+  { /* 2279 */
     0,
     /* PUSHGS32 */
   },
-  { /* 2285 */
+  { /* 2280 */
     0,
     /* PUSHGS64 */
   },
-  { /* 2286 */
+  { /* 2281 */
     0,
     /* PUSHSS16 */
   },
-  { /* 2287 */
+  { /* 2282 */
     0,
     /* PUSHSS32 */
   },
-  { /* 2288 */
+  { /* 2283 */
     2,
     /* PUSHi16 */
   },
-  { /* 2289 */
+  { /* 2284 */
     2,
     /* PUSHi32 */
   },
-  { /* 2290 */
-    32,
+  { /* 2285 */
+    30,
     /* PXORrm */
   },
-  { /* 2291 */
-    33,
+  { /* 2286 */
+    31,
     /* PXORrr */
   },
-  { /* 2292 */
-    40,
+  { /* 2287 */
+    38,
     /* RCL16m1 */
   },
-  { /* 2293 */
-    40,
+  { /* 2288 */
+    38,
     /* RCL16mCL */
   },
-  { /* 2294 */
-    283,
+  { /* 2289 */
+    284,
     /* RCL16mi */
   },
-  { /* 2295 */
-    126,
+  { /* 2290 */
+    127,
     /* RCL16r1 */
   },
-  { /* 2296 */
-    126,
+  { /* 2291 */
+    127,
     /* RCL16rCL */
   },
-  { /* 2297 */
-    284,
+  { /* 2292 */
+    285,
     /* RCL16ri */
   },
-  { /* 2298 */
-    40,
+  { /* 2293 */
+    38,
     /* RCL32m1 */
   },
-  { /* 2299 */
-    40,
+  { /* 2294 */
+    38,
     /* RCL32mCL */
   },
-  { /* 2300 */
-    283,
+  { /* 2295 */
+    284,
     /* RCL32mi */
   },
-  { /* 2301 */
-    126,
+  { /* 2296 */
+    127,
     /* RCL32r1 */
   },
-  { /* 2302 */
-    126,
+  { /* 2297 */
+    127,
     /* RCL32rCL */
   },
-  { /* 2303 */
-    284,
+  { /* 2298 */
+    285,
     /* RCL32ri */
   },
-  { /* 2304 */
-    40,
+  { /* 2299 */
+    38,
     /* RCL64m1 */
   },
-  { /* 2305 */
-    40,
+  { /* 2300 */
+    38,
     /* RCL64mCL */
   },
-  { /* 2306 */
-    283,
+  { /* 2301 */
+    284,
     /* RCL64mi */
   },
-  { /* 2307 */
-    127,
+  { /* 2302 */
+    128,
     /* RCL64r1 */
   },
-  { /* 2308 */
-    127,
+  { /* 2303 */
+    128,
     /* RCL64rCL */
   },
-  { /* 2309 */
-    285,
+  { /* 2304 */
+    286,
     /* RCL64ri */
   },
-  { /* 2310 */
-    80,
+  { /* 2305 */
+    82,
     /* RCL8m1 */
   },
-  { /* 2311 */
-    80,
+  { /* 2306 */
+    82,
     /* RCL8mCL */
   },
-  { /* 2312 */
+  { /* 2307 */
     22,
     /* RCL8mi */
   },
-  { /* 2313 */
-    128,
+  { /* 2308 */
+    129,
     /* RCL8r1 */
   },
-  { /* 2314 */
-    128,
+  { /* 2309 */
+    129,
     /* RCL8rCL */
   },
-  { /* 2315 */
+  { /* 2310 */
     24,
     /* RCL8ri */
   },
-  { /* 2316 */
-    42,
+  { /* 2311 */
+    44,
     /* RCPPSm */
   },
-  { /* 2317 */
+  { /* 2312 */
     0,
     /*  */
   },
-  { /* 2318 */
-    43,
+  { /* 2313 */
+    45,
     /* RCPPSr */
   },
-  { /* 2319 */
+  { /* 2314 */
     0,
     /*  */
   },
-  { /* 2320 */
-    257,
+  { /* 2315 */
+    258,
     /* RCPSSm */
   },
-  { /* 2321 */
+  { /* 2316 */
     0,
     /*  */
   },
-  { /* 2322 */
-    286,
+  { /* 2317 */
+    287,
     /* RCPSSr */
   },
-  { /* 2323 */
+  { /* 2318 */
     0,
     /*  */
   },
-  { /* 2324 */
-    40,
+  { /* 2319 */
+    38,
     /* RCR16m1 */
   },
-  { /* 2325 */
-    40,
+  { /* 2320 */
+    38,
     /* RCR16mCL */
   },
-  { /* 2326 */
-    283,
+  { /* 2321 */
+    284,
     /* RCR16mi */
   },
-  { /* 2327 */
-    126,
+  { /* 2322 */
+    127,
     /* RCR16r1 */
   },
-  { /* 2328 */
-    126,
+  { /* 2323 */
+    127,
     /* RCR16rCL */
   },
-  { /* 2329 */
-    284,
+  { /* 2324 */
+    285,
     /* RCR16ri */
   },
-  { /* 2330 */
-    40,
+  { /* 2325 */
+    38,
     /* RCR32m1 */
   },
-  { /* 2331 */
-    40,
+  { /* 2326 */
+    38,
     /* RCR32mCL */
   },
-  { /* 2332 */
-    283,
+  { /* 2327 */
+    284,
     /* RCR32mi */
   },
-  { /* 2333 */
-    126,
+  { /* 2328 */
+    127,
     /* RCR32r1 */
   },
-  { /* 2334 */
-    126,
+  { /* 2329 */
+    127,
     /* RCR32rCL */
   },
-  { /* 2335 */
-    284,
+  { /* 2330 */
+    285,
     /* RCR32ri */
   },
-  { /* 2336 */
-    40,
+  { /* 2331 */
+    38,
     /* RCR64m1 */
   },
-  { /* 2337 */
-    40,
+  { /* 2332 */
+    38,
     /* RCR64mCL */
   },
-  { /* 2338 */
-    283,
+  { /* 2333 */
+    284,
     /* RCR64mi */
   },
-  { /* 2339 */
-    127,
+  { /* 2334 */
+    128,
     /* RCR64r1 */
   },
-  { /* 2340 */
-    127,
+  { /* 2335 */
+    128,
     /* RCR64rCL */
   },
-  { /* 2341 */
-    285,
+  { /* 2336 */
+    286,
     /* RCR64ri */
   },
-  { /* 2342 */
-    80,
+  { /* 2337 */
+    82,
     /* RCR8m1 */
   },
-  { /* 2343 */
-    80,
+  { /* 2338 */
+    82,
     /* RCR8mCL */
   },
-  { /* 2344 */
+  { /* 2339 */
     22,
     /* RCR8mi */
   },
-  { /* 2345 */
-    128,
+  { /* 2340 */
+    129,
     /* RCR8r1 */
   },
-  { /* 2346 */
-    128,
+  { /* 2341 */
+    129,
     /* RCR8rCL */
   },
-  { /* 2347 */
+  { /* 2342 */
     24,
     /* RCR8ri */
   },
-  { /* 2348 */
-    287,
+  { /* 2343 */
+    288,
     /* RDFSBASE */
   },
-  { /* 2349 */
-    77,
+  { /* 2344 */
+    79,
     /* RDFSBASE64 */
   },
-  { /* 2350 */
-    287,
+  { /* 2345 */
+    288,
     /* RDGSBASE */
   },
-  { /* 2351 */
-    77,
+  { /* 2346 */
+    79,
     /* RDGSBASE64 */
   },
-  { /* 2352 */
+  { /* 2347 */
     0,
     /* RDMSR */
   },
-  { /* 2353 */
+  { /* 2348 */
     0,
     /* RDPMC */
   },
-  { /* 2354 */
-    75,
+  { /* 2349 */
+    77,
     /* RDRAND16r */
   },
-  { /* 2355 */
-    75,
+  { /* 2350 */
+    77,
     /* RDRAND32r */
   },
-  { /* 2356 */
-    77,
+  { /* 2351 */
+    79,
     /* RDRAND64r */
   },
-  { /* 2357 */
-    75,
+  { /* 2352 */
+    77,
     /* RDSEED16r */
   },
-  { /* 2358 */
-    75,
+  { /* 2353 */
+    77,
     /* RDSEED32r */
   },
-  { /* 2359 */
-    77,
+  { /* 2354 */
+    79,
     /* RDSEED64r */
   },
-  { /* 2360 */
+  { /* 2355 */
     0,
     /* RDTSC */
   },
-  { /* 2361 */
+  { /* 2356 */
     0,
     /* RDTSCP */
   },
+  { /* 2357 */
+    0,
+    /*  */
+  },
+  { /* 2358 */
+    0,
+    /*  */
+  },
+  { /* 2359 */
+    0,
+    /*  */
+  },
+  { /* 2360 */
+    0,
+    /*  */
+  },
+  { /* 2361 */
+    0,
+    /* REPNE_PREFIX */
+  },
   { /* 2362 */
     0,
     /*  */
@@ -16301,7 +16757,7 @@
   },
   { /* 2366 */
     0,
-    /* REPNE_PREFIX */
+    /*  */
   },
   { /* 2367 */
     0,
@@ -16313,7 +16769,7 @@
   },
   { /* 2369 */
     0,
-    /*  */
+    /* REP_PREFIX */
   },
   { /* 2370 */
     0,
@@ -16333,7 +16789,7 @@
   },
   { /* 2374 */
     0,
-    /* REP_PREFIX */
+    /*  */
   },
   { /* 2375 */
     0,
@@ -16344,705 +16800,705 @@
     /*  */
   },
   { /* 2377 */
-    0,
-    /*  */
-  },
-  { /* 2378 */
-    0,
-    /*  */
-  },
-  { /* 2379 */
-    0,
-    /*  */
-  },
-  { /* 2380 */
-    0,
-    /*  */
-  },
-  { /* 2381 */
-    0,
-    /*  */
-  },
-  { /* 2382 */
-    194,
+    195,
     /* RETIL */
   },
-  { /* 2383 */
-    194,
+  { /* 2378 */
+    195,
     /* RETIQ */
   },
-  { /* 2384 */
+  { /* 2379 */
     2,
     /* RETIW */
   },
-  { /* 2385 */
+  { /* 2380 */
     0,
     /* RETL */
   },
-  { /* 2386 */
+  { /* 2381 */
     0,
     /* RETQ */
   },
-  { /* 2387 */
+  { /* 2382 */
     0,
     /* RETW */
   },
-  { /* 2388 */
+  { /* 2383 */
     0,
     /* REX64_PREFIX */
   },
-  { /* 2389 */
-    40,
+  { /* 2384 */
+    38,
     /* ROL16m1 */
   },
-  { /* 2390 */
-    40,
+  { /* 2385 */
+    38,
     /* ROL16mCL */
   },
-  { /* 2391 */
-    283,
+  { /* 2386 */
+    284,
     /* ROL16mi */
   },
-  { /* 2392 */
-    126,
+  { /* 2387 */
+    127,
     /* ROL16r1 */
   },
-  { /* 2393 */
-    126,
+  { /* 2388 */
+    127,
     /* ROL16rCL */
   },
-  { /* 2394 */
-    284,
+  { /* 2389 */
+    285,
     /* ROL16ri */
   },
-  { /* 2395 */
-    40,
+  { /* 2390 */
+    38,
     /* ROL32m1 */
   },
-  { /* 2396 */
-    40,
+  { /* 2391 */
+    38,
     /* ROL32mCL */
   },
-  { /* 2397 */
-    283,
+  { /* 2392 */
+    284,
     /* ROL32mi */
   },
-  { /* 2398 */
-    126,
+  { /* 2393 */
+    127,
     /* ROL32r1 */
   },
-  { /* 2399 */
-    126,
+  { /* 2394 */
+    127,
     /* ROL32rCL */
   },
-  { /* 2400 */
-    284,
+  { /* 2395 */
+    285,
     /* ROL32ri */
   },
-  { /* 2401 */
-    40,
+  { /* 2396 */
+    38,
     /* ROL64m1 */
   },
-  { /* 2402 */
-    40,
+  { /* 2397 */
+    38,
     /* ROL64mCL */
   },
-  { /* 2403 */
-    283,
+  { /* 2398 */
+    284,
     /* ROL64mi */
   },
-  { /* 2404 */
-    127,
+  { /* 2399 */
+    128,
     /* ROL64r1 */
   },
-  { /* 2405 */
-    127,
+  { /* 2400 */
+    128,
     /* ROL64rCL */
   },
-  { /* 2406 */
-    285,
+  { /* 2401 */
+    286,
     /* ROL64ri */
   },
-  { /* 2407 */
-    80,
+  { /* 2402 */
+    82,
     /* ROL8m1 */
   },
-  { /* 2408 */
-    80,
+  { /* 2403 */
+    82,
     /* ROL8mCL */
   },
-  { /* 2409 */
+  { /* 2404 */
     22,
     /* ROL8mi */
   },
-  { /* 2410 */
-    128,
+  { /* 2405 */
+    129,
     /* ROL8r1 */
   },
-  { /* 2411 */
-    128,
+  { /* 2406 */
+    129,
     /* ROL8rCL */
   },
-  { /* 2412 */
+  { /* 2407 */
     24,
     /* ROL8ri */
   },
-  { /* 2413 */
-    40,
+  { /* 2408 */
+    38,
     /* ROR16m1 */
   },
-  { /* 2414 */
-    40,
+  { /* 2409 */
+    38,
     /* ROR16mCL */
   },
-  { /* 2415 */
-    283,
+  { /* 2410 */
+    284,
     /* ROR16mi */
   },
-  { /* 2416 */
-    126,
+  { /* 2411 */
+    127,
     /* ROR16r1 */
   },
-  { /* 2417 */
-    126,
+  { /* 2412 */
+    127,
     /* ROR16rCL */
   },
-  { /* 2418 */
-    284,
+  { /* 2413 */
+    285,
     /* ROR16ri */
   },
-  { /* 2419 */
-    40,
+  { /* 2414 */
+    38,
     /* ROR32m1 */
   },
-  { /* 2420 */
-    40,
+  { /* 2415 */
+    38,
     /* ROR32mCL */
   },
-  { /* 2421 */
-    283,
+  { /* 2416 */
+    284,
     /* ROR32mi */
   },
-  { /* 2422 */
-    126,
+  { /* 2417 */
+    127,
     /* ROR32r1 */
   },
-  { /* 2423 */
-    126,
+  { /* 2418 */
+    127,
     /* ROR32rCL */
   },
-  { /* 2424 */
-    284,
+  { /* 2419 */
+    285,
     /* ROR32ri */
   },
-  { /* 2425 */
-    40,
+  { /* 2420 */
+    38,
     /* ROR64m1 */
   },
-  { /* 2426 */
-    40,
+  { /* 2421 */
+    38,
     /* ROR64mCL */
   },
-  { /* 2427 */
-    283,
+  { /* 2422 */
+    284,
     /* ROR64mi */
   },
-  { /* 2428 */
-    127,
+  { /* 2423 */
+    128,
     /* ROR64r1 */
   },
-  { /* 2429 */
-    127,
+  { /* 2424 */
+    128,
     /* ROR64rCL */
   },
-  { /* 2430 */
-    285,
+  { /* 2425 */
+    286,
     /* ROR64ri */
   },
-  { /* 2431 */
-    80,
+  { /* 2426 */
+    82,
     /* ROR8m1 */
   },
-  { /* 2432 */
-    80,
+  { /* 2427 */
+    82,
     /* ROR8mCL */
   },
-  { /* 2433 */
+  { /* 2428 */
     22,
     /* ROR8mi */
   },
-  { /* 2434 */
-    128,
+  { /* 2429 */
+    129,
     /* ROR8r1 */
   },
-  { /* 2435 */
-    128,
+  { /* 2430 */
+    129,
     /* ROR8rCL */
   },
-  { /* 2436 */
+  { /* 2431 */
     24,
     /* ROR8ri */
   },
-  { /* 2437 */
-    288,
+  { /* 2432 */
+    289,
     /* RORX32mi */
   },
-  { /* 2438 */
-    289,
+  { /* 2433 */
+    290,
     /* RORX32ri */
   },
-  { /* 2439 */
-    290,
+  { /* 2434 */
+    291,
     /* RORX64mi */
   },
-  { /* 2440 */
-    291,
+  { /* 2435 */
+    292,
     /* RORX64ri */
   },
-  { /* 2441 */
-    292,
+  { /* 2436 */
+    293,
     /* ROUNDPDm */
   },
-  { /* 2442 */
-    293,
+  { /* 2437 */
+    294,
     /* ROUNDPDr */
   },
-  { /* 2443 */
-    292,
+  { /* 2438 */
+    293,
     /* ROUNDPSm */
   },
-  { /* 2444 */
-    293,
+  { /* 2439 */
+    294,
     /* ROUNDPSr */
   },
-  { /* 2445 */
-    294,
+  { /* 2440 */
+    295,
     /* ROUNDSDm */
   },
-  { /* 2446 */
-    295,
+  { /* 2441 */
+    296,
     /* ROUNDSDr */
   },
-  { /* 2447 */
+  { /* 2442 */
     0,
     /*  */
   },
-  { /* 2448 */
-    149,
+  { /* 2443 */
+    150,
     /* ROUNDSSm */
   },
-  { /* 2449 */
-    296,
+  { /* 2444 */
+    297,
     /* ROUNDSSr */
   },
+  { /* 2445 */
+    0,
+    /*  */
+  },
+  { /* 2446 */
+    0,
+    /* RSM */
+  },
+  { /* 2447 */
+    44,
+    /* RSQRTPSm */
+  },
+  { /* 2448 */
+    0,
+    /*  */
+  },
+  { /* 2449 */
+    45,
+    /* RSQRTPSr */
+  },
   { /* 2450 */
     0,
     /*  */
   },
   { /* 2451 */
-    0,
-    /* RSM */
+    258,
+    /* RSQRTSSm */
   },
   { /* 2452 */
-    42,
-    /* RSQRTPSm */
-  },
-  { /* 2453 */
     0,
     /*  */
   },
+  { /* 2453 */
+    287,
+    /* RSQRTSSr */
+  },
   { /* 2454 */
-    43,
-    /* RSQRTPSr */
+    0,
+    /*  */
   },
   { /* 2455 */
     0,
-    /*  */
-  },
-  { /* 2456 */
-    257,
-    /* RSQRTSSm */
-  },
-  { /* 2457 */
-    0,
-    /*  */
-  },
-  { /* 2458 */
-    286,
-    /* RSQRTSSr */
-  },
-  { /* 2459 */
-    0,
-    /*  */
-  },
-  { /* 2460 */
-    0,
     /* SAHF */
   },
-  { /* 2461 */
-    40,
+  { /* 2456 */
+    38,
     /* SAL16m1 */
   },
-  { /* 2462 */
-    40,
+  { /* 2457 */
+    38,
     /* SAL16mCL */
   },
-  { /* 2463 */
-    283,
+  { /* 2458 */
+    284,
     /* SAL16mi */
   },
-  { /* 2464 */
-    126,
+  { /* 2459 */
+    127,
     /* SAL16r1 */
   },
-  { /* 2465 */
-    126,
+  { /* 2460 */
+    127,
     /* SAL16rCL */
   },
-  { /* 2466 */
-    284,
+  { /* 2461 */
+    285,
     /* SAL16ri */
   },
-  { /* 2467 */
-    40,
+  { /* 2462 */
+    38,
     /* SAL32m1 */
   },
-  { /* 2468 */
-    40,
+  { /* 2463 */
+    38,
     /* SAL32mCL */
   },
-  { /* 2469 */
-    283,
+  { /* 2464 */
+    284,
     /* SAL32mi */
   },
-  { /* 2470 */
-    126,
+  { /* 2465 */
+    127,
     /* SAL32r1 */
   },
-  { /* 2471 */
-    126,
+  { /* 2466 */
+    127,
     /* SAL32rCL */
   },
-  { /* 2472 */
-    284,
+  { /* 2467 */
+    285,
     /* SAL32ri */
   },
-  { /* 2473 */
-    40,
+  { /* 2468 */
+    38,
     /* SAL64m1 */
   },
-  { /* 2474 */
-    40,
+  { /* 2469 */
+    38,
     /* SAL64mCL */
   },
-  { /* 2475 */
-    283,
+  { /* 2470 */
+    284,
     /* SAL64mi */
   },
-  { /* 2476 */
-    127,
+  { /* 2471 */
+    128,
     /* SAL64r1 */
   },
-  { /* 2477 */
-    127,
+  { /* 2472 */
+    128,
     /* SAL64rCL */
   },
-  { /* 2478 */
-    285,
+  { /* 2473 */
+    286,
     /* SAL64ri */
   },
-  { /* 2479 */
-    80,
+  { /* 2474 */
+    82,
     /* SAL8m1 */
   },
-  { /* 2480 */
-    80,
+  { /* 2475 */
+    82,
     /* SAL8mCL */
   },
-  { /* 2481 */
+  { /* 2476 */
     22,
     /* SAL8mi */
   },
-  { /* 2482 */
-    128,
+  { /* 2477 */
+    129,
     /* SAL8r1 */
   },
-  { /* 2483 */
-    128,
+  { /* 2478 */
+    129,
     /* SAL8rCL */
   },
-  { /* 2484 */
+  { /* 2479 */
     24,
     /* SAL8ri */
   },
-  { /* 2485 */
+  { /* 2480 */
     0,
     /* SALC */
   },
-  { /* 2486 */
-    40,
+  { /* 2481 */
+    38,
     /* SAR16m1 */
   },
-  { /* 2487 */
-    40,
+  { /* 2482 */
+    38,
     /* SAR16mCL */
   },
-  { /* 2488 */
-    283,
+  { /* 2483 */
+    284,
     /* SAR16mi */
   },
-  { /* 2489 */
-    126,
+  { /* 2484 */
+    127,
     /* SAR16r1 */
   },
-  { /* 2490 */
-    126,
+  { /* 2485 */
+    127,
     /* SAR16rCL */
   },
-  { /* 2491 */
-    284,
+  { /* 2486 */
+    285,
     /* SAR16ri */
   },
-  { /* 2492 */
-    40,
+  { /* 2487 */
+    38,
     /* SAR32m1 */
   },
-  { /* 2493 */
-    40,
+  { /* 2488 */
+    38,
     /* SAR32mCL */
   },
-  { /* 2494 */
-    283,
+  { /* 2489 */
+    284,
     /* SAR32mi */
   },
-  { /* 2495 */
-    126,
+  { /* 2490 */
+    127,
     /* SAR32r1 */
   },
-  { /* 2496 */
-    126,
+  { /* 2491 */
+    127,
     /* SAR32rCL */
   },
-  { /* 2497 */
-    284,
+  { /* 2492 */
+    285,
     /* SAR32ri */
   },
-  { /* 2498 */
-    40,
+  { /* 2493 */
+    38,
     /* SAR64m1 */
   },
-  { /* 2499 */
-    40,
+  { /* 2494 */
+    38,
     /* SAR64mCL */
   },
-  { /* 2500 */
-    283,
+  { /* 2495 */
+    284,
     /* SAR64mi */
   },
-  { /* 2501 */
-    127,
+  { /* 2496 */
+    128,
     /* SAR64r1 */
   },
-  { /* 2502 */
-    127,
+  { /* 2497 */
+    128,
     /* SAR64rCL */
   },
-  { /* 2503 */
-    285,
+  { /* 2498 */
+    286,
     /* SAR64ri */
   },
-  { /* 2504 */
-    80,
+  { /* 2499 */
+    82,
     /* SAR8m1 */
   },
-  { /* 2505 */
-    80,
+  { /* 2500 */
+    82,
     /* SAR8mCL */
   },
-  { /* 2506 */
+  { /* 2501 */
     22,
     /* SAR8mi */
   },
-  { /* 2507 */
-    128,
+  { /* 2502 */
+    129,
     /* SAR8r1 */
   },
-  { /* 2508 */
-    128,
+  { /* 2503 */
+    129,
     /* SAR8rCL */
   },
-  { /* 2509 */
+  { /* 2504 */
     24,
     /* SAR8ri */
   },
-  { /* 2510 */
-    52,
+  { /* 2505 */
+    54,
     /* SARX32rm */
   },
-  { /* 2511 */
-    53,
+  { /* 2506 */
+    55,
     /* SARX32rr */
   },
-  { /* 2512 */
-    54,
+  { /* 2507 */
+    56,
     /* SARX64rm */
   },
-  { /* 2513 */
-    55,
+  { /* 2508 */
+    57,
     /* SARX64rr */
   },
-  { /* 2514 */
+  { /* 2509 */
     2,
     /* SBB16i16 */
   },
-  { /* 2515 */
+  { /* 2510 */
     3,
     /* SBB16mi */
   },
-  { /* 2516 */
+  { /* 2511 */
     4,
     /* SBB16mi8 */
   },
-  { /* 2517 */
+  { /* 2512 */
     5,
     /* SBB16mr */
   },
-  { /* 2518 */
+  { /* 2513 */
     6,
     /* SBB16ri */
   },
-  { /* 2519 */
+  { /* 2514 */
     7,
     /* SBB16ri8 */
   },
-  { /* 2520 */
+  { /* 2515 */
     8,
     /* SBB16rm */
   },
-  { /* 2521 */
+  { /* 2516 */
     9,
     /* SBB16rr */
   },
-  { /* 2522 */
+  { /* 2517 */
     10,
     /* SBB16rr_REV */
   },
-  { /* 2523 */
+  { /* 2518 */
     2,
     /* SBB32i32 */
   },
-  { /* 2524 */
+  { /* 2519 */
     3,
     /* SBB32mi */
   },
-  { /* 2525 */
+  { /* 2520 */
     11,
     /* SBB32mi8 */
   },
-  { /* 2526 */
+  { /* 2521 */
     5,
     /* SBB32mr */
   },
-  { /* 2527 */
+  { /* 2522 */
     6,
     /* SBB32ri */
   },
-  { /* 2528 */
+  { /* 2523 */
     12,
     /* SBB32ri8 */
   },
-  { /* 2529 */
+  { /* 2524 */
     8,
     /* SBB32rm */
   },
-  { /* 2530 */
+  { /* 2525 */
     9,
     /* SBB32rr */
   },
-  { /* 2531 */
+  { /* 2526 */
     10,
     /* SBB32rr_REV */
   },
-  { /* 2532 */
+  { /* 2527 */
     13,
     /* SBB64i32 */
   },
-  { /* 2533 */
+  { /* 2528 */
     14,
     /* SBB64mi32 */
   },
-  { /* 2534 */
+  { /* 2529 */
     15,
     /* SBB64mi8 */
   },
-  { /* 2535 */
+  { /* 2530 */
     16,
     /* SBB64mr */
   },
-  { /* 2536 */
+  { /* 2531 */
     17,
     /* SBB64ri32 */
   },
-  { /* 2537 */
+  { /* 2532 */
     18,
     /* SBB64ri8 */
   },
-  { /* 2538 */
+  { /* 2533 */
     19,
     /* SBB64rm */
   },
-  { /* 2539 */
+  { /* 2534 */
     20,
     /* SBB64rr */
   },
-  { /* 2540 */
+  { /* 2535 */
     21,
     /* SBB64rr_REV */
   },
-  { /* 2541 */
+  { /* 2536 */
     1,
     /* SBB8i8 */
   },
-  { /* 2542 */
+  { /* 2537 */
     22,
     /* SBB8mi */
   },
-  { /* 2543 */
+  { /* 2538 */
     23,
     /* SBB8mr */
   },
-  { /* 2544 */
+  { /* 2539 */
     24,
     /* SBB8ri */
   },
-  { /* 2545 */
+  { /* 2540 */
     25,
     /* SBB8rm */
   },
-  { /* 2546 */
+  { /* 2541 */
     26,
     /* SBB8rr */
   },
-  { /* 2547 */
+  { /* 2542 */
     27,
     /* SBB8rr_REV */
   },
-  { /* 2548 */
-    148,
+  { /* 2543 */
+    149,
     /* SCASB */
   },
-  { /* 2549 */
-    151,
+  { /* 2544 */
+    152,
     /* SCASL */
   },
-  { /* 2550 */
-    297,
+  { /* 2545 */
+    298,
     /* SCASQ */
   },
-  { /* 2551 */
-    152,
+  { /* 2546 */
+    153,
     /* SCASW */
   },
+  { /* 2547 */
+    0,
+    /*  */
+  },
+  { /* 2548 */
+    0,
+    /*  */
+  },
+  { /* 2549 */
+    0,
+    /*  */
+  },
+  { /* 2550 */
+    0,
+    /*  */
+  },
+  { /* 2551 */
+    0,
+    /*  */
+  },
   { /* 2552 */
     0,
     /*  */
@@ -17064,801 +17520,801 @@
     /*  */
   },
   { /* 2557 */
-    0,
-    /*  */
-  },
-  { /* 2558 */
-    0,
-    /*  */
-  },
-  { /* 2559 */
-    0,
-    /*  */
-  },
-  { /* 2560 */
-    0,
-    /*  */
-  },
-  { /* 2561 */
-    0,
-    /*  */
-  },
-  { /* 2562 */
-    80,
+    82,
     /* SETAEm */
   },
-  { /* 2563 */
-    129,
+  { /* 2558 */
+    130,
     /* SETAEr */
   },
-  { /* 2564 */
-    80,
+  { /* 2559 */
+    82,
     /* SETAm */
   },
-  { /* 2565 */
-    129,
+  { /* 2560 */
+    130,
     /* SETAr */
   },
-  { /* 2566 */
-    80,
+  { /* 2561 */
+    82,
     /* SETBEm */
   },
-  { /* 2567 */
-    129,
+  { /* 2562 */
+    130,
     /* SETBEr */
   },
-  { /* 2568 */
+  { /* 2563 */
     0,
     /*  */
   },
-  { /* 2569 */
+  { /* 2564 */
     0,
     /*  */
   },
-  { /* 2570 */
+  { /* 2565 */
     0,
     /*  */
   },
-  { /* 2571 */
+  { /* 2566 */
     0,
     /*  */
   },
-  { /* 2572 */
-    80,
+  { /* 2567 */
+    82,
     /* SETBm */
   },
-  { /* 2573 */
-    129,
+  { /* 2568 */
+    130,
     /* SETBr */
   },
-  { /* 2574 */
-    80,
+  { /* 2569 */
+    82,
     /* SETEm */
   },
-  { /* 2575 */
-    129,
+  { /* 2570 */
+    130,
     /* SETEr */
   },
-  { /* 2576 */
-    80,
+  { /* 2571 */
+    82,
     /* SETGEm */
   },
-  { /* 2577 */
-    129,
+  { /* 2572 */
+    130,
     /* SETGEr */
   },
-  { /* 2578 */
-    80,
+  { /* 2573 */
+    82,
     /* SETGm */
   },
-  { /* 2579 */
-    129,
+  { /* 2574 */
+    130,
     /* SETGr */
   },
-  { /* 2580 */
-    80,
+  { /* 2575 */
+    82,
     /* SETLEm */
   },
-  { /* 2581 */
-    129,
+  { /* 2576 */
+    130,
     /* SETLEr */
   },
-  { /* 2582 */
-    80,
+  { /* 2577 */
+    82,
     /* SETLm */
   },
-  { /* 2583 */
-    129,
+  { /* 2578 */
+    130,
     /* SETLr */
   },
-  { /* 2584 */
-    80,
+  { /* 2579 */
+    82,
     /* SETNEm */
   },
-  { /* 2585 */
-    129,
+  { /* 2580 */
+    130,
     /* SETNEr */
   },
-  { /* 2586 */
-    80,
+  { /* 2581 */
+    82,
     /* SETNOm */
   },
-  { /* 2587 */
-    129,
+  { /* 2582 */
+    130,
     /* SETNOr */
   },
-  { /* 2588 */
-    80,
+  { /* 2583 */
+    82,
     /* SETNPm */
   },
-  { /* 2589 */
-    129,
+  { /* 2584 */
+    130,
     /* SETNPr */
   },
-  { /* 2590 */
-    80,
+  { /* 2585 */
+    82,
     /* SETNSm */
   },
-  { /* 2591 */
-    129,
+  { /* 2586 */
+    130,
     /* SETNSr */
   },
-  { /* 2592 */
-    80,
+  { /* 2587 */
+    82,
     /* SETOm */
   },
-  { /* 2593 */
-    129,
+  { /* 2588 */
+    130,
     /* SETOr */
   },
-  { /* 2594 */
-    80,
+  { /* 2589 */
+    82,
     /* SETPm */
   },
-  { /* 2595 */
-    129,
+  { /* 2590 */
+    130,
     /* SETPr */
   },
-  { /* 2596 */
-    80,
+  { /* 2591 */
+    82,
     /* SETSm */
   },
-  { /* 2597 */
-    129,
+  { /* 2592 */
+    130,
     /* SETSr */
   },
-  { /* 2598 */
+  { /* 2593 */
     0,
     /* SFENCE */
   },
-  { /* 2599 */
-    137,
+  { /* 2594 */
+    138,
     /* SGDT16m */
   },
-  { /* 2600 */
-    137,
+  { /* 2595 */
+    138,
     /* SGDT32m */
   },
-  { /* 2601 */
-    138,
+  { /* 2596 */
+    139,
     /* SGDT64m */
   },
-  { /* 2602 */
-    32,
+  { /* 2597 */
+    30,
     /* SHA1MSG1rm */
   },
-  { /* 2603 */
-    33,
+  { /* 2598 */
+    31,
     /* SHA1MSG1rr */
   },
-  { /* 2604 */
-    32,
+  { /* 2599 */
+    30,
     /* SHA1MSG2rm */
   },
-  { /* 2605 */
-    33,
+  { /* 2600 */
+    31,
     /* SHA1MSG2rr */
   },
-  { /* 2606 */
-    32,
+  { /* 2601 */
+    30,
     /* SHA1NEXTErm */
   },
-  { /* 2607 */
-    33,
+  { /* 2602 */
+    31,
     /* SHA1NEXTErr */
   },
-  { /* 2608 */
-    266,
+  { /* 2603 */
+    267,
     /* SHA1RNDS4rmi */
   },
-  { /* 2609 */
-    267,
+  { /* 2604 */
+    268,
     /* SHA1RNDS4rri */
   },
-  { /* 2610 */
-    32,
+  { /* 2605 */
+    30,
     /* SHA256MSG1rm */
   },
-  { /* 2611 */
-    33,
+  { /* 2606 */
+    31,
     /* SHA256MSG1rr */
   },
-  { /* 2612 */
-    32,
+  { /* 2607 */
+    30,
     /* SHA256MSG2rm */
   },
-  { /* 2613 */
-    33,
+  { /* 2608 */
+    31,
     /* SHA256MSG2rr */
   },
-  { /* 2614 */
-    32,
+  { /* 2609 */
+    30,
     /* SHA256RNDS2rm */
   },
-  { /* 2615 */
-    33,
+  { /* 2610 */
+    31,
     /* SHA256RNDS2rr */
   },
-  { /* 2616 */
-    40,
+  { /* 2611 */
+    38,
     /* SHL16m1 */
   },
-  { /* 2617 */
-    40,
+  { /* 2612 */
+    38,
     /* SHL16mCL */
   },
-  { /* 2618 */
-    283,
+  { /* 2613 */
+    284,
     /* SHL16mi */
   },
-  { /* 2619 */
-    126,
+  { /* 2614 */
+    127,
     /* SHL16r1 */
   },
-  { /* 2620 */
-    126,
+  { /* 2615 */
+    127,
     /* SHL16rCL */
   },
-  { /* 2621 */
-    284,
+  { /* 2616 */
+    285,
     /* SHL16ri */
   },
-  { /* 2622 */
-    40,
+  { /* 2617 */
+    38,
     /* SHL32m1 */
   },
-  { /* 2623 */
-    40,
+  { /* 2618 */
+    38,
     /* SHL32mCL */
   },
-  { /* 2624 */
-    283,
+  { /* 2619 */
+    284,
     /* SHL32mi */
   },
-  { /* 2625 */
-    126,
+  { /* 2620 */
+    127,
     /* SHL32r1 */
   },
-  { /* 2626 */
-    126,
+  { /* 2621 */
+    127,
     /* SHL32rCL */
   },
-  { /* 2627 */
-    284,
+  { /* 2622 */
+    285,
     /* SHL32ri */
   },
-  { /* 2628 */
-    40,
+  { /* 2623 */
+    38,
     /* SHL64m1 */
   },
-  { /* 2629 */
-    40,
+  { /* 2624 */
+    38,
     /* SHL64mCL */
   },
-  { /* 2630 */
-    283,
+  { /* 2625 */
+    284,
     /* SHL64mi */
   },
-  { /* 2631 */
-    127,
+  { /* 2626 */
+    128,
     /* SHL64r1 */
   },
-  { /* 2632 */
-    127,
+  { /* 2627 */
+    128,
     /* SHL64rCL */
   },
-  { /* 2633 */
-    285,
+  { /* 2628 */
+    286,
     /* SHL64ri */
   },
-  { /* 2634 */
-    80,
+  { /* 2629 */
+    82,
     /* SHL8m1 */
   },
-  { /* 2635 */
-    80,
+  { /* 2630 */
+    82,
     /* SHL8mCL */
   },
-  { /* 2636 */
+  { /* 2631 */
     22,
     /* SHL8mi */
   },
-  { /* 2637 */
-    128,
+  { /* 2632 */
+    129,
     /* SHL8r1 */
   },
-  { /* 2638 */
-    128,
+  { /* 2633 */
+    129,
     /* SHL8rCL */
   },
-  { /* 2639 */
+  { /* 2634 */
     24,
     /* SHL8ri */
   },
-  { /* 2640 */
+  { /* 2635 */
     5,
     /* SHLD16mrCL */
   },
-  { /* 2641 */
-    298,
+  { /* 2636 */
+    299,
     /* SHLD16mri8 */
   },
-  { /* 2642 */
+  { /* 2637 */
     9,
     /* SHLD16rrCL */
   },
-  { /* 2643 */
-    299,
+  { /* 2638 */
+    300,
     /* SHLD16rri8 */
   },
-  { /* 2644 */
+  { /* 2639 */
     5,
     /* SHLD32mrCL */
   },
-  { /* 2645 */
-    298,
+  { /* 2640 */
+    299,
     /* SHLD32mri8 */
   },
-  { /* 2646 */
+  { /* 2641 */
     9,
     /* SHLD32rrCL */
   },
-  { /* 2647 */
-    299,
+  { /* 2642 */
+    300,
     /* SHLD32rri8 */
   },
-  { /* 2648 */
+  { /* 2643 */
     16,
     /* SHLD64mrCL */
   },
-  { /* 2649 */
-    300,
+  { /* 2644 */
+    301,
     /* SHLD64mri8 */
   },
-  { /* 2650 */
+  { /* 2645 */
     20,
     /* SHLD64rrCL */
   },
-  { /* 2651 */
-    301,
+  { /* 2646 */
+    302,
     /* SHLD64rri8 */
   },
-  { /* 2652 */
-    52,
+  { /* 2647 */
+    54,
     /* SHLX32rm */
   },
-  { /* 2653 */
-    53,
+  { /* 2648 */
+    55,
     /* SHLX32rr */
   },
-  { /* 2654 */
-    54,
+  { /* 2649 */
+    56,
     /* SHLX64rm */
   },
-  { /* 2655 */
-    55,
+  { /* 2650 */
+    57,
     /* SHLX64rr */
   },
-  { /* 2656 */
-    40,
+  { /* 2651 */
+    38,
     /* SHR16m1 */
   },
-  { /* 2657 */
-    40,
+  { /* 2652 */
+    38,
     /* SHR16mCL */
   },
-  { /* 2658 */
-    283,
+  { /* 2653 */
+    284,
     /* SHR16mi */
   },
-  { /* 2659 */
-    126,
+  { /* 2654 */
+    127,
     /* SHR16r1 */
   },
-  { /* 2660 */
-    126,
+  { /* 2655 */
+    127,
     /* SHR16rCL */
   },
-  { /* 2661 */
-    284,
+  { /* 2656 */
+    285,
     /* SHR16ri */
   },
-  { /* 2662 */
-    40,
+  { /* 2657 */
+    38,
     /* SHR32m1 */
   },
-  { /* 2663 */
-    40,
+  { /* 2658 */
+    38,
     /* SHR32mCL */
   },
-  { /* 2664 */
-    283,
+  { /* 2659 */
+    284,
     /* SHR32mi */
   },
-  { /* 2665 */
-    126,
+  { /* 2660 */
+    127,
     /* SHR32r1 */
   },
-  { /* 2666 */
-    126,
+  { /* 2661 */
+    127,
     /* SHR32rCL */
   },
-  { /* 2667 */
-    284,
+  { /* 2662 */
+    285,
     /* SHR32ri */
   },
-  { /* 2668 */
-    40,
+  { /* 2663 */
+    38,
     /* SHR64m1 */
   },
-  { /* 2669 */
-    40,
+  { /* 2664 */
+    38,
     /* SHR64mCL */
   },
-  { /* 2670 */
-    283,
+  { /* 2665 */
+    284,
     /* SHR64mi */
   },
-  { /* 2671 */
-    127,
+  { /* 2666 */
+    128,
     /* SHR64r1 */
   },
-  { /* 2672 */
-    127,
+  { /* 2667 */
+    128,
     /* SHR64rCL */
   },
-  { /* 2673 */
-    285,
+  { /* 2668 */
+    286,
     /* SHR64ri */
   },
-  { /* 2674 */
-    80,
+  { /* 2669 */
+    82,
     /* SHR8m1 */
   },
-  { /* 2675 */
-    80,
+  { /* 2670 */
+    82,
     /* SHR8mCL */
   },
-  { /* 2676 */
+  { /* 2671 */
     22,
     /* SHR8mi */
   },
-  { /* 2677 */
-    128,
+  { /* 2672 */
+    129,
     /* SHR8r1 */
   },
-  { /* 2678 */
-    128,
+  { /* 2673 */
+    129,
     /* SHR8rCL */
   },
-  { /* 2679 */
+  { /* 2674 */
     24,
     /* SHR8ri */
   },
-  { /* 2680 */
+  { /* 2675 */
     5,
     /* SHRD16mrCL */
   },
-  { /* 2681 */
-    298,
+  { /* 2676 */
+    299,
     /* SHRD16mri8 */
   },
-  { /* 2682 */
+  { /* 2677 */
     9,
     /* SHRD16rrCL */
   },
-  { /* 2683 */
-    299,
+  { /* 2678 */
+    300,
     /* SHRD16rri8 */
   },
-  { /* 2684 */
+  { /* 2679 */
     5,
     /* SHRD32mrCL */
   },
-  { /* 2685 */
-    298,
+  { /* 2680 */
+    299,
     /* SHRD32mri8 */
   },
-  { /* 2686 */
+  { /* 2681 */
     9,
     /* SHRD32rrCL */
   },
-  { /* 2687 */
-    299,
+  { /* 2682 */
+    300,
     /* SHRD32rri8 */
   },
-  { /* 2688 */
+  { /* 2683 */
     16,
     /* SHRD64mrCL */
   },
-  { /* 2689 */
-    300,
+  { /* 2684 */
+    301,
     /* SHRD64mri8 */
   },
-  { /* 2690 */
+  { /* 2685 */
     20,
     /* SHRD64rrCL */
   },
-  { /* 2691 */
-    301,
+  { /* 2686 */
+    302,
     /* SHRD64rri8 */
   },
-  { /* 2692 */
-    52,
+  { /* 2687 */
+    54,
     /* SHRX32rm */
   },
-  { /* 2693 */
-    53,
+  { /* 2688 */
+    55,
     /* SHRX32rr */
   },
-  { /* 2694 */
-    54,
+  { /* 2689 */
+    56,
     /* SHRX64rm */
   },
-  { /* 2695 */
-    55,
+  { /* 2690 */
+    57,
     /* SHRX64rr */
   },
-  { /* 2696 */
-    266,
+  { /* 2691 */
+    267,
     /* SHUFPDrmi */
   },
-  { /* 2697 */
-    267,
+  { /* 2692 */
+    268,
     /* SHUFPDrri */
   },
-  { /* 2698 */
-    266,
+  { /* 2693 */
+    267,
     /* SHUFPSrmi */
   },
-  { /* 2699 */
-    267,
+  { /* 2694 */
+    268,
     /* SHUFPSrri */
   },
-  { /* 2700 */
-    137,
+  { /* 2695 */
+    138,
     /* SIDT16m */
   },
-  { /* 2701 */
-    137,
+  { /* 2696 */
+    138,
     /* SIDT32m */
   },
-  { /* 2702 */
-    138,
+  { /* 2697 */
+    139,
     /* SIDT64m */
   },
-  { /* 2703 */
+  { /* 2698 */
     0,
     /* SIN_F */
   },
-  { /* 2704 */
+  { /* 2699 */
     0,
     /*  */
   },
-  { /* 2705 */
+  { /* 2700 */
     0,
     /*  */
   },
-  { /* 2706 */
+  { /* 2701 */
     0,
     /*  */
   },
-  { /* 2707 */
+  { /* 2702 */
     0,
     /* SKINIT */
   },
-  { /* 2708 */
-    40,
+  { /* 2703 */
+    38,
     /* SLDT16m */
   },
-  { /* 2709 */
-    75,
+  { /* 2704 */
+    77,
     /* SLDT16r */
   },
-  { /* 2710 */
-    75,
+  { /* 2705 */
+    77,
     /* SLDT32r */
   },
-  { /* 2711 */
-    40,
+  { /* 2706 */
+    38,
     /* SLDT64m */
   },
-  { /* 2712 */
-    77,
+  { /* 2707 */
+    79,
     /* SLDT64r */
   },
-  { /* 2713 */
-    40,
+  { /* 2708 */
+    38,
     /* SMSW16m */
   },
-  { /* 2714 */
-    75,
+  { /* 2709 */
+    77,
     /* SMSW16r */
   },
-  { /* 2715 */
-    75,
+  { /* 2710 */
+    77,
     /* SMSW32r */
   },
-  { /* 2716 */
-    77,
+  { /* 2711 */
+    79,
     /* SMSW64r */
   },
-  { /* 2717 */
-    42,
+  { /* 2712 */
+    44,
     /* SQRTPDm */
   },
-  { /* 2718 */
-    43,
+  { /* 2713 */
+    45,
     /* SQRTPDr */
   },
-  { /* 2719 */
-    42,
+  { /* 2714 */
+    44,
     /* SQRTPSm */
   },
-  { /* 2720 */
-    43,
+  { /* 2715 */
+    45,
     /* SQRTPSr */
   },
-  { /* 2721 */
-    253,
+  { /* 2716 */
+    254,
     /* SQRTSDm */
   },
-  { /* 2722 */
+  { /* 2717 */
     0,
     /*  */
   },
-  { /* 2723 */
-    302,
+  { /* 2718 */
+    303,
     /* SQRTSDr */
   },
+  { /* 2719 */
+    0,
+    /*  */
+  },
+  { /* 2720 */
+    258,
+    /* SQRTSSm */
+  },
+  { /* 2721 */
+    0,
+    /*  */
+  },
+  { /* 2722 */
+    287,
+    /* SQRTSSr */
+  },
+  { /* 2723 */
+    0,
+    /*  */
+  },
   { /* 2724 */
     0,
-    /*  */
+    /* SQRT_F */
   },
   { /* 2725 */
-    257,
-    /* SQRTSSm */
+    0,
+    /*  */
   },
   { /* 2726 */
     0,
     /*  */
   },
   { /* 2727 */
-    286,
-    /* SQRTSSr */
+    0,
+    /*  */
   },
   { /* 2728 */
     0,
-    /*  */
+    /* STAC */
   },
   { /* 2729 */
     0,
-    /* SQRT_F */
+    /* STC */
   },
   { /* 2730 */
     0,
-    /*  */
+    /* STD */
   },
   { /* 2731 */
     0,
-    /*  */
+    /* STGI */
   },
   { /* 2732 */
     0,
-    /*  */
-  },
-  { /* 2733 */
-    0,
-    /* SS_PREFIX */
-  },
-  { /* 2734 */
-    0,
-    /* STAC */
-  },
-  { /* 2735 */
-    0,
-    /* STC */
-  },
-  { /* 2736 */
-    0,
-    /* STD */
-  },
-  { /* 2737 */
-    0,
-    /* STGI */
-  },
-  { /* 2738 */
-    0,
     /* STI */
   },
-  { /* 2739 */
-    40,
+  { /* 2733 */
+    38,
     /* STMXCSR */
   },
-  { /* 2740 */
-    148,
+  { /* 2734 */
+    149,
     /* STOSB */
   },
-  { /* 2741 */
-    151,
+  { /* 2735 */
+    152,
     /* STOSL */
   },
-  { /* 2742 */
-    297,
+  { /* 2736 */
+    298,
     /* STOSQ */
   },
-  { /* 2743 */
-    152,
+  { /* 2737 */
+    153,
     /* STOSW */
   },
-  { /* 2744 */
-    75,
+  { /* 2738 */
+    77,
     /* STR16r */
   },
-  { /* 2745 */
-    75,
+  { /* 2739 */
+    77,
     /* STR32r */
   },
-  { /* 2746 */
-    77,
+  { /* 2740 */
+    79,
     /* STR64r */
   },
-  { /* 2747 */
-    40,
+  { /* 2741 */
+    38,
     /* STRm */
   },
-  { /* 2748 */
-    38,
+  { /* 2742 */
+    36,
     /* ST_F32m */
   },
-  { /* 2749 */
-    39,
+  { /* 2743 */
+    37,
     /* ST_F64m */
   },
-  { /* 2750 */
-    38,
+  { /* 2744 */
+    36,
     /* ST_FP32m */
   },
-  { /* 2751 */
-    39,
+  { /* 2745 */
+    37,
     /* ST_FP64m */
   },
-  { /* 2752 */
-    185,
+  { /* 2746 */
+    186,
     /* ST_FP80m */
   },
-  { /* 2753 */
+  { /* 2747 */
     0,
     /* ST_FPNCE */
   },
-  { /* 2754 */
+  { /* 2748 */
     0,
     /* ST_FPr0r7 */
   },
-  { /* 2755 */
-    41,
+  { /* 2749 */
+    39,
     /* ST_FPrr */
   },
+  { /* 2750 */
+    0,
+    /*  */
+  },
+  { /* 2751 */
+    0,
+    /*  */
+  },
+  { /* 2752 */
+    0,
+    /*  */
+  },
+  { /* 2753 */
+    0,
+    /*  */
+  },
+  { /* 2754 */
+    0,
+    /*  */
+  },
+  { /* 2755 */
+    0,
+    /*  */
+  },
   { /* 2756 */
     0,
     /*  */
@@ -17880,213 +18336,213 @@
     /*  */
   },
   { /* 2761 */
-    0,
-    /*  */
-  },
-  { /* 2762 */
-    0,
-    /*  */
-  },
-  { /* 2763 */
-    0,
-    /*  */
-  },
-  { /* 2764 */
-    0,
-    /*  */
-  },
-  { /* 2765 */
-    0,
-    /*  */
-  },
-  { /* 2766 */
-    0,
-    /*  */
-  },
-  { /* 2767 */
-    41,
+    39,
     /* ST_Frr */
   },
-  { /* 2768 */
+  { /* 2762 */
     2,
     /* SUB16i16 */
   },
-  { /* 2769 */
+  { /* 2763 */
     3,
     /* SUB16mi */
   },
-  { /* 2770 */
+  { /* 2764 */
     4,
     /* SUB16mi8 */
   },
-  { /* 2771 */
+  { /* 2765 */
     5,
     /* SUB16mr */
   },
-  { /* 2772 */
+  { /* 2766 */
     6,
     /* SUB16ri */
   },
-  { /* 2773 */
+  { /* 2767 */
     7,
     /* SUB16ri8 */
   },
-  { /* 2774 */
+  { /* 2768 */
     8,
     /* SUB16rm */
   },
-  { /* 2775 */
+  { /* 2769 */
     9,
     /* SUB16rr */
   },
-  { /* 2776 */
+  { /* 2770 */
     10,
     /* SUB16rr_REV */
   },
-  { /* 2777 */
+  { /* 2771 */
     2,
     /* SUB32i32 */
   },
-  { /* 2778 */
+  { /* 2772 */
     3,
     /* SUB32mi */
   },
-  { /* 2779 */
+  { /* 2773 */
     11,
     /* SUB32mi8 */
   },
-  { /* 2780 */
+  { /* 2774 */
     5,
     /* SUB32mr */
   },
-  { /* 2781 */
+  { /* 2775 */
     6,
     /* SUB32ri */
   },
-  { /* 2782 */
+  { /* 2776 */
     12,
     /* SUB32ri8 */
   },
-  { /* 2783 */
+  { /* 2777 */
     8,
     /* SUB32rm */
   },
-  { /* 2784 */
+  { /* 2778 */
     9,
     /* SUB32rr */
   },
-  { /* 2785 */
+  { /* 2779 */
     10,
     /* SUB32rr_REV */
   },
-  { /* 2786 */
+  { /* 2780 */
     13,
     /* SUB64i32 */
   },
-  { /* 2787 */
+  { /* 2781 */
     14,
     /* SUB64mi32 */
   },
-  { /* 2788 */
+  { /* 2782 */
     15,
     /* SUB64mi8 */
   },
-  { /* 2789 */
+  { /* 2783 */
     16,
     /* SUB64mr */
   },
-  { /* 2790 */
+  { /* 2784 */
     17,
     /* SUB64ri32 */
   },
-  { /* 2791 */
+  { /* 2785 */
     18,
     /* SUB64ri8 */
   },
-  { /* 2792 */
+  { /* 2786 */
     19,
     /* SUB64rm */
   },
-  { /* 2793 */
+  { /* 2787 */
     20,
     /* SUB64rr */
   },
-  { /* 2794 */
+  { /* 2788 */
     21,
     /* SUB64rr_REV */
   },
-  { /* 2795 */
+  { /* 2789 */
     1,
     /* SUB8i8 */
   },
-  { /* 2796 */
+  { /* 2790 */
     22,
     /* SUB8mi */
   },
-  { /* 2797 */
+  { /* 2791 */
     23,
     /* SUB8mr */
   },
-  { /* 2798 */
+  { /* 2792 */
     24,
     /* SUB8ri */
   },
-  { /* 2799 */
+  { /* 2793 */
     24,
     /* SUB8ri8 */
   },
-  { /* 2800 */
+  { /* 2794 */
     25,
     /* SUB8rm */
   },
-  { /* 2801 */
+  { /* 2795 */
     26,
     /* SUB8rr */
   },
-  { /* 2802 */
+  { /* 2796 */
     27,
     /* SUB8rr_REV */
   },
-  { /* 2803 */
-    32,
+  { /* 2797 */
+    30,
     /* SUBPDrm */
   },
-  { /* 2804 */
-    33,
+  { /* 2798 */
+    31,
     /* SUBPDrr */
   },
-  { /* 2805 */
-    32,
+  { /* 2799 */
+    30,
     /* SUBPSrm */
   },
-  { /* 2806 */
-    33,
+  { /* 2800 */
+    31,
     /* SUBPSrr */
   },
-  { /* 2807 */
-    38,
+  { /* 2801 */
+    36,
     /* SUBR_F32m */
   },
-  { /* 2808 */
-    39,
+  { /* 2802 */
+    37,
     /* SUBR_F64m */
   },
-  { /* 2809 */
-    40,
+  { /* 2803 */
+    38,
     /* SUBR_FI16m */
   },
-  { /* 2810 */
-    40,
+  { /* 2804 */
+    38,
     /* SUBR_FI32m */
   },
-  { /* 2811 */
-    41,
+  { /* 2805 */
+    39,
     /* SUBR_FPrST0 */
   },
-  { /* 2812 */
-    41,
+  { /* 2806 */
+    39,
     /* SUBR_FST0r */
   },
+  { /* 2807 */
+    0,
+    /*  */
+  },
+  { /* 2808 */
+    0,
+    /*  */
+  },
+  { /* 2809 */
+    0,
+    /*  */
+  },
+  { /* 2810 */
+    0,
+    /*  */
+  },
+  { /* 2811 */
+    0,
+    /*  */
+  },
+  { /* 2812 */
+    0,
+    /*  */
+  },
   { /* 2813 */
     0,
     /*  */
@@ -18108,89 +18564,89 @@
     /*  */
   },
   { /* 2818 */
-    0,
-    /*  */
+    39,
+    /* SUBR_FrST0 */
   },
   { /* 2819 */
-    0,
-    /*  */
+    32,
+    /* SUBSDrm */
   },
   { /* 2820 */
     0,
     /*  */
   },
   { /* 2821 */
-    0,
-    /*  */
+    33,
+    /* SUBSDrr */
   },
   { /* 2822 */
     0,
     /*  */
   },
   { /* 2823 */
+    34,
+    /* SUBSSrm */
+  },
+  { /* 2824 */
     0,
     /*  */
   },
-  { /* 2824 */
-    41,
-    /* SUBR_FrST0 */
-  },
   { /* 2825 */
-    34,
-    /* SUBSDrm */
+    35,
+    /* SUBSSrr */
   },
   { /* 2826 */
     0,
     /*  */
   },
   { /* 2827 */
-    35,
-    /* SUBSDrr */
-  },
-  { /* 2828 */
-    0,
-    /*  */
-  },
-  { /* 2829 */
     36,
-    /* SUBSSrm */
-  },
-  { /* 2830 */
-    0,
-    /*  */
-  },
-  { /* 2831 */
-    37,
-    /* SUBSSrr */
-  },
-  { /* 2832 */
-    0,
-    /*  */
-  },
-  { /* 2833 */
-    38,
     /* SUB_F32m */
   },
-  { /* 2834 */
-    39,
+  { /* 2828 */
+    37,
     /* SUB_F64m */
   },
-  { /* 2835 */
-    40,
+  { /* 2829 */
+    38,
     /* SUB_FI16m */
   },
-  { /* 2836 */
-    40,
+  { /* 2830 */
+    38,
     /* SUB_FI32m */
   },
-  { /* 2837 */
-    41,
+  { /* 2831 */
+    39,
     /* SUB_FPrST0 */
   },
-  { /* 2838 */
-    41,
+  { /* 2832 */
+    39,
     /* SUB_FST0r */
   },
+  { /* 2833 */
+    0,
+    /*  */
+  },
+  { /* 2834 */
+    0,
+    /*  */
+  },
+  { /* 2835 */
+    0,
+    /*  */
+  },
+  { /* 2836 */
+    0,
+    /*  */
+  },
+  { /* 2837 */
+    0,
+    /*  */
+  },
+  { /* 2838 */
+    0,
+    /*  */
+  },
   { /* 2839 */
     0,
     /*  */
@@ -18224,77 +18680,77 @@
     /*  */
   },
   { /* 2847 */
-    0,
-    /*  */
+    39,
+    /* SUB_FrST0 */
   },
   { /* 2848 */
     0,
-    /*  */
+    /* SWAPGS */
   },
   { /* 2849 */
     0,
-    /*  */
+    /* SYSCALL */
   },
   { /* 2850 */
     0,
-    /*  */
+    /* SYSENTER */
   },
   { /* 2851 */
     0,
-    /*  */
+    /* SYSEXIT */
   },
   { /* 2852 */
     0,
-    /*  */
-  },
-  { /* 2853 */
-    41,
-    /* SUB_FrST0 */
-  },
-  { /* 2854 */
-    0,
-    /* SWAPGS */
-  },
-  { /* 2855 */
-    0,
-    /* SYSCALL */
-  },
-  { /* 2856 */
-    0,
-    /* SYSENTER */
-  },
-  { /* 2857 */
-    0,
-    /* SYSEXIT */
-  },
-  { /* 2858 */
-    0,
     /* SYSEXIT64 */
   },
-  { /* 2859 */
+  { /* 2853 */
     0,
     /* SYSRET */
   },
-  { /* 2860 */
+  { /* 2854 */
     0,
     /* SYSRET64 */
   },
-  { /* 2861 */
-    60,
+  { /* 2855 */
+    62,
     /* T1MSKC32rm */
   },
-  { /* 2862 */
-    61,
+  { /* 2856 */
+    63,
     /* T1MSKC32rr */
   },
-  { /* 2863 */
-    62,
+  { /* 2857 */
+    64,
     /* T1MSKC64rm */
   },
-  { /* 2864 */
-    63,
+  { /* 2858 */
+    65,
     /* T1MSKC64rr */
   },
+  { /* 2859 */
+    0,
+    /*  */
+  },
+  { /* 2860 */
+    0,
+    /*  */
+  },
+  { /* 2861 */
+    0,
+    /*  */
+  },
+  { /* 2862 */
+    0,
+    /*  */
+  },
+  { /* 2863 */
+    0,
+    /*  */
+  },
+  { /* 2864 */
+    0,
+    /*  */
+  },
   { /* 2865 */
     0,
     /*  */
@@ -18320,152 +18776,152 @@
     /*  */
   },
   { /* 2871 */
-    0,
-    /*  */
-  },
-  { /* 2872 */
-    0,
-    /*  */
-  },
-  { /* 2873 */
-    0,
-    /*  */
-  },
-  { /* 2874 */
-    0,
-    /*  */
-  },
-  { /* 2875 */
-    0,
-    /*  */
-  },
-  { /* 2876 */
-    0,
-    /*  */
-  },
-  { /* 2877 */
     2,
     /* TEST16i16 */
   },
-  { /* 2878 */
+  { /* 2872 */
     3,
     /* TEST16mi */
   },
-  { /* 2879 */
+  { /* 2873 */
     3,
     /* TEST16mi_alt */
   },
-  { /* 2880 */
-    81,
+  { /* 2874 */
+    83,
     /* TEST16ri */
   },
-  { /* 2881 */
-    81,
+  { /* 2875 */
+    83,
     /* TEST16ri_alt */
   },
-  { /* 2882 */
+  { /* 2876 */
     5,
     /* TEST16rm */
   },
-  { /* 2883 */
-    67,
+  { /* 2877 */
+    69,
     /* TEST16rr */
   },
-  { /* 2884 */
+  { /* 2878 */
     2,
     /* TEST32i32 */
   },
-  { /* 2885 */
+  { /* 2879 */
     3,
     /* TEST32mi */
   },
-  { /* 2886 */
+  { /* 2880 */
     3,
     /* TEST32mi_alt */
   },
-  { /* 2887 */
-    81,
+  { /* 2881 */
+    83,
     /* TEST32ri */
   },
-  { /* 2888 */
-    81,
+  { /* 2882 */
+    83,
     /* TEST32ri_alt */
   },
-  { /* 2889 */
+  { /* 2883 */
     5,
     /* TEST32rm */
   },
-  { /* 2890 */
-    67,
+  { /* 2884 */
+    69,
     /* TEST32rr */
   },
-  { /* 2891 */
+  { /* 2885 */
     13,
     /* TEST64i32 */
   },
-  { /* 2892 */
+  { /* 2886 */
     14,
     /* TEST64mi32 */
   },
-  { /* 2893 */
+  { /* 2887 */
     14,
     /* TEST64mi32_alt */
   },
-  { /* 2894 */
-    82,
+  { /* 2888 */
+    84,
     /* TEST64ri32 */
   },
-  { /* 2895 */
-    82,
+  { /* 2889 */
+    84,
     /* TEST64ri32_alt */
   },
-  { /* 2896 */
+  { /* 2890 */
     16,
     /* TEST64rm */
   },
-  { /* 2897 */
-    31,
+  { /* 2891 */
+    43,
     /* TEST64rr */
   },
-  { /* 2898 */
+  { /* 2892 */
     1,
     /* TEST8i8 */
   },
-  { /* 2899 */
+  { /* 2893 */
     22,
     /* TEST8mi */
   },
-  { /* 2900 */
+  { /* 2894 */
     22,
     /* TEST8mi_alt */
   },
-  { /* 2901 */
-    83,
+  { /* 2895 */
+    85,
     /* TEST8ri */
   },
+  { /* 2896 */
+    0,
+    /*  */
+  },
+  { /* 2897 */
+    85,
+    /* TEST8ri_alt */
+  },
+  { /* 2898 */
+    23,
+    /* TEST8rm */
+  },
+  { /* 2899 */
+    88,
+    /* TEST8rr */
+  },
+  { /* 2900 */
+    0,
+    /*  */
+  },
+  { /* 2901 */
+    0,
+    /*  */
+  },
   { /* 2902 */
     0,
     /*  */
   },
   { /* 2903 */
-    83,
-    /* TEST8ri_alt */
+    0,
+    /*  */
   },
   { /* 2904 */
-    23,
-    /* TEST8rm */
+    0,
+    /*  */
   },
   { /* 2905 */
-    86,
-    /* TEST8rr */
+    0,
+    /*  */
   },
   { /* 2906 */
     0,
-    /*  */
+    /* TRAP */
   },
   { /* 2907 */
     0,
-    /*  */
+    /* TST_F */
   },
   { /* 2908 */
     0,
@@ -18480,7348 +18936,7348 @@
     /*  */
   },
   { /* 2911 */
-    0,
-    /*  */
-  },
-  { /* 2912 */
-    0,
-    /* TRAP */
-  },
-  { /* 2913 */
-    0,
-    /* TST_F */
-  },
-  { /* 2914 */
-    0,
-    /*  */
-  },
-  { /* 2915 */
-    0,
-    /*  */
-  },
-  { /* 2916 */
-    0,
-    /*  */
-  },
-  { /* 2917 */
-    66,
+    68,
     /* TZCNT16rm */
   },
-  { /* 2918 */
-    67,
+  { /* 2912 */
+    69,
     /* TZCNT16rr */
   },
-  { /* 2919 */
-    66,
+  { /* 2913 */
+    68,
     /* TZCNT32rm */
   },
-  { /* 2920 */
-    67,
+  { /* 2914 */
+    69,
     /* TZCNT32rr */
   },
-  { /* 2921 */
-    30,
+  { /* 2915 */
+    42,
     /* TZCNT64rm */
   },
-  { /* 2922 */
-    31,
+  { /* 2916 */
+    43,
     /* TZCNT64rr */
   },
-  { /* 2923 */
-    60,
+  { /* 2917 */
+    62,
     /* TZMSK32rm */
   },
-  { /* 2924 */
-    61,
+  { /* 2918 */
+    63,
     /* TZMSK32rr */
   },
-  { /* 2925 */
-    62,
+  { /* 2919 */
+    64,
     /* TZMSK64rm */
   },
-  { /* 2926 */
-    63,
+  { /* 2920 */
+    65,
     /* TZMSK64rr */
   },
-  { /* 2927 */
-    253,
+  { /* 2921 */
+    254,
     /* UCOMISDrm */
   },
-  { /* 2928 */
-    302,
+  { /* 2922 */
+    303,
     /* UCOMISDrr */
   },
-  { /* 2929 */
-    257,
+  { /* 2923 */
+    258,
     /* UCOMISSrm */
   },
-  { /* 2930 */
-    286,
+  { /* 2924 */
+    287,
     /* UCOMISSrr */
   },
-  { /* 2931 */
-    41,
+  { /* 2925 */
+    39,
     /* UCOM_FIPr */
   },
-  { /* 2932 */
-    41,
+  { /* 2926 */
+    39,
     /* UCOM_FIr */
   },
-  { /* 2933 */
+  { /* 2927 */
     0,
     /* UCOM_FPPr */
   },
-  { /* 2934 */
-    41,
+  { /* 2928 */
+    39,
     /* UCOM_FPr */
   },
-  { /* 2935 */
+  { /* 2929 */
     0,
     /*  */
   },
+  { /* 2930 */
+    0,
+    /*  */
+  },
+  { /* 2931 */
+    0,
+    /*  */
+  },
+  { /* 2932 */
+    0,
+    /*  */
+  },
+  { /* 2933 */
+    0,
+    /*  */
+  },
+  { /* 2934 */
+    0,
+    /*  */
+  },
+  { /* 2935 */
+    39,
+    /* UCOM_Fr */
+  },
   { /* 2936 */
     0,
-    /*  */
-  },
-  { /* 2937 */
-    0,
-    /*  */
-  },
-  { /* 2938 */
-    0,
-    /*  */
-  },
-  { /* 2939 */
-    0,
-    /*  */
-  },
-  { /* 2940 */
-    0,
-    /*  */
-  },
-  { /* 2941 */
-    41,
-    /* UCOM_Fr */
-  },
-  { /* 2942 */
-    0,
     /* UD2B */
   },
-  { /* 2943 */
-    32,
+  { /* 2937 */
+    30,
     /* UNPCKHPDrm */
   },
-  { /* 2944 */
-    33,
+  { /* 2938 */
+    31,
     /* UNPCKHPDrr */
   },
-  { /* 2945 */
-    32,
+  { /* 2939 */
+    30,
     /* UNPCKHPSrm */
   },
-  { /* 2946 */
-    33,
+  { /* 2940 */
+    31,
     /* UNPCKHPSrr */
   },
-  { /* 2947 */
-    32,
+  { /* 2941 */
+    30,
     /* UNPCKLPDrm */
   },
-  { /* 2948 */
-    33,
+  { /* 2942 */
+    31,
     /* UNPCKLPDrr */
   },
-  { /* 2949 */
-    32,
+  { /* 2943 */
+    30,
     /* UNPCKLPSrm */
   },
-  { /* 2950 */
-    33,
+  { /* 2944 */
+    31,
     /* UNPCKLPSrr */
   },
-  { /* 2951 */
+  { /* 2945 */
     0,
     /*  */
   },
-  { /* 2952 */
-    303,
+  { /* 2946 */
+    304,
     /* VADDPDYrm */
   },
-  { /* 2953 */
-    304,
+  { /* 2947 */
+    305,
     /* VADDPDYrr */
   },
-  { /* 2954 */
-    305,
+  { /* 2948 */
+    306,
     /* VADDPDZrm */
   },
-  { /* 2955 */
-    306,
+  { /* 2949 */
+    307,
     /* VADDPDZrmb */
   },
-  { /* 2956 */
-    307,
+  { /* 2950 */
+    308,
     /* VADDPDZrmbk */
   },
-  { /* 2957 */
-    307,
+  { /* 2951 */
+    308,
     /* VADDPDZrmbkz */
   },
-  { /* 2958 */
-    308,
+  { /* 2952 */
+    309,
     /* VADDPDZrmk */
   },
-  { /* 2959 */
-    308,
+  { /* 2953 */
+    309,
     /* VADDPDZrmkz */
   },
-  { /* 2960 */
-    309,
+  { /* 2954 */
+    310,
     /* VADDPDZrr */
   },
-  { /* 2961 */
-    310,
+  { /* 2955 */
+    311,
     /* VADDPDZrrk */
   },
-  { /* 2962 */
-    310,
+  { /* 2956 */
+    311,
     /* VADDPDZrrkz */
   },
-  { /* 2963 */
-    311,
+  { /* 2957 */
+    312,
     /* VADDPDrm */
   },
-  { /* 2964 */
-    312,
+  { /* 2958 */
+    313,
     /* VADDPDrr */
   },
-  { /* 2965 */
-    303,
+  { /* 2959 */
+    304,
     /* VADDPSYrm */
   },
-  { /* 2966 */
-    304,
+  { /* 2960 */
+    305,
     /* VADDPSYrr */
   },
-  { /* 2967 */
-    305,
+  { /* 2961 */
+    306,
     /* VADDPSZrm */
   },
-  { /* 2968 */
-    313,
+  { /* 2962 */
+    314,
     /* VADDPSZrmb */
   },
-  { /* 2969 */
-    314,
+  { /* 2963 */
+    315,
     /* VADDPSZrmbk */
   },
-  { /* 2970 */
-    314,
+  { /* 2964 */
+    315,
     /* VADDPSZrmbkz */
   },
-  { /* 2971 */
-    315,
+  { /* 2965 */
+    316,
     /* VADDPSZrmk */
   },
-  { /* 2972 */
-    315,
+  { /* 2966 */
+    316,
     /* VADDPSZrmkz */
   },
-  { /* 2973 */
-    309,
+  { /* 2967 */
+    310,
     /* VADDPSZrr */
   },
-  { /* 2974 */
-    316,
+  { /* 2968 */
+    317,
     /* VADDPSZrrk */
   },
-  { /* 2975 */
-    316,
+  { /* 2969 */
+    317,
     /* VADDPSZrrkz */
   },
-  { /* 2976 */
-    311,
+  { /* 2970 */
+    312,
     /* VADDPSrm */
   },
-  { /* 2977 */
-    312,
+  { /* 2971 */
+    313,
     /* VADDPSrr */
   },
-  { /* 2978 */
-    317,
+  { /* 2972 */
+    318,
     /* VADDSDZrm */
   },
-  { /* 2979 */
-    318,
+  { /* 2973 */
+    319,
     /* VADDSDZrr */
   },
-  { /* 2980 */
-    319,
+  { /* 2974 */
+    320,
     /* VADDSDrm */
   },
+  { /* 2975 */
+    0,
+    /*  */
+  },
+  { /* 2976 */
+    321,
+    /* VADDSDrr */
+  },
+  { /* 2977 */
+    0,
+    /*  */
+  },
+  { /* 2978 */
+    322,
+    /* VADDSSZrm */
+  },
+  { /* 2979 */
+    323,
+    /* VADDSSZrr */
+  },
+  { /* 2980 */
+    324,
+    /* VADDSSrm */
+  },
   { /* 2981 */
     0,
     /*  */
   },
   { /* 2982 */
-    320,
-    /* VADDSDrr */
+    325,
+    /* VADDSSrr */
   },
   { /* 2983 */
     0,
     /*  */
   },
   { /* 2984 */
-    321,
-    /* VADDSSZrm */
-  },
-  { /* 2985 */
-    322,
-    /* VADDSSZrr */
-  },
-  { /* 2986 */
-    323,
-    /* VADDSSrm */
-  },
-  { /* 2987 */
-    0,
-    /*  */
-  },
-  { /* 2988 */
-    324,
-    /* VADDSSrr */
-  },
-  { /* 2989 */
-    0,
-    /*  */
-  },
-  { /* 2990 */
-    303,
+    304,
     /* VADDSUBPDYrm */
   },
-  { /* 2991 */
-    304,
+  { /* 2985 */
+    305,
     /* VADDSUBPDYrr */
   },
-  { /* 2992 */
-    311,
+  { /* 2986 */
+    312,
     /* VADDSUBPDrm */
   },
-  { /* 2993 */
-    312,
+  { /* 2987 */
+    313,
     /* VADDSUBPDrr */
   },
-  { /* 2994 */
-    303,
+  { /* 2988 */
+    304,
     /* VADDSUBPSYrm */
   },
-  { /* 2995 */
-    304,
+  { /* 2989 */
+    305,
     /* VADDSUBPSYrr */
   },
-  { /* 2996 */
-    311,
+  { /* 2990 */
+    312,
     /* VADDSUBPSrm */
   },
-  { /* 2997 */
-    312,
+  { /* 2991 */
+    313,
     /* VADDSUBPSrr */
   },
-  { /* 2998 */
-    311,
+  { /* 2992 */
+    312,
     /* VAESDECLASTrm */
   },
-  { /* 2999 */
-    312,
+  { /* 2993 */
+    313,
     /* VAESDECLASTrr */
   },
-  { /* 3000 */
-    311,
+  { /* 2994 */
+    312,
     /* VAESDECrm */
   },
-  { /* 3001 */
-    312,
+  { /* 2995 */
+    313,
     /* VAESDECrr */
   },
-  { /* 3002 */
-    311,
+  { /* 2996 */
+    312,
     /* VAESENCLASTrm */
   },
-  { /* 3003 */
-    312,
+  { /* 2997 */
+    313,
     /* VAESENCLASTrr */
   },
-  { /* 3004 */
-    311,
+  { /* 2998 */
+    312,
     /* VAESENCrm */
   },
-  { /* 3005 */
-    312,
+  { /* 2999 */
+    313,
     /* VAESENCrr */
   },
-  { /* 3006 */
-    42,
+  { /* 3000 */
+    44,
     /* VAESIMCrm */
   },
-  { /* 3007 */
-    43,
+  { /* 3001 */
+    45,
     /* VAESIMCrr */
   },
-  { /* 3008 */
-    44,
+  { /* 3002 */
+    46,
     /* VAESKEYGENASSIST128rm */
   },
-  { /* 3009 */
-    45,
+  { /* 3003 */
+    47,
     /* VAESKEYGENASSIST128rr */
   },
-  { /* 3010 */
-    325,
+  { /* 3004 */
+    326,
     /* VALIGNDrmi */
   },
-  { /* 3011 */
-    326,
+  { /* 3005 */
+    327,
     /* VALIGNDrri */
   },
-  { /* 3012 */
-    327,
+  { /* 3006 */
+    328,
     /* VALIGNDrrik */
   },
-  { /* 3013 */
-    328,
+  { /* 3007 */
+    329,
     /* VALIGNDrrikz */
   },
-  { /* 3014 */
-    325,
+  { /* 3008 */
+    326,
     /* VALIGNQrmi */
   },
-  { /* 3015 */
-    326,
+  { /* 3009 */
+    327,
     /* VALIGNQrri */
   },
-  { /* 3016 */
-    329,
+  { /* 3010 */
+    330,
     /* VALIGNQrrik */
   },
-  { /* 3017 */
-    330,
+  { /* 3011 */
+    331,
     /* VALIGNQrrikz */
   },
-  { /* 3018 */
-    303,
+  { /* 3012 */
+    304,
     /* VANDNPDYrm */
   },
-  { /* 3019 */
-    304,
+  { /* 3013 */
+    305,
     /* VANDNPDYrr */
   },
-  { /* 3020 */
-    311,
+  { /* 3014 */
+    312,
     /* VANDNPDrm */
   },
-  { /* 3021 */
-    312,
+  { /* 3015 */
+    313,
     /* VANDNPDrr */
   },
-  { /* 3022 */
-    303,
+  { /* 3016 */
+    304,
     /* VANDNPSYrm */
   },
-  { /* 3023 */
-    304,
+  { /* 3017 */
+    305,
     /* VANDNPSYrr */
   },
-  { /* 3024 */
-    311,
+  { /* 3018 */
+    312,
     /* VANDNPSrm */
   },
-  { /* 3025 */
-    312,
+  { /* 3019 */
+    313,
     /* VANDNPSrr */
   },
-  { /* 3026 */
-    303,
+  { /* 3020 */
+    304,
     /* VANDPDYrm */
   },
-  { /* 3027 */
-    304,
+  { /* 3021 */
+    305,
     /* VANDPDYrr */
   },
-  { /* 3028 */
-    311,
+  { /* 3022 */
+    312,
     /* VANDPDrm */
   },
-  { /* 3029 */
-    312,
+  { /* 3023 */
+    313,
     /* VANDPDrr */
   },
-  { /* 3030 */
-    303,
+  { /* 3024 */
+    304,
     /* VANDPSYrm */
   },
-  { /* 3031 */
-    304,
+  { /* 3025 */
+    305,
     /* VANDPSYrr */
   },
-  { /* 3032 */
-    311,
+  { /* 3026 */
+    312,
     /* VANDPSrm */
   },
-  { /* 3033 */
-    312,
+  { /* 3027 */
+    313,
     /* VANDPSrr */
   },
-  { /* 3034 */
+  { /* 3028 */
     0,
     /*  */
   },
-  { /* 3035 */
-    308,
+  { /* 3029 */
+    309,
     /* VBLENDMPDZrm */
   },
-  { /* 3036 */
-    310,
+  { /* 3030 */
+    311,
     /* VBLENDMPDZrr */
   },
-  { /* 3037 */
-    315,
+  { /* 3031 */
+    316,
     /* VBLENDMPSZrm */
   },
-  { /* 3038 */
-    316,
+  { /* 3032 */
+    317,
     /* VBLENDMPSZrr */
   },
-  { /* 3039 */
-    331,
+  { /* 3033 */
+    332,
     /* VBLENDPDYrmi */
   },
-  { /* 3040 */
-    332,
+  { /* 3034 */
+    333,
     /* VBLENDPDYrri */
   },
-  { /* 3041 */
-    333,
+  { /* 3035 */
+    334,
     /* VBLENDPDrmi */
   },
-  { /* 3042 */
-    334,
+  { /* 3036 */
+    335,
     /* VBLENDPDrri */
   },
-  { /* 3043 */
-    331,
+  { /* 3037 */
+    332,
     /* VBLENDPSYrmi */
   },
-  { /* 3044 */
-    332,
+  { /* 3038 */
+    333,
     /* VBLENDPSYrri */
   },
-  { /* 3045 */
-    333,
+  { /* 3039 */
+    334,
     /* VBLENDPSrmi */
   },
-  { /* 3046 */
-    334,
+  { /* 3040 */
+    335,
     /* VBLENDPSrri */
   },
-  { /* 3047 */
-    335,
+  { /* 3041 */
+    336,
     /* VBLENDVPDYrm */
   },
-  { /* 3048 */
-    336,
+  { /* 3042 */
+    337,
     /* VBLENDVPDYrr */
   },
-  { /* 3049 */
-    337,
+  { /* 3043 */
+    338,
     /* VBLENDVPDrm */
   },
-  { /* 3050 */
-    338,
+  { /* 3044 */
+    339,
     /* VBLENDVPDrr */
   },
-  { /* 3051 */
-    335,
+  { /* 3045 */
+    336,
     /* VBLENDVPSYrm */
   },
-  { /* 3052 */
-    336,
+  { /* 3046 */
+    337,
     /* VBLENDVPSYrr */
   },
-  { /* 3053 */
-    337,
+  { /* 3047 */
+    338,
     /* VBLENDVPSrm */
   },
-  { /* 3054 */
-    338,
+  { /* 3048 */
+    339,
     /* VBLENDVPSrr */
   },
-  { /* 3055 */
-    339,
+  { /* 3049 */
+    340,
     /* VBROADCASTF128 */
   },
-  { /* 3056 */
-    339,
+  { /* 3050 */
+    340,
     /* VBROADCASTI128 */
   },
-  { /* 3057 */
-    340,
+  { /* 3051 */
+    341,
     /* VBROADCASTI32X4krm */
   },
-  { /* 3058 */
-    341,
+  { /* 3052 */
+    342,
     /* VBROADCASTI32X4rm */
   },
-  { /* 3059 */
-    342,
+  { /* 3053 */
+    343,
     /* VBROADCASTI64X4krm */
   },
-  { /* 3060 */
-    343,
+  { /* 3054 */
+    344,
     /* VBROADCASTI64X4rm */
   },
-  { /* 3061 */
-    344,
+  { /* 3055 */
+    345,
     /* VBROADCASTSDYrm */
   },
-  { /* 3062 */
-    345,
+  { /* 3056 */
+    346,
     /* VBROADCASTSDYrr */
   },
-  { /* 3063 */
-    346,
+  { /* 3057 */
+    347,
     /* VBROADCASTSDZrm */
   },
-  { /* 3064 */
-    347,
+  { /* 3058 */
+    348,
     /* VBROADCASTSDZrr */
   },
-  { /* 3065 */
-    348,
+  { /* 3059 */
+    349,
     /* VBROADCASTSSYrm */
   },
-  { /* 3066 */
-    345,
+  { /* 3060 */
+    346,
     /* VBROADCASTSSYrr */
   },
-  { /* 3067 */
-    349,
+  { /* 3061 */
+    350,
     /* VBROADCASTSSZrm */
   },
-  { /* 3068 */
-    350,
+  { /* 3062 */
+    351,
     /* VBROADCASTSSZrr */
   },
-  { /* 3069 */
-    351,
+  { /* 3063 */
+    352,
     /* VBROADCASTSSrm */
   },
-  { /* 3070 */
-    43,
+  { /* 3064 */
+    45,
     /* VBROADCASTSSrr */
   },
-  { /* 3071 */
-    352,
+  { /* 3065 */
+    353,
     /* VCMPPDYrmi */
   },
+  { /* 3066 */
+    0,
+    /*  */
+  },
+  { /* 3067 */
+    354,
+    /* VCMPPDYrri */
+  },
+  { /* 3068 */
+    0,
+    /*  */
+  },
+  { /* 3069 */
+    355,
+    /* VCMPPDZrmi */
+  },
+  { /* 3070 */
+    0,
+    /*  */
+  },
+  { /* 3071 */
+    356,
+    /* VCMPPDZrri */
+  },
   { /* 3072 */
     0,
     /*  */
   },
   { /* 3073 */
-    353,
-    /* VCMPPDYrri */
-  },
-  { /* 3074 */
-    0,
-    /*  */
-  },
-  { /* 3075 */
-    354,
-    /* VCMPPDZrmi */
-  },
-  { /* 3076 */
-    0,
-    /*  */
-  },
-  { /* 3077 */
-    355,
-    /* VCMPPDZrri */
-  },
-  { /* 3078 */
-    0,
-    /*  */
-  },
-  { /* 3079 */
-    356,
+    357,
     /* VCMPPDZrrib */
   },
-  { /* 3080 */
-    357,
+  { /* 3074 */
+    358,
     /* VCMPPDrmi */
   },
+  { /* 3075 */
+    0,
+    /*  */
+  },
+  { /* 3076 */
+    359,
+    /* VCMPPDrri */
+  },
+  { /* 3077 */
+    0,
+    /*  */
+  },
+  { /* 3078 */
+    353,
+    /* VCMPPSYrmi */
+  },
+  { /* 3079 */
+    0,
+    /*  */
+  },
+  { /* 3080 */
+    354,
+    /* VCMPPSYrri */
+  },
   { /* 3081 */
     0,
     /*  */
   },
   { /* 3082 */
-    358,
-    /* VCMPPDrri */
+    360,
+    /* VCMPPSZrmi */
   },
   { /* 3083 */
     0,
     /*  */
   },
   { /* 3084 */
-    352,
-    /* VCMPPSYrmi */
+    361,
+    /* VCMPPSZrri */
   },
   { /* 3085 */
     0,
     /*  */
   },
   { /* 3086 */
-    353,
-    /* VCMPPSYrri */
-  },
-  { /* 3087 */
-    0,
-    /*  */
-  },
-  { /* 3088 */
-    359,
-    /* VCMPPSZrmi */
-  },
-  { /* 3089 */
-    0,
-    /*  */
-  },
-  { /* 3090 */
-    360,
-    /* VCMPPSZrri */
-  },
-  { /* 3091 */
-    0,
-    /*  */
-  },
-  { /* 3092 */
-    361,
+    362,
     /* VCMPPSZrrib */
   },
-  { /* 3093 */
-    357,
+  { /* 3087 */
+    358,
     /* VCMPPSrmi */
   },
+  { /* 3088 */
+    0,
+    /*  */
+  },
+  { /* 3089 */
+    359,
+    /* VCMPPSrri */
+  },
+  { /* 3090 */
+    0,
+    /*  */
+  },
+  { /* 3091 */
+    363,
+    /* VCMPSDZrm */
+  },
+  { /* 3092 */
+    0,
+    /*  */
+  },
+  { /* 3093 */
+    364,
+    /* VCMPSDZrr */
+  },
   { /* 3094 */
     0,
     /*  */
   },
   { /* 3095 */
-    358,
-    /* VCMPPSrri */
+    365,
+    /* VCMPSDrm */
   },
   { /* 3096 */
     0,
     /*  */
   },
   { /* 3097 */
-    362,
-    /* VCMPSDZrm */
+    366,
+    /* VCMPSDrr */
   },
   { /* 3098 */
     0,
     /*  */
   },
   { /* 3099 */
-    363,
-    /* VCMPSDZrr */
+    367,
+    /* VCMPSSZrm */
   },
   { /* 3100 */
     0,
     /*  */
   },
   { /* 3101 */
-    364,
-    /* VCMPSDrm */
+    368,
+    /* VCMPSSZrr */
   },
   { /* 3102 */
     0,
     /*  */
   },
   { /* 3103 */
-    365,
-    /* VCMPSDrr */
+    369,
+    /* VCMPSSrm */
   },
   { /* 3104 */
     0,
     /*  */
   },
   { /* 3105 */
-    366,
-    /* VCMPSSZrm */
+    370,
+    /* VCMPSSrr */
   },
   { /* 3106 */
     0,
     /*  */
   },
   { /* 3107 */
-    367,
-    /* VCMPSSZrr */
-  },
-  { /* 3108 */
-    0,
-    /*  */
-  },
-  { /* 3109 */
-    368,
-    /* VCMPSSrm */
-  },
-  { /* 3110 */
-    0,
-    /*  */
-  },
-  { /* 3111 */
-    369,
-    /* VCMPSSrr */
-  },
-  { /* 3112 */
-    0,
-    /*  */
-  },
-  { /* 3113 */
-    370,
+    371,
     /* VCOMISDZrm */
   },
-  { /* 3114 */
-    371,
+  { /* 3108 */
+    372,
     /* VCOMISDZrr */
   },
-  { /* 3115 */
-    42,
+  { /* 3109 */
+    44,
     /* VCOMISDrm */
   },
-  { /* 3116 */
-    43,
+  { /* 3110 */
+    45,
     /* VCOMISDrr */
   },
-  { /* 3117 */
-    372,
+  { /* 3111 */
+    373,
     /* VCOMISSZrm */
   },
-  { /* 3118 */
-    373,
+  { /* 3112 */
+    374,
     /* VCOMISSZrr */
   },
-  { /* 3119 */
-    42,
+  { /* 3113 */
+    44,
     /* VCOMISSrm */
   },
-  { /* 3120 */
-    43,
+  { /* 3114 */
+    45,
     /* VCOMISSrr */
   },
-  { /* 3121 */
-    339,
+  { /* 3115 */
+    340,
     /* VCVTDQ2PDYrm */
   },
-  { /* 3122 */
-    345,
+  { /* 3116 */
+    346,
     /* VCVTDQ2PDYrr */
   },
-  { /* 3123 */
-    343,
+  { /* 3117 */
+    344,
     /* VCVTDQ2PDZrm */
   },
-  { /* 3124 */
-    374,
+  { /* 3118 */
+    375,
     /* VCVTDQ2PDZrr */
   },
-  { /* 3125 */
-    104,
+  { /* 3119 */
+    105,
     /* VCVTDQ2PDrm */
   },
-  { /* 3126 */
-    43,
+  { /* 3120 */
+    45,
     /* VCVTDQ2PDrr */
   },
-  { /* 3127 */
-    375,
+  { /* 3121 */
+    376,
     /* VCVTDQ2PSYrm */
   },
-  { /* 3128 */
-    376,
+  { /* 3122 */
+    377,
     /* VCVTDQ2PSYrr */
   },
-  { /* 3129 */
-    377,
+  { /* 3123 */
+    378,
     /* VCVTDQ2PSZrm */
   },
-  { /* 3130 */
-    378,
+  { /* 3124 */
+    379,
     /* VCVTDQ2PSZrr */
   },
-  { /* 3131 */
-    379,
+  { /* 3125 */
+    380,
     /* VCVTDQ2PSZrrb */
   },
-  { /* 3132 */
-    42,
+  { /* 3126 */
+    44,
     /* VCVTDQ2PSrm */
   },
-  { /* 3133 */
-    43,
+  { /* 3127 */
+    45,
     /* VCVTDQ2PSrr */
   },
-  { /* 3134 */
-    42,
+  { /* 3128 */
+    44,
     /* VCVTPD2DQXrm */
   },
-  { /* 3135 */
-    380,
+  { /* 3129 */
+    381,
     /* VCVTPD2DQYrm */
   },
+  { /* 3130 */
+    382,
+    /* VCVTPD2DQYrr */
+  },
+  { /* 3131 */
+    383,
+    /* VCVTPD2DQZrm */
+  },
+  { /* 3132 */
+    384,
+    /* VCVTPD2DQZrr */
+  },
+  { /* 3133 */
+    385,
+    /* VCVTPD2DQZrrb */
+  },
+  { /* 3134 */
+    45,
+    /* VCVTPD2DQrr */
+  },
+  { /* 3135 */
+    44,
+    /* VCVTPD2PSXrm */
+  },
   { /* 3136 */
     381,
-    /* VCVTPD2DQYrr */
+    /* VCVTPD2PSYrm */
   },
   { /* 3137 */
     382,
-    /* VCVTPD2DQZrm */
+    /* VCVTPD2PSYrr */
   },
   { /* 3138 */
     383,
-    /* VCVTPD2DQZrr */
+    /* VCVTPD2PSZrm */
   },
   { /* 3139 */
     384,
-    /* VCVTPD2DQZrrb */
-  },
-  { /* 3140 */
-    43,
-    /* VCVTPD2DQrr */
-  },
-  { /* 3141 */
-    42,
-    /* VCVTPD2PSXrm */
-  },
-  { /* 3142 */
-    380,
-    /* VCVTPD2PSYrm */
-  },
-  { /* 3143 */
-    381,
-    /* VCVTPD2PSYrr */
-  },
-  { /* 3144 */
-    382,
-    /* VCVTPD2PSZrm */
-  },
-  { /* 3145 */
-    383,
     /* VCVTPD2PSZrr */
   },
-  { /* 3146 */
-    384,
+  { /* 3140 */
+    385,
     /* VCVTPD2PSZrrb */
   },
-  { /* 3147 */
-    43,
+  { /* 3141 */
+    45,
     /* VCVTPD2PSrr */
   },
-  { /* 3148 */
-    382,
+  { /* 3142 */
+    383,
     /* VCVTPD2UDQZrm */
   },
-  { /* 3149 */
-    383,
+  { /* 3143 */
+    384,
     /* VCVTPD2UDQZrr */
   },
-  { /* 3150 */
-    384,
+  { /* 3144 */
+    385,
     /* VCVTPD2UDQZrrb */
   },
-  { /* 3151 */
-    339,
+  { /* 3145 */
+    340,
     /* VCVTPH2PSYrm */
   },
-  { /* 3152 */
-    345,
+  { /* 3146 */
+    346,
     /* VCVTPH2PSYrr */
   },
-  { /* 3153 */
-    343,
+  { /* 3147 */
+    344,
     /* VCVTPH2PSZrm */
   },
-  { /* 3154 */
-    374,
+  { /* 3148 */
+    375,
     /* VCVTPH2PSZrr */
   },
-  { /* 3155 */
-    105,
+  { /* 3149 */
+    106,
     /* VCVTPH2PSrm */
   },
-  { /* 3156 */
-    43,
+  { /* 3150 */
+    45,
     /* VCVTPH2PSrr */
   },
-  { /* 3157 */
-    375,
+  { /* 3151 */
+    376,
     /* VCVTPS2DQYrm */
   },
-  { /* 3158 */
-    376,
+  { /* 3152 */
+    377,
     /* VCVTPS2DQYrr */
   },
-  { /* 3159 */
-    377,
+  { /* 3153 */
+    378,
     /* VCVTPS2DQZrm */
   },
-  { /* 3160 */
-    378,
+  { /* 3154 */
+    379,
     /* VCVTPS2DQZrr */
   },
-  { /* 3161 */
-    379,
+  { /* 3155 */
+    380,
     /* VCVTPS2DQZrrb */
   },
-  { /* 3162 */
-    42,
+  { /* 3156 */
+    44,
     /* VCVTPS2DQrm */
   },
-  { /* 3163 */
-    43,
+  { /* 3157 */
+    45,
     /* VCVTPS2DQrr */
   },
-  { /* 3164 */
-    339,
+  { /* 3158 */
+    340,
     /* VCVTPS2PDYrm */
   },
-  { /* 3165 */
-    345,
+  { /* 3159 */
+    346,
     /* VCVTPS2PDYrr */
   },
-  { /* 3166 */
-    343,
+  { /* 3160 */
+    344,
     /* VCVTPS2PDZrm */
   },
-  { /* 3167 */
-    374,
+  { /* 3161 */
+    375,
     /* VCVTPS2PDZrr */
   },
-  { /* 3168 */
-    105,
+  { /* 3162 */
+    106,
     /* VCVTPS2PDrm */
   },
-  { /* 3169 */
-    43,
+  { /* 3163 */
+    45,
     /* VCVTPS2PDrr */
   },
-  { /* 3170 */
-    385,
+  { /* 3164 */
+    386,
     /* VCVTPS2PHYmr */
   },
-  { /* 3171 */
-    386,
+  { /* 3165 */
+    387,
     /* VCVTPS2PHYrr */
   },
-  { /* 3172 */
-    387,
+  { /* 3166 */
+    388,
     /* VCVTPS2PHZmr */
   },
-  { /* 3173 */
-    388,
+  { /* 3167 */
+    389,
     /* VCVTPS2PHZrr */
   },
-  { /* 3174 */
-    389,
+  { /* 3168 */
+    390,
     /* VCVTPS2PHmr */
   },
-  { /* 3175 */
-    390,
+  { /* 3169 */
+    391,
     /* VCVTPS2PHrr */
   },
-  { /* 3176 */
-    377,
+  { /* 3170 */
+    378,
     /* VCVTPS2UDQZrm */
   },
-  { /* 3177 */
-    378,
+  { /* 3171 */
+    379,
     /* VCVTPS2UDQZrr */
   },
-  { /* 3178 */
-    379,
+  { /* 3172 */
+    380,
     /* VCVTPS2UDQZrrb */
   },
-  { /* 3179 */
-    391,
+  { /* 3173 */
+    392,
     /* VCVTSD2SI64Zrm */
   },
-  { /* 3180 */
-    392,
+  { /* 3174 */
+    393,
     /* VCVTSD2SI64Zrr */
   },
-  { /* 3181 */
-    106,
+  { /* 3175 */
+    107,
     /* VCVTSD2SI64rm */
   },
-  { /* 3182 */
-    107,
+  { /* 3176 */
+    108,
     /* VCVTSD2SI64rr */
   },
-  { /* 3183 */
-    393,
+  { /* 3177 */
+    394,
     /* VCVTSD2SIZrm */
   },
-  { /* 3184 */
-    394,
+  { /* 3178 */
+    395,
     /* VCVTSD2SIZrr */
   },
-  { /* 3185 */
-    108,
+  { /* 3179 */
+    109,
     /* VCVTSD2SIrm */
   },
-  { /* 3186 */
-    109,
+  { /* 3180 */
+    110,
     /* VCVTSD2SIrr */
   },
-  { /* 3187 */
-    395,
+  { /* 3181 */
+    396,
     /* VCVTSD2SSZrm */
   },
-  { /* 3188 */
-    396,
+  { /* 3182 */
+    397,
     /* VCVTSD2SSZrr */
   },
-  { /* 3189 */
-    397,
+  { /* 3183 */
+    398,
     /* VCVTSD2SSrm */
   },
-  { /* 3190 */
-    398,
+  { /* 3184 */
+    399,
     /* VCVTSD2SSrr */
   },
-  { /* 3191 */
-    391,
+  { /* 3185 */
+    392,
     /* VCVTSD2USI64Zrm */
   },
-  { /* 3192 */
-    392,
+  { /* 3186 */
+    393,
     /* VCVTSD2USI64Zrr */
   },
-  { /* 3193 */
-    393,
+  { /* 3187 */
+    394,
     /* VCVTSD2USIZrm */
   },
-  { /* 3194 */
-    394,
+  { /* 3188 */
+    395,
     /* VCVTSD2USIZrr */
   },
-  { /* 3195 */
-    399,
+  { /* 3189 */
+    400,
     /* VCVTSI2SD64rm */
   },
-  { /* 3196 */
-    400,
+  { /* 3190 */
+    401,
     /* VCVTSI2SD64rr */
   },
-  { /* 3197 */
-    401,
+  { /* 3191 */
+    402,
     /* VCVTSI2SDZrm */
   },
-  { /* 3198 */
-    402,
+  { /* 3192 */
+    403,
     /* VCVTSI2SDZrr */
   },
-  { /* 3199 */
-    399,
+  { /* 3193 */
+    400,
     /* VCVTSI2SDrm */
   },
-  { /* 3200 */
-    403,
+  { /* 3194 */
+    404,
     /* VCVTSI2SDrr */
   },
-  { /* 3201 */
-    404,
+  { /* 3195 */
+    405,
     /* VCVTSI2SS64rm */
   },
-  { /* 3202 */
-    405,
+  { /* 3196 */
+    406,
     /* VCVTSI2SS64rr */
   },
-  { /* 3203 */
-    406,
+  { /* 3197 */
+    407,
     /* VCVTSI2SSZrm */
   },
-  { /* 3204 */
-    407,
+  { /* 3198 */
+    408,
     /* VCVTSI2SSZrr */
   },
-  { /* 3205 */
-    404,
+  { /* 3199 */
+    405,
     /* VCVTSI2SSrm */
   },
-  { /* 3206 */
-    408,
+  { /* 3200 */
+    409,
     /* VCVTSI2SSrr */
   },
-  { /* 3207 */
-    409,
+  { /* 3201 */
+    410,
     /* VCVTSI642SDZrm */
   },
-  { /* 3208 */
-    410,
+  { /* 3202 */
+    411,
     /* VCVTSI642SDZrr */
   },
-  { /* 3209 */
-    411,
+  { /* 3203 */
+    412,
     /* VCVTSI642SSZrm */
   },
-  { /* 3210 */
-    412,
+  { /* 3204 */
+    413,
     /* VCVTSI642SSZrr */
   },
-  { /* 3211 */
-    413,
+  { /* 3205 */
+    414,
     /* VCVTSS2SDZrm */
   },
-  { /* 3212 */
-    414,
+  { /* 3206 */
+    415,
     /* VCVTSS2SDZrr */
   },
-  { /* 3213 */
-    415,
+  { /* 3207 */
+    416,
     /* VCVTSS2SDrm */
   },
-  { /* 3214 */
-    416,
+  { /* 3208 */
+    417,
     /* VCVTSS2SDrr */
   },
-  { /* 3215 */
-    417,
+  { /* 3209 */
+    418,
     /* VCVTSS2SI64Zrm */
   },
-  { /* 3216 */
-    418,
+  { /* 3210 */
+    419,
     /* VCVTSS2SI64Zrr */
   },
-  { /* 3217 */
-    120,
+  { /* 3211 */
+    121,
     /* VCVTSS2SI64rm */
   },
-  { /* 3218 */
-    107,
+  { /* 3212 */
+    108,
     /* VCVTSS2SI64rr */
   },
-  { /* 3219 */
-    419,
+  { /* 3213 */
+    420,
     /* VCVTSS2SIZrm */
   },
-  { /* 3220 */
-    420,
+  { /* 3214 */
+    421,
     /* VCVTSS2SIZrr */
   },
-  { /* 3221 */
-    121,
+  { /* 3215 */
+    122,
     /* VCVTSS2SIrm */
   },
-  { /* 3222 */
-    109,
+  { /* 3216 */
+    110,
     /* VCVTSS2SIrr */
   },
-  { /* 3223 */
-    417,
+  { /* 3217 */
+    418,
     /* VCVTSS2USI64Zrm */
   },
-  { /* 3224 */
-    418,
+  { /* 3218 */
+    419,
     /* VCVTSS2USI64Zrr */
   },
-  { /* 3225 */
-    419,
+  { /* 3219 */
+    420,
     /* VCVTSS2USIZrm */
   },
-  { /* 3226 */
-    420,
+  { /* 3220 */
+    421,
     /* VCVTSS2USIZrr */
   },
-  { /* 3227 */
-    42,
+  { /* 3221 */
+    44,
     /* VCVTTPD2DQXrm */
   },
-  { /* 3228 */
-    380,
+  { /* 3222 */
+    381,
     /* VCVTTPD2DQYrm */
   },
-  { /* 3229 */
-    381,
+  { /* 3223 */
+    382,
     /* VCVTTPD2DQYrr */
   },
-  { /* 3230 */
-    382,
+  { /* 3224 */
+    383,
     /* VCVTTPD2DQZrm */
   },
-  { /* 3231 */
-    383,
+  { /* 3225 */
+    384,
     /* VCVTTPD2DQZrr */
   },
-  { /* 3232 */
-    43,
+  { /* 3226 */
+    45,
     /* VCVTTPD2DQrr */
   },
-  { /* 3233 */
-    382,
+  { /* 3227 */
+    383,
     /* VCVTTPD2UDQZrm */
   },
-  { /* 3234 */
-    383,
+  { /* 3228 */
+    384,
     /* VCVTTPD2UDQZrr */
   },
-  { /* 3235 */
-    375,
+  { /* 3229 */
+    376,
     /* VCVTTPS2DQYrm */
   },
-  { /* 3236 */
-    376,
+  { /* 3230 */
+    377,
     /* VCVTTPS2DQYrr */
   },
-  { /* 3237 */
-    377,
+  { /* 3231 */
+    378,
     /* VCVTTPS2DQZrm */
   },
-  { /* 3238 */
-    378,
+  { /* 3232 */
+    379,
     /* VCVTTPS2DQZrr */
   },
-  { /* 3239 */
-    42,
+  { /* 3233 */
+    44,
     /* VCVTTPS2DQrm */
   },
-  { /* 3240 */
-    43,
+  { /* 3234 */
+    45,
     /* VCVTTPS2DQrr */
   },
-  { /* 3241 */
-    377,
+  { /* 3235 */
+    378,
     /* VCVTTPS2UDQZrm */
   },
-  { /* 3242 */
-    378,
+  { /* 3236 */
+    379,
     /* VCVTTPS2UDQZrr */
   },
-  { /* 3243 */
-    391,
+  { /* 3237 */
+    392,
     /* VCVTTSD2SI64Zrm */
   },
-  { /* 3244 */
-    421,
+  { /* 3238 */
+    422,
     /* VCVTTSD2SI64Zrr */
   },
-  { /* 3245 */
-    106,
+  { /* 3239 */
+    107,
     /* VCVTTSD2SI64rm */
   },
-  { /* 3246 */
-    122,
+  { /* 3240 */
+    123,
     /* VCVTTSD2SI64rr */
   },
-  { /* 3247 */
-    393,
+  { /* 3241 */
+    394,
     /* VCVTTSD2SIZrm */
   },
-  { /* 3248 */
-    422,
+  { /* 3242 */
+    423,
     /* VCVTTSD2SIZrr */
   },
-  { /* 3249 */
-    108,
+  { /* 3243 */
+    109,
     /* VCVTTSD2SIrm */
   },
-  { /* 3250 */
-    123,
+  { /* 3244 */
+    124,
     /* VCVTTSD2SIrr */
   },
-  { /* 3251 */
-    391,
+  { /* 3245 */
+    392,
     /* VCVTTSD2USI64Zrm */
   },
-  { /* 3252 */
-    421,
+  { /* 3246 */
+    422,
     /* VCVTTSD2USI64Zrr */
   },
-  { /* 3253 */
-    393,
+  { /* 3247 */
+    394,
     /* VCVTTSD2USIZrm */
   },
-  { /* 3254 */
-    422,
+  { /* 3248 */
+    423,
     /* VCVTTSD2USIZrr */
   },
-  { /* 3255 */
-    417,
+  { /* 3249 */
+    418,
     /* VCVTTSS2SI64Zrm */
   },
-  { /* 3256 */
-    423,
+  { /* 3250 */
+    424,
     /* VCVTTSS2SI64Zrr */
   },
-  { /* 3257 */
-    120,
+  { /* 3251 */
+    121,
     /* VCVTTSS2SI64rm */
   },
-  { /* 3258 */
-    124,
+  { /* 3252 */
+    125,
     /* VCVTTSS2SI64rr */
   },
-  { /* 3259 */
-    419,
+  { /* 3253 */
+    420,
     /* VCVTTSS2SIZrm */
   },
-  { /* 3260 */
-    424,
+  { /* 3254 */
+    425,
     /* VCVTTSS2SIZrr */
   },
-  { /* 3261 */
-    121,
+  { /* 3255 */
+    122,
     /* VCVTTSS2SIrm */
   },
-  { /* 3262 */
-    125,
+  { /* 3256 */
+    126,
     /* VCVTTSS2SIrr */
   },
-  { /* 3263 */
-    417,
+  { /* 3257 */
+    418,
     /* VCVTTSS2USI64Zrm */
   },
-  { /* 3264 */
-    423,
+  { /* 3258 */
+    424,
     /* VCVTTSS2USI64Zrr */
   },
-  { /* 3265 */
-    419,
+  { /* 3259 */
+    420,
     /* VCVTTSS2USIZrm */
   },
-  { /* 3266 */
-    424,
+  { /* 3260 */
+    425,
     /* VCVTTSS2USIZrr */
   },
-  { /* 3267 */
-    343,
+  { /* 3261 */
+    344,
     /* VCVTUDQ2PDZrm */
   },
-  { /* 3268 */
-    374,
+  { /* 3262 */
+    375,
     /* VCVTUDQ2PDZrr */
   },
-  { /* 3269 */
-    377,
+  { /* 3263 */
+    378,
     /* VCVTUDQ2PSZrm */
   },
-  { /* 3270 */
-    378,
+  { /* 3264 */
+    379,
     /* VCVTUDQ2PSZrr */
   },
-  { /* 3271 */
-    379,
+  { /* 3265 */
+    380,
     /* VCVTUDQ2PSZrrb */
   },
-  { /* 3272 */
-    401,
+  { /* 3266 */
+    402,
     /* VCVTUSI2SDZrm */
   },
-  { /* 3273 */
-    402,
+  { /* 3267 */
+    403,
     /* VCVTUSI2SDZrr */
   },
-  { /* 3274 */
-    406,
+  { /* 3268 */
+    407,
     /* VCVTUSI2SSZrm */
   },
-  { /* 3275 */
-    407,
+  { /* 3269 */
+    408,
     /* VCVTUSI2SSZrr */
   },
-  { /* 3276 */
-    409,
+  { /* 3270 */
+    410,
     /* VCVTUSI642SDZrm */
   },
-  { /* 3277 */
-    410,
+  { /* 3271 */
+    411,
     /* VCVTUSI642SDZrr */
   },
-  { /* 3278 */
-    411,
+  { /* 3272 */
+    412,
     /* VCVTUSI642SSZrm */
   },
-  { /* 3279 */
-    412,
+  { /* 3273 */
+    413,
     /* VCVTUSI642SSZrr */
   },
-  { /* 3280 */
-    303,
+  { /* 3274 */
+    304,
     /* VDIVPDYrm */
   },
-  { /* 3281 */
-    304,
+  { /* 3275 */
+    305,
     /* VDIVPDYrr */
   },
-  { /* 3282 */
-    305,
+  { /* 3276 */
+    306,
     /* VDIVPDZrm */
   },
-  { /* 3283 */
-    306,
+  { /* 3277 */
+    307,
     /* VDIVPDZrmb */
   },
-  { /* 3284 */
-    307,
+  { /* 3278 */
+    308,
     /* VDIVPDZrmbk */
   },
-  { /* 3285 */
-    307,
+  { /* 3279 */
+    308,
     /* VDIVPDZrmbkz */
   },
-  { /* 3286 */
-    308,
+  { /* 3280 */
+    309,
     /* VDIVPDZrmk */
   },
-  { /* 3287 */
-    308,
+  { /* 3281 */
+    309,
     /* VDIVPDZrmkz */
   },
-  { /* 3288 */
-    309,
+  { /* 3282 */
+    310,
     /* VDIVPDZrr */
   },
-  { /* 3289 */
-    310,
+  { /* 3283 */
+    311,
     /* VDIVPDZrrk */
   },
-  { /* 3290 */
-    310,
+  { /* 3284 */
+    311,
     /* VDIVPDZrrkz */
   },
-  { /* 3291 */
-    311,
+  { /* 3285 */
+    312,
     /* VDIVPDrm */
   },
-  { /* 3292 */
-    312,
+  { /* 3286 */
+    313,
     /* VDIVPDrr */
   },
-  { /* 3293 */
-    303,
+  { /* 3287 */
+    304,
     /* VDIVPSYrm */
   },
-  { /* 3294 */
-    304,
+  { /* 3288 */
+    305,
     /* VDIVPSYrr */
   },
-  { /* 3295 */
-    305,
+  { /* 3289 */
+    306,
     /* VDIVPSZrm */
   },
-  { /* 3296 */
-    313,
+  { /* 3290 */
+    314,
     /* VDIVPSZrmb */
   },
-  { /* 3297 */
-    314,
+  { /* 3291 */
+    315,
     /* VDIVPSZrmbk */
   },
-  { /* 3298 */
-    314,
+  { /* 3292 */
+    315,
     /* VDIVPSZrmbkz */
   },
-  { /* 3299 */
-    315,
+  { /* 3293 */
+    316,
     /* VDIVPSZrmk */
   },
-  { /* 3300 */
-    315,
+  { /* 3294 */
+    316,
     /* VDIVPSZrmkz */
   },
-  { /* 3301 */
-    309,
+  { /* 3295 */
+    310,
     /* VDIVPSZrr */
   },
-  { /* 3302 */
-    316,
+  { /* 3296 */
+    317,
     /* VDIVPSZrrk */
   },
-  { /* 3303 */
-    316,
+  { /* 3297 */
+    317,
     /* VDIVPSZrrkz */
   },
-  { /* 3304 */
-    311,
+  { /* 3298 */
+    312,
     /* VDIVPSrm */
   },
-  { /* 3305 */
-    312,
+  { /* 3299 */
+    313,
     /* VDIVPSrr */
   },
-  { /* 3306 */
-    317,
+  { /* 3300 */
+    318,
     /* VDIVSDZrm */
   },
-  { /* 3307 */
-    318,
+  { /* 3301 */
+    319,
     /* VDIVSDZrr */
   },
-  { /* 3308 */
-    319,
+  { /* 3302 */
+    320,
     /* VDIVSDrm */
   },
+  { /* 3303 */
+    0,
+    /*  */
+  },
+  { /* 3304 */
+    321,
+    /* VDIVSDrr */
+  },
+  { /* 3305 */
+    0,
+    /*  */
+  },
+  { /* 3306 */
+    322,
+    /* VDIVSSZrm */
+  },
+  { /* 3307 */
+    323,
+    /* VDIVSSZrr */
+  },
+  { /* 3308 */
+    324,
+    /* VDIVSSrm */
+  },
   { /* 3309 */
     0,
     /*  */
   },
   { /* 3310 */
-    320,
-    /* VDIVSDrr */
+    325,
+    /* VDIVSSrr */
   },
   { /* 3311 */
     0,
     /*  */
   },
   { /* 3312 */
-    321,
-    /* VDIVSSZrm */
-  },
-  { /* 3313 */
-    322,
-    /* VDIVSSZrr */
-  },
-  { /* 3314 */
-    323,
-    /* VDIVSSrm */
-  },
-  { /* 3315 */
-    0,
-    /*  */
-  },
-  { /* 3316 */
-    324,
-    /* VDIVSSrr */
-  },
-  { /* 3317 */
-    0,
-    /*  */
-  },
-  { /* 3318 */
-    333,
+    334,
     /* VDPPDrmi */
   },
-  { /* 3319 */
-    334,
+  { /* 3313 */
+    335,
     /* VDPPDrri */
   },
-  { /* 3320 */
-    331,
+  { /* 3314 */
+    332,
     /* VDPPSYrmi */
   },
-  { /* 3321 */
-    332,
+  { /* 3315 */
+    333,
     /* VDPPSYrri */
   },
-  { /* 3322 */
-    333,
+  { /* 3316 */
+    334,
     /* VDPPSrmi */
   },
-  { /* 3323 */
-    334,
+  { /* 3317 */
+    335,
     /* VDPPSrri */
   },
-  { /* 3324 */
-    40,
+  { /* 3318 */
+    38,
     /* VERRm */
   },
-  { /* 3325 */
-    189,
+  { /* 3319 */
+    190,
     /* VERRr */
   },
-  { /* 3326 */
-    40,
+  { /* 3320 */
+    38,
     /* VERWm */
   },
-  { /* 3327 */
-    189,
+  { /* 3321 */
+    190,
     /* VERWr */
   },
-  { /* 3328 */
-    425,
+  { /* 3322 */
+    426,
     /* VEXTRACTF128mr */
   },
-  { /* 3329 */
-    426,
+  { /* 3323 */
+    427,
     /* VEXTRACTF128rr */
   },
-  { /* 3330 */
-    427,
+  { /* 3324 */
+    428,
     /* VEXTRACTF32x4mr */
   },
-  { /* 3331 */
-    428,
+  { /* 3325 */
+    429,
     /* VEXTRACTF32x4rr */
   },
-  { /* 3332 */
-    429,
+  { /* 3326 */
+    430,
     /* VEXTRACTF64x4mr */
   },
-  { /* 3333 */
-    430,
+  { /* 3327 */
+    431,
     /* VEXTRACTF64x4rr */
   },
-  { /* 3334 */
-    425,
+  { /* 3328 */
+    426,
     /* VEXTRACTI128mr */
   },
-  { /* 3335 */
-    426,
+  { /* 3329 */
+    427,
     /* VEXTRACTI128rr */
   },
-  { /* 3336 */
-    427,
+  { /* 3330 */
+    428,
     /* VEXTRACTI32x4mr */
   },
-  { /* 3337 */
-    428,
+  { /* 3331 */
+    429,
     /* VEXTRACTI32x4rr */
   },
-  { /* 3338 */
-    429,
+  { /* 3332 */
+    430,
     /* VEXTRACTI64x4mr */
   },
-  { /* 3339 */
-    430,
+  { /* 3333 */
+    431,
     /* VEXTRACTI64x4rr */
   },
-  { /* 3340 */
-    131,
+  { /* 3334 */
+    132,
     /* VEXTRACTPSmr */
   },
-  { /* 3341 */
-    132,
+  { /* 3335 */
+    133,
     /* VEXTRACTPSrr */
   },
-  { /* 3342 */
-    431,
+  { /* 3336 */
+    432,
     /* VEXTRACTPSzmr */
   },
-  { /* 3343 */
-    432,
+  { /* 3337 */
+    433,
     /* VEXTRACTPSzrr */
   },
-  { /* 3344 */
-    433,
+  { /* 3338 */
+    434,
     /* VFMADD132PDZm */
   },
-  { /* 3345 */
-    434,
+  { /* 3339 */
+    435,
     /* VFMADD132PDZmb */
   },
-  { /* 3346 */
-    433,
+  { /* 3340 */
+    434,
     /* VFMADD132PSZm */
   },
-  { /* 3347 */
-    435,
+  { /* 3341 */
+    436,
     /* VFMADD132PSZmb */
   },
-  { /* 3348 */
-    433,
+  { /* 3342 */
+    434,
     /* VFMADD213PDZm */
   },
-  { /* 3349 */
-    434,
+  { /* 3343 */
+    435,
     /* VFMADD213PDZmb */
   },
-  { /* 3350 */
-    436,
+  { /* 3344 */
+    437,
     /* VFMADD213PDZr */
   },
-  { /* 3351 */
-    433,
+  { /* 3345 */
+    438,
+    /* VFMADD213PDZrk */
+  },
+  { /* 3346 */
+    438,
+    /* VFMADD213PDZrkz */
+  },
+  { /* 3347 */
+    434,
     /* VFMADD213PSZm */
   },
-  { /* 3352 */
-    435,
+  { /* 3348 */
+    436,
     /* VFMADD213PSZmb */
   },
-  { /* 3353 */
-    436,
+  { /* 3349 */
+    437,
     /* VFMADD213PSZr */
   },
-  { /* 3354 */
-    337,
+  { /* 3350 */
+    439,
+    /* VFMADD213PSZrk */
+  },
+  { /* 3351 */
+    439,
+    /* VFMADD213PSZrkz */
+  },
+  { /* 3352 */
+    338,
     /* VFMADDPD4mr */
   },
-  { /* 3355 */
-    335,
+  { /* 3353 */
+    336,
     /* VFMADDPD4mrY */
   },
-  { /* 3356 */
-    437,
+  { /* 3354 */
+    440,
     /* VFMADDPD4rm */
   },
-  { /* 3357 */
-    438,
+  { /* 3355 */
+    441,
     /* VFMADDPD4rmY */
   },
-  { /* 3358 */
-    439,
+  { /* 3356 */
+    442,
     /* VFMADDPD4rr */
   },
-  { /* 3359 */
-    440,
+  { /* 3357 */
+    443,
     /* VFMADDPD4rrY */
   },
-  { /* 3360 */
-    336,
+  { /* 3358 */
+    337,
     /* VFMADDPD4rrY_REV */
   },
-  { /* 3361 */
-    338,
+  { /* 3359 */
+    339,
     /* VFMADDPD4rr_REV */
   },
-  { /* 3362 */
-    441,
+  { /* 3360 */
+    444,
     /* VFMADDPDr132m */
   },
-  { /* 3363 */
-    442,
+  { /* 3361 */
+    445,
     /* VFMADDPDr132mY */
   },
-  { /* 3364 */
-    443,
+  { /* 3362 */
+    446,
     /* VFMADDPDr132r */
   },
-  { /* 3365 */
-    444,
+  { /* 3363 */
+    447,
     /* VFMADDPDr132rY */
   },
-  { /* 3366 */
-    441,
+  { /* 3364 */
+    444,
     /* VFMADDPDr213m */
   },
-  { /* 3367 */
-    442,
+  { /* 3365 */
+    445,
     /* VFMADDPDr213mY */
   },
-  { /* 3368 */
-    443,
+  { /* 3366 */
+    446,
     /* VFMADDPDr213r */
   },
-  { /* 3369 */
-    444,
+  { /* 3367 */
+    447,
     /* VFMADDPDr213rY */
   },
-  { /* 3370 */
-    441,
+  { /* 3368 */
+    444,
     /* VFMADDPDr231m */
   },
-  { /* 3371 */
-    442,
+  { /* 3369 */
+    445,
     /* VFMADDPDr231mY */
   },
-  { /* 3372 */
-    443,
+  { /* 3370 */
+    446,
     /* VFMADDPDr231r */
   },
-  { /* 3373 */
-    444,
+  { /* 3371 */
+    447,
     /* VFMADDPDr231rY */
   },
-  { /* 3374 */
-    337,
+  { /* 3372 */
+    338,
     /* VFMADDPS4mr */
   },
-  { /* 3375 */
-    335,
+  { /* 3373 */
+    336,
     /* VFMADDPS4mrY */
   },
-  { /* 3376 */
-    437,
+  { /* 3374 */
+    440,
     /* VFMADDPS4rm */
   },
-  { /* 3377 */
-    438,
+  { /* 3375 */
+    441,
     /* VFMADDPS4rmY */
   },
-  { /* 3378 */
-    439,
+  { /* 3376 */
+    442,
     /* VFMADDPS4rr */
   },
-  { /* 3379 */
-    440,
+  { /* 3377 */
+    443,
     /* VFMADDPS4rrY */
   },
-  { /* 3380 */
-    336,
+  { /* 3378 */
+    337,
     /* VFMADDPS4rrY_REV */
   },
-  { /* 3381 */
-    338,
+  { /* 3379 */
+    339,
     /* VFMADDPS4rr_REV */
   },
-  { /* 3382 */
-    441,
+  { /* 3380 */
+    444,
     /* VFMADDPSr132m */
   },
-  { /* 3383 */
-    442,
+  { /* 3381 */
+    445,
     /* VFMADDPSr132mY */
   },
-  { /* 3384 */
-    443,
+  { /* 3382 */
+    446,
     /* VFMADDPSr132r */
   },
-  { /* 3385 */
-    444,
+  { /* 3383 */
+    447,
     /* VFMADDPSr132rY */
   },
-  { /* 3386 */
-    441,
+  { /* 3384 */
+    444,
     /* VFMADDPSr213m */
   },
-  { /* 3387 */
-    442,
+  { /* 3385 */
+    445,
     /* VFMADDPSr213mY */
   },
-  { /* 3388 */
-    443,
+  { /* 3386 */
+    446,
     /* VFMADDPSr213r */
   },
-  { /* 3389 */
-    444,
+  { /* 3387 */
+    447,
     /* VFMADDPSr213rY */
   },
-  { /* 3390 */
-    441,
+  { /* 3388 */
+    444,
     /* VFMADDPSr231m */
   },
-  { /* 3391 */
-    442,
+  { /* 3389 */
+    445,
     /* VFMADDPSr231mY */
   },
-  { /* 3392 */
-    443,
+  { /* 3390 */
+    446,
     /* VFMADDPSr231r */
   },
-  { /* 3393 */
-    444,
+  { /* 3391 */
+    447,
     /* VFMADDPSr231rY */
   },
-  { /* 3394 */
-    445,
+  { /* 3392 */
+    448,
     /* VFMADDSD4mr */
   },
+  { /* 3393 */
+    0,
+    /*  */
+  },
+  { /* 3394 */
+    449,
+    /* VFMADDSD4rm */
+  },
   { /* 3395 */
     0,
     /*  */
   },
   { /* 3396 */
-    446,
-    /* VFMADDSD4rm */
+    450,
+    /* VFMADDSD4rr */
   },
   { /* 3397 */
     0,
     /*  */
   },
   { /* 3398 */
-    447,
-    /* VFMADDSD4rr */
+    451,
+    /* VFMADDSD4rr_REV */
   },
   { /* 3399 */
+    452,
+    /* VFMADDSDZm */
+  },
+  { /* 3400 */
+    453,
+    /* VFMADDSDZr */
+  },
+  { /* 3401 */
+    454,
+    /* VFMADDSDr132m */
+  },
+  { /* 3402 */
+    455,
+    /* VFMADDSDr132r */
+  },
+  { /* 3403 */
+    454,
+    /* VFMADDSDr213m */
+  },
+  { /* 3404 */
+    455,
+    /* VFMADDSDr213r */
+  },
+  { /* 3405 */
+    454,
+    /* VFMADDSDr231m */
+  },
+  { /* 3406 */
+    455,
+    /* VFMADDSDr231r */
+  },
+  { /* 3407 */
+    456,
+    /* VFMADDSS4mr */
+  },
+  { /* 3408 */
     0,
     /*  */
   },
-  { /* 3400 */
-    448,
-    /* VFMADDSD4rr_REV */
-  },
-  { /* 3401 */
-    449,
-    /* VFMADDSDZm */
-  },
-  { /* 3402 */
-    450,
-    /* VFMADDSDZr */
-  },
-  { /* 3403 */
-    451,
-    /* VFMADDSDr132m */
-  },
-  { /* 3404 */
-    452,
-    /* VFMADDSDr132r */
-  },
-  { /* 3405 */
-    451,
-    /* VFMADDSDr213m */
-  },
-  { /* 3406 */
-    452,
-    /* VFMADDSDr213r */
-  },
-  { /* 3407 */
-    451,
-    /* VFMADDSDr231m */
-  },
-  { /* 3408 */
-    452,
-    /* VFMADDSDr231r */
-  },
   { /* 3409 */
-    453,
-    /* VFMADDSS4mr */
+    457,
+    /* VFMADDSS4rm */
   },
   { /* 3410 */
     0,
     /*  */
   },
   { /* 3411 */
-    454,
-    /* VFMADDSS4rm */
+    458,
+    /* VFMADDSS4rr */
   },
   { /* 3412 */
     0,
     /*  */
   },
   { /* 3413 */
-    455,
-    /* VFMADDSS4rr */
-  },
-  { /* 3414 */
-    0,
-    /*  */
-  },
-  { /* 3415 */
-    456,
+    459,
     /* VFMADDSS4rr_REV */
   },
-  { /* 3416 */
-    457,
+  { /* 3414 */
+    460,
     /* VFMADDSSZm */
   },
-  { /* 3417 */
-    458,
+  { /* 3415 */
+    461,
     /* VFMADDSSZr */
   },
-  { /* 3418 */
-    459,
+  { /* 3416 */
+    462,
     /* VFMADDSSr132m */
   },
-  { /* 3419 */
-    460,
+  { /* 3417 */
+    463,
     /* VFMADDSSr132r */
   },
-  { /* 3420 */
-    459,
+  { /* 3418 */
+    462,
     /* VFMADDSSr213m */
   },
-  { /* 3421 */
-    460,
+  { /* 3419 */
+    463,
     /* VFMADDSSr213r */
   },
-  { /* 3422 */
-    459,
+  { /* 3420 */
+    462,
     /* VFMADDSSr231m */
   },
-  { /* 3423 */
-    460,
+  { /* 3421 */
+    463,
     /* VFMADDSSr231r */
   },
-  { /* 3424 */
-    433,
+  { /* 3422 */
+    434,
     /* VFMADDSUB132PDZm */
   },
-  { /* 3425 */
-    434,
+  { /* 3423 */
+    435,
     /* VFMADDSUB132PDZmb */
   },
-  { /* 3426 */
-    433,
+  { /* 3424 */
+    434,
     /* VFMADDSUB132PSZm */
   },
+  { /* 3425 */
+    436,
+    /* VFMADDSUB132PSZmb */
+  },
+  { /* 3426 */
+    434,
+    /* VFMADDSUB213PDZm */
+  },
   { /* 3427 */
     435,
-    /* VFMADDSUB132PSZmb */
-  },
-  { /* 3428 */
-    433,
-    /* VFMADDSUB213PDZm */
-  },
-  { /* 3429 */
-    434,
     /* VFMADDSUB213PDZmb */
   },
-  { /* 3430 */
-    436,
+  { /* 3428 */
+    437,
     /* VFMADDSUB213PDZr */
   },
+  { /* 3429 */
+    438,
+    /* VFMADDSUB213PDZrk */
+  },
+  { /* 3430 */
+    438,
+    /* VFMADDSUB213PDZrkz */
+  },
   { /* 3431 */
-    433,
+    434,
     /* VFMADDSUB213PSZm */
   },
   { /* 3432 */
-    435,
+    436,
     /* VFMADDSUB213PSZmb */
   },
   { /* 3433 */
-    436,
+    437,
     /* VFMADDSUB213PSZr */
   },
   { /* 3434 */
-    337,
-    /* VFMADDSUBPD4mr */
+    439,
+    /* VFMADDSUB213PSZrk */
   },
   { /* 3435 */
-    335,
-    /* VFMADDSUBPD4mrY */
+    439,
+    /* VFMADDSUB213PSZrkz */
   },
   { /* 3436 */
-    437,
-    /* VFMADDSUBPD4rm */
+    338,
+    /* VFMADDSUBPD4mr */
   },
   { /* 3437 */
-    438,
-    /* VFMADDSUBPD4rmY */
+    336,
+    /* VFMADDSUBPD4mrY */
   },
   { /* 3438 */
-    439,
-    /* VFMADDSUBPD4rr */
+    440,
+    /* VFMADDSUBPD4rm */
   },
   { /* 3439 */
-    440,
-    /* VFMADDSUBPD4rrY */
+    441,
+    /* VFMADDSUBPD4rmY */
   },
   { /* 3440 */
-    336,
-    /* VFMADDSUBPD4rrY_REV */
+    442,
+    /* VFMADDSUBPD4rr */
   },
   { /* 3441 */
-    338,
-    /* VFMADDSUBPD4rr_REV */
+    443,
+    /* VFMADDSUBPD4rrY */
   },
   { /* 3442 */
-    441,
-    /* VFMADDSUBPDr132m */
+    337,
+    /* VFMADDSUBPD4rrY_REV */
   },
   { /* 3443 */
-    442,
-    /* VFMADDSUBPDr132mY */
+    339,
+    /* VFMADDSUBPD4rr_REV */
   },
   { /* 3444 */
-    443,
-    /* VFMADDSUBPDr132r */
+    444,
+    /* VFMADDSUBPDr132m */
   },
   { /* 3445 */
-    444,
-    /* VFMADDSUBPDr132rY */
+    445,
+    /* VFMADDSUBPDr132mY */
   },
   { /* 3446 */
-    441,
-    /* VFMADDSUBPDr213m */
+    446,
+    /* VFMADDSUBPDr132r */
   },
   { /* 3447 */
-    442,
-    /* VFMADDSUBPDr213mY */
+    447,
+    /* VFMADDSUBPDr132rY */
   },
   { /* 3448 */
-    443,
-    /* VFMADDSUBPDr213r */
+    444,
+    /* VFMADDSUBPDr213m */
   },
   { /* 3449 */
-    444,
-    /* VFMADDSUBPDr213rY */
+    445,
+    /* VFMADDSUBPDr213mY */
   },
   { /* 3450 */
-    441,
-    /* VFMADDSUBPDr231m */
+    446,
+    /* VFMADDSUBPDr213r */
   },
   { /* 3451 */
-    442,
-    /* VFMADDSUBPDr231mY */
+    447,
+    /* VFMADDSUBPDr213rY */
   },
   { /* 3452 */
-    443,
-    /* VFMADDSUBPDr231r */
+    444,
+    /* VFMADDSUBPDr231m */
   },
   { /* 3453 */
-    444,
-    /* VFMADDSUBPDr231rY */
+    445,
+    /* VFMADDSUBPDr231mY */
   },
   { /* 3454 */
-    337,
-    /* VFMADDSUBPS4mr */
+    446,
+    /* VFMADDSUBPDr231r */
   },
   { /* 3455 */
-    335,
-    /* VFMADDSUBPS4mrY */
+    447,
+    /* VFMADDSUBPDr231rY */
   },
   { /* 3456 */
-    437,
-    /* VFMADDSUBPS4rm */
+    338,
+    /* VFMADDSUBPS4mr */
   },
   { /* 3457 */
-    438,
-    /* VFMADDSUBPS4rmY */
+    336,
+    /* VFMADDSUBPS4mrY */
   },
   { /* 3458 */
-    439,
-    /* VFMADDSUBPS4rr */
+    440,
+    /* VFMADDSUBPS4rm */
   },
   { /* 3459 */
-    440,
-    /* VFMADDSUBPS4rrY */
+    441,
+    /* VFMADDSUBPS4rmY */
   },
   { /* 3460 */
-    336,
-    /* VFMADDSUBPS4rrY_REV */
+    442,
+    /* VFMADDSUBPS4rr */
   },
   { /* 3461 */
-    338,
-    /* VFMADDSUBPS4rr_REV */
+    443,
+    /* VFMADDSUBPS4rrY */
   },
   { /* 3462 */
-    441,
-    /* VFMADDSUBPSr132m */
+    337,
+    /* VFMADDSUBPS4rrY_REV */
   },
   { /* 3463 */
-    442,
-    /* VFMADDSUBPSr132mY */
+    339,
+    /* VFMADDSUBPS4rr_REV */
   },
   { /* 3464 */
-    443,
-    /* VFMADDSUBPSr132r */
+    444,
+    /* VFMADDSUBPSr132m */
   },
   { /* 3465 */
-    444,
-    /* VFMADDSUBPSr132rY */
+    445,
+    /* VFMADDSUBPSr132mY */
   },
   { /* 3466 */
-    441,
-    /* VFMADDSUBPSr213m */
+    446,
+    /* VFMADDSUBPSr132r */
   },
   { /* 3467 */
-    442,
-    /* VFMADDSUBPSr213mY */
+    447,
+    /* VFMADDSUBPSr132rY */
   },
   { /* 3468 */
-    443,
-    /* VFMADDSUBPSr213r */
+    444,
+    /* VFMADDSUBPSr213m */
   },
   { /* 3469 */
-    444,
-    /* VFMADDSUBPSr213rY */
+    445,
+    /* VFMADDSUBPSr213mY */
   },
   { /* 3470 */
-    441,
-    /* VFMADDSUBPSr231m */
+    446,
+    /* VFMADDSUBPSr213r */
   },
   { /* 3471 */
-    442,
-    /* VFMADDSUBPSr231mY */
+    447,
+    /* VFMADDSUBPSr213rY */
   },
   { /* 3472 */
-    443,
-    /* VFMADDSUBPSr231r */
+    444,
+    /* VFMADDSUBPSr231m */
   },
   { /* 3473 */
-    444,
-    /* VFMADDSUBPSr231rY */
+    445,
+    /* VFMADDSUBPSr231mY */
   },
   { /* 3474 */
-    433,
-    /* VFMSUB132PDZm */
+    446,
+    /* VFMADDSUBPSr231r */
   },
   { /* 3475 */
-    434,
-    /* VFMSUB132PDZmb */
+    447,
+    /* VFMADDSUBPSr231rY */
   },
   { /* 3476 */
-    433,
-    /* VFMSUB132PSZm */
+    434,
+    /* VFMSUB132PDZm */
   },
   { /* 3477 */
     435,
-    /* VFMSUB132PSZmb */
+    /* VFMSUB132PDZmb */
   },
   { /* 3478 */
-    433,
-    /* VFMSUB213PDZm */
+    434,
+    /* VFMSUB132PSZm */
   },
   { /* 3479 */
-    434,
-    /* VFMSUB213PDZmb */
+    436,
+    /* VFMSUB132PSZmb */
   },
   { /* 3480 */
-    436,
-    /* VFMSUB213PDZr */
+    434,
+    /* VFMSUB213PDZm */
   },
   { /* 3481 */
-    433,
-    /* VFMSUB213PSZm */
+    435,
+    /* VFMSUB213PDZmb */
   },
   { /* 3482 */
-    435,
-    /* VFMSUB213PSZmb */
+    437,
+    /* VFMSUB213PDZr */
   },
   { /* 3483 */
-    436,
-    /* VFMSUB213PSZr */
+    438,
+    /* VFMSUB213PDZrk */
   },
   { /* 3484 */
-    433,
-    /* VFMSUBADD132PDZm */
+    438,
+    /* VFMSUB213PDZrkz */
   },
   { /* 3485 */
     434,
-    /* VFMSUBADD132PDZmb */
+    /* VFMSUB213PSZm */
   },
   { /* 3486 */
-    433,
-    /* VFMSUBADD132PSZm */
+    436,
+    /* VFMSUB213PSZmb */
   },
   { /* 3487 */
-    435,
-    /* VFMSUBADD132PSZmb */
+    437,
+    /* VFMSUB213PSZr */
   },
   { /* 3488 */
-    433,
-    /* VFMSUBADD213PDZm */
+    439,
+    /* VFMSUB213PSZrk */
   },
   { /* 3489 */
-    434,
-    /* VFMSUBADD213PDZmb */
+    439,
+    /* VFMSUB213PSZrkz */
   },
   { /* 3490 */
-    436,
-    /* VFMSUBADD213PDZr */
+    434,
+    /* VFMSUBADD132PDZm */
   },
   { /* 3491 */
-    433,
-    /* VFMSUBADD213PSZm */
+    435,
+    /* VFMSUBADD132PDZmb */
   },
   { /* 3492 */
-    435,
-    /* VFMSUBADD213PSZmb */
+    434,
+    /* VFMSUBADD132PSZm */
   },
   { /* 3493 */
     436,
-    /* VFMSUBADD213PSZr */
+    /* VFMSUBADD132PSZmb */
   },
   { /* 3494 */
-    337,
-    /* VFMSUBADDPD4mr */
+    434,
+    /* VFMSUBADD213PDZm */
   },
   { /* 3495 */
-    335,
-    /* VFMSUBADDPD4mrY */
+    435,
+    /* VFMSUBADD213PDZmb */
   },
   { /* 3496 */
     437,
-    /* VFMSUBADDPD4rm */
+    /* VFMSUBADD213PDZr */
   },
   { /* 3497 */
     438,
-    /* VFMSUBADDPD4rmY */
+    /* VFMSUBADD213PDZrk */
   },
   { /* 3498 */
-    439,
-    /* VFMSUBADDPD4rr */
+    438,
+    /* VFMSUBADD213PDZrkz */
   },
   { /* 3499 */
-    440,
-    /* VFMSUBADDPD4rrY */
+    434,
+    /* VFMSUBADD213PSZm */
   },
   { /* 3500 */
-    336,
-    /* VFMSUBADDPD4rrY_REV */
+    436,
+    /* VFMSUBADD213PSZmb */
   },
   { /* 3501 */
-    338,
-    /* VFMSUBADDPD4rr_REV */
+    437,
+    /* VFMSUBADD213PSZr */
   },
   { /* 3502 */
-    441,
-    /* VFMSUBADDPDr132m */
+    439,
+    /* VFMSUBADD213PSZrk */
   },
   { /* 3503 */
-    442,
-    /* VFMSUBADDPDr132mY */
+    439,
+    /* VFMSUBADD213PSZrkz */
   },
   { /* 3504 */
-    443,
-    /* VFMSUBADDPDr132r */
+    338,
+    /* VFMSUBADDPD4mr */
   },
   { /* 3505 */
-    444,
-    /* VFMSUBADDPDr132rY */
+    336,
+    /* VFMSUBADDPD4mrY */
   },
   { /* 3506 */
-    441,
-    /* VFMSUBADDPDr213m */
+    440,
+    /* VFMSUBADDPD4rm */
   },
   { /* 3507 */
-    442,
-    /* VFMSUBADDPDr213mY */
+    441,
+    /* VFMSUBADDPD4rmY */
   },
   { /* 3508 */
-    443,
-    /* VFMSUBADDPDr213r */
+    442,
+    /* VFMSUBADDPD4rr */
   },
   { /* 3509 */
-    444,
-    /* VFMSUBADDPDr213rY */
+    443,
+    /* VFMSUBADDPD4rrY */
   },
   { /* 3510 */
-    441,
-    /* VFMSUBADDPDr231m */
+    337,
+    /* VFMSUBADDPD4rrY_REV */
   },
   { /* 3511 */
-    442,
-    /* VFMSUBADDPDr231mY */
+    339,
+    /* VFMSUBADDPD4rr_REV */
   },
   { /* 3512 */
-    443,
-    /* VFMSUBADDPDr231r */
+    444,
+    /* VFMSUBADDPDr132m */
   },
   { /* 3513 */
-    444,
-    /* VFMSUBADDPDr231rY */
+    445,
+    /* VFMSUBADDPDr132mY */
   },
   { /* 3514 */
-    337,
-    /* VFMSUBADDPS4mr */
+    446,
+    /* VFMSUBADDPDr132r */
   },
   { /* 3515 */
-    335,
-    /* VFMSUBADDPS4mrY */
+    447,
+    /* VFMSUBADDPDr132rY */
   },
   { /* 3516 */
-    437,
-    /* VFMSUBADDPS4rm */
+    444,
+    /* VFMSUBADDPDr213m */
   },
   { /* 3517 */
-    438,
-    /* VFMSUBADDPS4rmY */
+    445,
+    /* VFMSUBADDPDr213mY */
   },
   { /* 3518 */
-    439,
-    /* VFMSUBADDPS4rr */
+    446,
+    /* VFMSUBADDPDr213r */
   },
   { /* 3519 */
-    440,
-    /* VFMSUBADDPS4rrY */
+    447,
+    /* VFMSUBADDPDr213rY */
   },
   { /* 3520 */
-    336,
-    /* VFMSUBADDPS4rrY_REV */
+    444,
+    /* VFMSUBADDPDr231m */
   },
   { /* 3521 */
-    338,
-    /* VFMSUBADDPS4rr_REV */
+    445,
+    /* VFMSUBADDPDr231mY */
   },
   { /* 3522 */
-    441,
-    /* VFMSUBADDPSr132m */
+    446,
+    /* VFMSUBADDPDr231r */
   },
   { /* 3523 */
-    442,
-    /* VFMSUBADDPSr132mY */
+    447,
+    /* VFMSUBADDPDr231rY */
   },
   { /* 3524 */
-    443,
-    /* VFMSUBADDPSr132r */
+    338,
+    /* VFMSUBADDPS4mr */
   },
   { /* 3525 */
-    444,
-    /* VFMSUBADDPSr132rY */
+    336,
+    /* VFMSUBADDPS4mrY */
   },
   { /* 3526 */
-    441,
-    /* VFMSUBADDPSr213m */
+    440,
+    /* VFMSUBADDPS4rm */
   },
   { /* 3527 */
-    442,
-    /* VFMSUBADDPSr213mY */
+    441,
+    /* VFMSUBADDPS4rmY */
   },
   { /* 3528 */
-    443,
-    /* VFMSUBADDPSr213r */
+    442,
+    /* VFMSUBADDPS4rr */
   },
   { /* 3529 */
-    444,
-    /* VFMSUBADDPSr213rY */
+    443,
+    /* VFMSUBADDPS4rrY */
   },
   { /* 3530 */
-    441,
-    /* VFMSUBADDPSr231m */
+    337,
+    /* VFMSUBADDPS4rrY_REV */
   },
   { /* 3531 */
-    442,
-    /* VFMSUBADDPSr231mY */
+    339,
+    /* VFMSUBADDPS4rr_REV */
   },
   { /* 3532 */
-    443,
-    /* VFMSUBADDPSr231r */
+    444,
+    /* VFMSUBADDPSr132m */
   },
   { /* 3533 */
-    444,
-    /* VFMSUBADDPSr231rY */
+    445,
+    /* VFMSUBADDPSr132mY */
   },
   { /* 3534 */
-    337,
-    /* VFMSUBPD4mr */
+    446,
+    /* VFMSUBADDPSr132r */
   },
   { /* 3535 */
-    335,
-    /* VFMSUBPD4mrY */
+    447,
+    /* VFMSUBADDPSr132rY */
   },
   { /* 3536 */
-    437,
-    /* VFMSUBPD4rm */
+    444,
+    /* VFMSUBADDPSr213m */
   },
   { /* 3537 */
-    438,
-    /* VFMSUBPD4rmY */
+    445,
+    /* VFMSUBADDPSr213mY */
   },
   { /* 3538 */
-    439,
-    /* VFMSUBPD4rr */
+    446,
+    /* VFMSUBADDPSr213r */
   },
   { /* 3539 */
-    440,
-    /* VFMSUBPD4rrY */
+    447,
+    /* VFMSUBADDPSr213rY */
   },
   { /* 3540 */
-    336,
-    /* VFMSUBPD4rrY_REV */
+    444,
+    /* VFMSUBADDPSr231m */
   },
   { /* 3541 */
-    338,
-    /* VFMSUBPD4rr_REV */
+    445,
+    /* VFMSUBADDPSr231mY */
   },
   { /* 3542 */
-    441,
-    /* VFMSUBPDr132m */
+    446,
+    /* VFMSUBADDPSr231r */
   },
   { /* 3543 */
-    442,
-    /* VFMSUBPDr132mY */
+    447,
+    /* VFMSUBADDPSr231rY */
   },
   { /* 3544 */
-    443,
-    /* VFMSUBPDr132r */
+    338,
+    /* VFMSUBPD4mr */
   },
   { /* 3545 */
-    444,
-    /* VFMSUBPDr132rY */
+    336,
+    /* VFMSUBPD4mrY */
   },
   { /* 3546 */
-    441,
-    /* VFMSUBPDr213m */
+    440,
+    /* VFMSUBPD4rm */
   },
   { /* 3547 */
-    442,
-    /* VFMSUBPDr213mY */
+    441,
+    /* VFMSUBPD4rmY */
   },
   { /* 3548 */
-    443,
-    /* VFMSUBPDr213r */
+    442,
+    /* VFMSUBPD4rr */
   },
   { /* 3549 */
-    444,
-    /* VFMSUBPDr213rY */
+    443,
+    /* VFMSUBPD4rrY */
   },
   { /* 3550 */
-    441,
-    /* VFMSUBPDr231m */
+    337,
+    /* VFMSUBPD4rrY_REV */
   },
   { /* 3551 */
-    442,
-    /* VFMSUBPDr231mY */
+    339,
+    /* VFMSUBPD4rr_REV */
   },
   { /* 3552 */
-    443,
-    /* VFMSUBPDr231r */
+    444,
+    /* VFMSUBPDr132m */
   },
   { /* 3553 */
-    444,
-    /* VFMSUBPDr231rY */
+    445,
+    /* VFMSUBPDr132mY */
   },
   { /* 3554 */
-    337,
-    /* VFMSUBPS4mr */
+    446,
+    /* VFMSUBPDr132r */
   },
   { /* 3555 */
-    335,
-    /* VFMSUBPS4mrY */
+    447,
+    /* VFMSUBPDr132rY */
   },
   { /* 3556 */
-    437,
-    /* VFMSUBPS4rm */
+    444,
+    /* VFMSUBPDr213m */
   },
   { /* 3557 */
-    438,
-    /* VFMSUBPS4rmY */
+    445,
+    /* VFMSUBPDr213mY */
   },
   { /* 3558 */
-    439,
-    /* VFMSUBPS4rr */
+    446,
+    /* VFMSUBPDr213r */
   },
   { /* 3559 */
-    440,
-    /* VFMSUBPS4rrY */
+    447,
+    /* VFMSUBPDr213rY */
   },
   { /* 3560 */
-    336,
-    /* VFMSUBPS4rrY_REV */
+    444,
+    /* VFMSUBPDr231m */
   },
   { /* 3561 */
-    338,
-    /* VFMSUBPS4rr_REV */
+    445,
+    /* VFMSUBPDr231mY */
   },
   { /* 3562 */
-    441,
-    /* VFMSUBPSr132m */
+    446,
+    /* VFMSUBPDr231r */
   },
   { /* 3563 */
-    442,
-    /* VFMSUBPSr132mY */
+    447,
+    /* VFMSUBPDr231rY */
   },
   { /* 3564 */
-    443,
-    /* VFMSUBPSr132r */
+    338,
+    /* VFMSUBPS4mr */
   },
   { /* 3565 */
-    444,
-    /* VFMSUBPSr132rY */
+    336,
+    /* VFMSUBPS4mrY */
   },
   { /* 3566 */
-    441,
-    /* VFMSUBPSr213m */
+    440,
+    /* VFMSUBPS4rm */
   },
   { /* 3567 */
-    442,
-    /* VFMSUBPSr213mY */
+    441,
+    /* VFMSUBPS4rmY */
   },
   { /* 3568 */
-    443,
-    /* VFMSUBPSr213r */
+    442,
+    /* VFMSUBPS4rr */
   },
   { /* 3569 */
-    444,
-    /* VFMSUBPSr213rY */
+    443,
+    /* VFMSUBPS4rrY */
   },
   { /* 3570 */
-    441,
-    /* VFMSUBPSr231m */
+    337,
+    /* VFMSUBPS4rrY_REV */
   },
   { /* 3571 */
-    442,
-    /* VFMSUBPSr231mY */
+    339,
+    /* VFMSUBPS4rr_REV */
   },
   { /* 3572 */
-    443,
-    /* VFMSUBPSr231r */
+    444,
+    /* VFMSUBPSr132m */
   },
   { /* 3573 */
-    444,
-    /* VFMSUBPSr231rY */
+    445,
+    /* VFMSUBPSr132mY */
   },
   { /* 3574 */
-    445,
-    /* VFMSUBSD4mr */
+    446,
+    /* VFMSUBPSr132r */
   },
   { /* 3575 */
-    0,
-    /*  */
+    447,
+    /* VFMSUBPSr132rY */
   },
   { /* 3576 */
-    446,
-    /* VFMSUBSD4rm */
+    444,
+    /* VFMSUBPSr213m */
   },
   { /* 3577 */
-    0,
-    /*  */
+    445,
+    /* VFMSUBPSr213mY */
   },
   { /* 3578 */
-    447,
-    /* VFMSUBSD4rr */
+    446,
+    /* VFMSUBPSr213r */
   },
   { /* 3579 */
-    0,
-    /*  */
+    447,
+    /* VFMSUBPSr213rY */
   },
   { /* 3580 */
-    448,
-    /* VFMSUBSD4rr_REV */
+    444,
+    /* VFMSUBPSr231m */
   },
   { /* 3581 */
-    449,
-    /* VFMSUBSDZm */
+    445,
+    /* VFMSUBPSr231mY */
   },
   { /* 3582 */
-    450,
-    /* VFMSUBSDZr */
+    446,
+    /* VFMSUBPSr231r */
   },
   { /* 3583 */
-    451,
-    /* VFMSUBSDr132m */
+    447,
+    /* VFMSUBPSr231rY */
   },
   { /* 3584 */
-    452,
-    /* VFMSUBSDr132r */
+    448,
+    /* VFMSUBSD4mr */
   },
   { /* 3585 */
-    451,
-    /* VFMSUBSDr213m */
+    0,
+    /*  */
   },
   { /* 3586 */
-    452,
-    /* VFMSUBSDr213r */
+    449,
+    /* VFMSUBSD4rm */
   },
   { /* 3587 */
-    451,
-    /* VFMSUBSDr231m */
+    0,
+    /*  */
   },
   { /* 3588 */
-    452,
-    /* VFMSUBSDr231r */
+    450,
+    /* VFMSUBSD4rr */
   },
   { /* 3589 */
-    453,
-    /* VFMSUBSS4mr */
+    0,
+    /*  */
   },
   { /* 3590 */
-    0,
-    /*  */
+    451,
+    /* VFMSUBSD4rr_REV */
   },
   { /* 3591 */
-    454,
-    /* VFMSUBSS4rm */
+    452,
+    /* VFMSUBSDZm */
   },
   { /* 3592 */
-    0,
-    /*  */
+    453,
+    /* VFMSUBSDZr */
   },
   { /* 3593 */
-    455,
-    /* VFMSUBSS4rr */
+    454,
+    /* VFMSUBSDr132m */
   },
   { /* 3594 */
+    455,
+    /* VFMSUBSDr132r */
+  },
+  { /* 3595 */
+    454,
+    /* VFMSUBSDr213m */
+  },
+  { /* 3596 */
+    455,
+    /* VFMSUBSDr213r */
+  },
+  { /* 3597 */
+    454,
+    /* VFMSUBSDr231m */
+  },
+  { /* 3598 */
+    455,
+    /* VFMSUBSDr231r */
+  },
+  { /* 3599 */
+    456,
+    /* VFMSUBSS4mr */
+  },
+  { /* 3600 */
     0,
     /*  */
   },
-  { /* 3595 */
-    456,
-    /* VFMSUBSS4rr_REV */
-  },
-  { /* 3596 */
-    457,
-    /* VFMSUBSSZm */
-  },
-  { /* 3597 */
-    458,
-    /* VFMSUBSSZr */
-  },
-  { /* 3598 */
-    459,
-    /* VFMSUBSSr132m */
-  },
-  { /* 3599 */
-    460,
-    /* VFMSUBSSr132r */
-  },
-  { /* 3600 */
-    459,
-    /* VFMSUBSSr213m */
-  },
   { /* 3601 */
-    460,
-    /* VFMSUBSSr213r */
+    457,
+    /* VFMSUBSS4rm */
   },
   { /* 3602 */
-    459,
-    /* VFMSUBSSr231m */
+    0,
+    /*  */
   },
   { /* 3603 */
-    460,
-    /* VFMSUBSSr231r */
+    458,
+    /* VFMSUBSS4rr */
   },
   { /* 3604 */
-    433,
-    /* VFNMADD132PDZm */
+    0,
+    /*  */
   },
   { /* 3605 */
-    434,
-    /* VFNMADD132PDZmb */
+    459,
+    /* VFMSUBSS4rr_REV */
   },
   { /* 3606 */
-    433,
-    /* VFNMADD132PSZm */
+    460,
+    /* VFMSUBSSZm */
   },
   { /* 3607 */
-    435,
-    /* VFNMADD132PSZmb */
+    461,
+    /* VFMSUBSSZr */
   },
   { /* 3608 */
-    433,
-    /* VFNMADD213PDZm */
+    462,
+    /* VFMSUBSSr132m */
   },
   { /* 3609 */
-    434,
-    /* VFNMADD213PDZmb */
+    463,
+    /* VFMSUBSSr132r */
   },
   { /* 3610 */
-    436,
-    /* VFNMADD213PDZr */
+    462,
+    /* VFMSUBSSr213m */
   },
   { /* 3611 */
-    433,
-    /* VFNMADD213PSZm */
+    463,
+    /* VFMSUBSSr213r */
   },
   { /* 3612 */
-    435,
-    /* VFNMADD213PSZmb */
+    462,
+    /* VFMSUBSSr231m */
   },
   { /* 3613 */
-    436,
-    /* VFNMADD213PSZr */
+    463,
+    /* VFMSUBSSr231r */
   },
   { /* 3614 */
-    337,
-    /* VFNMADDPD4mr */
+    434,
+    /* VFNMADD132PDZm */
   },
   { /* 3615 */
-    335,
-    /* VFNMADDPD4mrY */
+    435,
+    /* VFNMADD132PDZmb */
   },
   { /* 3616 */
-    437,
-    /* VFNMADDPD4rm */
+    434,
+    /* VFNMADD132PSZm */
   },
   { /* 3617 */
-    438,
-    /* VFNMADDPD4rmY */
+    436,
+    /* VFNMADD132PSZmb */
   },
   { /* 3618 */
-    439,
-    /* VFNMADDPD4rr */
+    434,
+    /* VFNMADD213PDZm */
   },
   { /* 3619 */
-    440,
-    /* VFNMADDPD4rrY */
+    435,
+    /* VFNMADD213PDZmb */
   },
   { /* 3620 */
-    336,
-    /* VFNMADDPD4rrY_REV */
+    437,
+    /* VFNMADD213PDZr */
   },
   { /* 3621 */
-    338,
-    /* VFNMADDPD4rr_REV */
+    438,
+    /* VFNMADD213PDZrk */
   },
   { /* 3622 */
-    441,
-    /* VFNMADDPDr132m */
+    438,
+    /* VFNMADD213PDZrkz */
   },
   { /* 3623 */
-    442,
-    /* VFNMADDPDr132mY */
+    434,
+    /* VFNMADD213PSZm */
   },
   { /* 3624 */
-    443,
-    /* VFNMADDPDr132r */
+    436,
+    /* VFNMADD213PSZmb */
   },
   { /* 3625 */
-    444,
-    /* VFNMADDPDr132rY */
+    437,
+    /* VFNMADD213PSZr */
   },
   { /* 3626 */
-    441,
-    /* VFNMADDPDr213m */
+    439,
+    /* VFNMADD213PSZrk */
   },
   { /* 3627 */
-    442,
-    /* VFNMADDPDr213mY */
+    439,
+    /* VFNMADD213PSZrkz */
   },
   { /* 3628 */
-    443,
-    /* VFNMADDPDr213r */
+    338,
+    /* VFNMADDPD4mr */
   },
   { /* 3629 */
-    444,
-    /* VFNMADDPDr213rY */
+    336,
+    /* VFNMADDPD4mrY */
   },
   { /* 3630 */
-    441,
-    /* VFNMADDPDr231m */
+    440,
+    /* VFNMADDPD4rm */
   },
   { /* 3631 */
-    442,
-    /* VFNMADDPDr231mY */
+    441,
+    /* VFNMADDPD4rmY */
   },
   { /* 3632 */
-    443,
-    /* VFNMADDPDr231r */
+    442,
+    /* VFNMADDPD4rr */
   },
   { /* 3633 */
-    444,
-    /* VFNMADDPDr231rY */
+    443,
+    /* VFNMADDPD4rrY */
   },
   { /* 3634 */
     337,
-    /* VFNMADDPS4mr */
+    /* VFNMADDPD4rrY_REV */
   },
   { /* 3635 */
-    335,
-    /* VFNMADDPS4mrY */
+    339,
+    /* VFNMADDPD4rr_REV */
   },
   { /* 3636 */
-    437,
-    /* VFNMADDPS4rm */
+    444,
+    /* VFNMADDPDr132m */
   },
   { /* 3637 */
-    438,
-    /* VFNMADDPS4rmY */
+    445,
+    /* VFNMADDPDr132mY */
   },
   { /* 3638 */
-    439,
-    /* VFNMADDPS4rr */
+    446,
+    /* VFNMADDPDr132r */
   },
   { /* 3639 */
-    440,
-    /* VFNMADDPS4rrY */
+    447,
+    /* VFNMADDPDr132rY */
   },
   { /* 3640 */
-    336,
-    /* VFNMADDPS4rrY_REV */
+    444,
+    /* VFNMADDPDr213m */
   },
   { /* 3641 */
-    338,
-    /* VFNMADDPS4rr_REV */
+    445,
+    /* VFNMADDPDr213mY */
   },
   { /* 3642 */
-    441,
-    /* VFNMADDPSr132m */
+    446,
+    /* VFNMADDPDr213r */
   },
   { /* 3643 */
-    442,
-    /* VFNMADDPSr132mY */
+    447,
+    /* VFNMADDPDr213rY */
   },
   { /* 3644 */
-    443,
-    /* VFNMADDPSr132r */
+    444,
+    /* VFNMADDPDr231m */
   },
   { /* 3645 */
-    444,
-    /* VFNMADDPSr132rY */
+    445,
+    /* VFNMADDPDr231mY */
   },
   { /* 3646 */
-    441,
-    /* VFNMADDPSr213m */
+    446,
+    /* VFNMADDPDr231r */
   },
   { /* 3647 */
-    442,
-    /* VFNMADDPSr213mY */
+    447,
+    /* VFNMADDPDr231rY */
   },
   { /* 3648 */
-    443,
-    /* VFNMADDPSr213r */
+    338,
+    /* VFNMADDPS4mr */
   },
   { /* 3649 */
-    444,
-    /* VFNMADDPSr213rY */
+    336,
+    /* VFNMADDPS4mrY */
   },
   { /* 3650 */
-    441,
-    /* VFNMADDPSr231m */
+    440,
+    /* VFNMADDPS4rm */
   },
   { /* 3651 */
-    442,
-    /* VFNMADDPSr231mY */
+    441,
+    /* VFNMADDPS4rmY */
   },
   { /* 3652 */
-    443,
-    /* VFNMADDPSr231r */
+    442,
+    /* VFNMADDPS4rr */
   },
   { /* 3653 */
-    444,
-    /* VFNMADDPSr231rY */
+    443,
+    /* VFNMADDPS4rrY */
   },
   { /* 3654 */
-    445,
-    /* VFNMADDSD4mr */
+    337,
+    /* VFNMADDPS4rrY_REV */
   },
   { /* 3655 */
-    0,
-    /*  */
+    339,
+    /* VFNMADDPS4rr_REV */
   },
   { /* 3656 */
-    446,
-    /* VFNMADDSD4rm */
+    444,
+    /* VFNMADDPSr132m */
   },
   { /* 3657 */
-    0,
-    /*  */
+    445,
+    /* VFNMADDPSr132mY */
   },
   { /* 3658 */
-    447,
-    /* VFNMADDSD4rr */
+    446,
+    /* VFNMADDPSr132r */
   },
   { /* 3659 */
-    0,
-    /*  */
+    447,
+    /* VFNMADDPSr132rY */
   },
   { /* 3660 */
-    448,
-    /* VFNMADDSD4rr_REV */
+    444,
+    /* VFNMADDPSr213m */
   },
   { /* 3661 */
-    449,
-    /* VFNMADDSDZm */
+    445,
+    /* VFNMADDPSr213mY */
   },
   { /* 3662 */
-    450,
-    /* VFNMADDSDZr */
+    446,
+    /* VFNMADDPSr213r */
   },
   { /* 3663 */
-    451,
-    /* VFNMADDSDr132m */
+    447,
+    /* VFNMADDPSr213rY */
   },
   { /* 3664 */
-    452,
-    /* VFNMADDSDr132r */
+    444,
+    /* VFNMADDPSr231m */
   },
   { /* 3665 */
-    451,
-    /* VFNMADDSDr213m */
+    445,
+    /* VFNMADDPSr231mY */
   },
   { /* 3666 */
-    452,
-    /* VFNMADDSDr213r */
+    446,
+    /* VFNMADDPSr231r */
   },
   { /* 3667 */
-    451,
-    /* VFNMADDSDr231m */
+    447,
+    /* VFNMADDPSr231rY */
   },
   { /* 3668 */
-    452,
-    /* VFNMADDSDr231r */
+    448,
+    /* VFNMADDSD4mr */
   },
   { /* 3669 */
-    453,
-    /* VFNMADDSS4mr */
+    0,
+    /*  */
   },
   { /* 3670 */
-    0,
-    /*  */
+    449,
+    /* VFNMADDSD4rm */
   },
   { /* 3671 */
-    454,
-    /* VFNMADDSS4rm */
+    0,
+    /*  */
   },
   { /* 3672 */
-    0,
-    /*  */
+    450,
+    /* VFNMADDSD4rr */
   },
   { /* 3673 */
-    455,
-    /* VFNMADDSS4rr */
+    0,
+    /*  */
   },
   { /* 3674 */
-    0,
-    /*  */
+    451,
+    /* VFNMADDSD4rr_REV */
   },
   { /* 3675 */
-    456,
-    /* VFNMADDSS4rr_REV */
+    452,
+    /* VFNMADDSDZm */
   },
   { /* 3676 */
-    457,
-    /* VFNMADDSSZm */
+    453,
+    /* VFNMADDSDZr */
   },
   { /* 3677 */
-    458,
-    /* VFNMADDSSZr */
+    454,
+    /* VFNMADDSDr132m */
   },
   { /* 3678 */
-    459,
-    /* VFNMADDSSr132m */
+    455,
+    /* VFNMADDSDr132r */
   },
   { /* 3679 */
-    460,
-    /* VFNMADDSSr132r */
+    454,
+    /* VFNMADDSDr213m */
   },
   { /* 3680 */
-    459,
-    /* VFNMADDSSr213m */
+    455,
+    /* VFNMADDSDr213r */
   },
   { /* 3681 */
-    460,
-    /* VFNMADDSSr213r */
+    454,
+    /* VFNMADDSDr231m */
   },
   { /* 3682 */
-    459,
-    /* VFNMADDSSr231m */
+    455,
+    /* VFNMADDSDr231r */
   },
   { /* 3683 */
-    460,
-    /* VFNMADDSSr231r */
+    456,
+    /* VFNMADDSS4mr */
   },
   { /* 3684 */
-    433,
-    /* VFNMSUB132PDZm */
+    0,
+    /*  */
   },
   { /* 3685 */
-    434,
-    /* VFNMSUB132PDZmb */
+    457,
+    /* VFNMADDSS4rm */
   },
   { /* 3686 */
-    433,
-    /* VFNMSUB132PSZm */
+    0,
+    /*  */
   },
   { /* 3687 */
-    435,
-    /* VFNMSUB132PSZmb */
+    458,
+    /* VFNMADDSS4rr */
   },
   { /* 3688 */
-    433,
-    /* VFNMSUB213PDZm */
+    0,
+    /*  */
   },
   { /* 3689 */
-    434,
-    /* VFNMSUB213PDZmb */
+    459,
+    /* VFNMADDSS4rr_REV */
   },
   { /* 3690 */
-    436,
-    /* VFNMSUB213PDZr */
+    460,
+    /* VFNMADDSSZm */
   },
   { /* 3691 */
-    433,
-    /* VFNMSUB213PSZm */
+    461,
+    /* VFNMADDSSZr */
   },
   { /* 3692 */
-    435,
-    /* VFNMSUB213PSZmb */
+    462,
+    /* VFNMADDSSr132m */
   },
   { /* 3693 */
-    436,
-    /* VFNMSUB213PSZr */
+    463,
+    /* VFNMADDSSr132r */
   },
   { /* 3694 */
-    337,
-    /* VFNMSUBPD4mr */
+    462,
+    /* VFNMADDSSr213m */
   },
   { /* 3695 */
-    335,
-    /* VFNMSUBPD4mrY */
+    463,
+    /* VFNMADDSSr213r */
   },
   { /* 3696 */
-    437,
-    /* VFNMSUBPD4rm */
+    462,
+    /* VFNMADDSSr231m */
   },
   { /* 3697 */
-    438,
-    /* VFNMSUBPD4rmY */
+    463,
+    /* VFNMADDSSr231r */
   },
   { /* 3698 */
-    439,
-    /* VFNMSUBPD4rr */
+    434,
+    /* VFNMSUB132PDZm */
   },
   { /* 3699 */
-    440,
-    /* VFNMSUBPD4rrY */
+    435,
+    /* VFNMSUB132PDZmb */
   },
   { /* 3700 */
-    336,
-    /* VFNMSUBPD4rrY_REV */
+    434,
+    /* VFNMSUB132PSZm */
   },
   { /* 3701 */
-    338,
-    /* VFNMSUBPD4rr_REV */
+    436,
+    /* VFNMSUB132PSZmb */
   },
   { /* 3702 */
-    441,
-    /* VFNMSUBPDr132m */
+    434,
+    /* VFNMSUB213PDZm */
   },
   { /* 3703 */
-    442,
-    /* VFNMSUBPDr132mY */
+    435,
+    /* VFNMSUB213PDZmb */
   },
   { /* 3704 */
-    443,
-    /* VFNMSUBPDr132r */
+    437,
+    /* VFNMSUB213PDZr */
   },
   { /* 3705 */
-    444,
-    /* VFNMSUBPDr132rY */
+    438,
+    /* VFNMSUB213PDZrk */
   },
   { /* 3706 */
-    441,
-    /* VFNMSUBPDr213m */
+    438,
+    /* VFNMSUB213PDZrkz */
   },
   { /* 3707 */
-    442,
-    /* VFNMSUBPDr213mY */
+    434,
+    /* VFNMSUB213PSZm */
   },
   { /* 3708 */
-    443,
-    /* VFNMSUBPDr213r */
+    436,
+    /* VFNMSUB213PSZmb */
   },
   { /* 3709 */
-    444,
-    /* VFNMSUBPDr213rY */
+    437,
+    /* VFNMSUB213PSZr */
   },
   { /* 3710 */
-    441,
-    /* VFNMSUBPDr231m */
+    439,
+    /* VFNMSUB213PSZrk */
   },
   { /* 3711 */
-    442,
-    /* VFNMSUBPDr231mY */
+    439,
+    /* VFNMSUB213PSZrkz */
   },
   { /* 3712 */
-    443,
-    /* VFNMSUBPDr231r */
+    338,
+    /* VFNMSUBPD4mr */
   },
   { /* 3713 */
-    444,
-    /* VFNMSUBPDr231rY */
+    336,
+    /* VFNMSUBPD4mrY */
   },
   { /* 3714 */
-    337,
-    /* VFNMSUBPS4mr */
+    440,
+    /* VFNMSUBPD4rm */
   },
   { /* 3715 */
-    335,
-    /* VFNMSUBPS4mrY */
+    441,
+    /* VFNMSUBPD4rmY */
   },
   { /* 3716 */
-    437,
-    /* VFNMSUBPS4rm */
+    442,
+    /* VFNMSUBPD4rr */
   },
   { /* 3717 */
-    438,
-    /* VFNMSUBPS4rmY */
+    443,
+    /* VFNMSUBPD4rrY */
   },
   { /* 3718 */
-    439,
-    /* VFNMSUBPS4rr */
+    337,
+    /* VFNMSUBPD4rrY_REV */
   },
   { /* 3719 */
-    440,
-    /* VFNMSUBPS4rrY */
+    339,
+    /* VFNMSUBPD4rr_REV */
   },
   { /* 3720 */
-    336,
-    /* VFNMSUBPS4rrY_REV */
+    444,
+    /* VFNMSUBPDr132m */
   },
   { /* 3721 */
-    338,
-    /* VFNMSUBPS4rr_REV */
+    445,
+    /* VFNMSUBPDr132mY */
   },
   { /* 3722 */
-    441,
-    /* VFNMSUBPSr132m */
+    446,
+    /* VFNMSUBPDr132r */
   },
   { /* 3723 */
-    442,
-    /* VFNMSUBPSr132mY */
+    447,
+    /* VFNMSUBPDr132rY */
   },
   { /* 3724 */
-    443,
-    /* VFNMSUBPSr132r */
+    444,
+    /* VFNMSUBPDr213m */
   },
   { /* 3725 */
-    444,
-    /* VFNMSUBPSr132rY */
+    445,
+    /* VFNMSUBPDr213mY */
   },
   { /* 3726 */
-    441,
-    /* VFNMSUBPSr213m */
+    446,
+    /* VFNMSUBPDr213r */
   },
   { /* 3727 */
-    442,
-    /* VFNMSUBPSr213mY */
+    447,
+    /* VFNMSUBPDr213rY */
   },
   { /* 3728 */
-    443,
-    /* VFNMSUBPSr213r */
+    444,
+    /* VFNMSUBPDr231m */
   },
   { /* 3729 */
-    444,
-    /* VFNMSUBPSr213rY */
+    445,
+    /* VFNMSUBPDr231mY */
   },
   { /* 3730 */
-    441,
-    /* VFNMSUBPSr231m */
+    446,
+    /* VFNMSUBPDr231r */
   },
   { /* 3731 */
-    442,
-    /* VFNMSUBPSr231mY */
+    447,
+    /* VFNMSUBPDr231rY */
   },
   { /* 3732 */
-    443,
-    /* VFNMSUBPSr231r */
+    338,
+    /* VFNMSUBPS4mr */
   },
   { /* 3733 */
-    444,
-    /* VFNMSUBPSr231rY */
+    336,
+    /* VFNMSUBPS4mrY */
   },
   { /* 3734 */
-    445,
-    /* VFNMSUBSD4mr */
+    440,
+    /* VFNMSUBPS4rm */
   },
   { /* 3735 */
-    0,
-    /*  */
+    441,
+    /* VFNMSUBPS4rmY */
   },
   { /* 3736 */
-    446,
-    /* VFNMSUBSD4rm */
+    442,
+    /* VFNMSUBPS4rr */
   },
   { /* 3737 */
-    0,
-    /*  */
+    443,
+    /* VFNMSUBPS4rrY */
   },
   { /* 3738 */
-    447,
-    /* VFNMSUBSD4rr */
+    337,
+    /* VFNMSUBPS4rrY_REV */
   },
   { /* 3739 */
-    0,
-    /*  */
+    339,
+    /* VFNMSUBPS4rr_REV */
   },
   { /* 3740 */
-    448,
-    /* VFNMSUBSD4rr_REV */
+    444,
+    /* VFNMSUBPSr132m */
   },
   { /* 3741 */
-    449,
-    /* VFNMSUBSDZm */
+    445,
+    /* VFNMSUBPSr132mY */
   },
   { /* 3742 */
-    450,
-    /* VFNMSUBSDZr */
+    446,
+    /* VFNMSUBPSr132r */
   },
   { /* 3743 */
-    451,
-    /* VFNMSUBSDr132m */
+    447,
+    /* VFNMSUBPSr132rY */
   },
   { /* 3744 */
-    452,
-    /* VFNMSUBSDr132r */
+    444,
+    /* VFNMSUBPSr213m */
   },
   { /* 3745 */
-    451,
-    /* VFNMSUBSDr213m */
+    445,
+    /* VFNMSUBPSr213mY */
   },
   { /* 3746 */
-    452,
-    /* VFNMSUBSDr213r */
+    446,
+    /* VFNMSUBPSr213r */
   },
   { /* 3747 */
-    451,
-    /* VFNMSUBSDr231m */
+    447,
+    /* VFNMSUBPSr213rY */
   },
   { /* 3748 */
-    452,
-    /* VFNMSUBSDr231r */
+    444,
+    /* VFNMSUBPSr231m */
   },
   { /* 3749 */
-    453,
-    /* VFNMSUBSS4mr */
+    445,
+    /* VFNMSUBPSr231mY */
   },
   { /* 3750 */
-    0,
-    /*  */
+    446,
+    /* VFNMSUBPSr231r */
   },
   { /* 3751 */
-    454,
-    /* VFNMSUBSS4rm */
+    447,
+    /* VFNMSUBPSr231rY */
   },
   { /* 3752 */
-    0,
-    /*  */
+    448,
+    /* VFNMSUBSD4mr */
   },
   { /* 3753 */
-    455,
-    /* VFNMSUBSS4rr */
+    0,
+    /*  */
   },
   { /* 3754 */
-    0,
-    /*  */
+    449,
+    /* VFNMSUBSD4rm */
   },
   { /* 3755 */
-    456,
-    /* VFNMSUBSS4rr_REV */
+    0,
+    /*  */
   },
   { /* 3756 */
-    457,
-    /* VFNMSUBSSZm */
+    450,
+    /* VFNMSUBSD4rr */
   },
   { /* 3757 */
-    458,
-    /* VFNMSUBSSZr */
+    0,
+    /*  */
   },
   { /* 3758 */
-    459,
-    /* VFNMSUBSSr132m */
+    451,
+    /* VFNMSUBSD4rr_REV */
   },
   { /* 3759 */
-    460,
-    /* VFNMSUBSSr132r */
+    452,
+    /* VFNMSUBSDZm */
   },
   { /* 3760 */
-    459,
-    /* VFNMSUBSSr213m */
+    453,
+    /* VFNMSUBSDZr */
   },
   { /* 3761 */
-    460,
-    /* VFNMSUBSSr213r */
+    454,
+    /* VFNMSUBSDr132m */
   },
   { /* 3762 */
-    459,
-    /* VFNMSUBSSr231m */
+    455,
+    /* VFNMSUBSDr132r */
   },
   { /* 3763 */
-    460,
-    /* VFNMSUBSSr231r */
+    454,
+    /* VFNMSUBSDr213m */
   },
   { /* 3764 */
-    42,
-    /* VFRCZPDrm */
+    455,
+    /* VFNMSUBSDr213r */
   },
   { /* 3765 */
-    375,
-    /* VFRCZPDrmY */
+    454,
+    /* VFNMSUBSDr231m */
   },
   { /* 3766 */
-    43,
-    /* VFRCZPDrr */
+    455,
+    /* VFNMSUBSDr231r */
   },
   { /* 3767 */
-    376,
-    /* VFRCZPDrrY */
+    456,
+    /* VFNMSUBSS4mr */
   },
   { /* 3768 */
-    42,
-    /* VFRCZPSrm */
+    0,
+    /*  */
   },
   { /* 3769 */
-    375,
-    /* VFRCZPSrmY */
+    457,
+    /* VFNMSUBSS4rm */
   },
   { /* 3770 */
-    43,
-    /* VFRCZPSrr */
+    0,
+    /*  */
   },
   { /* 3771 */
-    376,
-    /* VFRCZPSrrY */
+    458,
+    /* VFNMSUBSS4rr */
   },
   { /* 3772 */
-    105,
-    /* VFRCZSDrm */
+    0,
+    /*  */
   },
   { /* 3773 */
-    43,
-    /* VFRCZSDrr */
+    459,
+    /* VFNMSUBSS4rr_REV */
   },
   { /* 3774 */
-    351,
-    /* VFRCZSSrm */
+    460,
+    /* VFNMSUBSSZm */
   },
   { /* 3775 */
-    43,
-    /* VFRCZSSrr */
+    461,
+    /* VFNMSUBSSZr */
   },
   { /* 3776 */
-    0,
-    /*  */
+    462,
+    /* VFNMSUBSSr132m */
   },
   { /* 3777 */
-    0,
-    /*  */
+    463,
+    /* VFNMSUBSSr132r */
   },
   { /* 3778 */
-    0,
-    /*  */
+    462,
+    /* VFNMSUBSSr213m */
   },
   { /* 3779 */
-    0,
-    /*  */
+    463,
+    /* VFNMSUBSSr213r */
   },
   { /* 3780 */
-    0,
-    /*  */
+    462,
+    /* VFNMSUBSSr231m */
   },
   { /* 3781 */
-    0,
-    /*  */
+    463,
+    /* VFNMSUBSSr231r */
   },
   { /* 3782 */
-    0,
-    /*  */
+    44,
+    /* VFRCZPDrm */
   },
   { /* 3783 */
-    0,
-    /*  */
+    376,
+    /* VFRCZPDrmY */
   },
   { /* 3784 */
-    0,
-    /*  */
+    45,
+    /* VFRCZPDrr */
   },
   { /* 3785 */
-    0,
-    /*  */
+    377,
+    /* VFRCZPDrrY */
   },
   { /* 3786 */
-    0,
-    /*  */
+    44,
+    /* VFRCZPSrm */
   },
   { /* 3787 */
-    0,
-    /*  */
+    376,
+    /* VFRCZPSrmY */
   },
   { /* 3788 */
-    0,
-    /*  */
+    45,
+    /* VFRCZPSrr */
   },
   { /* 3789 */
-    0,
-    /*  */
+    377,
+    /* VFRCZPSrrY */
   },
   { /* 3790 */
-    0,
-    /*  */
+    106,
+    /* VFRCZSDrm */
   },
   { /* 3791 */
-    0,
-    /*  */
+    45,
+    /* VFRCZSDrr */
   },
   { /* 3792 */
-    461,
-    /* VGATHERDPDYrm */
+    352,
+    /* VFRCZSSrm */
   },
   { /* 3793 */
-    462,
-    /* VGATHERDPDZrm */
+    45,
+    /* VFRCZSSrr */
   },
   { /* 3794 */
-    463,
-    /* VGATHERDPDrm */
+    0,
+    /*  */
   },
   { /* 3795 */
-    464,
-    /* VGATHERDPSYrm */
+    0,
+    /*  */
   },
   { /* 3796 */
-    465,
-    /* VGATHERDPSZrm */
+    0,
+    /*  */
   },
   { /* 3797 */
-    466,
-    /* VGATHERDPSrm */
+    0,
+    /*  */
   },
   { /* 3798 */
-    467,
-    /* VGATHERPF0DPDm */
+    0,
+    /*  */
   },
   { /* 3799 */
-    468,
-    /* VGATHERPF0DPSm */
+    0,
+    /*  */
   },
   { /* 3800 */
-    469,
-    /* VGATHERPF0QPDm */
+    0,
+    /*  */
   },
   { /* 3801 */
-    469,
-    /* VGATHERPF0QPSm */
+    0,
+    /*  */
   },
   { /* 3802 */
-    467,
-    /* VGATHERPF1DPDm */
+    0,
+    /*  */
   },
   { /* 3803 */
-    468,
-    /* VGATHERPF1DPSm */
+    0,
+    /*  */
   },
   { /* 3804 */
-    469,
-    /* VGATHERPF1QPDm */
+    0,
+    /*  */
   },
   { /* 3805 */
-    469,
-    /* VGATHERPF1QPSm */
+    0,
+    /*  */
   },
   { /* 3806 */
-    461,
-    /* VGATHERQPDYrm */
+    0,
+    /*  */
   },
   { /* 3807 */
-    462,
-    /* VGATHERQPDZrm */
+    0,
+    /*  */
   },
   { /* 3808 */
-    463,
-    /* VGATHERQPDrm */
+    0,
+    /*  */
   },
   { /* 3809 */
-    466,
-    /* VGATHERQPSYrm */
+    0,
+    /*  */
   },
   { /* 3810 */
-    470,
-    /* VGATHERQPSZrm */
+    464,
+    /* VGATHERDPDYrm */
   },
   { /* 3811 */
-    466,
-    /* VGATHERQPSrm */
+    465,
+    /* VGATHERDPDZrm */
   },
   { /* 3812 */
-    303,
-    /* VHADDPDYrm */
+    466,
+    /* VGATHERDPDrm */
   },
   { /* 3813 */
-    304,
-    /* VHADDPDYrr */
+    467,
+    /* VGATHERDPSYrm */
   },
   { /* 3814 */
-    311,
-    /* VHADDPDrm */
+    468,
+    /* VGATHERDPSZrm */
   },
   { /* 3815 */
-    312,
-    /* VHADDPDrr */
+    469,
+    /* VGATHERDPSrm */
   },
   { /* 3816 */
-    303,
-    /* VHADDPSYrm */
+    470,
+    /* VGATHERPF0DPDm */
   },
   { /* 3817 */
-    304,
-    /* VHADDPSYrr */
+    471,
+    /* VGATHERPF0DPSm */
   },
   { /* 3818 */
-    311,
-    /* VHADDPSrm */
+    472,
+    /* VGATHERPF0QPDm */
   },
   { /* 3819 */
-    312,
-    /* VHADDPSrr */
+    472,
+    /* VGATHERPF0QPSm */
   },
   { /* 3820 */
-    303,
-    /* VHSUBPDYrm */
+    470,
+    /* VGATHERPF1DPDm */
   },
   { /* 3821 */
-    304,
-    /* VHSUBPDYrr */
+    471,
+    /* VGATHERPF1DPSm */
   },
   { /* 3822 */
-    311,
-    /* VHSUBPDrm */
+    472,
+    /* VGATHERPF1QPDm */
   },
   { /* 3823 */
-    312,
-    /* VHSUBPDrr */
+    472,
+    /* VGATHERPF1QPSm */
   },
   { /* 3824 */
-    303,
-    /* VHSUBPSYrm */
+    464,
+    /* VGATHERQPDYrm */
   },
   { /* 3825 */
-    304,
-    /* VHSUBPSYrr */
+    465,
+    /* VGATHERQPDZrm */
   },
   { /* 3826 */
-    311,
-    /* VHSUBPSrm */
+    466,
+    /* VGATHERQPDrm */
   },
   { /* 3827 */
-    312,
-    /* VHSUBPSrr */
+    469,
+    /* VGATHERQPSYrm */
   },
   { /* 3828 */
-    471,
-    /* VINSERTF128rm */
+    473,
+    /* VGATHERQPSZrm */
   },
   { /* 3829 */
-    472,
-    /* VINSERTF128rr */
+    469,
+    /* VGATHERQPSrm */
   },
   { /* 3830 */
-    473,
-    /* VINSERTF32x4rm */
+    304,
+    /* VHADDPDYrm */
   },
   { /* 3831 */
-    474,
-    /* VINSERTF32x4rr */
+    305,
+    /* VHADDPDYrr */
   },
   { /* 3832 */
-    475,
-    /* VINSERTF64x4rm */
+    312,
+    /* VHADDPDrm */
   },
   { /* 3833 */
-    476,
-    /* VINSERTF64x4rr */
+    313,
+    /* VHADDPDrr */
   },
   { /* 3834 */
-    471,
-    /* VINSERTI128rm */
+    304,
+    /* VHADDPSYrm */
   },
   { /* 3835 */
-    472,
-    /* VINSERTI128rr */
+    305,
+    /* VHADDPSYrr */
   },
   { /* 3836 */
-    473,
-    /* VINSERTI32x4rm */
+    312,
+    /* VHADDPSrm */
   },
   { /* 3837 */
-    474,
-    /* VINSERTI32x4rr */
+    313,
+    /* VHADDPSrr */
   },
   { /* 3838 */
-    475,
-    /* VINSERTI64x4rm */
+    304,
+    /* VHSUBPDYrm */
   },
   { /* 3839 */
-    476,
-    /* VINSERTI64x4rr */
+    305,
+    /* VHSUBPDYrr */
   },
   { /* 3840 */
-    477,
-    /* VINSERTPSrm */
+    312,
+    /* VHSUBPDrm */
   },
   { /* 3841 */
-    334,
-    /* VINSERTPSrr */
+    313,
+    /* VHSUBPDrr */
   },
   { /* 3842 */
-    478,
-    /* VINSERTPSzrm */
+    304,
+    /* VHSUBPSYrm */
   },
   { /* 3843 */
-    479,
-    /* VINSERTPSzrr */
+    305,
+    /* VHSUBPSYrr */
   },
   { /* 3844 */
-    375,
-    /* VLDDQUYrm */
+    312,
+    /* VHSUBPSrm */
   },
   { /* 3845 */
-    42,
-    /* VLDDQUrm */
+    313,
+    /* VHSUBPSrr */
   },
   { /* 3846 */
-    40,
-    /* VLDMXCSR */
+    474,
+    /* VINSERTF128rm */
   },
   { /* 3847 */
-    43,
-    /* VMASKMOVDQU */
+    475,
+    /* VINSERTF128rr */
   },
   { /* 3848 */
-    0,
-    /*  */
+    476,
+    /* VINSERTF32x4rm */
   },
   { /* 3849 */
-    480,
-    /* VMASKMOVPDYmr */
+    477,
+    /* VINSERTF32x4rr */
   },
   { /* 3850 */
-    303,
-    /* VMASKMOVPDYrm */
+    478,
+    /* VINSERTF64x4rm */
   },
   { /* 3851 */
-    481,
-    /* VMASKMOVPDmr */
+    479,
+    /* VINSERTF64x4rr */
   },
   { /* 3852 */
-    311,
-    /* VMASKMOVPDrm */
+    474,
+    /* VINSERTI128rm */
   },
   { /* 3853 */
-    480,
-    /* VMASKMOVPSYmr */
+    475,
+    /* VINSERTI128rr */
   },
   { /* 3854 */
-    303,
-    /* VMASKMOVPSYrm */
+    476,
+    /* VINSERTI32x4rm */
   },
   { /* 3855 */
-    481,
-    /* VMASKMOVPSmr */
+    477,
+    /* VINSERTI32x4rr */
   },
   { /* 3856 */
-    311,
-    /* VMASKMOVPSrm */
+    478,
+    /* VINSERTI64x4rm */
   },
   { /* 3857 */
-    0,
-    /*  */
+    479,
+    /* VINSERTI64x4rr */
   },
   { /* 3858 */
-    0,
-    /*  */
+    480,
+    /* VINSERTPSrm */
   },
   { /* 3859 */
-    0,
-    /*  */
+    335,
+    /* VINSERTPSrr */
   },
   { /* 3860 */
-    0,
-    /*  */
+    481,
+    /* VINSERTPSzrm */
   },
   { /* 3861 */
-    0,
-    /*  */
+    482,
+    /* VINSERTPSzrr */
   },
   { /* 3862 */
-    0,
-    /*  */
+    376,
+    /* VLDDQUYrm */
   },
   { /* 3863 */
-    0,
-    /*  */
+    44,
+    /* VLDDQUrm */
   },
   { /* 3864 */
-    0,
-    /*  */
+    38,
+    /* VLDMXCSR */
   },
   { /* 3865 */
-    0,
-    /*  */
+    45,
+    /* VMASKMOVDQU */
   },
   { /* 3866 */
     0,
     /*  */
   },
   { /* 3867 */
-    0,
-    /*  */
+    483,
+    /* VMASKMOVPDYmr */
   },
   { /* 3868 */
-    0,
-    /*  */
+    304,
+    /* VMASKMOVPDYrm */
   },
   { /* 3869 */
-    303,
-    /* VMAXPDYrm */
+    484,
+    /* VMASKMOVPDmr */
   },
   { /* 3870 */
-    304,
-    /* VMAXPDYrr */
+    312,
+    /* VMASKMOVPDrm */
   },
   { /* 3871 */
-    305,
-    /* VMAXPDZrm */
+    483,
+    /* VMASKMOVPSYmr */
   },
   { /* 3872 */
-    306,
-    /* VMAXPDZrmb */
+    304,
+    /* VMASKMOVPSYrm */
   },
   { /* 3873 */
-    307,
-    /* VMAXPDZrmbk */
+    484,
+    /* VMASKMOVPSmr */
   },
   { /* 3874 */
-    307,
-    /* VMAXPDZrmbkz */
+    312,
+    /* VMASKMOVPSrm */
   },
   { /* 3875 */
-    308,
-    /* VMAXPDZrmk */
+    0,
+    /*  */
   },
   { /* 3876 */
-    308,
-    /* VMAXPDZrmkz */
+    0,
+    /*  */
   },
   { /* 3877 */
-    309,
-    /* VMAXPDZrr */
+    0,
+    /*  */
   },
   { /* 3878 */
-    310,
-    /* VMAXPDZrrk */
+    0,
+    /*  */
   },
   { /* 3879 */
-    310,
-    /* VMAXPDZrrkz */
+    0,
+    /*  */
   },
   { /* 3880 */
-    311,
-    /* VMAXPDrm */
+    0,
+    /*  */
   },
   { /* 3881 */
-    312,
-    /* VMAXPDrr */
+    0,
+    /*  */
   },
   { /* 3882 */
-    303,
-    /* VMAXPSYrm */
+    0,
+    /*  */
   },
   { /* 3883 */
-    304,
-    /* VMAXPSYrr */
+    0,
+    /*  */
   },
   { /* 3884 */
-    305,
-    /* VMAXPSZrm */
+    0,
+    /*  */
   },
   { /* 3885 */
-    313,
-    /* VMAXPSZrmb */
+    0,
+    /*  */
   },
   { /* 3886 */
-    314,
-    /* VMAXPSZrmbk */
+    0,
+    /*  */
   },
   { /* 3887 */
-    314,
-    /* VMAXPSZrmbkz */
+    304,
+    /* VMAXPDYrm */
   },
   { /* 3888 */
-    315,
-    /* VMAXPSZrmk */
+    305,
+    /* VMAXPDYrr */
   },
   { /* 3889 */
-    315,
-    /* VMAXPSZrmkz */
+    306,
+    /* VMAXPDZrm */
   },
   { /* 3890 */
-    309,
-    /* VMAXPSZrr */
+    307,
+    /* VMAXPDZrmb */
   },
   { /* 3891 */
-    316,
-    /* VMAXPSZrrk */
+    308,
+    /* VMAXPDZrmbk */
   },
   { /* 3892 */
-    316,
-    /* VMAXPSZrrkz */
+    308,
+    /* VMAXPDZrmbkz */
   },
   { /* 3893 */
-    311,
-    /* VMAXPSrm */
+    309,
+    /* VMAXPDZrmk */
   },
   { /* 3894 */
-    312,
-    /* VMAXPSrr */
+    309,
+    /* VMAXPDZrmkz */
   },
   { /* 3895 */
-    317,
-    /* VMAXSDZrm */
+    310,
+    /* VMAXPDZrr */
   },
   { /* 3896 */
-    318,
-    /* VMAXSDZrr */
+    311,
+    /* VMAXPDZrrk */
   },
   { /* 3897 */
-    319,
-    /* VMAXSDrm */
+    311,
+    /* VMAXPDZrrkz */
   },
   { /* 3898 */
-    0,
-    /*  */
+    312,
+    /* VMAXPDrm */
   },
   { /* 3899 */
-    320,
-    /* VMAXSDrr */
+    313,
+    /* VMAXPDrr */
   },
   { /* 3900 */
-    0,
-    /*  */
+    304,
+    /* VMAXPSYrm */
   },
   { /* 3901 */
-    321,
-    /* VMAXSSZrm */
+    305,
+    /* VMAXPSYrr */
   },
   { /* 3902 */
-    322,
-    /* VMAXSSZrr */
+    306,
+    /* VMAXPSZrm */
   },
   { /* 3903 */
-    323,
-    /* VMAXSSrm */
+    314,
+    /* VMAXPSZrmb */
   },
   { /* 3904 */
-    0,
-    /*  */
+    315,
+    /* VMAXPSZrmbk */
   },
   { /* 3905 */
-    324,
-    /* VMAXSSrr */
+    315,
+    /* VMAXPSZrmbkz */
   },
   { /* 3906 */
-    0,
-    /*  */
+    316,
+    /* VMAXPSZrmk */
   },
   { /* 3907 */
-    0,
-    /* VMCALL */
+    316,
+    /* VMAXPSZrmkz */
   },
   { /* 3908 */
-    40,
-    /* VMCLEARm */
+    310,
+    /* VMAXPSZrr */
   },
   { /* 3909 */
-    0,
-    /* VMFUNC */
+    317,
+    /* VMAXPSZrrk */
   },
   { /* 3910 */
-    0,
-    /*  */
+    317,
+    /* VMAXPSZrrkz */
   },
   { /* 3911 */
-    0,
-    /*  */
+    312,
+    /* VMAXPSrm */
   },
   { /* 3912 */
-    0,
-    /*  */
+    313,
+    /* VMAXPSrr */
   },
   { /* 3913 */
-    0,
-    /*  */
+    318,
+    /* VMAXSDZrm */
   },
   { /* 3914 */
-    0,
-    /*  */
+    319,
+    /* VMAXSDZrr */
   },
   { /* 3915 */
-    0,
-    /*  */
+    320,
+    /* VMAXSDrm */
   },
   { /* 3916 */
     0,
     /*  */
   },
   { /* 3917 */
-    0,
-    /*  */
+    321,
+    /* VMAXSDrr */
   },
   { /* 3918 */
     0,
     /*  */
   },
   { /* 3919 */
-    0,
-    /*  */
+    322,
+    /* VMAXSSZrm */
   },
   { /* 3920 */
-    0,
-    /*  */
+    323,
+    /* VMAXSSZrr */
   },
   { /* 3921 */
-    0,
-    /*  */
+    324,
+    /* VMAXSSrm */
   },
   { /* 3922 */
-    303,
-    /* VMINPDYrm */
+    0,
+    /*  */
   },
   { /* 3923 */
-    304,
-    /* VMINPDYrr */
+    325,
+    /* VMAXSSrr */
   },
   { /* 3924 */
-    305,
-    /* VMINPDZrm */
+    0,
+    /*  */
   },
   { /* 3925 */
-    306,
-    /* VMINPDZrmb */
+    0,
+    /* VMCALL */
   },
   { /* 3926 */
-    307,
-    /* VMINPDZrmbk */
+    38,
+    /* VMCLEARm */
   },
   { /* 3927 */
-    307,
-    /* VMINPDZrmbkz */
+    0,
+    /* VMFUNC */
   },
   { /* 3928 */
-    308,
-    /* VMINPDZrmk */
+    0,
+    /*  */
   },
   { /* 3929 */
-    308,
-    /* VMINPDZrmkz */
+    0,
+    /*  */
   },
   { /* 3930 */
-    309,
-    /* VMINPDZrr */
+    0,
+    /*  */
   },
   { /* 3931 */
-    310,
-    /* VMINPDZrrk */
+    0,
+    /*  */
   },
   { /* 3932 */
-    310,
-    /* VMINPDZrrkz */
+    0,
+    /*  */
   },
   { /* 3933 */
-    311,
-    /* VMINPDrm */
+    0,
+    /*  */
   },
   { /* 3934 */
-    312,
-    /* VMINPDrr */
+    0,
+    /*  */
   },
   { /* 3935 */
-    303,
-    /* VMINPSYrm */
+    0,
+    /*  */
   },
   { /* 3936 */
-    304,
-    /* VMINPSYrr */
+    0,
+    /*  */
   },
   { /* 3937 */
-    305,
-    /* VMINPSZrm */
+    0,
+    /*  */
   },
   { /* 3938 */
-    313,
-    /* VMINPSZrmb */
+    0,
+    /*  */
   },
   { /* 3939 */
-    314,
-    /* VMINPSZrmbk */
+    0,
+    /*  */
   },
   { /* 3940 */
-    314,
-    /* VMINPSZrmbkz */
+    304,
+    /* VMINPDYrm */
   },
   { /* 3941 */
-    315,
-    /* VMINPSZrmk */
+    305,
+    /* VMINPDYrr */
   },
   { /* 3942 */
-    315,
-    /* VMINPSZrmkz */
+    306,
+    /* VMINPDZrm */
   },
   { /* 3943 */
-    309,
-    /* VMINPSZrr */
+    307,
+    /* VMINPDZrmb */
   },
   { /* 3944 */
-    316,
-    /* VMINPSZrrk */
+    308,
+    /* VMINPDZrmbk */
   },
   { /* 3945 */
-    316,
-    /* VMINPSZrrkz */
+    308,
+    /* VMINPDZrmbkz */
   },
   { /* 3946 */
-    311,
-    /* VMINPSrm */
+    309,
+    /* VMINPDZrmk */
   },
   { /* 3947 */
-    312,
-    /* VMINPSrr */
+    309,
+    /* VMINPDZrmkz */
   },
   { /* 3948 */
-    317,
-    /* VMINSDZrm */
+    310,
+    /* VMINPDZrr */
   },
   { /* 3949 */
-    318,
-    /* VMINSDZrr */
+    311,
+    /* VMINPDZrrk */
   },
   { /* 3950 */
-    319,
-    /* VMINSDrm */
+    311,
+    /* VMINPDZrrkz */
   },
   { /* 3951 */
-    0,
-    /*  */
+    312,
+    /* VMINPDrm */
   },
   { /* 3952 */
-    320,
-    /* VMINSDrr */
+    313,
+    /* VMINPDrr */
   },
   { /* 3953 */
-    0,
-    /*  */
+    304,
+    /* VMINPSYrm */
   },
   { /* 3954 */
-    321,
-    /* VMINSSZrm */
+    305,
+    /* VMINPSYrr */
   },
   { /* 3955 */
-    322,
-    /* VMINSSZrr */
+    306,
+    /* VMINPSZrm */
   },
   { /* 3956 */
-    323,
-    /* VMINSSrm */
+    314,
+    /* VMINPSZrmb */
   },
   { /* 3957 */
-    0,
-    /*  */
+    315,
+    /* VMINPSZrmbk */
   },
   { /* 3958 */
-    324,
-    /* VMINSSrr */
+    315,
+    /* VMINPSZrmbkz */
   },
   { /* 3959 */
+    316,
+    /* VMINPSZrmk */
+  },
+  { /* 3960 */
+    316,
+    /* VMINPSZrmkz */
+  },
+  { /* 3961 */
+    310,
+    /* VMINPSZrr */
+  },
+  { /* 3962 */
+    317,
+    /* VMINPSZrrk */
+  },
+  { /* 3963 */
+    317,
+    /* VMINPSZrrkz */
+  },
+  { /* 3964 */
+    312,
+    /* VMINPSrm */
+  },
+  { /* 3965 */
+    313,
+    /* VMINPSrr */
+  },
+  { /* 3966 */
+    318,
+    /* VMINSDZrm */
+  },
+  { /* 3967 */
+    319,
+    /* VMINSDZrr */
+  },
+  { /* 3968 */
+    320,
+    /* VMINSDrm */
+  },
+  { /* 3969 */
     0,
     /*  */
   },
-  { /* 3960 */
+  { /* 3970 */
+    321,
+    /* VMINSDrr */
+  },
+  { /* 3971 */
+    0,
+    /*  */
+  },
+  { /* 3972 */
+    322,
+    /* VMINSSZrm */
+  },
+  { /* 3973 */
+    323,
+    /* VMINSSZrr */
+  },
+  { /* 3974 */
+    324,
+    /* VMINSSrm */
+  },
+  { /* 3975 */
+    0,
+    /*  */
+  },
+  { /* 3976 */
+    325,
+    /* VMINSSrr */
+  },
+  { /* 3977 */
+    0,
+    /*  */
+  },
+  { /* 3978 */
     0,
     /* VMLAUNCH */
   },
-  { /* 3961 */
+  { /* 3979 */
     0,
     /* VMLOAD32 */
   },
-  { /* 3962 */
+  { /* 3980 */
     0,
     /* VMLOAD64 */
   },
-  { /* 3963 */
+  { /* 3981 */
     0,
     /* VMMCALL */
   },
-  { /* 3964 */
-    482,
+  { /* 3982 */
+    485,
     /* VMOV64toPQIZrr */
   },
-  { /* 3965 */
-    240,
+  { /* 3983 */
+    241,
     /* VMOV64toPQIrr */
   },
-  { /* 3966 */
-    0,
-    /*  */
-  },
-  { /* 3967 */
-    0,
-    /*  */
-  },
-  { /* 3968 */
-    0,
-    /*  */
-  },
-  { /* 3969 */
-    483,
-    /* VMOVAPDYmr */
-  },
-  { /* 3970 */
-    375,
-    /* VMOVAPDYrm */
-  },
-  { /* 3971 */
-    376,
-    /* VMOVAPDYrr */
-  },
-  { /* 3972 */
-    484,
-    /* VMOVAPDYrr_REV */
-  },
-  { /* 3973 */
-    485,
-    /* VMOVAPDZ128mr */
-  },
-  { /* 3974 */
-    486,
-    /* VMOVAPDZ128mrk */
-  },
-  { /* 3975 */
-    487,
-    /* VMOVAPDZ128rm */
-  },
-  { /* 3976 */
-    488,
-    /* VMOVAPDZ128rmk */
-  },
-  { /* 3977 */
-    489,
-    /* VMOVAPDZ128rmkz */
-  },
-  { /* 3978 */
-    490,
-    /* VMOVAPDZ128rr */
-  },
-  { /* 3979 */
-    0,
-    /*  */
-  },
-  { /* 3980 */
-    491,
-    /* VMOVAPDZ128rrk */
-  },
-  { /* 3981 */
-    0,
-    /*  */
-  },
-  { /* 3982 */
-    492,
-    /* VMOVAPDZ128rrkz */
-  },
-  { /* 3983 */
-    0,
-    /*  */
-  },
   { /* 3984 */
-    493,
-    /* VMOVAPDZ256mr */
+    0,
+    /*  */
   },
   { /* 3985 */
-    494,
-    /* VMOVAPDZ256mrk */
+    0,
+    /*  */
   },
   { /* 3986 */
-    495,
-    /* VMOVAPDZ256rm */
+    0,
+    /*  */
   },
   { /* 3987 */
-    496,
-    /* VMOVAPDZ256rmk */
+    486,
+    /* VMOVAPDYmr */
   },
   { /* 3988 */
-    497,
-    /* VMOVAPDZ256rmkz */
+    376,
+    /* VMOVAPDYrm */
   },
   { /* 3989 */
-    498,
-    /* VMOVAPDZ256rr */
+    377,
+    /* VMOVAPDYrr */
   },
   { /* 3990 */
-    0,
-    /*  */
+    487,
+    /* VMOVAPDYrr_REV */
   },
   { /* 3991 */
-    499,
-    /* VMOVAPDZ256rrk */
+    488,
+    /* VMOVAPDZ128mr */
   },
   { /* 3992 */
-    0,
-    /*  */
+    489,
+    /* VMOVAPDZ128mrk */
   },
   { /* 3993 */
-    500,
-    /* VMOVAPDZ256rrkz */
+    490,
+    /* VMOVAPDZ128rm */
   },
   { /* 3994 */
+    491,
+    /* VMOVAPDZ128rmk */
+  },
+  { /* 3995 */
+    492,
+    /* VMOVAPDZ128rmkz */
+  },
+  { /* 3996 */
+    493,
+    /* VMOVAPDZ128rr */
+  },
+  { /* 3997 */
     0,
     /*  */
   },
-  { /* 3995 */
-    501,
-    /* VMOVAPDZmr */
-  },
-  { /* 3996 */
-    502,
-    /* VMOVAPDZmrk */
-  },
-  { /* 3997 */
-    377,
-    /* VMOVAPDZrm */
-  },
   { /* 3998 */
-    503,
-    /* VMOVAPDZrmk */
+    494,
+    /* VMOVAPDZ128rrk */
   },
   { /* 3999 */
-    504,
-    /* VMOVAPDZrmkz */
+    0,
+    /*  */
   },
   { /* 4000 */
-    378,
-    /* VMOVAPDZrr */
+    495,
+    /* VMOVAPDZ128rrkz */
   },
   { /* 4001 */
     0,
     /*  */
   },
   { /* 4002 */
-    505,
-    /* VMOVAPDZrrk */
+    496,
+    /* VMOVAPDZ256mr */
   },
   { /* 4003 */
-    0,
-    /*  */
+    497,
+    /* VMOVAPDZ256mrk */
   },
   { /* 4004 */
-    506,
-    /* VMOVAPDZrrkz */
+    498,
+    /* VMOVAPDZ256rm */
   },
   { /* 4005 */
-    0,
-    /*  */
+    499,
+    /* VMOVAPDZ256rmk */
   },
   { /* 4006 */
-    242,
-    /* VMOVAPDmr */
+    500,
+    /* VMOVAPDZ256rmkz */
   },
   { /* 4007 */
-    42,
-    /* VMOVAPDrm */
+    501,
+    /* VMOVAPDZ256rr */
   },
   { /* 4008 */
-    43,
-    /* VMOVAPDrr */
+    0,
+    /*  */
   },
   { /* 4009 */
-    243,
-    /* VMOVAPDrr_REV */
+    502,
+    /* VMOVAPDZ256rrk */
   },
   { /* 4010 */
-    483,
-    /* VMOVAPSYmr */
+    0,
+    /*  */
   },
   { /* 4011 */
-    375,
-    /* VMOVAPSYrm */
+    503,
+    /* VMOVAPDZ256rrkz */
   },
   { /* 4012 */
-    376,
-    /* VMOVAPSYrr */
+    0,
+    /*  */
   },
   { /* 4013 */
-    484,
-    /* VMOVAPSYrr_REV */
+    504,
+    /* VMOVAPDZmr */
   },
   { /* 4014 */
-    485,
-    /* VMOVAPSZ128mr */
+    505,
+    /* VMOVAPDZmrk */
   },
   { /* 4015 */
-    507,
-    /* VMOVAPSZ128mrk */
+    378,
+    /* VMOVAPDZrm */
   },
   { /* 4016 */
-    487,
-    /* VMOVAPSZ128rm */
+    506,
+    /* VMOVAPDZrmk */
   },
   { /* 4017 */
-    508,
-    /* VMOVAPSZ128rmk */
+    507,
+    /* VMOVAPDZrmkz */
   },
   { /* 4018 */
-    509,
-    /* VMOVAPSZ128rmkz */
+    379,
+    /* VMOVAPDZrr */
   },
   { /* 4019 */
-    490,
-    /* VMOVAPSZ128rr */
+    0,
+    /*  */
   },
   { /* 4020 */
-    0,
-    /*  */
+    508,
+    /* VMOVAPDZrrk */
   },
   { /* 4021 */
-    510,
-    /* VMOVAPSZ128rrk */
+    0,
+    /*  */
   },
   { /* 4022 */
-    0,
-    /*  */
+    509,
+    /* VMOVAPDZrrkz */
   },
   { /* 4023 */
-    511,
-    /* VMOVAPSZ128rrkz */
+    0,
+    /*  */
   },
   { /* 4024 */
-    0,
-    /*  */
+    243,
+    /* VMOVAPDmr */
   },
   { /* 4025 */
-    493,
-    /* VMOVAPSZ256mr */
+    44,
+    /* VMOVAPDrm */
   },
   { /* 4026 */
-    512,
-    /* VMOVAPSZ256mrk */
+    45,
+    /* VMOVAPDrr */
   },
   { /* 4027 */
-    495,
-    /* VMOVAPSZ256rm */
+    244,
+    /* VMOVAPDrr_REV */
   },
   { /* 4028 */
-    513,
-    /* VMOVAPSZ256rmk */
+    486,
+    /* VMOVAPSYmr */
   },
   { /* 4029 */
-    514,
-    /* VMOVAPSZ256rmkz */
+    376,
+    /* VMOVAPSYrm */
   },
   { /* 4030 */
-    498,
-    /* VMOVAPSZ256rr */
+    377,
+    /* VMOVAPSYrr */
   },
   { /* 4031 */
-    0,
-    /*  */
+    487,
+    /* VMOVAPSYrr_REV */
   },
   { /* 4032 */
-    515,
-    /* VMOVAPSZ256rrk */
+    488,
+    /* VMOVAPSZ128mr */
   },
   { /* 4033 */
-    0,
-    /*  */
+    510,
+    /* VMOVAPSZ128mrk */
   },
   { /* 4034 */
-    516,
-    /* VMOVAPSZ256rrkz */
+    490,
+    /* VMOVAPSZ128rm */
   },
   { /* 4035 */
+    511,
+    /* VMOVAPSZ128rmk */
+  },
+  { /* 4036 */
+    512,
+    /* VMOVAPSZ128rmkz */
+  },
+  { /* 4037 */
+    493,
+    /* VMOVAPSZ128rr */
+  },
+  { /* 4038 */
     0,
     /*  */
   },
-  { /* 4036 */
-    501,
-    /* VMOVAPSZmr */
-  },
-  { /* 4037 */
-    517,
-    /* VMOVAPSZmrk */
-  },
-  { /* 4038 */
-    377,
-    /* VMOVAPSZrm */
-  },
   { /* 4039 */
-    518,
-    /* VMOVAPSZrmk */
+    513,
+    /* VMOVAPSZ128rrk */
   },
   { /* 4040 */
-    519,
-    /* VMOVAPSZrmkz */
+    0,
+    /*  */
   },
   { /* 4041 */
-    378,
-    /* VMOVAPSZrr */
+    514,
+    /* VMOVAPSZ128rrkz */
   },
   { /* 4042 */
     0,
     /*  */
   },
   { /* 4043 */
-    520,
-    /* VMOVAPSZrrk */
+    496,
+    /* VMOVAPSZ256mr */
   },
   { /* 4044 */
-    0,
-    /*  */
+    515,
+    /* VMOVAPSZ256mrk */
   },
   { /* 4045 */
-    521,
-    /* VMOVAPSZrrkz */
+    498,
+    /* VMOVAPSZ256rm */
   },
   { /* 4046 */
-    0,
-    /*  */
+    516,
+    /* VMOVAPSZ256rmk */
   },
   { /* 4047 */
-    242,
-    /* VMOVAPSmr */
+    517,
+    /* VMOVAPSZ256rmkz */
   },
   { /* 4048 */
-    42,
-    /* VMOVAPSrm */
+    501,
+    /* VMOVAPSZ256rr */
   },
   { /* 4049 */
-    43,
-    /* VMOVAPSrr */
-  },
-  { /* 4050 */
-    243,
-    /* VMOVAPSrr_REV */
-  },
-  { /* 4051 */
-    375,
-    /* VMOVDDUPYrm */
-  },
-  { /* 4052 */
-    376,
-    /* VMOVDDUPYrr */
-  },
-  { /* 4053 */
-    377,
-    /* VMOVDDUPZrm */
-  },
-  { /* 4054 */
-    378,
-    /* VMOVDDUPZrr */
-  },
-  { /* 4055 */
-    105,
-    /* VMOVDDUPrm */
-  },
-  { /* 4056 */
-    43,
-    /* VMOVDDUPrr */
-  },
-  { /* 4057 */
-    522,
-    /* VMOVDI2PDIZrm */
-  },
-  { /* 4058 */
-    523,
-    /* VMOVDI2PDIZrr */
-  },
-  { /* 4059 */
-    104,
-    /* VMOVDI2PDIrm */
-  },
-  { /* 4060 */
-    244,
-    /* VMOVDI2PDIrr */
-  },
-  { /* 4061 */
     0,
     /*  */
   },
+  { /* 4050 */
+    518,
+    /* VMOVAPSZ256rrk */
+  },
+  { /* 4051 */
+    0,
+    /*  */
+  },
+  { /* 4052 */
+    519,
+    /* VMOVAPSZ256rrkz */
+  },
+  { /* 4053 */
+    0,
+    /*  */
+  },
+  { /* 4054 */
+    504,
+    /* VMOVAPSZmr */
+  },
+  { /* 4055 */
+    520,
+    /* VMOVAPSZmrk */
+  },
+  { /* 4056 */
+    378,
+    /* VMOVAPSZrm */
+  },
+  { /* 4057 */
+    521,
+    /* VMOVAPSZrmk */
+  },
+  { /* 4058 */
+    522,
+    /* VMOVAPSZrmkz */
+  },
+  { /* 4059 */
+    379,
+    /* VMOVAPSZrr */
+  },
+  { /* 4060 */
+    0,
+    /*  */
+  },
+  { /* 4061 */
+    523,
+    /* VMOVAPSZrrk */
+  },
   { /* 4062 */
     0,
     /*  */
   },
   { /* 4063 */
-    0,
-    /*  */
+    524,
+    /* VMOVAPSZrrkz */
   },
   { /* 4064 */
     0,
     /*  */
   },
   { /* 4065 */
-    485,
-    /* VMOVDQA32Z128mr */
+    243,
+    /* VMOVAPSmr */
   },
   { /* 4066 */
-    507,
-    /* VMOVDQA32Z128mrk */
+    44,
+    /* VMOVAPSrm */
   },
   { /* 4067 */
-    487,
-    /* VMOVDQA32Z128rm */
+    45,
+    /* VMOVAPSrr */
   },
   { /* 4068 */
-    508,
-    /* VMOVDQA32Z128rmk */
+    244,
+    /* VMOVAPSrr_REV */
   },
   { /* 4069 */
-    509,
-    /* VMOVDQA32Z128rmkz */
+    376,
+    /* VMOVDDUPYrm */
   },
   { /* 4070 */
-    490,
-    /* VMOVDQA32Z128rr */
+    377,
+    /* VMOVDDUPYrr */
   },
   { /* 4071 */
-    0,
-    /*  */
+    378,
+    /* VMOVDDUPZrm */
   },
   { /* 4072 */
-    510,
-    /* VMOVDQA32Z128rrk */
+    379,
+    /* VMOVDDUPZrr */
   },
   { /* 4073 */
-    0,
-    /*  */
+    106,
+    /* VMOVDDUPrm */
   },
   { /* 4074 */
-    511,
-    /* VMOVDQA32Z128rrkz */
+    45,
+    /* VMOVDDUPrr */
   },
   { /* 4075 */
+    525,
+    /* VMOVDI2PDIZrm */
+  },
+  { /* 4076 */
+    526,
+    /* VMOVDI2PDIZrr */
+  },
+  { /* 4077 */
+    105,
+    /* VMOVDI2PDIrm */
+  },
+  { /* 4078 */
+    245,
+    /* VMOVDI2PDIrr */
+  },
+  { /* 4079 */
     0,
     /*  */
   },
-  { /* 4076 */
-    493,
-    /* VMOVDQA32Z256mr */
-  },
-  { /* 4077 */
-    512,
-    /* VMOVDQA32Z256mrk */
-  },
-  { /* 4078 */
-    495,
-    /* VMOVDQA32Z256rm */
-  },
-  { /* 4079 */
-    513,
-    /* VMOVDQA32Z256rmk */
-  },
   { /* 4080 */
-    514,
-    /* VMOVDQA32Z256rmkz */
+    0,
+    /*  */
   },
   { /* 4081 */
-    498,
-    /* VMOVDQA32Z256rr */
+    0,
+    /*  */
   },
   { /* 4082 */
     0,
     /*  */
   },
   { /* 4083 */
-    515,
-    /* VMOVDQA32Z256rrk */
+    488,
+    /* VMOVDQA32Z128mr */
   },
   { /* 4084 */
-    0,
-    /*  */
+    510,
+    /* VMOVDQA32Z128mrk */
   },
   { /* 4085 */
-    516,
-    /* VMOVDQA32Z256rrkz */
+    490,
+    /* VMOVDQA32Z128rm */
   },
   { /* 4086 */
+    511,
+    /* VMOVDQA32Z128rmk */
+  },
+  { /* 4087 */
+    512,
+    /* VMOVDQA32Z128rmkz */
+  },
+  { /* 4088 */
+    493,
+    /* VMOVDQA32Z128rr */
+  },
+  { /* 4089 */
     0,
     /*  */
   },
-  { /* 4087 */
-    501,
-    /* VMOVDQA32Zmr */
-  },
-  { /* 4088 */
-    517,
-    /* VMOVDQA32Zmrk */
-  },
-  { /* 4089 */
-    377,
-    /* VMOVDQA32Zrm */
-  },
   { /* 4090 */
-    518,
-    /* VMOVDQA32Zrmk */
+    513,
+    /* VMOVDQA32Z128rrk */
   },
   { /* 4091 */
-    519,
-    /* VMOVDQA32Zrmkz */
+    0,
+    /*  */
   },
   { /* 4092 */
-    378,
-    /* VMOVDQA32Zrr */
+    514,
+    /* VMOVDQA32Z128rrkz */
   },
   { /* 4093 */
     0,
     /*  */
   },
   { /* 4094 */
-    520,
-    /* VMOVDQA32Zrrk */
+    496,
+    /* VMOVDQA32Z256mr */
   },
   { /* 4095 */
-    0,
-    /*  */
+    515,
+    /* VMOVDQA32Z256mrk */
   },
   { /* 4096 */
-    521,
-    /* VMOVDQA32Zrrkz */
+    498,
+    /* VMOVDQA32Z256rm */
   },
   { /* 4097 */
+    516,
+    /* VMOVDQA32Z256rmk */
+  },
+  { /* 4098 */
+    517,
+    /* VMOVDQA32Z256rmkz */
+  },
+  { /* 4099 */
+    501,
+    /* VMOVDQA32Z256rr */
+  },
+  { /* 4100 */
     0,
     /*  */
   },
-  { /* 4098 */
-    485,
-    /* VMOVDQA64Z128mr */
-  },
-  { /* 4099 */
-    486,
-    /* VMOVDQA64Z128mrk */
-  },
-  { /* 4100 */
-    487,
-    /* VMOVDQA64Z128rm */
-  },
   { /* 4101 */
-    488,
-    /* VMOVDQA64Z128rmk */
+    518,
+    /* VMOVDQA32Z256rrk */
   },
   { /* 4102 */
-    489,
-    /* VMOVDQA64Z128rmkz */
+    0,
+    /*  */
   },
   { /* 4103 */
-    490,
-    /* VMOVDQA64Z128rr */
+    519,
+    /* VMOVDQA32Z256rrkz */
   },
   { /* 4104 */
     0,
     /*  */
   },
   { /* 4105 */
-    491,
-    /* VMOVDQA64Z128rrk */
+    504,
+    /* VMOVDQA32Zmr */
   },
   { /* 4106 */
-    0,
-    /*  */
+    520,
+    /* VMOVDQA32Zmrk */
   },
   { /* 4107 */
-    492,
-    /* VMOVDQA64Z128rrkz */
+    378,
+    /* VMOVDQA32Zrm */
   },
   { /* 4108 */
+    521,
+    /* VMOVDQA32Zrmk */
+  },
+  { /* 4109 */
+    522,
+    /* VMOVDQA32Zrmkz */
+  },
+  { /* 4110 */
+    379,
+    /* VMOVDQA32Zrr */
+  },
+  { /* 4111 */
     0,
     /*  */
   },
-  { /* 4109 */
-    493,
-    /* VMOVDQA64Z256mr */
-  },
-  { /* 4110 */
-    494,
-    /* VMOVDQA64Z256mrk */
-  },
-  { /* 4111 */
-    495,
-    /* VMOVDQA64Z256rm */
-  },
   { /* 4112 */
-    496,
-    /* VMOVDQA64Z256rmk */
+    523,
+    /* VMOVDQA32Zrrk */
   },
   { /* 4113 */
-    497,
-    /* VMOVDQA64Z256rmkz */
+    0,
+    /*  */
   },
   { /* 4114 */
-    498,
-    /* VMOVDQA64Z256rr */
+    524,
+    /* VMOVDQA32Zrrkz */
   },
   { /* 4115 */
     0,
     /*  */
   },
   { /* 4116 */
-    499,
-    /* VMOVDQA64Z256rrk */
+    488,
+    /* VMOVDQA64Z128mr */
   },
   { /* 4117 */
-    0,
-    /*  */
+    489,
+    /* VMOVDQA64Z128mrk */
   },
   { /* 4118 */
-    500,
-    /* VMOVDQA64Z256rrkz */
+    490,
+    /* VMOVDQA64Z128rm */
   },
   { /* 4119 */
+    491,
+    /* VMOVDQA64Z128rmk */
+  },
+  { /* 4120 */
+    492,
+    /* VMOVDQA64Z128rmkz */
+  },
+  { /* 4121 */
+    493,
+    /* VMOVDQA64Z128rr */
+  },
+  { /* 4122 */
     0,
     /*  */
   },
-  { /* 4120 */
-    501,
-    /* VMOVDQA64Zmr */
-  },
-  { /* 4121 */
-    502,
-    /* VMOVDQA64Zmrk */
-  },
-  { /* 4122 */
-    377,
-    /* VMOVDQA64Zrm */
-  },
   { /* 4123 */
-    503,
-    /* VMOVDQA64Zrmk */
+    494,
+    /* VMOVDQA64Z128rrk */
   },
   { /* 4124 */
-    504,
-    /* VMOVDQA64Zrmkz */
+    0,
+    /*  */
   },
   { /* 4125 */
-    378,
-    /* VMOVDQA64Zrr */
+    495,
+    /* VMOVDQA64Z128rrkz */
   },
   { /* 4126 */
     0,
     /*  */
   },
   { /* 4127 */
-    505,
-    /* VMOVDQA64Zrrk */
+    496,
+    /* VMOVDQA64Z256mr */
   },
   { /* 4128 */
-    0,
-    /*  */
+    497,
+    /* VMOVDQA64Z256mrk */
   },
   { /* 4129 */
-    506,
-    /* VMOVDQA64Zrrkz */
+    498,
+    /* VMOVDQA64Z256rm */
   },
   { /* 4130 */
-    0,
-    /*  */
+    499,
+    /* VMOVDQA64Z256rmk */
   },
   { /* 4131 */
-    483,
-    /* VMOVDQAYmr */
+    500,
+    /* VMOVDQA64Z256rmkz */
   },
   { /* 4132 */
-    375,
-    /* VMOVDQAYrm */
+    501,
+    /* VMOVDQA64Z256rr */
   },
   { /* 4133 */
-    376,
-    /* VMOVDQAYrr */
+    0,
+    /*  */
   },
   { /* 4134 */
-    484,
-    /* VMOVDQAYrr_REV */
+    502,
+    /* VMOVDQA64Z256rrk */
   },
   { /* 4135 */
-    242,
-    /* VMOVDQAmr */
+    0,
+    /*  */
   },
   { /* 4136 */
-    42,
-    /* VMOVDQArm */
+    503,
+    /* VMOVDQA64Z256rrkz */
   },
   { /* 4137 */
-    43,
-    /* VMOVDQArr */
+    0,
+    /*  */
   },
   { /* 4138 */
-    243,
-    /* VMOVDQArr_REV */
+    504,
+    /* VMOVDQA64Zmr */
   },
   { /* 4139 */
-    485,
-    /* VMOVDQU16Z128mr */
+    505,
+    /* VMOVDQA64Zmrk */
   },
   { /* 4140 */
-    524,
-    /* VMOVDQU16Z128mrk */
+    378,
+    /* VMOVDQA64Zrm */
   },
   { /* 4141 */
-    487,
-    /* VMOVDQU16Z128rm */
+    506,
+    /* VMOVDQA64Zrmk */
   },
   { /* 4142 */
-    525,
-    /* VMOVDQU16Z128rmk */
+    507,
+    /* VMOVDQA64Zrmkz */
   },
   { /* 4143 */
-    526,
-    /* VMOVDQU16Z128rmkz */
+    379,
+    /* VMOVDQA64Zrr */
   },
   { /* 4144 */
-    490,
-    /* VMOVDQU16Z128rr */
+    0,
+    /*  */
   },
   { /* 4145 */
-    0,
-    /*  */
+    508,
+    /* VMOVDQA64Zrrk */
   },
   { /* 4146 */
-    527,
-    /* VMOVDQU16Z128rrk */
+    0,
+    /*  */
   },
   { /* 4147 */
-    0,
-    /*  */
+    509,
+    /* VMOVDQA64Zrrkz */
   },
   { /* 4148 */
-    528,
-    /* VMOVDQU16Z128rrkz */
+    0,
+    /*  */
   },
   { /* 4149 */
-    0,
-    /*  */
+    486,
+    /* VMOVDQAYmr */
   },
   { /* 4150 */
-    493,
-    /* VMOVDQU16Z256mr */
+    376,
+    /* VMOVDQAYrm */
   },
   { /* 4151 */
-    529,
-    /* VMOVDQU16Z256mrk */
+    377,
+    /* VMOVDQAYrr */
   },
   { /* 4152 */
-    495,
-    /* VMOVDQU16Z256rm */
+    487,
+    /* VMOVDQAYrr_REV */
   },
   { /* 4153 */
-    530,
-    /* VMOVDQU16Z256rmk */
+    243,
+    /* VMOVDQAmr */
   },
   { /* 4154 */
-    531,
-    /* VMOVDQU16Z256rmkz */
+    44,
+    /* VMOVDQArm */
   },
   { /* 4155 */
-    498,
-    /* VMOVDQU16Z256rr */
+    45,
+    /* VMOVDQArr */
   },
   { /* 4156 */
-    0,
-    /*  */
+    244,
+    /* VMOVDQArr_REV */
   },
   { /* 4157 */
-    532,
-    /* VMOVDQU16Z256rrk */
+    488,
+    /* VMOVDQU16Z128mr */
   },
   { /* 4158 */
-    0,
-    /*  */
+    527,
+    /* VMOVDQU16Z128mrk */
   },
   { /* 4159 */
-    533,
-    /* VMOVDQU16Z256rrkz */
+    490,
+    /* VMOVDQU16Z128rm */
   },
   { /* 4160 */
+    528,
+    /* VMOVDQU16Z128rmk */
+  },
+  { /* 4161 */
+    529,
+    /* VMOVDQU16Z128rmkz */
+  },
+  { /* 4162 */
+    493,
+    /* VMOVDQU16Z128rr */
+  },
+  { /* 4163 */
     0,
     /*  */
   },
-  { /* 4161 */
-    501,
-    /* VMOVDQU16Zmr */
-  },
-  { /* 4162 */
-    534,
-    /* VMOVDQU16Zmrk */
-  },
-  { /* 4163 */
-    377,
-    /* VMOVDQU16Zrm */
-  },
   { /* 4164 */
-    535,
-    /* VMOVDQU16Zrmk */
+    530,
+    /* VMOVDQU16Z128rrk */
   },
   { /* 4165 */
-    536,
-    /* VMOVDQU16Zrmkz */
+    0,
+    /*  */
   },
   { /* 4166 */
-    378,
-    /* VMOVDQU16Zrr */
+    531,
+    /* VMOVDQU16Z128rrkz */
   },
   { /* 4167 */
     0,
     /*  */
   },
   { /* 4168 */
-    537,
-    /* VMOVDQU16Zrrk */
+    496,
+    /* VMOVDQU16Z256mr */
   },
   { /* 4169 */
-    0,
-    /*  */
+    532,
+    /* VMOVDQU16Z256mrk */
   },
   { /* 4170 */
-    538,
-    /* VMOVDQU16Zrrkz */
+    498,
+    /* VMOVDQU16Z256rm */
   },
   { /* 4171 */
+    533,
+    /* VMOVDQU16Z256rmk */
+  },
+  { /* 4172 */
+    534,
+    /* VMOVDQU16Z256rmkz */
+  },
+  { /* 4173 */
+    501,
+    /* VMOVDQU16Z256rr */
+  },
+  { /* 4174 */
     0,
     /*  */
   },
-  { /* 4172 */
-    485,
-    /* VMOVDQU32Z128mr */
-  },
-  { /* 4173 */
-    507,
-    /* VMOVDQU32Z128mrk */
-  },
-  { /* 4174 */
-    487,
-    /* VMOVDQU32Z128rm */
-  },
   { /* 4175 */
-    508,
-    /* VMOVDQU32Z128rmk */
+    535,
+    /* VMOVDQU16Z256rrk */
   },
   { /* 4176 */
-    509,
-    /* VMOVDQU32Z128rmkz */
+    0,
+    /*  */
   },
   { /* 4177 */
-    490,
-    /* VMOVDQU32Z128rr */
+    536,
+    /* VMOVDQU16Z256rrkz */
   },
   { /* 4178 */
     0,
     /*  */
   },
   { /* 4179 */
-    510,
-    /* VMOVDQU32Z128rrk */
+    504,
+    /* VMOVDQU16Zmr */
   },
   { /* 4180 */
-    0,
-    /*  */
+    537,
+    /* VMOVDQU16Zmrk */
   },
   { /* 4181 */
-    511,
-    /* VMOVDQU32Z128rrkz */
+    378,
+    /* VMOVDQU16Zrm */
   },
   { /* 4182 */
+    538,
+    /* VMOVDQU16Zrmk */
+  },
+  { /* 4183 */
+    539,
+    /* VMOVDQU16Zrmkz */
+  },
+  { /* 4184 */
+    379,
+    /* VMOVDQU16Zrr */
+  },
+  { /* 4185 */
     0,
     /*  */
   },
-  { /* 4183 */
-    493,
-    /* VMOVDQU32Z256mr */
-  },
-  { /* 4184 */
-    512,
-    /* VMOVDQU32Z256mrk */
-  },
-  { /* 4185 */
-    495,
-    /* VMOVDQU32Z256rm */
-  },
   { /* 4186 */
-    513,
-    /* VMOVDQU32Z256rmk */
+    540,
+    /* VMOVDQU16Zrrk */
   },
   { /* 4187 */
-    514,
-    /* VMOVDQU32Z256rmkz */
+    0,
+    /*  */
   },
   { /* 4188 */
-    498,
-    /* VMOVDQU32Z256rr */
+    541,
+    /* VMOVDQU16Zrrkz */
   },
   { /* 4189 */
     0,
     /*  */
   },
   { /* 4190 */
-    515,
-    /* VMOVDQU32Z256rrk */
+    488,
+    /* VMOVDQU32Z128mr */
   },
   { /* 4191 */
-    0,
-    /*  */
+    510,
+    /* VMOVDQU32Z128mrk */
   },
   { /* 4192 */
-    516,
-    /* VMOVDQU32Z256rrkz */
+    490,
+    /* VMOVDQU32Z128rm */
   },
   { /* 4193 */
+    511,
+    /* VMOVDQU32Z128rmk */
+  },
+  { /* 4194 */
+    512,
+    /* VMOVDQU32Z128rmkz */
+  },
+  { /* 4195 */
+    493,
+    /* VMOVDQU32Z128rr */
+  },
+  { /* 4196 */
     0,
     /*  */
   },
-  { /* 4194 */
-    501,
-    /* VMOVDQU32Zmr */
-  },
-  { /* 4195 */
-    517,
-    /* VMOVDQU32Zmrk */
-  },
-  { /* 4196 */
-    377,
-    /* VMOVDQU32Zrm */
-  },
   { /* 4197 */
-    518,
-    /* VMOVDQU32Zrmk */
+    513,
+    /* VMOVDQU32Z128rrk */
   },
   { /* 4198 */
-    519,
-    /* VMOVDQU32Zrmkz */
+    0,
+    /*  */
   },
   { /* 4199 */
-    378,
-    /* VMOVDQU32Zrr */
+    514,
+    /* VMOVDQU32Z128rrkz */
   },
   { /* 4200 */
     0,
     /*  */
   },
   { /* 4201 */
-    520,
-    /* VMOVDQU32Zrrk */
+    496,
+    /* VMOVDQU32Z256mr */
   },
   { /* 4202 */
-    0,
-    /*  */
+    515,
+    /* VMOVDQU32Z256mrk */
   },
   { /* 4203 */
-    521,
-    /* VMOVDQU32Zrrkz */
+    498,
+    /* VMOVDQU32Z256rm */
   },
   { /* 4204 */
+    516,
+    /* VMOVDQU32Z256rmk */
+  },
+  { /* 4205 */
+    517,
+    /* VMOVDQU32Z256rmkz */
+  },
+  { /* 4206 */
+    501,
+    /* VMOVDQU32Z256rr */
+  },
+  { /* 4207 */
     0,
     /*  */
   },
-  { /* 4205 */
-    485,
-    /* VMOVDQU64Z128mr */
-  },
-  { /* 4206 */
-    486,
-    /* VMOVDQU64Z128mrk */
-  },
-  { /* 4207 */
-    487,
-    /* VMOVDQU64Z128rm */
-  },
   { /* 4208 */
-    488,
-    /* VMOVDQU64Z128rmk */
+    518,
+    /* VMOVDQU32Z256rrk */
   },
   { /* 4209 */
-    489,
-    /* VMOVDQU64Z128rmkz */
+    0,
+    /*  */
   },
   { /* 4210 */
-    490,
-    /* VMOVDQU64Z128rr */
+    519,
+    /* VMOVDQU32Z256rrkz */
   },
   { /* 4211 */
     0,
     /*  */
   },
   { /* 4212 */
-    491,
-    /* VMOVDQU64Z128rrk */
+    504,
+    /* VMOVDQU32Zmr */
   },
   { /* 4213 */
-    0,
-    /*  */
+    520,
+    /* VMOVDQU32Zmrk */
   },
   { /* 4214 */
-    492,
-    /* VMOVDQU64Z128rrkz */
+    378,
+    /* VMOVDQU32Zrm */
   },
   { /* 4215 */
+    521,
+    /* VMOVDQU32Zrmk */
+  },
+  { /* 4216 */
+    522,
+    /* VMOVDQU32Zrmkz */
+  },
+  { /* 4217 */
+    379,
+    /* VMOVDQU32Zrr */
+  },
+  { /* 4218 */
     0,
     /*  */
   },
-  { /* 4216 */
-    493,
-    /* VMOVDQU64Z256mr */
-  },
-  { /* 4217 */
-    494,
-    /* VMOVDQU64Z256mrk */
-  },
-  { /* 4218 */
-    495,
-    /* VMOVDQU64Z256rm */
-  },
   { /* 4219 */
-    496,
-    /* VMOVDQU64Z256rmk */
+    523,
+    /* VMOVDQU32Zrrk */
   },
   { /* 4220 */
-    497,
-    /* VMOVDQU64Z256rmkz */
+    0,
+    /*  */
   },
   { /* 4221 */
-    498,
-    /* VMOVDQU64Z256rr */
+    524,
+    /* VMOVDQU32Zrrkz */
   },
   { /* 4222 */
     0,
     /*  */
   },
   { /* 4223 */
-    499,
-    /* VMOVDQU64Z256rrk */
+    488,
+    /* VMOVDQU64Z128mr */
   },
   { /* 4224 */
-    0,
-    /*  */
+    489,
+    /* VMOVDQU64Z128mrk */
   },
   { /* 4225 */
-    500,
-    /* VMOVDQU64Z256rrkz */
+    490,
+    /* VMOVDQU64Z128rm */
   },
   { /* 4226 */
+    491,
+    /* VMOVDQU64Z128rmk */
+  },
+  { /* 4227 */
+    492,
+    /* VMOVDQU64Z128rmkz */
+  },
+  { /* 4228 */
+    493,
+    /* VMOVDQU64Z128rr */
+  },
+  { /* 4229 */
     0,
     /*  */
   },
-  { /* 4227 */
-    501,
-    /* VMOVDQU64Zmr */
-  },
-  { /* 4228 */
-    502,
-    /* VMOVDQU64Zmrk */
-  },
-  { /* 4229 */
-    377,
-    /* VMOVDQU64Zrm */
-  },
   { /* 4230 */
-    503,
-    /* VMOVDQU64Zrmk */
+    494,
+    /* VMOVDQU64Z128rrk */
   },
   { /* 4231 */
-    504,
-    /* VMOVDQU64Zrmkz */
+    0,
+    /*  */
   },
   { /* 4232 */
-    378,
-    /* VMOVDQU64Zrr */
+    495,
+    /* VMOVDQU64Z128rrkz */
   },
   { /* 4233 */
     0,
     /*  */
   },
   { /* 4234 */
-    505,
-    /* VMOVDQU64Zrrk */
+    496,
+    /* VMOVDQU64Z256mr */
   },
   { /* 4235 */
-    0,
-    /*  */
+    497,
+    /* VMOVDQU64Z256mrk */
   },
   { /* 4236 */
-    506,
-    /* VMOVDQU64Zrrkz */
+    498,
+    /* VMOVDQU64Z256rm */
   },
   { /* 4237 */
+    499,
+    /* VMOVDQU64Z256rmk */
+  },
+  { /* 4238 */
+    500,
+    /* VMOVDQU64Z256rmkz */
+  },
+  { /* 4239 */
+    501,
+    /* VMOVDQU64Z256rr */
+  },
+  { /* 4240 */
     0,
     /*  */
   },
-  { /* 4238 */
-    485,
-    /* VMOVDQU8Z128mr */
-  },
-  { /* 4239 */
-    539,
-    /* VMOVDQU8Z128mrk */
-  },
-  { /* 4240 */
-    487,
-    /* VMOVDQU8Z128rm */
-  },
   { /* 4241 */
-    540,
-    /* VMOVDQU8Z128rmk */
+    502,
+    /* VMOVDQU64Z256rrk */
   },
   { /* 4242 */
-    541,
-    /* VMOVDQU8Z128rmkz */
+    0,
+    /*  */
   },
   { /* 4243 */
-    490,
-    /* VMOVDQU8Z128rr */
+    503,
+    /* VMOVDQU64Z256rrkz */
   },
   { /* 4244 */
     0,
     /*  */
   },
   { /* 4245 */
-    542,
-    /* VMOVDQU8Z128rrk */
+    504,
+    /* VMOVDQU64Zmr */
   },
   { /* 4246 */
-    0,
-    /*  */
+    505,
+    /* VMOVDQU64Zmrk */
   },
   { /* 4247 */
-    543,
-    /* VMOVDQU8Z128rrkz */
+    378,
+    /* VMOVDQU64Zrm */
   },
   { /* 4248 */
+    506,
+    /* VMOVDQU64Zrmk */
+  },
+  { /* 4249 */
+    507,
+    /* VMOVDQU64Zrmkz */
+  },
+  { /* 4250 */
+    379,
+    /* VMOVDQU64Zrr */
+  },
+  { /* 4251 */
     0,
     /*  */
   },
-  { /* 4249 */
-    493,
-    /* VMOVDQU8Z256mr */
-  },
-  { /* 4250 */
-    544,
-    /* VMOVDQU8Z256mrk */
-  },
-  { /* 4251 */
-    495,
-    /* VMOVDQU8Z256rm */
-  },
   { /* 4252 */
-    545,
-    /* VMOVDQU8Z256rmk */
+    508,
+    /* VMOVDQU64Zrrk */
   },
   { /* 4253 */
-    546,
-    /* VMOVDQU8Z256rmkz */
+    0,
+    /*  */
   },
   { /* 4254 */
-    498,
-    /* VMOVDQU8Z256rr */
+    509,
+    /* VMOVDQU64Zrrkz */
   },
   { /* 4255 */
     0,
     /*  */
   },
   { /* 4256 */
-    547,
-    /* VMOVDQU8Z256rrk */
+    488,
+    /* VMOVDQU8Z128mr */
   },
   { /* 4257 */
-    0,
-    /*  */
+    542,
+    /* VMOVDQU8Z128mrk */
   },
   { /* 4258 */
-    548,
-    /* VMOVDQU8Z256rrkz */
+    490,
+    /* VMOVDQU8Z128rm */
   },
   { /* 4259 */
+    543,
+    /* VMOVDQU8Z128rmk */
+  },
+  { /* 4260 */
+    544,
+    /* VMOVDQU8Z128rmkz */
+  },
+  { /* 4261 */
+    493,
+    /* VMOVDQU8Z128rr */
+  },
+  { /* 4262 */
     0,
     /*  */
   },
-  { /* 4260 */
-    501,
-    /* VMOVDQU8Zmr */
-  },
-  { /* 4261 */
-    549,
-    /* VMOVDQU8Zmrk */
-  },
-  { /* 4262 */
-    377,
-    /* VMOVDQU8Zrm */
-  },
   { /* 4263 */
-    550,
-    /* VMOVDQU8Zrmk */
+    545,
+    /* VMOVDQU8Z128rrk */
   },
   { /* 4264 */
-    551,
-    /* VMOVDQU8Zrmkz */
+    0,
+    /*  */
   },
   { /* 4265 */
-    378,
-    /* VMOVDQU8Zrr */
+    546,
+    /* VMOVDQU8Z128rrkz */
   },
   { /* 4266 */
     0,
     /*  */
   },
   { /* 4267 */
-    552,
-    /* VMOVDQU8Zrrk */
+    496,
+    /* VMOVDQU8Z256mr */
   },
   { /* 4268 */
-    0,
-    /*  */
+    547,
+    /* VMOVDQU8Z256mrk */
   },
   { /* 4269 */
-    553,
-    /* VMOVDQU8Zrrkz */
+    498,
+    /* VMOVDQU8Z256rm */
   },
   { /* 4270 */
+    548,
+    /* VMOVDQU8Z256rmk */
+  },
+  { /* 4271 */
+    549,
+    /* VMOVDQU8Z256rmkz */
+  },
+  { /* 4272 */
+    501,
+    /* VMOVDQU8Z256rr */
+  },
+  { /* 4273 */
     0,
     /*  */
   },
-  { /* 4271 */
-    483,
-    /* VMOVDQUYmr */
-  },
-  { /* 4272 */
-    375,
-    /* VMOVDQUYrm */
-  },
-  { /* 4273 */
-    376,
-    /* VMOVDQUYrr */
-  },
   { /* 4274 */
-    484,
-    /* VMOVDQUYrr_REV */
+    550,
+    /* VMOVDQU8Z256rrk */
   },
   { /* 4275 */
-    242,
-    /* VMOVDQUmr */
+    0,
+    /*  */
   },
   { /* 4276 */
-    42,
-    /* VMOVDQUrm */
+    551,
+    /* VMOVDQU8Z256rrkz */
   },
   { /* 4277 */
-    43,
-    /* VMOVDQUrr */
+    0,
+    /*  */
   },
   { /* 4278 */
-    243,
-    /* VMOVDQUrr_REV */
+    504,
+    /* VMOVDQU8Zmr */
   },
   { /* 4279 */
-    554,
-    /* VMOVHLPSZrr */
+    552,
+    /* VMOVDQU8Zmrk */
   },
   { /* 4280 */
-    312,
-    /* VMOVHLPSrr */
+    378,
+    /* VMOVDQU8Zrm */
   },
   { /* 4281 */
-    245,
-    /* VMOVHPDmr */
+    553,
+    /* VMOVDQU8Zrmk */
   },
   { /* 4282 */
-    555,
-    /* VMOVHPDrm */
+    554,
+    /* VMOVDQU8Zrmkz */
   },
   { /* 4283 */
-    245,
-    /* VMOVHPSmr */
+    379,
+    /* VMOVDQU8Zrr */
   },
   { /* 4284 */
-    555,
-    /* VMOVHPSrm */
+    0,
+    /*  */
   },
   { /* 4285 */
-    554,
-    /* VMOVLHPSZrr */
+    555,
+    /* VMOVDQU8Zrrk */
   },
   { /* 4286 */
-    312,
-    /* VMOVLHPSrr */
+    0,
+    /*  */
   },
   { /* 4287 */
-    245,
-    /* VMOVLPDmr */
+    556,
+    /* VMOVDQU8Zrrkz */
   },
   { /* 4288 */
-    555,
-    /* VMOVLPDrm */
+    0,
+    /*  */
   },
   { /* 4289 */
-    245,
-    /* VMOVLPSmr */
+    486,
+    /* VMOVDQUYmr */
   },
   { /* 4290 */
-    555,
-    /* VMOVLPSrm */
+    376,
+    /* VMOVDQUYrm */
   },
   { /* 4291 */
-    556,
-    /* VMOVMSKPDYrr */
+    377,
+    /* VMOVDQUYrr */
   },
   { /* 4292 */
-    109,
-    /* VMOVMSKPDrr */
+    487,
+    /* VMOVDQUYrr_REV */
   },
   { /* 4293 */
-    556,
-    /* VMOVMSKPSYrr */
+    243,
+    /* VMOVDQUmr */
   },
   { /* 4294 */
-    109,
-    /* VMOVMSKPSrr */
+    44,
+    /* VMOVDQUrm */
   },
   { /* 4295 */
-    375,
-    /* VMOVNTDQAYrm */
+    45,
+    /* VMOVDQUrr */
   },
   { /* 4296 */
-    377,
-    /* VMOVNTDQAZrm */
+    244,
+    /* VMOVDQUrr_REV */
   },
   { /* 4297 */
-    42,
-    /* VMOVNTDQArm */
+    557,
+    /* VMOVHLPSZrr */
   },
   { /* 4298 */
-    483,
-    /* VMOVNTDQYmr */
+    313,
+    /* VMOVHLPSrr */
   },
   { /* 4299 */
-    501,
-    /* VMOVNTDQZmr */
+    246,
+    /* VMOVHPDmr */
   },
   { /* 4300 */
-    242,
-    /* VMOVNTDQmr */
+    558,
+    /* VMOVHPDrm */
   },
   { /* 4301 */
-    483,
-    /* VMOVNTPDYmr */
+    246,
+    /* VMOVHPSmr */
   },
   { /* 4302 */
-    501,
-    /* VMOVNTPDZmr */
+    558,
+    /* VMOVHPSrm */
   },
   { /* 4303 */
-    242,
-    /* VMOVNTPDmr */
+    557,
+    /* VMOVLHPSZrr */
   },
   { /* 4304 */
-    483,
-    /* VMOVNTPSYmr */
+    313,
+    /* VMOVLHPSrr */
   },
   { /* 4305 */
-    501,
-    /* VMOVNTPSZmr */
+    246,
+    /* VMOVLPDmr */
   },
   { /* 4306 */
-    242,
-    /* VMOVNTPSmr */
+    558,
+    /* VMOVLPDrm */
   },
   { /* 4307 */
-    557,
-    /* VMOVPDI2DIZmr */
+    246,
+    /* VMOVLPSmr */
   },
   { /* 4308 */
     558,
-    /* VMOVPDI2DIZrr */
+    /* VMOVLPSrm */
   },
   { /* 4309 */
-    249,
-    /* VMOVPDI2DImr */
+    559,
+    /* VMOVMSKPDYrr */
   },
   { /* 4310 */
-    250,
-    /* VMOVPDI2DIrr */
+    110,
+    /* VMOVMSKPDrr */
   },
   { /* 4311 */
-    249,
-    /* VMOVPQI2QImr */
+    559,
+    /* VMOVMSKPSYrr */
   },
   { /* 4312 */
-    243,
-    /* VMOVPQI2QIrr */
+    110,
+    /* VMOVMSKPSrr */
   },
   { /* 4313 */
-    559,
-    /* VMOVPQIto64Zmr */
+    376,
+    /* VMOVNTDQAYrm */
   },
   { /* 4314 */
-    560,
-    /* VMOVPQIto64Zrr */
+    490,
+    /* VMOVNTDQAZ128rm */
   },
   { /* 4315 */
-    251,
-    /* VMOVPQIto64rr */
+    498,
+    /* VMOVNTDQAZ256rm */
   },
   { /* 4316 */
-    561,
-    /* VMOVQI2PQIZrm */
+    378,
+    /* VMOVNTDQAZrm */
   },
   { /* 4317 */
-    104,
-    /* VMOVQI2PQIrm */
+    44,
+    /* VMOVNTDQArm */
   },
   { /* 4318 */
-    562,
-    /* VMOVSDZmr */
+    486,
+    /* VMOVNTDQYmr */
   },
   { /* 4319 */
-    563,
-    /* VMOVSDZrm */
+    488,
+    /* VMOVNTDQZ128mr */
   },
   { /* 4320 */
-    564,
-    /* VMOVSDZrr */
+    496,
+    /* VMOVNTDQZ256mr */
   },
   { /* 4321 */
-    565,
-    /* VMOVSDZrr_REV */
+    504,
+    /* VMOVNTDQZmr */
   },
   { /* 4322 */
-    566,
-    /* VMOVSDZrrk */
+    243,
+    /* VMOVNTDQmr */
   },
   { /* 4323 */
-    252,
-    /* VMOVSDmr */
+    486,
+    /* VMOVNTPDYmr */
   },
   { /* 4324 */
-    253,
-    /* VMOVSDrm */
+    488,
+    /* VMOVNTPDZ128mr */
   },
   { /* 4325 */
-    567,
-    /* VMOVSDrr */
+    496,
+    /* VMOVNTPDZ256mr */
   },
   { /* 4326 */
-    568,
-    /* VMOVSDrr_REV */
+    504,
+    /* VMOVNTPDZmr */
   },
   { /* 4327 */
-    569,
-    /* VMOVSDto64Zmr */
+    243,
+    /* VMOVNTPDmr */
   },
   { /* 4328 */
-    0,
-    /*  */
+    486,
+    /* VMOVNTPSYmr */
   },
   { /* 4329 */
-    0,
-    /*  */
+    488,
+    /* VMOVNTPSZ128mr */
   },
   { /* 4330 */
-    0,
-    /*  */
+    496,
+    /* VMOVNTPSZ256mr */
   },
   { /* 4331 */
-    375,
-    /* VMOVSHDUPYrm */
+    504,
+    /* VMOVNTPSZmr */
   },
   { /* 4332 */
-    376,
-    /* VMOVSHDUPYrr */
+    243,
+    /* VMOVNTPSmr */
   },
   { /* 4333 */
-    377,
-    /* VMOVSHDUPZrm */
+    560,
+    /* VMOVPDI2DIZmr */
   },
   { /* 4334 */
-    378,
-    /* VMOVSHDUPZrr */
+    561,
+    /* VMOVPDI2DIZrr */
   },
   { /* 4335 */
-    42,
-    /* VMOVSHDUPrm */
+    250,
+    /* VMOVPDI2DImr */
   },
   { /* 4336 */
-    43,
-    /* VMOVSHDUPrr */
+    251,
+    /* VMOVPDI2DIrr */
   },
   { /* 4337 */
-    375,
-    /* VMOVSLDUPYrm */
+    250,
+    /* VMOVPQI2QImr */
   },
   { /* 4338 */
-    376,
-    /* VMOVSLDUPYrr */
+    244,
+    /* VMOVPQI2QIrr */
   },
   { /* 4339 */
-    377,
-    /* VMOVSLDUPZrm */
+    562,
+    /* VMOVPQIto64Zmr */
   },
   { /* 4340 */
-    378,
-    /* VMOVSLDUPZrr */
+    563,
+    /* VMOVPQIto64Zrr */
   },
   { /* 4341 */
-    42,
-    /* VMOVSLDUPrm */
+    252,
+    /* VMOVPQIto64rr */
   },
   { /* 4342 */
-    43,
-    /* VMOVSLDUPrr */
+    564,
+    /* VMOVQI2PQIZrm */
   },
   { /* 4343 */
-    0,
-    /*  */
+    105,
+    /* VMOVQI2PQIrm */
   },
   { /* 4344 */
-    0,
-    /*  */
+    565,
+    /* VMOVSDZmr */
   },
   { /* 4345 */
-    0,
-    /*  */
+    566,
+    /* VMOVSDZrm */
   },
   { /* 4346 */
-    0,
-    /*  */
+    567,
+    /* VMOVSDZrr */
   },
   { /* 4347 */
-    570,
-    /* VMOVSSZmr */
+    568,
+    /* VMOVSDZrr_REV */
   },
   { /* 4348 */
-    571,
-    /* VMOVSSZrm */
+    569,
+    /* VMOVSDZrrk */
   },
   { /* 4349 */
-    572,
-    /* VMOVSSZrr */
+    253,
+    /* VMOVSDmr */
   },
   { /* 4350 */
-    573,
-    /* VMOVSSZrr_REV */
+    254,
+    /* VMOVSDrm */
   },
   { /* 4351 */
-    574,
-    /* VMOVSSZrrk */
+    570,
+    /* VMOVSDrr */
   },
   { /* 4352 */
-    256,
-    /* VMOVSSmr */
+    571,
+    /* VMOVSDrr_REV */
   },
   { /* 4353 */
-    257,
-    /* VMOVSSrm */
+    572,
+    /* VMOVSDto64Zmr */
   },
   { /* 4354 */
-    575,
-    /* VMOVSSrr */
+    0,
+    /*  */
   },
   { /* 4355 */
-    576,
-    /* VMOVSSrr_REV */
+    0,
+    /*  */
   },
   { /* 4356 */
-    483,
-    /* VMOVUPDYmr */
+    0,
+    /*  */
   },
   { /* 4357 */
-    375,
-    /* VMOVUPDYrm */
+    376,
+    /* VMOVSHDUPYrm */
   },
   { /* 4358 */
-    376,
-    /* VMOVUPDYrr */
+    377,
+    /* VMOVSHDUPYrr */
   },
   { /* 4359 */
-    484,
-    /* VMOVUPDYrr_REV */
+    378,
+    /* VMOVSHDUPZrm */
   },
   { /* 4360 */
-    485,
-    /* VMOVUPDZ128mr */
+    379,
+    /* VMOVSHDUPZrr */
   },
   { /* 4361 */
-    486,
-    /* VMOVUPDZ128mrk */
+    44,
+    /* VMOVSHDUPrm */
   },
   { /* 4362 */
-    487,
-    /* VMOVUPDZ128rm */
+    45,
+    /* VMOVSHDUPrr */
   },
   { /* 4363 */
-    488,
-    /* VMOVUPDZ128rmk */
+    376,
+    /* VMOVSLDUPYrm */
   },
   { /* 4364 */
-    489,
-    /* VMOVUPDZ128rmkz */
+    377,
+    /* VMOVSLDUPYrr */
   },
   { /* 4365 */
-    490,
-    /* VMOVUPDZ128rr */
+    378,
+    /* VMOVSLDUPZrm */
   },
   { /* 4366 */
-    0,
-    /*  */
+    379,
+    /* VMOVSLDUPZrr */
   },
   { /* 4367 */
-    491,
-    /* VMOVUPDZ128rrk */
+    44,
+    /* VMOVSLDUPrm */
   },
   { /* 4368 */
-    0,
-    /*  */
+    45,
+    /* VMOVSLDUPrr */
   },
   { /* 4369 */
-    492,
-    /* VMOVUPDZ128rrkz */
+    0,
+    /*  */
   },
   { /* 4370 */
     0,
     /*  */
   },
   { /* 4371 */
-    493,
-    /* VMOVUPDZ256mr */
+    0,
+    /*  */
   },
   { /* 4372 */
-    494,
-    /* VMOVUPDZ256mrk */
+    0,
+    /*  */
   },
   { /* 4373 */
-    495,
-    /* VMOVUPDZ256rm */
+    573,
+    /* VMOVSSZmr */
   },
   { /* 4374 */
-    496,
-    /* VMOVUPDZ256rmk */
+    574,
+    /* VMOVSSZrm */
   },
   { /* 4375 */
-    497,
-    /* VMOVUPDZ256rmkz */
+    575,
+    /* VMOVSSZrr */
   },
   { /* 4376 */
-    498,
-    /* VMOVUPDZ256rr */
+    576,
+    /* VMOVSSZrr_REV */
   },
   { /* 4377 */
-    0,
-    /*  */
+    577,
+    /* VMOVSSZrrk */
   },
   { /* 4378 */
-    499,
-    /* VMOVUPDZ256rrk */
+    257,
+    /* VMOVSSmr */
   },
   { /* 4379 */
-    0,
-    /*  */
+    258,
+    /* VMOVSSrm */
   },
   { /* 4380 */
-    500,
-    /* VMOVUPDZ256rrkz */
+    578,
+    /* VMOVSSrr */
   },
   { /* 4381 */
-    0,
-    /*  */
+    579,
+    /* VMOVSSrr_REV */
   },
   { /* 4382 */
-    501,
-    /* VMOVUPDZmr */
+    486,
+    /* VMOVUPDYmr */
   },
   { /* 4383 */
-    502,
-    /* VMOVUPDZmrk */
+    376,
+    /* VMOVUPDYrm */
   },
   { /* 4384 */
     377,
-    /* VMOVUPDZrm */
+    /* VMOVUPDYrr */
   },
   { /* 4385 */
-    503,
-    /* VMOVUPDZrmk */
+    487,
+    /* VMOVUPDYrr_REV */
   },
   { /* 4386 */
-    504,
-    /* VMOVUPDZrmkz */
+    488,
+    /* VMOVUPDZ128mr */
   },
   { /* 4387 */
-    378,
-    /* VMOVUPDZrr */
+    489,
+    /* VMOVUPDZ128mrk */
   },
   { /* 4388 */
-    0,
-    /*  */
+    490,
+    /* VMOVUPDZ128rm */
   },
   { /* 4389 */
-    505,
-    /* VMOVUPDZrrk */
+    491,
+    /* VMOVUPDZ128rmk */
   },
   { /* 4390 */
-    0,
-    /*  */
+    492,
+    /* VMOVUPDZ128rmkz */
   },
   { /* 4391 */
-    506,
-    /* VMOVUPDZrrkz */
+    493,
+    /* VMOVUPDZ128rr */
   },
   { /* 4392 */
     0,
     /*  */
   },
   { /* 4393 */
-    242,
-    /* VMOVUPDmr */
+    494,
+    /* VMOVUPDZ128rrk */
   },
   { /* 4394 */
-    42,
-    /* VMOVUPDrm */
+    0,
+    /*  */
   },
   { /* 4395 */
-    43,
-    /* VMOVUPDrr */
+    495,
+    /* VMOVUPDZ128rrkz */
   },
   { /* 4396 */
-    243,
-    /* VMOVUPDrr_REV */
+    0,
+    /*  */
   },
   { /* 4397 */
-    483,
-    /* VMOVUPSYmr */
+    496,
+    /* VMOVUPDZ256mr */
   },
   { /* 4398 */
-    375,
-    /* VMOVUPSYrm */
+    497,
+    /* VMOVUPDZ256mrk */
   },
   { /* 4399 */
-    376,
-    /* VMOVUPSYrr */
+    498,
+    /* VMOVUPDZ256rm */
   },
   { /* 4400 */
-    484,
-    /* VMOVUPSYrr_REV */
+    499,
+    /* VMOVUPDZ256rmk */
   },
   { /* 4401 */
-    485,
-    /* VMOVUPSZ128mr */
+    500,
+    /* VMOVUPDZ256rmkz */
   },
   { /* 4402 */
-    507,
-    /* VMOVUPSZ128mrk */
+    501,
+    /* VMOVUPDZ256rr */
   },
   { /* 4403 */
-    487,
-    /* VMOVUPSZ128rm */
+    0,
+    /*  */
   },
   { /* 4404 */
-    508,
-    /* VMOVUPSZ128rmk */
+    502,
+    /* VMOVUPDZ256rrk */
   },
   { /* 4405 */
-    509,
-    /* VMOVUPSZ128rmkz */
+    0,
+    /*  */
   },
   { /* 4406 */
-    490,
-    /* VMOVUPSZ128rr */
+    503,
+    /* VMOVUPDZ256rrkz */
   },
   { /* 4407 */
     0,
     /*  */
   },
   { /* 4408 */
-    510,
-    /* VMOVUPSZ128rrk */
+    504,
+    /* VMOVUPDZmr */
   },
   { /* 4409 */
-    0,
-    /*  */
+    505,
+    /* VMOVUPDZmrk */
   },
   { /* 4410 */
-    511,
-    /* VMOVUPSZ128rrkz */
+    378,
+    /* VMOVUPDZrm */
   },
   { /* 4411 */
+    506,
+    /* VMOVUPDZrmk */
+  },
+  { /* 4412 */
+    507,
+    /* VMOVUPDZrmkz */
+  },
+  { /* 4413 */
+    379,
+    /* VMOVUPDZrr */
+  },
+  { /* 4414 */
     0,
     /*  */
   },
-  { /* 4412 */
-    493,
-    /* VMOVUPSZ256mr */
-  },
-  { /* 4413 */
-    512,
-    /* VMOVUPSZ256mrk */
-  },
-  { /* 4414 */
-    495,
-    /* VMOVUPSZ256rm */
-  },
   { /* 4415 */
-    513,
-    /* VMOVUPSZ256rmk */
+    508,
+    /* VMOVUPDZrrk */
   },
   { /* 4416 */
-    514,
-    /* VMOVUPSZ256rmkz */
+    0,
+    /*  */
   },
   { /* 4417 */
-    498,
-    /* VMOVUPSZ256rr */
+    509,
+    /* VMOVUPDZrrkz */
   },
   { /* 4418 */
     0,
     /*  */
   },
   { /* 4419 */
-    515,
-    /* VMOVUPSZ256rrk */
+    243,
+    /* VMOVUPDmr */
   },
   { /* 4420 */
-    0,
-    /*  */
+    44,
+    /* VMOVUPDrm */
   },
   { /* 4421 */
-    516,
-    /* VMOVUPSZ256rrkz */
+    45,
+    /* VMOVUPDrr */
   },
   { /* 4422 */
-    0,
-    /*  */
+    244,
+    /* VMOVUPDrr_REV */
   },
   { /* 4423 */
-    501,
-    /* VMOVUPSZmr */
+    486,
+    /* VMOVUPSYmr */
   },
   { /* 4424 */
-    517,
-    /* VMOVUPSZmrk */
+    376,
+    /* VMOVUPSYrm */
   },
   { /* 4425 */
     377,
-    /* VMOVUPSZrm */
+    /* VMOVUPSYrr */
   },
   { /* 4426 */
-    518,
-    /* VMOVUPSZrmk */
+    487,
+    /* VMOVUPSYrr_REV */
   },
   { /* 4427 */
-    519,
-    /* VMOVUPSZrmkz */
+    488,
+    /* VMOVUPSZ128mr */
   },
   { /* 4428 */
-    378,
-    /* VMOVUPSZrr */
+    510,
+    /* VMOVUPSZ128mrk */
   },
   { /* 4429 */
-    0,
-    /*  */
+    490,
+    /* VMOVUPSZ128rm */
   },
   { /* 4430 */
-    520,
-    /* VMOVUPSZrrk */
+    511,
+    /* VMOVUPSZ128rmk */
   },
   { /* 4431 */
-    0,
-    /*  */
+    512,
+    /* VMOVUPSZ128rmkz */
   },
   { /* 4432 */
-    521,
-    /* VMOVUPSZrrkz */
+    493,
+    /* VMOVUPSZ128rr */
   },
   { /* 4433 */
     0,
     /*  */
   },
   { /* 4434 */
-    242,
-    /* VMOVUPSmr */
+    513,
+    /* VMOVUPSZ128rrk */
   },
   { /* 4435 */
-    42,
-    /* VMOVUPSrm */
+    0,
+    /*  */
   },
   { /* 4436 */
-    43,
-    /* VMOVUPSrr */
+    514,
+    /* VMOVUPSZ128rrkz */
   },
   { /* 4437 */
-    243,
-    /* VMOVUPSrr_REV */
+    0,
+    /*  */
   },
   { /* 4438 */
-    370,
-    /* VMOVZPQILo2PQIZrm */
+    496,
+    /* VMOVUPSZ256mr */
   },
   { /* 4439 */
-    490,
-    /* VMOVZPQILo2PQIZrr */
+    515,
+    /* VMOVUPSZ256mrk */
   },
   { /* 4440 */
-    0,
-    /*  */
+    498,
+    /* VMOVUPSZ256rm */
   },
   { /* 4441 */
-    43,
-    /* VMOVZPQILo2PQIrr */
+    516,
+    /* VMOVUPSZ256rmk */
   },
   { /* 4442 */
-    0,
-    /*  */
+    517,
+    /* VMOVUPSZ256rmkz */
   },
   { /* 4443 */
+    501,
+    /* VMOVUPSZ256rr */
+  },
+  { /* 4444 */
     0,
     /*  */
   },
-  { /* 4444 */
-    331,
-    /* VMPSADBWYrmi */
-  },
   { /* 4445 */
-    332,
-    /* VMPSADBWYrri */
+    518,
+    /* VMOVUPSZ256rrk */
   },
   { /* 4446 */
-    333,
-    /* VMPSADBWrmi */
+    0,
+    /*  */
   },
   { /* 4447 */
-    334,
-    /* VMPSADBWrri */
+    519,
+    /* VMOVUPSZ256rrkz */
   },
   { /* 4448 */
-    40,
-    /* VMPTRLDm */
+    0,
+    /*  */
   },
   { /* 4449 */
-    40,
-    /* VMPTRSTm */
+    504,
+    /* VMOVUPSZmr */
   },
   { /* 4450 */
-    247,
-    /* VMREAD32rm */
+    520,
+    /* VMOVUPSZmrk */
   },
   { /* 4451 */
-    577,
-    /* VMREAD32rr */
+    378,
+    /* VMOVUPSZrm */
   },
   { /* 4452 */
-    16,
-    /* VMREAD64rm */
+    521,
+    /* VMOVUPSZrmk */
   },
   { /* 4453 */
-    74,
-    /* VMREAD64rr */
+    522,
+    /* VMOVUPSZrmkz */
   },
   { /* 4454 */
-    0,
-    /* VMRESUME */
+    379,
+    /* VMOVUPSZrr */
   },
   { /* 4455 */
     0,
-    /* VMRUN32 */
+    /*  */
   },
   { /* 4456 */
-    0,
-    /* VMRUN64 */
+    523,
+    /* VMOVUPSZrrk */
   },
   { /* 4457 */
     0,
-    /* VMSAVE32 */
+    /*  */
   },
   { /* 4458 */
+    524,
+    /* VMOVUPSZrrkz */
+  },
+  { /* 4459 */
+    0,
+    /*  */
+  },
+  { /* 4460 */
+    243,
+    /* VMOVUPSmr */
+  },
+  { /* 4461 */
+    44,
+    /* VMOVUPSrm */
+  },
+  { /* 4462 */
+    45,
+    /* VMOVUPSrr */
+  },
+  { /* 4463 */
+    244,
+    /* VMOVUPSrr_REV */
+  },
+  { /* 4464 */
+    371,
+    /* VMOVZPQILo2PQIZrm */
+  },
+  { /* 4465 */
+    493,
+    /* VMOVZPQILo2PQIZrr */
+  },
+  { /* 4466 */
+    0,
+    /*  */
+  },
+  { /* 4467 */
+    45,
+    /* VMOVZPQILo2PQIrr */
+  },
+  { /* 4468 */
+    0,
+    /*  */
+  },
+  { /* 4469 */
+    0,
+    /*  */
+  },
+  { /* 4470 */
+    332,
+    /* VMPSADBWYrmi */
+  },
+  { /* 4471 */
+    333,
+    /* VMPSADBWYrri */
+  },
+  { /* 4472 */
+    334,
+    /* VMPSADBWrmi */
+  },
+  { /* 4473 */
+    335,
+    /* VMPSADBWrri */
+  },
+  { /* 4474 */
+    38,
+    /* VMPTRLDm */
+  },
+  { /* 4475 */
+    38,
+    /* VMPTRSTm */
+  },
+  { /* 4476 */
+    248,
+    /* VMREAD32rm */
+  },
+  { /* 4477 */
+    580,
+    /* VMREAD32rr */
+  },
+  { /* 4478 */
+    16,
+    /* VMREAD64rm */
+  },
+  { /* 4479 */
+    76,
+    /* VMREAD64rr */
+  },
+  { /* 4480 */
+    0,
+    /* VMRESUME */
+  },
+  { /* 4481 */
+    0,
+    /* VMRUN32 */
+  },
+  { /* 4482 */
+    0,
+    /* VMRUN64 */
+  },
+  { /* 4483 */
+    0,
+    /* VMSAVE32 */
+  },
+  { /* 4484 */
     0,
     /* VMSAVE64 */
   },
-  { /* 4459 */
-    303,
+  { /* 4485 */
+    304,
     /* VMULPDYrm */
   },
-  { /* 4460 */
-    304,
+  { /* 4486 */
+    305,
     /* VMULPDYrr */
   },
-  { /* 4461 */
-    305,
+  { /* 4487 */
+    306,
     /* VMULPDZrm */
   },
-  { /* 4462 */
-    306,
+  { /* 4488 */
+    307,
     /* VMULPDZrmb */
   },
-  { /* 4463 */
-    307,
+  { /* 4489 */
+    308,
     /* VMULPDZrmbk */
   },
-  { /* 4464 */
-    307,
+  { /* 4490 */
+    308,
     /* VMULPDZrmbkz */
   },
-  { /* 4465 */
-    308,
+  { /* 4491 */
+    309,
     /* VMULPDZrmk */
   },
-  { /* 4466 */
-    308,
+  { /* 4492 */
+    309,
     /* VMULPDZrmkz */
   },
-  { /* 4467 */
-    309,
+  { /* 4493 */
+    310,
     /* VMULPDZrr */
   },
-  { /* 4468 */
-    310,
+  { /* 4494 */
+    311,
     /* VMULPDZrrk */
   },
-  { /* 4469 */
-    310,
+  { /* 4495 */
+    311,
     /* VMULPDZrrkz */
   },
-  { /* 4470 */
-    311,
+  { /* 4496 */
+    312,
     /* VMULPDrm */
   },
-  { /* 4471 */
-    312,
+  { /* 4497 */
+    313,
     /* VMULPDrr */
   },
-  { /* 4472 */
-    303,
+  { /* 4498 */
+    304,
     /* VMULPSYrm */
   },
-  { /* 4473 */
-    304,
+  { /* 4499 */
+    305,
     /* VMULPSYrr */
   },
-  { /* 4474 */
-    305,
+  { /* 4500 */
+    306,
     /* VMULPSZrm */
   },
-  { /* 4475 */
-    313,
+  { /* 4501 */
+    314,
     /* VMULPSZrmb */
   },
-  { /* 4476 */
-    314,
+  { /* 4502 */
+    315,
     /* VMULPSZrmbk */
   },
-  { /* 4477 */
-    314,
+  { /* 4503 */
+    315,
     /* VMULPSZrmbkz */
   },
-  { /* 4478 */
-    315,
+  { /* 4504 */
+    316,
     /* VMULPSZrmk */
   },
-  { /* 4479 */
-    315,
+  { /* 4505 */
+    316,
     /* VMULPSZrmkz */
   },
-  { /* 4480 */
-    309,
+  { /* 4506 */
+    310,
     /* VMULPSZrr */
   },
-  { /* 4481 */
-    316,
+  { /* 4507 */
+    317,
     /* VMULPSZrrk */
   },
-  { /* 4482 */
-    316,
+  { /* 4508 */
+    317,
     /* VMULPSZrrkz */
   },
-  { /* 4483 */
-    311,
+  { /* 4509 */
+    312,
     /* VMULPSrm */
   },
-  { /* 4484 */
-    312,
+  { /* 4510 */
+    313,
     /* VMULPSrr */
   },
-  { /* 4485 */
-    317,
+  { /* 4511 */
+    318,
     /* VMULSDZrm */
   },
-  { /* 4486 */
-    318,
+  { /* 4512 */
+    319,
     /* VMULSDZrr */
   },
-  { /* 4487 */
-    319,
+  { /* 4513 */
+    320,
     /* VMULSDrm */
   },
-  { /* 4488 */
-    0,
-    /*  */
-  },
-  { /* 4489 */
-    320,
-    /* VMULSDrr */
-  },
-  { /* 4490 */
-    0,
-    /*  */
-  },
-  { /* 4491 */
-    321,
-    /* VMULSSZrm */
-  },
-  { /* 4492 */
-    322,
-    /* VMULSSZrr */
-  },
-  { /* 4493 */
-    323,
-    /* VMULSSrm */
-  },
-  { /* 4494 */
-    0,
-    /*  */
-  },
-  { /* 4495 */
-    324,
-    /* VMULSSrr */
-  },
-  { /* 4496 */
-    0,
-    /*  */
-  },
-  { /* 4497 */
-    28,
-    /* VMWRITE32rm */
-  },
-  { /* 4498 */
-    29,
-    /* VMWRITE32rr */
-  },
-  { /* 4499 */
-    30,
-    /* VMWRITE64rm */
-  },
-  { /* 4500 */
-    31,
-    /* VMWRITE64rr */
-  },
-  { /* 4501 */
-    0,
-    /* VMXOFF */
-  },
-  { /* 4502 */
-    40,
-    /* VMXON */
-  },
-  { /* 4503 */
-    303,
-    /* VORPDYrm */
-  },
-  { /* 4504 */
-    304,
-    /* VORPDYrr */
-  },
-  { /* 4505 */
-    311,
-    /* VORPDrm */
-  },
-  { /* 4506 */
-    312,
-    /* VORPDrr */
-  },
-  { /* 4507 */
-    303,
-    /* VORPSYrm */
-  },
-  { /* 4508 */
-    304,
-    /* VORPSYrr */
-  },
-  { /* 4509 */
-    311,
-    /* VORPSrm */
-  },
-  { /* 4510 */
-    312,
-    /* VORPSrr */
-  },
-  { /* 4511 */
-    42,
-    /* VPABSBrm128 */
-  },
-  { /* 4512 */
-    375,
-    /* VPABSBrm256 */
-  },
-  { /* 4513 */
-    43,
-    /* VPABSBrr128 */
-  },
   { /* 4514 */
-    376,
-    /* VPABSBrr256 */
+    0,
+    /*  */
   },
   { /* 4515 */
-    377,
-    /* VPABSDZrm */
+    321,
+    /* VMULSDrr */
   },
   { /* 4516 */
-    578,
-    /* VPABSDZrmb */
+    0,
+    /*  */
   },
   { /* 4517 */
-    579,
-    /* VPABSDZrmbk */
+    322,
+    /* VMULSSZrm */
   },
   { /* 4518 */
-    579,
-    /* VPABSDZrmbkz */
+    323,
+    /* VMULSSZrr */
   },
   { /* 4519 */
-    519,
-    /* VPABSDZrmk */
+    324,
+    /* VMULSSrm */
   },
   { /* 4520 */
-    519,
-    /* VPABSDZrmkz */
+    0,
+    /*  */
   },
   { /* 4521 */
-    378,
-    /* VPABSDZrr */
+    325,
+    /* VMULSSrr */
   },
   { /* 4522 */
-    521,
-    /* VPABSDZrrk */
+    0,
+    /*  */
   },
   { /* 4523 */
-    521,
-    /* VPABSDZrrkz */
+    40,
+    /* VMWRITE32rm */
   },
   { /* 4524 */
-    42,
-    /* VPABSDrm128 */
+    41,
+    /* VMWRITE32rr */
   },
   { /* 4525 */
-    375,
-    /* VPABSDrm256 */
+    42,
+    /* VMWRITE64rm */
   },
   { /* 4526 */
     43,
-    /* VPABSDrr128 */
+    /* VMWRITE64rr */
   },
   { /* 4527 */
-    376,
-    /* VPABSDrr256 */
+    0,
+    /* VMXOFF */
   },
   { /* 4528 */
-    377,
-    /* VPABSQZrm */
+    38,
+    /* VMXON */
   },
   { /* 4529 */
-    580,
-    /* VPABSQZrmb */
+    304,
+    /* VORPDYrm */
   },
   { /* 4530 */
-    581,
-    /* VPABSQZrmbk */
+    305,
+    /* VORPDYrr */
   },
   { /* 4531 */
-    581,
-    /* VPABSQZrmbkz */
+    312,
+    /* VORPDrm */
   },
   { /* 4532 */
-    504,
-    /* VPABSQZrmk */
+    313,
+    /* VORPDrr */
   },
   { /* 4533 */
-    504,
-    /* VPABSQZrmkz */
+    304,
+    /* VORPSYrm */
   },
   { /* 4534 */
-    378,
-    /* VPABSQZrr */
+    305,
+    /* VORPSYrr */
   },
   { /* 4535 */
-    506,
-    /* VPABSQZrrk */
+    312,
+    /* VORPSrm */
   },
   { /* 4536 */
-    506,
-    /* VPABSQZrrkz */
+    313,
+    /* VORPSrr */
   },
   { /* 4537 */
-    42,
-    /* VPABSWrm128 */
+    44,
+    /* VPABSBrm128 */
   },
   { /* 4538 */
-    375,
-    /* VPABSWrm256 */
+    376,
+    /* VPABSBrm256 */
   },
   { /* 4539 */
-    43,
-    /* VPABSWrr128 */
+    45,
+    /* VPABSBrr128 */
   },
   { /* 4540 */
-    376,
-    /* VPABSWrr256 */
+    377,
+    /* VPABSBrr256 */
   },
   { /* 4541 */
-    303,
-    /* VPACKSSDWYrm */
+    378,
+    /* VPABSDZrm */
   },
   { /* 4542 */
-    304,
-    /* VPACKSSDWYrr */
+    581,
+    /* VPABSDZrmb */
   },
   { /* 4543 */
-    311,
-    /* VPACKSSDWrm */
+    582,
+    /* VPABSDZrmbk */
   },
   { /* 4544 */
-    312,
-    /* VPACKSSDWrr */
+    582,
+    /* VPABSDZrmbkz */
   },
   { /* 4545 */
-    303,
-    /* VPACKSSWBYrm */
+    522,
+    /* VPABSDZrmk */
   },
   { /* 4546 */
-    304,
-    /* VPACKSSWBYrr */
+    522,
+    /* VPABSDZrmkz */
   },
   { /* 4547 */
-    311,
-    /* VPACKSSWBrm */
+    379,
+    /* VPABSDZrr */
   },
   { /* 4548 */
-    312,
-    /* VPACKSSWBrr */
+    524,
+    /* VPABSDZrrk */
   },
   { /* 4549 */
-    303,
-    /* VPACKUSDWYrm */
+    524,
+    /* VPABSDZrrkz */
   },
   { /* 4550 */
-    304,
-    /* VPACKUSDWYrr */
+    44,
+    /* VPABSDrm128 */
   },
   { /* 4551 */
-    311,
-    /* VPACKUSDWrm */
+    376,
+    /* VPABSDrm256 */
   },
   { /* 4552 */
-    312,
-    /* VPACKUSDWrr */
+    45,
+    /* VPABSDrr128 */
   },
   { /* 4553 */
-    303,
-    /* VPACKUSWBYrm */
+    377,
+    /* VPABSDrr256 */
   },
   { /* 4554 */
-    304,
-    /* VPACKUSWBYrr */
+    378,
+    /* VPABSQZrm */
   },
   { /* 4555 */
-    311,
-    /* VPACKUSWBrm */
+    583,
+    /* VPABSQZrmb */
   },
   { /* 4556 */
-    312,
-    /* VPACKUSWBrr */
+    584,
+    /* VPABSQZrmbk */
   },
   { /* 4557 */
-    303,
-    /* VPADDBYrm */
+    584,
+    /* VPABSQZrmbkz */
   },
   { /* 4558 */
-    304,
-    /* VPADDBYrr */
+    507,
+    /* VPABSQZrmk */
   },
   { /* 4559 */
-    311,
-    /* VPADDBrm */
+    507,
+    /* VPABSQZrmkz */
   },
   { /* 4560 */
-    312,
-    /* VPADDBrr */
+    379,
+    /* VPABSQZrr */
   },
   { /* 4561 */
-    303,
-    /* VPADDDYrm */
+    509,
+    /* VPABSQZrrk */
   },
   { /* 4562 */
-    304,
-    /* VPADDDYrr */
+    509,
+    /* VPABSQZrrkz */
   },
   { /* 4563 */
-    305,
-    /* VPADDDZrm */
+    44,
+    /* VPABSWrm128 */
   },
   { /* 4564 */
-    582,
-    /* VPADDDZrmb */
+    376,
+    /* VPABSWrm256 */
   },
   { /* 4565 */
-    583,
-    /* VPADDDZrmbk */
+    45,
+    /* VPABSWrr128 */
   },
   { /* 4566 */
-    584,
-    /* VPADDDZrmbkz */
+    377,
+    /* VPABSWrr256 */
   },
   { /* 4567 */
-    585,
-    /* VPADDDZrmk */
+    304,
+    /* VPACKSSDWYrm */
   },
   { /* 4568 */
-    315,
-    /* VPADDDZrmkz */
+    305,
+    /* VPACKSSDWYrr */
   },
   { /* 4569 */
-    309,
-    /* VPADDDZrr */
+    312,
+    /* VPACKSSDWrm */
   },
   { /* 4570 */
-    586,
-    /* VPADDDZrrk */
+    313,
+    /* VPACKSSDWrr */
   },
   { /* 4571 */
-    316,
-    /* VPADDDZrrkz */
+    304,
+    /* VPACKSSWBYrm */
   },
   { /* 4572 */
-    311,
-    /* VPADDDrm */
+    305,
+    /* VPACKSSWBYrr */
   },
   { /* 4573 */
     312,
-    /* VPADDDrr */
+    /* VPACKSSWBrm */
   },
   { /* 4574 */
-    303,
-    /* VPADDQYrm */
+    313,
+    /* VPACKSSWBrr */
   },
   { /* 4575 */
     304,
-    /* VPADDQYrr */
+    /* VPACKUSDWYrm */
   },
   { /* 4576 */
     305,
-    /* VPADDQZrm */
+    /* VPACKUSDWYrr */
   },
   { /* 4577 */
-    587,
-    /* VPADDQZrmb */
+    312,
+    /* VPACKUSDWrm */
   },
   { /* 4578 */
-    588,
-    /* VPADDQZrmbk */
+    313,
+    /* VPACKUSDWrr */
   },
   { /* 4579 */
-    589,
-    /* VPADDQZrmbkz */
+    304,
+    /* VPACKUSWBYrm */
   },
   { /* 4580 */
-    590,
-    /* VPADDQZrmk */
+    305,
+    /* VPACKUSWBYrr */
   },
   { /* 4581 */
-    308,
-    /* VPADDQZrmkz */
+    312,
+    /* VPACKUSWBrm */
   },
   { /* 4582 */
-    309,
-    /* VPADDQZrr */
+    313,
+    /* VPACKUSWBrr */
   },
   { /* 4583 */
-    591,
-    /* VPADDQZrrk */
+    304,
+    /* VPADDBYrm */
   },
   { /* 4584 */
-    310,
-    /* VPADDQZrrkz */
+    305,
+    /* VPADDBYrr */
   },
   { /* 4585 */
-    311,
-    /* VPADDQrm */
+    312,
+    /* VPADDBrm */
   },
   { /* 4586 */
-    312,
-    /* VPADDQrr */
+    313,
+    /* VPADDBrr */
   },
   { /* 4587 */
-    303,
-    /* VPADDSBYrm */
+    304,
+    /* VPADDDYrm */
   },
   { /* 4588 */
-    304,
-    /* VPADDSBYrr */
+    305,
+    /* VPADDDYrr */
   },
   { /* 4589 */
-    311,
-    /* VPADDSBrm */
+    306,
+    /* VPADDDZrm */
   },
   { /* 4590 */
-    312,
-    /* VPADDSBrr */
+    585,
+    /* VPADDDZrmb */
   },
   { /* 4591 */
-    303,
-    /* VPADDSWYrm */
+    586,
+    /* VPADDDZrmbk */
   },
   { /* 4592 */
-    304,
-    /* VPADDSWYrr */
+    587,
+    /* VPADDDZrmbkz */
   },
   { /* 4593 */
-    311,
-    /* VPADDSWrm */
+    588,
+    /* VPADDDZrmk */
   },
   { /* 4594 */
-    312,
-    /* VPADDSWrr */
+    316,
+    /* VPADDDZrmkz */
   },
   { /* 4595 */
-    303,
-    /* VPADDUSBYrm */
+    310,
+    /* VPADDDZrr */
   },
   { /* 4596 */
-    304,
-    /* VPADDUSBYrr */
+    439,
+    /* VPADDDZrrk */
   },
   { /* 4597 */
-    311,
-    /* VPADDUSBrm */
+    317,
+    /* VPADDDZrrkz */
   },
   { /* 4598 */
     312,
-    /* VPADDUSBrr */
+    /* VPADDDrm */
   },
   { /* 4599 */
-    303,
-    /* VPADDUSWYrm */
+    313,
+    /* VPADDDrr */
   },
   { /* 4600 */
     304,
-    /* VPADDUSWYrr */
+    /* VPADDQYrm */
   },
   { /* 4601 */
-    311,
-    /* VPADDUSWrm */
+    305,
+    /* VPADDQYrr */
   },
   { /* 4602 */
-    312,
-    /* VPADDUSWrr */
+    306,
+    /* VPADDQZrm */
   },
   { /* 4603 */
-    303,
-    /* VPADDWYrm */
+    589,
+    /* VPADDQZrmb */
   },
   { /* 4604 */
-    304,
-    /* VPADDWYrr */
+    590,
+    /* VPADDQZrmbk */
   },
   { /* 4605 */
-    311,
-    /* VPADDWrm */
+    591,
+    /* VPADDQZrmbkz */
   },
   { /* 4606 */
-    312,
-    /* VPADDWrr */
+    592,
+    /* VPADDQZrmk */
   },
   { /* 4607 */
-    592,
-    /* VPALIGNR128rm */
+    309,
+    /* VPADDQZrmkz */
   },
   { /* 4608 */
-    593,
-    /* VPALIGNR128rr */
+    310,
+    /* VPADDQZrr */
   },
   { /* 4609 */
-    594,
-    /* VPALIGNR256rm */
+    438,
+    /* VPADDQZrrk */
   },
   { /* 4610 */
-    595,
-    /* VPALIGNR256rr */
+    311,
+    /* VPADDQZrrkz */
   },
   { /* 4611 */
-    305,
-    /* VPANDDZrm */
+    312,
+    /* VPADDQrm */
   },
   { /* 4612 */
-    582,
-    /* VPANDDZrmb */
+    313,
+    /* VPADDQrr */
   },
   { /* 4613 */
-    583,
-    /* VPANDDZrmbk */
+    304,
+    /* VPADDSBYrm */
   },
   { /* 4614 */
-    584,
-    /* VPANDDZrmbkz */
+    305,
+    /* VPADDSBYrr */
   },
   { /* 4615 */
-    585,
-    /* VPANDDZrmk */
+    312,
+    /* VPADDSBrm */
   },
   { /* 4616 */
-    315,
-    /* VPANDDZrmkz */
+    313,
+    /* VPADDSBrr */
   },
   { /* 4617 */
-    309,
-    /* VPANDDZrr */
+    304,
+    /* VPADDSWYrm */
   },
   { /* 4618 */
-    586,
-    /* VPANDDZrrk */
+    305,
+    /* VPADDSWYrr */
   },
   { /* 4619 */
-    316,
-    /* VPANDDZrrkz */
+    312,
+    /* VPADDSWrm */
   },
   { /* 4620 */
-    305,
-    /* VPANDNDZrm */
+    313,
+    /* VPADDSWrr */
   },
   { /* 4621 */
-    582,
-    /* VPANDNDZrmb */
+    304,
+    /* VPADDUSBYrm */
   },
   { /* 4622 */
-    583,
-    /* VPANDNDZrmbk */
+    305,
+    /* VPADDUSBYrr */
   },
   { /* 4623 */
-    584,
-    /* VPANDNDZrmbkz */
+    312,
+    /* VPADDUSBrm */
   },
   { /* 4624 */
-    585,
-    /* VPANDNDZrmk */
+    313,
+    /* VPADDUSBrr */
   },
   { /* 4625 */
-    315,
-    /* VPANDNDZrmkz */
+    304,
+    /* VPADDUSWYrm */
   },
   { /* 4626 */
-    309,
-    /* VPANDNDZrr */
+    305,
+    /* VPADDUSWYrr */
   },
   { /* 4627 */
-    586,
-    /* VPANDNDZrrk */
+    312,
+    /* VPADDUSWrm */
   },
   { /* 4628 */
-    316,
-    /* VPANDNDZrrkz */
+    313,
+    /* VPADDUSWrr */
   },
   { /* 4629 */
-    305,
-    /* VPANDNQZrm */
+    304,
+    /* VPADDWYrm */
   },
   { /* 4630 */
-    587,
-    /* VPANDNQZrmb */
+    305,
+    /* VPADDWYrr */
   },
   { /* 4631 */
-    588,
-    /* VPANDNQZrmbk */
+    312,
+    /* VPADDWrm */
   },
   { /* 4632 */
-    589,
-    /* VPANDNQZrmbkz */
+    313,
+    /* VPADDWrr */
   },
   { /* 4633 */
-    590,
-    /* VPANDNQZrmk */
+    593,
+    /* VPALIGNR128rm */
   },
   { /* 4634 */
-    308,
-    /* VPANDNQZrmkz */
+    594,
+    /* VPALIGNR128rr */
   },
   { /* 4635 */
-    309,
-    /* VPANDNQZrr */
+    595,
+    /* VPALIGNR256rm */
   },
   { /* 4636 */
-    591,
-    /* VPANDNQZrrk */
+    596,
+    /* VPALIGNR256rr */
   },
   { /* 4637 */
-    310,
-    /* VPANDNQZrrkz */
+    306,
+    /* VPANDDZrm */
   },
   { /* 4638 */
-    303,
-    /* VPANDNYrm */
+    585,
+    /* VPANDDZrmb */
   },
   { /* 4639 */
-    304,
-    /* VPANDNYrr */
+    586,
+    /* VPANDDZrmbk */
   },
   { /* 4640 */
-    311,
-    /* VPANDNrm */
+    587,
+    /* VPANDDZrmbkz */
   },
   { /* 4641 */
-    312,
-    /* VPANDNrr */
+    588,
+    /* VPANDDZrmk */
   },
   { /* 4642 */
-    305,
-    /* VPANDQZrm */
+    316,
+    /* VPANDDZrmkz */
   },
   { /* 4643 */
-    587,
-    /* VPANDQZrmb */
+    310,
+    /* VPANDDZrr */
   },
   { /* 4644 */
-    588,
-    /* VPANDQZrmbk */
+    439,
+    /* VPANDDZrrk */
   },
   { /* 4645 */
-    589,
-    /* VPANDQZrmbkz */
+    317,
+    /* VPANDDZrrkz */
   },
   { /* 4646 */
-    590,
-    /* VPANDQZrmk */
+    306,
+    /* VPANDNDZrm */
   },
   { /* 4647 */
-    308,
-    /* VPANDQZrmkz */
+    585,
+    /* VPANDNDZrmb */
   },
   { /* 4648 */
-    309,
-    /* VPANDQZrr */
+    586,
+    /* VPANDNDZrmbk */
   },
   { /* 4649 */
-    591,
-    /* VPANDQZrrk */
+    587,
+    /* VPANDNDZrmbkz */
   },
   { /* 4650 */
-    310,
-    /* VPANDQZrrkz */
+    588,
+    /* VPANDNDZrmk */
   },
   { /* 4651 */
-    303,
-    /* VPANDYrm */
+    316,
+    /* VPANDNDZrmkz */
   },
   { /* 4652 */
-    304,
-    /* VPANDYrr */
+    310,
+    /* VPANDNDZrr */
   },
   { /* 4653 */
-    311,
-    /* VPANDrm */
+    439,
+    /* VPANDNDZrrk */
   },
   { /* 4654 */
-    312,
-    /* VPANDrr */
+    317,
+    /* VPANDNDZrrkz */
   },
   { /* 4655 */
-    303,
-    /* VPAVGBYrm */
+    306,
+    /* VPANDNQZrm */
   },
   { /* 4656 */
-    304,
-    /* VPAVGBYrr */
+    589,
+    /* VPANDNQZrmb */
   },
   { /* 4657 */
-    311,
-    /* VPAVGBrm */
+    590,
+    /* VPANDNQZrmbk */
   },
   { /* 4658 */
-    312,
-    /* VPAVGBrr */
+    591,
+    /* VPANDNQZrmbkz */
   },
   { /* 4659 */
-    303,
-    /* VPAVGWYrm */
+    592,
+    /* VPANDNQZrmk */
   },
   { /* 4660 */
-    304,
-    /* VPAVGWYrr */
+    309,
+    /* VPANDNQZrmkz */
   },
   { /* 4661 */
-    311,
-    /* VPAVGWrm */
+    310,
+    /* VPANDNQZrr */
   },
   { /* 4662 */
-    312,
-    /* VPAVGWrr */
+    438,
+    /* VPANDNQZrrk */
   },
   { /* 4663 */
-    331,
-    /* VPBLENDDYrmi */
+    311,
+    /* VPANDNQZrrkz */
   },
   { /* 4664 */
-    332,
-    /* VPBLENDDYrri */
+    304,
+    /* VPANDNYrm */
   },
   { /* 4665 */
-    333,
-    /* VPBLENDDrmi */
+    305,
+    /* VPANDNYrr */
   },
   { /* 4666 */
-    334,
-    /* VPBLENDDrri */
+    312,
+    /* VPANDNrm */
   },
   { /* 4667 */
-    315,
-    /* VPBLENDMDZrm */
+    313,
+    /* VPANDNrr */
   },
   { /* 4668 */
-    316,
-    /* VPBLENDMDZrr */
+    306,
+    /* VPANDQZrm */
   },
   { /* 4669 */
-    308,
-    /* VPBLENDMQZrm */
+    589,
+    /* VPANDQZrmb */
   },
   { /* 4670 */
-    310,
-    /* VPBLENDMQZrr */
+    590,
+    /* VPANDQZrmbk */
   },
   { /* 4671 */
-    335,
-    /* VPBLENDVBYrm */
+    591,
+    /* VPANDQZrmbkz */
   },
   { /* 4672 */
-    336,
-    /* VPBLENDVBYrr */
+    592,
+    /* VPANDQZrmk */
   },
   { /* 4673 */
-    337,
-    /* VPBLENDVBrm */
+    309,
+    /* VPANDQZrmkz */
   },
   { /* 4674 */
-    338,
-    /* VPBLENDVBrr */
+    310,
+    /* VPANDQZrr */
   },
   { /* 4675 */
-    331,
-    /* VPBLENDWYrmi */
+    438,
+    /* VPANDQZrrk */
   },
   { /* 4676 */
-    332,
-    /* VPBLENDWYrri */
+    311,
+    /* VPANDQZrrkz */
   },
   { /* 4677 */
-    333,
-    /* VPBLENDWrmi */
+    304,
+    /* VPANDYrm */
   },
   { /* 4678 */
-    334,
-    /* VPBLENDWrri */
+    305,
+    /* VPANDYrr */
   },
   { /* 4679 */
-    596,
-    /* VPBROADCASTBYrm */
+    312,
+    /* VPANDrm */
   },
   { /* 4680 */
-    345,
-    /* VPBROADCASTBYrr */
+    313,
+    /* VPANDrr */
   },
   { /* 4681 */
-    597,
-    /* VPBROADCASTBrm */
+    304,
+    /* VPAVGBYrm */
   },
   { /* 4682 */
-    43,
-    /* VPBROADCASTBrr */
+    305,
+    /* VPAVGBYrr */
   },
   { /* 4683 */
-    598,
-    /* VPBROADCASTDYrm */
+    312,
+    /* VPAVGBrm */
   },
   { /* 4684 */
-    345,
-    /* VPBROADCASTDYrr */
+    313,
+    /* VPAVGBrr */
   },
   { /* 4685 */
-    579,
-    /* VPBROADCASTDZkrm */
+    304,
+    /* VPAVGWYrm */
   },
   { /* 4686 */
-    599,
-    /* VPBROADCASTDZkrr */
+    305,
+    /* VPAVGWYrr */
   },
   { /* 4687 */
-    578,
-    /* VPBROADCASTDZrm */
+    312,
+    /* VPAVGWrm */
   },
   { /* 4688 */
-    350,
-    /* VPBROADCASTDZrr */
+    313,
+    /* VPAVGWrr */
   },
   { /* 4689 */
-    600,
-    /* VPBROADCASTDrZkrr */
+    332,
+    /* VPBLENDDYrmi */
   },
   { /* 4690 */
-    601,
-    /* VPBROADCASTDrZrr */
+    333,
+    /* VPBLENDDYrri */
   },
   { /* 4691 */
-    104,
-    /* VPBROADCASTDrm */
+    334,
+    /* VPBLENDDrmi */
   },
   { /* 4692 */
-    43,
-    /* VPBROADCASTDrr */
+    335,
+    /* VPBLENDDrri */
   },
   { /* 4693 */
-    602,
-    /* VPBROADCASTMB2Qrr */
+    316,
+    /* VPBLENDMDZrm */
   },
   { /* 4694 */
-    603,
-    /* VPBROADCASTMW2Drr */
+    317,
+    /* VPBLENDMDZrr */
   },
   { /* 4695 */
-    598,
-    /* VPBROADCASTQYrm */
+    309,
+    /* VPBLENDMQZrm */
   },
   { /* 4696 */
-    345,
-    /* VPBROADCASTQYrr */
+    311,
+    /* VPBLENDMQZrr */
   },
   { /* 4697 */
-    581,
-    /* VPBROADCASTQZkrm */
+    336,
+    /* VPBLENDVBYrm */
   },
   { /* 4698 */
-    604,
-    /* VPBROADCASTQZkrr */
+    337,
+    /* VPBLENDVBYrr */
   },
   { /* 4699 */
-    580,
-    /* VPBROADCASTQZrm */
+    338,
+    /* VPBLENDVBrm */
   },
   { /* 4700 */
-    347,
-    /* VPBROADCASTQZrr */
+    339,
+    /* VPBLENDVBrr */
   },
   { /* 4701 */
-    605,
-    /* VPBROADCASTQrZkrr */
+    332,
+    /* VPBLENDWYrmi */
   },
   { /* 4702 */
-    606,
-    /* VPBROADCASTQrZrr */
+    333,
+    /* VPBLENDWYrri */
   },
   { /* 4703 */
-    104,
-    /* VPBROADCASTQrm */
+    334,
+    /* VPBLENDWrmi */
   },
   { /* 4704 */
-    43,
-    /* VPBROADCASTQrr */
+    335,
+    /* VPBLENDWrri */
   },
   { /* 4705 */
-    598,
-    /* VPBROADCASTWYrm */
+    597,
+    /* VPBROADCASTBYrm */
   },
   { /* 4706 */
-    345,
-    /* VPBROADCASTWYrr */
+    346,
+    /* VPBROADCASTBYrr */
   },
   { /* 4707 */
-    104,
-    /* VPBROADCASTWrm */
+    598,
+    /* VPBROADCASTBrm */
   },
   { /* 4708 */
-    43,
-    /* VPBROADCASTWrr */
+    45,
+    /* VPBROADCASTBrr */
   },
   { /* 4709 */
-    592,
-    /* VPCLMULQDQrm */
+    599,
+    /* VPBROADCASTDYrm */
   },
   { /* 4710 */
-    593,
-    /* VPCLMULQDQrr */
+    346,
+    /* VPBROADCASTDYrr */
   },
   { /* 4711 */
-    337,
-    /* VPCMOVmr */
+    582,
+    /* VPBROADCASTDZkrm */
   },
   { /* 4712 */
-    335,
-    /* VPCMOVmrY */
+    600,
+    /* VPBROADCASTDZkrr */
   },
   { /* 4713 */
-    437,
-    /* VPCMOVrm */
+    581,
+    /* VPBROADCASTDZrm */
   },
   { /* 4714 */
-    438,
-    /* VPCMOVrmY */
+    351,
+    /* VPBROADCASTDZrr */
   },
   { /* 4715 */
-    338,
-    /* VPCMOVrr */
+    601,
+    /* VPBROADCASTDrZkrr */
   },
   { /* 4716 */
-    336,
-    /* VPCMOVrrY */
+    602,
+    /* VPBROADCASTDrZrr */
   },
   { /* 4717 */
-    359,
-    /* VPCMPDZrmi */
+    105,
+    /* VPBROADCASTDrm */
   },
   { /* 4718 */
-    0,
-    /*  */
+    45,
+    /* VPBROADCASTDrr */
   },
   { /* 4719 */
-    0,
-    /*  */
+    603,
+    /* VPBROADCASTMB2Qrr */
   },
   { /* 4720 */
-    360,
-    /* VPCMPDZrri */
+    604,
+    /* VPBROADCASTMW2Drr */
   },
   { /* 4721 */
-    0,
-    /*  */
+    599,
+    /* VPBROADCASTQYrm */
   },
   { /* 4722 */
-    0,
-    /*  */
+    346,
+    /* VPBROADCASTQYrr */
   },
   { /* 4723 */
-    303,
-    /* VPCMPEQBYrm */
+    584,
+    /* VPBROADCASTQZkrm */
   },
   { /* 4724 */
-    304,
-    /* VPCMPEQBYrr */
+    605,
+    /* VPBROADCASTQZkrr */
   },
   { /* 4725 */
-    311,
-    /* VPCMPEQBrm */
+    583,
+    /* VPBROADCASTQZrm */
   },
   { /* 4726 */
-    312,
-    /* VPCMPEQBrr */
+    348,
+    /* VPBROADCASTQZrr */
   },
   { /* 4727 */
-    303,
-    /* VPCMPEQDYrm */
+    606,
+    /* VPBROADCASTQrZkrr */
   },
   { /* 4728 */
-    304,
-    /* VPCMPEQDYrr */
+    607,
+    /* VPBROADCASTQrZrr */
   },
   { /* 4729 */
-    607,
-    /* VPCMPEQDZrm */
+    105,
+    /* VPBROADCASTQrm */
   },
   { /* 4730 */
-    608,
-    /* VPCMPEQDZrr */
+    45,
+    /* VPBROADCASTQrr */
   },
   { /* 4731 */
-    311,
-    /* VPCMPEQDrm */
+    599,
+    /* VPBROADCASTWYrm */
   },
   { /* 4732 */
-    312,
-    /* VPCMPEQDrr */
+    346,
+    /* VPBROADCASTWYrr */
   },
   { /* 4733 */
-    303,
-    /* VPCMPEQQYrm */
+    105,
+    /* VPBROADCASTWrm */
   },
   { /* 4734 */
-    304,
-    /* VPCMPEQQYrr */
+    45,
+    /* VPBROADCASTWrr */
   },
   { /* 4735 */
-    609,
-    /* VPCMPEQQZrm */
+    593,
+    /* VPCLMULQDQrm */
   },
   { /* 4736 */
-    610,
-    /* VPCMPEQQZrr */
+    594,
+    /* VPCLMULQDQrr */
   },
   { /* 4737 */
-    311,
-    /* VPCMPEQQrm */
+    338,
+    /* VPCMOVmr */
   },
   { /* 4738 */
-    312,
-    /* VPCMPEQQrr */
+    336,
+    /* VPCMOVmrY */
   },
   { /* 4739 */
-    303,
-    /* VPCMPEQWYrm */
+    440,
+    /* VPCMOVrm */
   },
   { /* 4740 */
-    304,
-    /* VPCMPEQWYrr */
+    441,
+    /* VPCMOVrmY */
   },
   { /* 4741 */
-    311,
-    /* VPCMPEQWrm */
+    339,
+    /* VPCMOVrr */
   },
   { /* 4742 */
-    312,
-    /* VPCMPEQWrr */
+    337,
+    /* VPCMOVrrY */
   },
   { /* 4743 */
-    0,
-    /*  */
+    360,
+    /* VPCMPDZrmi */
   },
   { /* 4744 */
     0,
     /*  */
   },
   { /* 4745 */
-    44,
-    /* VPCMPESTRIrm */
+    0,
+    /*  */
   },
   { /* 4746 */
-    45,
-    /* VPCMPESTRIrr */
+    361,
+    /* VPCMPDZrri */
   },
   { /* 4747 */
     0,
@@ -25832,5490 +26288,6042 @@
     /*  */
   },
   { /* 4749 */
-    44,
-    /* VPCMPESTRM128rm */
+    304,
+    /* VPCMPEQBYrm */
   },
   { /* 4750 */
-    45,
-    /* VPCMPESTRM128rr */
+    305,
+    /* VPCMPEQBYrr */
   },
   { /* 4751 */
-    303,
-    /* VPCMPGTBYrm */
+    608,
+    /* VPCMPEQBZ128rm */
   },
   { /* 4752 */
-    304,
-    /* VPCMPGTBYrr */
+    609,
+    /* VPCMPEQBZ128rmk */
   },
   { /* 4753 */
-    311,
-    /* VPCMPGTBrm */
+    610,
+    /* VPCMPEQBZ128rr */
   },
   { /* 4754 */
-    312,
-    /* VPCMPGTBrr */
+    611,
+    /* VPCMPEQBZ128rrk */
   },
   { /* 4755 */
-    303,
-    /* VPCMPGTDYrm */
+    612,
+    /* VPCMPEQBZ256rm */
   },
   { /* 4756 */
-    304,
-    /* VPCMPGTDYrr */
+    613,
+    /* VPCMPEQBZ256rmk */
   },
   { /* 4757 */
-    607,
-    /* VPCMPGTDZrm */
+    614,
+    /* VPCMPEQBZ256rr */
   },
   { /* 4758 */
-    608,
-    /* VPCMPGTDZrr */
+    615,
+    /* VPCMPEQBZ256rrk */
   },
   { /* 4759 */
-    311,
-    /* VPCMPGTDrm */
+    616,
+    /* VPCMPEQBZrm */
   },
   { /* 4760 */
-    312,
-    /* VPCMPGTDrr */
+    617,
+    /* VPCMPEQBZrmk */
   },
   { /* 4761 */
-    303,
-    /* VPCMPGTQYrm */
+    618,
+    /* VPCMPEQBZrr */
   },
   { /* 4762 */
-    304,
-    /* VPCMPGTQYrr */
+    619,
+    /* VPCMPEQBZrrk */
   },
   { /* 4763 */
-    609,
-    /* VPCMPGTQZrm */
+    312,
+    /* VPCMPEQBrm */
   },
   { /* 4764 */
-    610,
-    /* VPCMPGTQZrr */
+    313,
+    /* VPCMPEQBrr */
   },
   { /* 4765 */
-    311,
-    /* VPCMPGTQrm */
+    304,
+    /* VPCMPEQDYrm */
   },
   { /* 4766 */
-    312,
-    /* VPCMPGTQrr */
+    305,
+    /* VPCMPEQDYrr */
   },
   { /* 4767 */
-    303,
-    /* VPCMPGTWYrm */
+    620,
+    /* VPCMPEQDZ128rm */
   },
   { /* 4768 */
-    304,
-    /* VPCMPGTWYrr */
+    621,
+    /* VPCMPEQDZ128rmb */
   },
   { /* 4769 */
-    311,
-    /* VPCMPGTWrm */
+    622,
+    /* VPCMPEQDZ128rmbk */
   },
   { /* 4770 */
-    312,
-    /* VPCMPGTWrr */
+    623,
+    /* VPCMPEQDZ128rmk */
   },
   { /* 4771 */
-    0,
-    /*  */
+    624,
+    /* VPCMPEQDZ128rr */
   },
   { /* 4772 */
-    0,
-    /*  */
+    625,
+    /* VPCMPEQDZ128rrk */
   },
   { /* 4773 */
-    44,
-    /* VPCMPISTRIrm */
+    626,
+    /* VPCMPEQDZ256rm */
   },
   { /* 4774 */
-    45,
-    /* VPCMPISTRIrr */
+    627,
+    /* VPCMPEQDZ256rmb */
   },
   { /* 4775 */
-    0,
-    /*  */
+    628,
+    /* VPCMPEQDZ256rmbk */
   },
   { /* 4776 */
-    0,
-    /*  */
+    629,
+    /* VPCMPEQDZ256rmk */
   },
   { /* 4777 */
-    44,
-    /* VPCMPISTRM128rm */
+    630,
+    /* VPCMPEQDZ256rr */
   },
   { /* 4778 */
-    45,
-    /* VPCMPISTRM128rr */
+    631,
+    /* VPCMPEQDZ256rrk */
   },
   { /* 4779 */
-    354,
-    /* VPCMPQZrmi */
+    632,
+    /* VPCMPEQDZrm */
   },
   { /* 4780 */
-    0,
-    /*  */
+    633,
+    /* VPCMPEQDZrmb */
   },
   { /* 4781 */
-    0,
-    /*  */
+    634,
+    /* VPCMPEQDZrmbk */
   },
   { /* 4782 */
-    355,
-    /* VPCMPQZrri */
+    635,
+    /* VPCMPEQDZrmk */
   },
   { /* 4783 */
-    0,
-    /*  */
+    636,
+    /* VPCMPEQDZrr */
   },
   { /* 4784 */
-    0,
-    /*  */
+    637,
+    /* VPCMPEQDZrrk */
   },
   { /* 4785 */
-    359,
-    /* VPCMPUDZrmi */
+    312,
+    /* VPCMPEQDrm */
   },
   { /* 4786 */
-    0,
-    /*  */
+    313,
+    /* VPCMPEQDrr */
   },
   { /* 4787 */
-    0,
-    /*  */
+    304,
+    /* VPCMPEQQYrm */
   },
   { /* 4788 */
-    360,
-    /* VPCMPUDZrri */
+    305,
+    /* VPCMPEQQYrr */
   },
   { /* 4789 */
-    0,
-    /*  */
+    638,
+    /* VPCMPEQQZ128rm */
   },
   { /* 4790 */
-    0,
-    /*  */
+    639,
+    /* VPCMPEQQZ128rmb */
   },
   { /* 4791 */
-    354,
-    /* VPCMPUQZrmi */
+    640,
+    /* VPCMPEQQZ128rmbk */
   },
   { /* 4792 */
-    0,
-    /*  */
+    641,
+    /* VPCMPEQQZ128rmk */
   },
   { /* 4793 */
-    0,
-    /*  */
+    642,
+    /* VPCMPEQQZ128rr */
   },
   { /* 4794 */
-    355,
-    /* VPCMPUQZrri */
+    643,
+    /* VPCMPEQQZ128rrk */
   },
   { /* 4795 */
-    0,
-    /*  */
+    644,
+    /* VPCMPEQQZ256rm */
   },
   { /* 4796 */
+    645,
+    /* VPCMPEQQZ256rmb */
+  },
+  { /* 4797 */
+    646,
+    /* VPCMPEQQZ256rmbk */
+  },
+  { /* 4798 */
+    647,
+    /* VPCMPEQQZ256rmk */
+  },
+  { /* 4799 */
+    648,
+    /* VPCMPEQQZ256rr */
+  },
+  { /* 4800 */
+    649,
+    /* VPCMPEQQZ256rrk */
+  },
+  { /* 4801 */
+    650,
+    /* VPCMPEQQZrm */
+  },
+  { /* 4802 */
+    651,
+    /* VPCMPEQQZrmb */
+  },
+  { /* 4803 */
+    652,
+    /* VPCMPEQQZrmbk */
+  },
+  { /* 4804 */
+    653,
+    /* VPCMPEQQZrmk */
+  },
+  { /* 4805 */
+    654,
+    /* VPCMPEQQZrr */
+  },
+  { /* 4806 */
+    655,
+    /* VPCMPEQQZrrk */
+  },
+  { /* 4807 */
+    312,
+    /* VPCMPEQQrm */
+  },
+  { /* 4808 */
+    313,
+    /* VPCMPEQQrr */
+  },
+  { /* 4809 */
+    304,
+    /* VPCMPEQWYrm */
+  },
+  { /* 4810 */
+    305,
+    /* VPCMPEQWYrr */
+  },
+  { /* 4811 */
+    656,
+    /* VPCMPEQWZ128rm */
+  },
+  { /* 4812 */
+    657,
+    /* VPCMPEQWZ128rmk */
+  },
+  { /* 4813 */
+    658,
+    /* VPCMPEQWZ128rr */
+  },
+  { /* 4814 */
+    659,
+    /* VPCMPEQWZ128rrk */
+  },
+  { /* 4815 */
+    660,
+    /* VPCMPEQWZ256rm */
+  },
+  { /* 4816 */
+    661,
+    /* VPCMPEQWZ256rmk */
+  },
+  { /* 4817 */
+    662,
+    /* VPCMPEQWZ256rr */
+  },
+  { /* 4818 */
+    663,
+    /* VPCMPEQWZ256rrk */
+  },
+  { /* 4819 */
+    664,
+    /* VPCMPEQWZrm */
+  },
+  { /* 4820 */
+    665,
+    /* VPCMPEQWZrmk */
+  },
+  { /* 4821 */
+    666,
+    /* VPCMPEQWZrr */
+  },
+  { /* 4822 */
+    667,
+    /* VPCMPEQWZrrk */
+  },
+  { /* 4823 */
+    312,
+    /* VPCMPEQWrm */
+  },
+  { /* 4824 */
+    313,
+    /* VPCMPEQWrr */
+  },
+  { /* 4825 */
     0,
     /*  */
   },
-  { /* 4797 */
-    592,
-    /* VPCOMBmi */
-  },
-  { /* 4798 */
-    593,
-    /* VPCOMBri */
-  },
-  { /* 4799 */
-    592,
-    /* VPCOMDmi */
-  },
-  { /* 4800 */
-    593,
-    /* VPCOMDri */
-  },
-  { /* 4801 */
-    592,
-    /* VPCOMQmi */
-  },
-  { /* 4802 */
-    593,
-    /* VPCOMQri */
-  },
-  { /* 4803 */
-    592,
-    /* VPCOMUBmi */
-  },
-  { /* 4804 */
-    593,
-    /* VPCOMUBri */
-  },
-  { /* 4805 */
-    592,
-    /* VPCOMUDmi */
-  },
-  { /* 4806 */
-    593,
-    /* VPCOMUDri */
-  },
-  { /* 4807 */
-    592,
-    /* VPCOMUQmi */
-  },
-  { /* 4808 */
-    593,
-    /* VPCOMUQri */
-  },
-  { /* 4809 */
-    592,
-    /* VPCOMUWmi */
-  },
-  { /* 4810 */
-    593,
-    /* VPCOMUWri */
-  },
-  { /* 4811 */
-    592,
-    /* VPCOMWmi */
-  },
-  { /* 4812 */
-    593,
-    /* VPCOMWri */
-  },
-  { /* 4813 */
-    377,
-    /* VPCONFLICTDrm */
-  },
-  { /* 4814 */
-    578,
-    /* VPCONFLICTDrmb */
-  },
-  { /* 4815 */
-    611,
-    /* VPCONFLICTDrmbk */
-  },
-  { /* 4816 */
-    579,
-    /* VPCONFLICTDrmbkz */
-  },
-  { /* 4817 */
-    518,
-    /* VPCONFLICTDrmk */
-  },
-  { /* 4818 */
-    519,
-    /* VPCONFLICTDrmkz */
-  },
-  { /* 4819 */
-    378,
-    /* VPCONFLICTDrr */
-  },
-  { /* 4820 */
-    520,
-    /* VPCONFLICTDrrk */
-  },
-  { /* 4821 */
-    521,
-    /* VPCONFLICTDrrkz */
-  },
-  { /* 4822 */
-    377,
-    /* VPCONFLICTQrm */
-  },
-  { /* 4823 */
-    580,
-    /* VPCONFLICTQrmb */
-  },
-  { /* 4824 */
-    612,
-    /* VPCONFLICTQrmbk */
-  },
-  { /* 4825 */
-    581,
-    /* VPCONFLICTQrmbkz */
-  },
   { /* 4826 */
-    503,
-    /* VPCONFLICTQrmk */
+    0,
+    /*  */
   },
   { /* 4827 */
-    504,
-    /* VPCONFLICTQrmkz */
+    46,
+    /* VPCMPESTRIrm */
   },
   { /* 4828 */
-    378,
-    /* VPCONFLICTQrr */
+    47,
+    /* VPCMPESTRIrr */
   },
   { /* 4829 */
-    505,
-    /* VPCONFLICTQrrk */
+    0,
+    /*  */
   },
   { /* 4830 */
-    506,
-    /* VPCONFLICTQrrkz */
+    0,
+    /*  */
   },
   { /* 4831 */
-    594,
-    /* VPERM2F128rm */
+    46,
+    /* VPCMPESTRM128rm */
   },
   { /* 4832 */
-    595,
-    /* VPERM2F128rr */
+    47,
+    /* VPCMPESTRM128rr */
   },
   { /* 4833 */
-    594,
-    /* VPERM2I128rm */
+    304,
+    /* VPCMPGTBYrm */
   },
   { /* 4834 */
-    595,
-    /* VPERM2I128rr */
+    305,
+    /* VPCMPGTBYrr */
   },
   { /* 4835 */
-    303,
-    /* VPERMDYrm */
+    608,
+    /* VPCMPGTBZ128rm */
   },
   { /* 4836 */
-    304,
-    /* VPERMDYrr */
+    609,
+    /* VPCMPGTBZ128rmk */
   },
   { /* 4837 */
-    305,
-    /* VPERMDZrm */
+    610,
+    /* VPCMPGTBZ128rr */
   },
   { /* 4838 */
-    309,
-    /* VPERMDZrr */
+    611,
+    /* VPCMPGTBZ128rrk */
   },
   { /* 4839 */
-    433,
-    /* VPERMI2Drm */
+    612,
+    /* VPCMPGTBZ256rm */
   },
   { /* 4840 */
-    585,
-    /* VPERMI2Drmk */
+    613,
+    /* VPCMPGTBZ256rmk */
   },
   { /* 4841 */
-    585,
-    /* VPERMI2Drmkz */
+    614,
+    /* VPCMPGTBZ256rr */
   },
   { /* 4842 */
-    436,
-    /* VPERMI2Drr */
+    615,
+    /* VPCMPGTBZ256rrk */
   },
   { /* 4843 */
-    586,
-    /* VPERMI2Drrk */
+    616,
+    /* VPCMPGTBZrm */
   },
   { /* 4844 */
-    586,
-    /* VPERMI2Drrkz */
+    617,
+    /* VPCMPGTBZrmk */
   },
   { /* 4845 */
-    433,
-    /* VPERMI2PDrm */
+    618,
+    /* VPCMPGTBZrr */
   },
   { /* 4846 */
-    590,
-    /* VPERMI2PDrmk */
+    619,
+    /* VPCMPGTBZrrk */
   },
   { /* 4847 */
-    590,
-    /* VPERMI2PDrmkz */
+    312,
+    /* VPCMPGTBrm */
   },
   { /* 4848 */
-    436,
-    /* VPERMI2PDrr */
+    313,
+    /* VPCMPGTBrr */
   },
   { /* 4849 */
-    591,
-    /* VPERMI2PDrrk */
+    304,
+    /* VPCMPGTDYrm */
   },
   { /* 4850 */
-    591,
-    /* VPERMI2PDrrkz */
+    305,
+    /* VPCMPGTDYrr */
   },
   { /* 4851 */
-    433,
-    /* VPERMI2PSrm */
+    620,
+    /* VPCMPGTDZ128rm */
   },
   { /* 4852 */
-    585,
-    /* VPERMI2PSrmk */
+    621,
+    /* VPCMPGTDZ128rmb */
   },
   { /* 4853 */
-    585,
-    /* VPERMI2PSrmkz */
+    622,
+    /* VPCMPGTDZ128rmbk */
   },
   { /* 4854 */
-    436,
-    /* VPERMI2PSrr */
+    623,
+    /* VPCMPGTDZ128rmk */
   },
   { /* 4855 */
-    586,
-    /* VPERMI2PSrrk */
+    624,
+    /* VPCMPGTDZ128rr */
   },
   { /* 4856 */
-    586,
-    /* VPERMI2PSrrkz */
+    625,
+    /* VPCMPGTDZ128rrk */
   },
   { /* 4857 */
-    433,
-    /* VPERMI2Qrm */
+    626,
+    /* VPCMPGTDZ256rm */
   },
   { /* 4858 */
-    590,
-    /* VPERMI2Qrmk */
+    627,
+    /* VPCMPGTDZ256rmb */
   },
   { /* 4859 */
-    590,
-    /* VPERMI2Qrmkz */
+    628,
+    /* VPCMPGTDZ256rmbk */
   },
   { /* 4860 */
-    436,
-    /* VPERMI2Qrr */
+    629,
+    /* VPCMPGTDZ256rmk */
   },
   { /* 4861 */
-    591,
-    /* VPERMI2Qrrk */
+    630,
+    /* VPCMPGTDZ256rr */
   },
   { /* 4862 */
-    591,
-    /* VPERMI2Qrrkz */
+    631,
+    /* VPCMPGTDZ256rrk */
   },
   { /* 4863 */
-    613,
-    /* VPERMIL2PDmr */
+    632,
+    /* VPCMPGTDZrm */
   },
   { /* 4864 */
-    614,
-    /* VPERMIL2PDmrY */
+    633,
+    /* VPCMPGTDZrmb */
   },
   { /* 4865 */
-    615,
-    /* VPERMIL2PDrm */
+    634,
+    /* VPCMPGTDZrmbk */
   },
   { /* 4866 */
-    616,
-    /* VPERMIL2PDrmY */
+    635,
+    /* VPCMPGTDZrmk */
   },
   { /* 4867 */
-    617,
-    /* VPERMIL2PDrr */
+    636,
+    /* VPCMPGTDZrr */
   },
   { /* 4868 */
-    618,
-    /* VPERMIL2PDrrY */
+    637,
+    /* VPCMPGTDZrrk */
   },
   { /* 4869 */
-    613,
-    /* VPERMIL2PSmr */
+    312,
+    /* VPCMPGTDrm */
   },
   { /* 4870 */
-    614,
-    /* VPERMIL2PSmrY */
+    313,
+    /* VPCMPGTDrr */
   },
   { /* 4871 */
-    615,
-    /* VPERMIL2PSrm */
+    304,
+    /* VPCMPGTQYrm */
   },
   { /* 4872 */
-    616,
-    /* VPERMIL2PSrmY */
+    305,
+    /* VPCMPGTQYrr */
   },
   { /* 4873 */
-    617,
-    /* VPERMIL2PSrr */
+    638,
+    /* VPCMPGTQZ128rm */
   },
   { /* 4874 */
-    618,
-    /* VPERMIL2PSrrY */
+    639,
+    /* VPCMPGTQZ128rmb */
   },
   { /* 4875 */
-    619,
-    /* VPERMILPDYmi */
+    640,
+    /* VPCMPGTQZ128rmbk */
   },
   { /* 4876 */
-    620,
-    /* VPERMILPDYri */
+    641,
+    /* VPCMPGTQZ128rmk */
   },
   { /* 4877 */
-    303,
-    /* VPERMILPDYrm */
+    642,
+    /* VPCMPGTQZ128rr */
   },
   { /* 4878 */
-    304,
-    /* VPERMILPDYrr */
+    643,
+    /* VPCMPGTQZ128rrk */
   },
   { /* 4879 */
-    621,
-    /* VPERMILPDZmi */
+    644,
+    /* VPCMPGTQZ256rm */
   },
   { /* 4880 */
-    622,
-    /* VPERMILPDZri */
+    645,
+    /* VPCMPGTQZ256rmb */
   },
   { /* 4881 */
-    44,
-    /* VPERMILPDmi */
+    646,
+    /* VPCMPGTQZ256rmbk */
   },
   { /* 4882 */
-    45,
-    /* VPERMILPDri */
+    647,
+    /* VPCMPGTQZ256rmk */
   },
   { /* 4883 */
-    311,
-    /* VPERMILPDrm */
+    648,
+    /* VPCMPGTQZ256rr */
   },
   { /* 4884 */
-    312,
-    /* VPERMILPDrr */
+    649,
+    /* VPCMPGTQZ256rrk */
   },
   { /* 4885 */
-    619,
-    /* VPERMILPSYmi */
+    650,
+    /* VPCMPGTQZrm */
   },
   { /* 4886 */
-    620,
-    /* VPERMILPSYri */
+    651,
+    /* VPCMPGTQZrmb */
   },
   { /* 4887 */
-    303,
-    /* VPERMILPSYrm */
+    652,
+    /* VPCMPGTQZrmbk */
   },
   { /* 4888 */
-    304,
-    /* VPERMILPSYrr */
+    653,
+    /* VPCMPGTQZrmk */
   },
   { /* 4889 */
-    621,
-    /* VPERMILPSZmi */
+    654,
+    /* VPCMPGTQZrr */
   },
   { /* 4890 */
-    622,
-    /* VPERMILPSZri */
+    655,
+    /* VPCMPGTQZrrk */
   },
   { /* 4891 */
-    44,
-    /* VPERMILPSmi */
+    312,
+    /* VPCMPGTQrm */
   },
   { /* 4892 */
-    45,
-    /* VPERMILPSri */
+    313,
+    /* VPCMPGTQrr */
   },
   { /* 4893 */
-    311,
-    /* VPERMILPSrm */
+    304,
+    /* VPCMPGTWYrm */
   },
   { /* 4894 */
-    312,
-    /* VPERMILPSrr */
+    305,
+    /* VPCMPGTWYrr */
   },
   { /* 4895 */
-    619,
-    /* VPERMPDYmi */
+    656,
+    /* VPCMPGTWZ128rm */
   },
   { /* 4896 */
-    620,
-    /* VPERMPDYri */
+    657,
+    /* VPCMPGTWZ128rmk */
   },
   { /* 4897 */
-    621,
-    /* VPERMPDZmi */
+    658,
+    /* VPCMPGTWZ128rr */
   },
   { /* 4898 */
-    622,
-    /* VPERMPDZri */
+    659,
+    /* VPCMPGTWZ128rrk */
   },
   { /* 4899 */
-    305,
-    /* VPERMPDZrm */
+    660,
+    /* VPCMPGTWZ256rm */
   },
   { /* 4900 */
-    309,
-    /* VPERMPDZrr */
+    661,
+    /* VPCMPGTWZ256rmk */
   },
   { /* 4901 */
-    303,
-    /* VPERMPSYrm */
+    662,
+    /* VPCMPGTWZ256rr */
   },
   { /* 4902 */
-    304,
-    /* VPERMPSYrr */
+    663,
+    /* VPCMPGTWZ256rrk */
   },
   { /* 4903 */
-    305,
-    /* VPERMPSZrm */
+    664,
+    /* VPCMPGTWZrm */
   },
   { /* 4904 */
-    309,
-    /* VPERMPSZrr */
+    665,
+    /* VPCMPGTWZrmk */
   },
   { /* 4905 */
-    619,
-    /* VPERMQYmi */
+    666,
+    /* VPCMPGTWZrr */
   },
   { /* 4906 */
-    620,
-    /* VPERMQYri */
+    667,
+    /* VPCMPGTWZrrk */
   },
   { /* 4907 */
-    621,
-    /* VPERMQZmi */
+    312,
+    /* VPCMPGTWrm */
   },
   { /* 4908 */
-    622,
-    /* VPERMQZri */
+    313,
+    /* VPCMPGTWrr */
   },
   { /* 4909 */
-    305,
-    /* VPERMQZrm */
+    0,
+    /*  */
   },
   { /* 4910 */
-    309,
-    /* VPERMQZrr */
+    0,
+    /*  */
   },
   { /* 4911 */
-    433,
-    /* VPERMT2Drm */
+    46,
+    /* VPCMPISTRIrm */
   },
   { /* 4912 */
-    585,
-    /* VPERMT2Drmk */
+    47,
+    /* VPCMPISTRIrr */
   },
   { /* 4913 */
-    585,
-    /* VPERMT2Drmkz */
+    0,
+    /*  */
   },
   { /* 4914 */
-    436,
-    /* VPERMT2Drr */
+    0,
+    /*  */
   },
   { /* 4915 */
-    586,
-    /* VPERMT2Drrk */
+    46,
+    /* VPCMPISTRM128rm */
   },
   { /* 4916 */
-    586,
-    /* VPERMT2Drrkz */
+    47,
+    /* VPCMPISTRM128rr */
   },
   { /* 4917 */
-    433,
-    /* VPERMT2PDrm */
+    355,
+    /* VPCMPQZrmi */
   },
   { /* 4918 */
-    590,
-    /* VPERMT2PDrmk */
+    0,
+    /*  */
   },
   { /* 4919 */
-    590,
-    /* VPERMT2PDrmkz */
+    0,
+    /*  */
   },
   { /* 4920 */
-    436,
-    /* VPERMT2PDrr */
+    356,
+    /* VPCMPQZrri */
   },
   { /* 4921 */
-    591,
-    /* VPERMT2PDrrk */
+    0,
+    /*  */
   },
   { /* 4922 */
-    591,
-    /* VPERMT2PDrrkz */
+    0,
+    /*  */
   },
   { /* 4923 */
-    433,
-    /* VPERMT2PSrm */
+    360,
+    /* VPCMPUDZrmi */
   },
   { /* 4924 */
-    585,
-    /* VPERMT2PSrmk */
+    0,
+    /*  */
   },
   { /* 4925 */
-    585,
-    /* VPERMT2PSrmkz */
+    0,
+    /*  */
   },
   { /* 4926 */
-    436,
-    /* VPERMT2PSrr */
+    361,
+    /* VPCMPUDZrri */
   },
   { /* 4927 */
-    586,
-    /* VPERMT2PSrrk */
+    0,
+    /*  */
   },
   { /* 4928 */
-    586,
-    /* VPERMT2PSrrkz */
+    0,
+    /*  */
   },
   { /* 4929 */
-    433,
-    /* VPERMT2Qrm */
+    355,
+    /* VPCMPUQZrmi */
   },
   { /* 4930 */
-    590,
-    /* VPERMT2Qrmk */
+    0,
+    /*  */
   },
   { /* 4931 */
-    590,
-    /* VPERMT2Qrmkz */
+    0,
+    /*  */
   },
   { /* 4932 */
-    436,
-    /* VPERMT2Qrr */
+    356,
+    /* VPCMPUQZrri */
   },
   { /* 4933 */
-    591,
-    /* VPERMT2Qrrk */
+    0,
+    /*  */
   },
   { /* 4934 */
-    591,
-    /* VPERMT2Qrrkz */
+    0,
+    /*  */
   },
   { /* 4935 */
-    268,
-    /* VPEXTRBmr */
+    593,
+    /* VPCOMBmi */
   },
   { /* 4936 */
-    132,
-    /* VPEXTRBrr */
+    594,
+    /* VPCOMBri */
   },
   { /* 4937 */
-    269,
-    /* VPEXTRDmr */
+    593,
+    /* VPCOMDmi */
   },
   { /* 4938 */
-    132,
-    /* VPEXTRDrr */
+    594,
+    /* VPCOMDri */
   },
   { /* 4939 */
-    269,
-    /* VPEXTRQmr */
+    593,
+    /* VPCOMQmi */
   },
   { /* 4940 */
-    270,
-    /* VPEXTRQrr */
+    594,
+    /* VPCOMQri */
   },
   { /* 4941 */
-    269,
-    /* VPEXTRWmr */
+    593,
+    /* VPCOMUBmi */
   },
   { /* 4942 */
-    271,
-    /* VPEXTRWri */
+    594,
+    /* VPCOMUBri */
   },
   { /* 4943 */
-    132,
-    /* VPEXTRWrr_REV */
+    593,
+    /* VPCOMUDmi */
   },
   { /* 4944 */
-    464,
-    /* VPGATHERDDYrm */
+    594,
+    /* VPCOMUDri */
   },
   { /* 4945 */
-    465,
-    /* VPGATHERDDZrm */
+    593,
+    /* VPCOMUQmi */
   },
   { /* 4946 */
-    466,
-    /* VPGATHERDDrm */
+    594,
+    /* VPCOMUQri */
   },
   { /* 4947 */
-    461,
-    /* VPGATHERDQYrm */
+    593,
+    /* VPCOMUWmi */
   },
   { /* 4948 */
-    462,
-    /* VPGATHERDQZrm */
+    594,
+    /* VPCOMUWri */
   },
   { /* 4949 */
-    463,
-    /* VPGATHERDQrm */
+    593,
+    /* VPCOMWmi */
   },
   { /* 4950 */
-    466,
-    /* VPGATHERQDYrm */
+    594,
+    /* VPCOMWri */
   },
   { /* 4951 */
-    470,
-    /* VPGATHERQDZrm */
+    378,
+    /* VPCONFLICTDrm */
   },
   { /* 4952 */
-    466,
-    /* VPGATHERQDrm */
+    581,
+    /* VPCONFLICTDrmb */
   },
   { /* 4953 */
-    461,
-    /* VPGATHERQQYrm */
+    668,
+    /* VPCONFLICTDrmbk */
   },
   { /* 4954 */
-    462,
-    /* VPGATHERQQZrm */
+    582,
+    /* VPCONFLICTDrmbkz */
   },
   { /* 4955 */
-    463,
-    /* VPGATHERQQrm */
+    521,
+    /* VPCONFLICTDrmk */
   },
   { /* 4956 */
-    42,
-    /* VPHADDBDrm */
+    522,
+    /* VPCONFLICTDrmkz */
   },
   { /* 4957 */
-    43,
-    /* VPHADDBDrr */
+    379,
+    /* VPCONFLICTDrr */
   },
   { /* 4958 */
-    42,
-    /* VPHADDBQrm */
+    523,
+    /* VPCONFLICTDrrk */
   },
   { /* 4959 */
-    43,
-    /* VPHADDBQrr */
+    524,
+    /* VPCONFLICTDrrkz */
   },
   { /* 4960 */
-    42,
-    /* VPHADDBWrm */
+    378,
+    /* VPCONFLICTQrm */
   },
   { /* 4961 */
-    43,
-    /* VPHADDBWrr */
+    583,
+    /* VPCONFLICTQrmb */
   },
   { /* 4962 */
-    42,
-    /* VPHADDDQrm */
+    669,
+    /* VPCONFLICTQrmbk */
   },
   { /* 4963 */
-    43,
-    /* VPHADDDQrr */
+    584,
+    /* VPCONFLICTQrmbkz */
   },
   { /* 4964 */
-    303,
-    /* VPHADDDYrm */
+    506,
+    /* VPCONFLICTQrmk */
   },
   { /* 4965 */
-    304,
-    /* VPHADDDYrr */
+    507,
+    /* VPCONFLICTQrmkz */
   },
   { /* 4966 */
-    311,
-    /* VPHADDDrm */
+    379,
+    /* VPCONFLICTQrr */
   },
   { /* 4967 */
-    312,
-    /* VPHADDDrr */
+    508,
+    /* VPCONFLICTQrrk */
   },
   { /* 4968 */
-    311,
-    /* VPHADDSWrm128 */
+    509,
+    /* VPCONFLICTQrrkz */
   },
   { /* 4969 */
-    303,
-    /* VPHADDSWrm256 */
+    595,
+    /* VPERM2F128rm */
   },
   { /* 4970 */
-    312,
-    /* VPHADDSWrr128 */
+    596,
+    /* VPERM2F128rr */
   },
   { /* 4971 */
-    304,
-    /* VPHADDSWrr256 */
+    595,
+    /* VPERM2I128rm */
   },
   { /* 4972 */
-    42,
-    /* VPHADDUBDrm */
+    596,
+    /* VPERM2I128rr */
   },
   { /* 4973 */
-    43,
-    /* VPHADDUBDrr */
+    304,
+    /* VPERMDYrm */
   },
   { /* 4974 */
-    42,
-    /* VPHADDUBQrm */
+    305,
+    /* VPERMDYrr */
   },
   { /* 4975 */
-    43,
-    /* VPHADDUBQrr */
+    306,
+    /* VPERMDZrm */
   },
   { /* 4976 */
-    42,
-    /* VPHADDUBWrm */
+    310,
+    /* VPERMDZrr */
   },
   { /* 4977 */
-    43,
-    /* VPHADDUBWrr */
+    434,
+    /* VPERMI2Drm */
   },
   { /* 4978 */
-    42,
-    /* VPHADDUDQrm */
+    588,
+    /* VPERMI2Drmk */
   },
   { /* 4979 */
-    43,
-    /* VPHADDUDQrr */
+    588,
+    /* VPERMI2Drmkz */
   },
   { /* 4980 */
-    42,
-    /* VPHADDUWDrm */
+    437,
+    /* VPERMI2Drr */
   },
   { /* 4981 */
-    43,
-    /* VPHADDUWDrr */
+    439,
+    /* VPERMI2Drrk */
   },
   { /* 4982 */
-    42,
-    /* VPHADDUWQrm */
+    439,
+    /* VPERMI2Drrkz */
   },
   { /* 4983 */
-    43,
-    /* VPHADDUWQrr */
+    434,
+    /* VPERMI2PDrm */
   },
   { /* 4984 */
-    42,
-    /* VPHADDWDrm */
+    592,
+    /* VPERMI2PDrmk */
   },
   { /* 4985 */
-    43,
-    /* VPHADDWDrr */
+    592,
+    /* VPERMI2PDrmkz */
   },
   { /* 4986 */
-    42,
-    /* VPHADDWQrm */
+    437,
+    /* VPERMI2PDrr */
   },
   { /* 4987 */
-    43,
-    /* VPHADDWQrr */
+    438,
+    /* VPERMI2PDrrk */
   },
   { /* 4988 */
-    303,
-    /* VPHADDWYrm */
+    438,
+    /* VPERMI2PDrrkz */
   },
   { /* 4989 */
-    304,
-    /* VPHADDWYrr */
+    434,
+    /* VPERMI2PSrm */
   },
   { /* 4990 */
-    311,
-    /* VPHADDWrm */
+    588,
+    /* VPERMI2PSrmk */
   },
   { /* 4991 */
-    312,
-    /* VPHADDWrr */
+    588,
+    /* VPERMI2PSrmkz */
   },
   { /* 4992 */
-    42,
-    /* VPHMINPOSUWrm128 */
+    437,
+    /* VPERMI2PSrr */
   },
   { /* 4993 */
-    43,
-    /* VPHMINPOSUWrr128 */
+    439,
+    /* VPERMI2PSrrk */
   },
   { /* 4994 */
-    42,
-    /* VPHSUBBWrm */
+    439,
+    /* VPERMI2PSrrkz */
   },
   { /* 4995 */
-    43,
-    /* VPHSUBBWrr */
+    434,
+    /* VPERMI2Qrm */
   },
   { /* 4996 */
-    42,
-    /* VPHSUBDQrm */
+    592,
+    /* VPERMI2Qrmk */
   },
   { /* 4997 */
-    43,
-    /* VPHSUBDQrr */
+    592,
+    /* VPERMI2Qrmkz */
   },
   { /* 4998 */
-    303,
-    /* VPHSUBDYrm */
+    437,
+    /* VPERMI2Qrr */
   },
   { /* 4999 */
-    304,
-    /* VPHSUBDYrr */
+    438,
+    /* VPERMI2Qrrk */
   },
   { /* 5000 */
-    311,
-    /* VPHSUBDrm */
+    438,
+    /* VPERMI2Qrrkz */
   },
   { /* 5001 */
-    312,
-    /* VPHSUBDrr */
+    670,
+    /* VPERMIL2PDmr */
   },
   { /* 5002 */
-    311,
-    /* VPHSUBSWrm128 */
+    671,
+    /* VPERMIL2PDmrY */
   },
   { /* 5003 */
-    303,
-    /* VPHSUBSWrm256 */
+    672,
+    /* VPERMIL2PDrm */
   },
   { /* 5004 */
-    312,
-    /* VPHSUBSWrr128 */
+    673,
+    /* VPERMIL2PDrmY */
   },
   { /* 5005 */
-    304,
-    /* VPHSUBSWrr256 */
+    674,
+    /* VPERMIL2PDrr */
   },
   { /* 5006 */
-    42,
-    /* VPHSUBWDrm */
+    675,
+    /* VPERMIL2PDrrY */
   },
   { /* 5007 */
-    43,
-    /* VPHSUBWDrr */
+    670,
+    /* VPERMIL2PSmr */
   },
   { /* 5008 */
-    303,
-    /* VPHSUBWYrm */
+    671,
+    /* VPERMIL2PSmrY */
   },
   { /* 5009 */
-    304,
-    /* VPHSUBWYrr */
+    672,
+    /* VPERMIL2PSrm */
   },
   { /* 5010 */
-    311,
-    /* VPHSUBWrm */
+    673,
+    /* VPERMIL2PSrmY */
   },
   { /* 5011 */
-    312,
-    /* VPHSUBWrr */
+    674,
+    /* VPERMIL2PSrr */
   },
   { /* 5012 */
-    623,
-    /* VPINSRBrm */
+    675,
+    /* VPERMIL2PSrrY */
   },
   { /* 5013 */
-    624,
-    /* VPINSRBrr */
+    676,
+    /* VPERMILPDYmi */
   },
   { /* 5014 */
-    625,
-    /* VPINSRDrm */
+    677,
+    /* VPERMILPDYri */
   },
   { /* 5015 */
-    624,
-    /* VPINSRDrr */
+    304,
+    /* VPERMILPDYrm */
   },
   { /* 5016 */
-    625,
-    /* VPINSRQrm */
+    305,
+    /* VPERMILPDYrr */
   },
   { /* 5017 */
-    626,
-    /* VPINSRQrr */
+    678,
+    /* VPERMILPDZmi */
   },
   { /* 5018 */
-    625,
-    /* VPINSRWrmi */
+    679,
+    /* VPERMILPDZri */
   },
   { /* 5019 */
-    624,
-    /* VPINSRWrri */
+    46,
+    /* VPERMILPDmi */
   },
   { /* 5020 */
-    377,
-    /* VPLZCNTDrm */
+    47,
+    /* VPERMILPDri */
   },
   { /* 5021 */
-    578,
-    /* VPLZCNTDrmb */
+    312,
+    /* VPERMILPDrm */
   },
   { /* 5022 */
-    611,
-    /* VPLZCNTDrmbk */
+    313,
+    /* VPERMILPDrr */
   },
   { /* 5023 */
-    579,
-    /* VPLZCNTDrmbkz */
+    676,
+    /* VPERMILPSYmi */
   },
   { /* 5024 */
-    518,
-    /* VPLZCNTDrmk */
+    677,
+    /* VPERMILPSYri */
   },
   { /* 5025 */
-    519,
-    /* VPLZCNTDrmkz */
+    304,
+    /* VPERMILPSYrm */
   },
   { /* 5026 */
-    378,
-    /* VPLZCNTDrr */
+    305,
+    /* VPERMILPSYrr */
   },
   { /* 5027 */
-    520,
-    /* VPLZCNTDrrk */
+    678,
+    /* VPERMILPSZmi */
   },
   { /* 5028 */
-    521,
-    /* VPLZCNTDrrkz */
+    679,
+    /* VPERMILPSZri */
   },
   { /* 5029 */
-    377,
-    /* VPLZCNTQrm */
+    46,
+    /* VPERMILPSmi */
   },
   { /* 5030 */
-    580,
-    /* VPLZCNTQrmb */
+    47,
+    /* VPERMILPSri */
   },
   { /* 5031 */
-    612,
-    /* VPLZCNTQrmbk */
+    312,
+    /* VPERMILPSrm */
   },
   { /* 5032 */
-    581,
-    /* VPLZCNTQrmbkz */
+    313,
+    /* VPERMILPSrr */
   },
   { /* 5033 */
-    503,
-    /* VPLZCNTQrmk */
+    676,
+    /* VPERMPDYmi */
   },
   { /* 5034 */
-    504,
-    /* VPLZCNTQrmkz */
+    677,
+    /* VPERMPDYri */
   },
   { /* 5035 */
-    378,
-    /* VPLZCNTQrr */
+    678,
+    /* VPERMPDZmi */
   },
   { /* 5036 */
-    505,
-    /* VPLZCNTQrrk */
+    679,
+    /* VPERMPDZri */
   },
   { /* 5037 */
-    506,
-    /* VPLZCNTQrrkz */
+    306,
+    /* VPERMPDZrm */
   },
   { /* 5038 */
-    337,
-    /* VPMACSDDrm */
+    310,
+    /* VPERMPDZrr */
   },
   { /* 5039 */
-    338,
-    /* VPMACSDDrr */
+    304,
+    /* VPERMPSYrm */
   },
   { /* 5040 */
-    337,
-    /* VPMACSDQHrm */
+    305,
+    /* VPERMPSYrr */
   },
   { /* 5041 */
-    338,
-    /* VPMACSDQHrr */
+    306,
+    /* VPERMPSZrm */
   },
   { /* 5042 */
-    337,
-    /* VPMACSDQLrm */
+    310,
+    /* VPERMPSZrr */
   },
   { /* 5043 */
-    338,
-    /* VPMACSDQLrr */
+    676,
+    /* VPERMQYmi */
   },
   { /* 5044 */
-    337,
-    /* VPMACSSDDrm */
+    677,
+    /* VPERMQYri */
   },
   { /* 5045 */
-    338,
-    /* VPMACSSDDrr */
+    678,
+    /* VPERMQZmi */
   },
   { /* 5046 */
-    337,
-    /* VPMACSSDQHrm */
+    679,
+    /* VPERMQZri */
   },
   { /* 5047 */
-    338,
-    /* VPMACSSDQHrr */
+    306,
+    /* VPERMQZrm */
   },
   { /* 5048 */
-    337,
-    /* VPMACSSDQLrm */
+    310,
+    /* VPERMQZrr */
   },
   { /* 5049 */
-    338,
-    /* VPMACSSDQLrr */
+    434,
+    /* VPERMT2Drm */
   },
   { /* 5050 */
-    337,
-    /* VPMACSSWDrm */
+    588,
+    /* VPERMT2Drmk */
   },
   { /* 5051 */
-    338,
-    /* VPMACSSWDrr */
+    588,
+    /* VPERMT2Drmkz */
   },
   { /* 5052 */
-    337,
-    /* VPMACSSWWrm */
+    437,
+    /* VPERMT2Drr */
   },
   { /* 5053 */
-    338,
-    /* VPMACSSWWrr */
+    439,
+    /* VPERMT2Drrk */
   },
   { /* 5054 */
-    337,
-    /* VPMACSWDrm */
+    439,
+    /* VPERMT2Drrkz */
   },
   { /* 5055 */
-    338,
-    /* VPMACSWDrr */
+    434,
+    /* VPERMT2PDrm */
   },
   { /* 5056 */
-    337,
-    /* VPMACSWWrm */
+    592,
+    /* VPERMT2PDrmk */
   },
   { /* 5057 */
-    338,
-    /* VPMACSWWrr */
+    592,
+    /* VPERMT2PDrmkz */
   },
   { /* 5058 */
-    337,
-    /* VPMADCSSWDrm */
+    437,
+    /* VPERMT2PDrr */
   },
   { /* 5059 */
-    338,
-    /* VPMADCSSWDrr */
+    438,
+    /* VPERMT2PDrrk */
   },
   { /* 5060 */
-    337,
-    /* VPMADCSWDrm */
+    438,
+    /* VPERMT2PDrrkz */
   },
   { /* 5061 */
-    338,
-    /* VPMADCSWDrr */
+    434,
+    /* VPERMT2PSrm */
   },
   { /* 5062 */
-    311,
-    /* VPMADDUBSWrm128 */
+    588,
+    /* VPERMT2PSrmk */
   },
   { /* 5063 */
-    303,
-    /* VPMADDUBSWrm256 */
+    588,
+    /* VPERMT2PSrmkz */
   },
   { /* 5064 */
-    312,
-    /* VPMADDUBSWrr128 */
+    437,
+    /* VPERMT2PSrr */
   },
   { /* 5065 */
-    304,
-    /* VPMADDUBSWrr256 */
+    439,
+    /* VPERMT2PSrrk */
   },
   { /* 5066 */
-    303,
-    /* VPMADDWDYrm */
+    439,
+    /* VPERMT2PSrrkz */
   },
   { /* 5067 */
-    304,
-    /* VPMADDWDYrr */
+    434,
+    /* VPERMT2Qrm */
   },
   { /* 5068 */
-    311,
-    /* VPMADDWDrm */
+    592,
+    /* VPERMT2Qrmk */
   },
   { /* 5069 */
-    312,
-    /* VPMADDWDrr */
+    592,
+    /* VPERMT2Qrmkz */
   },
   { /* 5070 */
-    480,
-    /* VPMASKMOVDYmr */
+    437,
+    /* VPERMT2Qrr */
   },
   { /* 5071 */
-    303,
-    /* VPMASKMOVDYrm */
+    438,
+    /* VPERMT2Qrrk */
   },
   { /* 5072 */
-    481,
-    /* VPMASKMOVDmr */
+    438,
+    /* VPERMT2Qrrkz */
   },
   { /* 5073 */
-    311,
-    /* VPMASKMOVDrm */
+    269,
+    /* VPEXTRBmr */
   },
   { /* 5074 */
-    480,
-    /* VPMASKMOVQYmr */
+    133,
+    /* VPEXTRBrr */
   },
   { /* 5075 */
-    303,
-    /* VPMASKMOVQYrm */
+    270,
+    /* VPEXTRDmr */
   },
   { /* 5076 */
-    481,
-    /* VPMASKMOVQmr */
+    133,
+    /* VPEXTRDrr */
   },
   { /* 5077 */
-    311,
-    /* VPMASKMOVQrm */
+    270,
+    /* VPEXTRQmr */
   },
   { /* 5078 */
-    303,
-    /* VPMAXSBYrm */
+    271,
+    /* VPEXTRQrr */
   },
   { /* 5079 */
-    304,
-    /* VPMAXSBYrr */
+    270,
+    /* VPEXTRWmr */
   },
   { /* 5080 */
-    311,
-    /* VPMAXSBrm */
+    272,
+    /* VPEXTRWri */
   },
   { /* 5081 */
-    312,
-    /* VPMAXSBrr */
+    133,
+    /* VPEXTRWrr_REV */
   },
   { /* 5082 */
-    303,
-    /* VPMAXSDYrm */
+    467,
+    /* VPGATHERDDYrm */
   },
   { /* 5083 */
-    304,
-    /* VPMAXSDYrr */
+    468,
+    /* VPGATHERDDZrm */
   },
   { /* 5084 */
-    305,
-    /* VPMAXSDZrm */
+    469,
+    /* VPGATHERDDrm */
   },
   { /* 5085 */
-    582,
-    /* VPMAXSDZrmb */
+    464,
+    /* VPGATHERDQYrm */
   },
   { /* 5086 */
-    583,
-    /* VPMAXSDZrmbk */
+    465,
+    /* VPGATHERDQZrm */
   },
   { /* 5087 */
-    584,
-    /* VPMAXSDZrmbkz */
+    466,
+    /* VPGATHERDQrm */
   },
   { /* 5088 */
-    585,
-    /* VPMAXSDZrmk */
+    469,
+    /* VPGATHERQDYrm */
   },
   { /* 5089 */
-    315,
-    /* VPMAXSDZrmkz */
+    473,
+    /* VPGATHERQDZrm */
   },
   { /* 5090 */
-    309,
-    /* VPMAXSDZrr */
+    469,
+    /* VPGATHERQDrm */
   },
   { /* 5091 */
-    586,
-    /* VPMAXSDZrrk */
+    464,
+    /* VPGATHERQQYrm */
   },
   { /* 5092 */
-    316,
-    /* VPMAXSDZrrkz */
+    465,
+    /* VPGATHERQQZrm */
   },
   { /* 5093 */
-    311,
-    /* VPMAXSDrm */
+    466,
+    /* VPGATHERQQrm */
   },
   { /* 5094 */
-    312,
-    /* VPMAXSDrr */
+    44,
+    /* VPHADDBDrm */
   },
   { /* 5095 */
-    305,
-    /* VPMAXSQZrm */
+    45,
+    /* VPHADDBDrr */
   },
   { /* 5096 */
-    587,
-    /* VPMAXSQZrmb */
+    44,
+    /* VPHADDBQrm */
   },
   { /* 5097 */
-    588,
-    /* VPMAXSQZrmbk */
+    45,
+    /* VPHADDBQrr */
   },
   { /* 5098 */
-    589,
-    /* VPMAXSQZrmbkz */
+    44,
+    /* VPHADDBWrm */
   },
   { /* 5099 */
-    590,
-    /* VPMAXSQZrmk */
+    45,
+    /* VPHADDBWrr */
   },
   { /* 5100 */
-    308,
-    /* VPMAXSQZrmkz */
+    44,
+    /* VPHADDDQrm */
   },
   { /* 5101 */
-    309,
-    /* VPMAXSQZrr */
+    45,
+    /* VPHADDDQrr */
   },
   { /* 5102 */
-    591,
-    /* VPMAXSQZrrk */
+    304,
+    /* VPHADDDYrm */
   },
   { /* 5103 */
-    310,
-    /* VPMAXSQZrrkz */
+    305,
+    /* VPHADDDYrr */
   },
   { /* 5104 */
-    303,
-    /* VPMAXSWYrm */
+    312,
+    /* VPHADDDrm */
   },
   { /* 5105 */
-    304,
-    /* VPMAXSWYrr */
+    313,
+    /* VPHADDDrr */
   },
   { /* 5106 */
-    311,
-    /* VPMAXSWrm */
+    312,
+    /* VPHADDSWrm128 */
   },
   { /* 5107 */
-    312,
-    /* VPMAXSWrr */
+    304,
+    /* VPHADDSWrm256 */
   },
   { /* 5108 */
-    303,
-    /* VPMAXUBYrm */
+    313,
+    /* VPHADDSWrr128 */
   },
   { /* 5109 */
-    304,
-    /* VPMAXUBYrr */
+    305,
+    /* VPHADDSWrr256 */
   },
   { /* 5110 */
-    311,
-    /* VPMAXUBrm */
+    44,
+    /* VPHADDUBDrm */
   },
   { /* 5111 */
-    312,
-    /* VPMAXUBrr */
+    45,
+    /* VPHADDUBDrr */
   },
   { /* 5112 */
-    303,
-    /* VPMAXUDYrm */
+    44,
+    /* VPHADDUBQrm */
   },
   { /* 5113 */
-    304,
-    /* VPMAXUDYrr */
+    45,
+    /* VPHADDUBQrr */
   },
   { /* 5114 */
-    305,
-    /* VPMAXUDZrm */
+    44,
+    /* VPHADDUBWrm */
   },
   { /* 5115 */
-    582,
-    /* VPMAXUDZrmb */
+    45,
+    /* VPHADDUBWrr */
   },
   { /* 5116 */
-    583,
-    /* VPMAXUDZrmbk */
+    44,
+    /* VPHADDUDQrm */
   },
   { /* 5117 */
-    584,
-    /* VPMAXUDZrmbkz */
+    45,
+    /* VPHADDUDQrr */
   },
   { /* 5118 */
-    585,
-    /* VPMAXUDZrmk */
+    44,
+    /* VPHADDUWDrm */
   },
   { /* 5119 */
-    315,
-    /* VPMAXUDZrmkz */
+    45,
+    /* VPHADDUWDrr */
   },
   { /* 5120 */
-    309,
-    /* VPMAXUDZrr */
+    44,
+    /* VPHADDUWQrm */
   },
   { /* 5121 */
-    586,
-    /* VPMAXUDZrrk */
+    45,
+    /* VPHADDUWQrr */
   },
   { /* 5122 */
-    316,
-    /* VPMAXUDZrrkz */
+    44,
+    /* VPHADDWDrm */
   },
   { /* 5123 */
-    311,
-    /* VPMAXUDrm */
+    45,
+    /* VPHADDWDrr */
   },
   { /* 5124 */
-    312,
-    /* VPMAXUDrr */
+    44,
+    /* VPHADDWQrm */
   },
   { /* 5125 */
-    305,
-    /* VPMAXUQZrm */
+    45,
+    /* VPHADDWQrr */
   },
   { /* 5126 */
-    587,
-    /* VPMAXUQZrmb */
+    304,
+    /* VPHADDWYrm */
   },
   { /* 5127 */
-    588,
-    /* VPMAXUQZrmbk */
+    305,
+    /* VPHADDWYrr */
   },
   { /* 5128 */
-    589,
-    /* VPMAXUQZrmbkz */
+    312,
+    /* VPHADDWrm */
   },
   { /* 5129 */
-    590,
-    /* VPMAXUQZrmk */
+    313,
+    /* VPHADDWrr */
   },
   { /* 5130 */
-    308,
-    /* VPMAXUQZrmkz */
+    44,
+    /* VPHMINPOSUWrm128 */
   },
   { /* 5131 */
-    309,
-    /* VPMAXUQZrr */
+    45,
+    /* VPHMINPOSUWrr128 */
   },
   { /* 5132 */
-    591,
-    /* VPMAXUQZrrk */
+    44,
+    /* VPHSUBBWrm */
   },
   { /* 5133 */
-    310,
-    /* VPMAXUQZrrkz */
+    45,
+    /* VPHSUBBWrr */
   },
   { /* 5134 */
-    303,
-    /* VPMAXUWYrm */
+    44,
+    /* VPHSUBDQrm */
   },
   { /* 5135 */
-    304,
-    /* VPMAXUWYrr */
+    45,
+    /* VPHSUBDQrr */
   },
   { /* 5136 */
-    311,
-    /* VPMAXUWrm */
+    304,
+    /* VPHSUBDYrm */
   },
   { /* 5137 */
-    312,
-    /* VPMAXUWrr */
+    305,
+    /* VPHSUBDYrr */
   },
   { /* 5138 */
-    303,
-    /* VPMINSBYrm */
+    312,
+    /* VPHSUBDrm */
   },
   { /* 5139 */
-    304,
-    /* VPMINSBYrr */
+    313,
+    /* VPHSUBDrr */
   },
   { /* 5140 */
-    311,
-    /* VPMINSBrm */
+    312,
+    /* VPHSUBSWrm128 */
   },
   { /* 5141 */
-    312,
-    /* VPMINSBrr */
+    304,
+    /* VPHSUBSWrm256 */
   },
   { /* 5142 */
-    303,
-    /* VPMINSDYrm */
+    313,
+    /* VPHSUBSWrr128 */
   },
   { /* 5143 */
-    304,
-    /* VPMINSDYrr */
+    305,
+    /* VPHSUBSWrr256 */
   },
   { /* 5144 */
-    305,
-    /* VPMINSDZrm */
+    44,
+    /* VPHSUBWDrm */
   },
   { /* 5145 */
-    582,
-    /* VPMINSDZrmb */
+    45,
+    /* VPHSUBWDrr */
   },
   { /* 5146 */
-    583,
-    /* VPMINSDZrmbk */
+    304,
+    /* VPHSUBWYrm */
   },
   { /* 5147 */
-    584,
-    /* VPMINSDZrmbkz */
+    305,
+    /* VPHSUBWYrr */
   },
   { /* 5148 */
-    585,
-    /* VPMINSDZrmk */
+    312,
+    /* VPHSUBWrm */
   },
   { /* 5149 */
-    315,
-    /* VPMINSDZrmkz */
+    313,
+    /* VPHSUBWrr */
   },
   { /* 5150 */
-    309,
-    /* VPMINSDZrr */
+    680,
+    /* VPINSRBrm */
   },
   { /* 5151 */
-    586,
-    /* VPMINSDZrrk */
+    681,
+    /* VPINSRBrr */
   },
   { /* 5152 */
-    316,
-    /* VPMINSDZrrkz */
+    682,
+    /* VPINSRDrm */
   },
   { /* 5153 */
-    311,
-    /* VPMINSDrm */
+    681,
+    /* VPINSRDrr */
   },
   { /* 5154 */
-    312,
-    /* VPMINSDrr */
+    682,
+    /* VPINSRQrm */
   },
   { /* 5155 */
-    305,
-    /* VPMINSQZrm */
+    683,
+    /* VPINSRQrr */
   },
   { /* 5156 */
-    587,
-    /* VPMINSQZrmb */
+    682,
+    /* VPINSRWrmi */
   },
   { /* 5157 */
-    588,
-    /* VPMINSQZrmbk */
+    681,
+    /* VPINSRWrri */
   },
   { /* 5158 */
-    589,
-    /* VPMINSQZrmbkz */
+    378,
+    /* VPLZCNTDrm */
   },
   { /* 5159 */
-    590,
-    /* VPMINSQZrmk */
+    581,
+    /* VPLZCNTDrmb */
   },
   { /* 5160 */
-    308,
-    /* VPMINSQZrmkz */
+    668,
+    /* VPLZCNTDrmbk */
   },
   { /* 5161 */
-    309,
-    /* VPMINSQZrr */
+    582,
+    /* VPLZCNTDrmbkz */
   },
   { /* 5162 */
-    591,
-    /* VPMINSQZrrk */
+    521,
+    /* VPLZCNTDrmk */
   },
   { /* 5163 */
-    310,
-    /* VPMINSQZrrkz */
+    522,
+    /* VPLZCNTDrmkz */
   },
   { /* 5164 */
-    303,
-    /* VPMINSWYrm */
+    379,
+    /* VPLZCNTDrr */
   },
   { /* 5165 */
-    304,
-    /* VPMINSWYrr */
+    523,
+    /* VPLZCNTDrrk */
   },
   { /* 5166 */
-    311,
-    /* VPMINSWrm */
+    524,
+    /* VPLZCNTDrrkz */
   },
   { /* 5167 */
-    312,
-    /* VPMINSWrr */
+    378,
+    /* VPLZCNTQrm */
   },
   { /* 5168 */
-    303,
-    /* VPMINUBYrm */
+    583,
+    /* VPLZCNTQrmb */
   },
   { /* 5169 */
-    304,
-    /* VPMINUBYrr */
+    669,
+    /* VPLZCNTQrmbk */
   },
   { /* 5170 */
-    311,
-    /* VPMINUBrm */
+    584,
+    /* VPLZCNTQrmbkz */
   },
   { /* 5171 */
-    312,
-    /* VPMINUBrr */
+    506,
+    /* VPLZCNTQrmk */
   },
   { /* 5172 */
-    303,
-    /* VPMINUDYrm */
+    507,
+    /* VPLZCNTQrmkz */
   },
   { /* 5173 */
-    304,
-    /* VPMINUDYrr */
+    379,
+    /* VPLZCNTQrr */
   },
   { /* 5174 */
-    305,
-    /* VPMINUDZrm */
+    508,
+    /* VPLZCNTQrrk */
   },
   { /* 5175 */
-    582,
-    /* VPMINUDZrmb */
+    509,
+    /* VPLZCNTQrrkz */
   },
   { /* 5176 */
-    583,
-    /* VPMINUDZrmbk */
+    338,
+    /* VPMACSDDrm */
   },
   { /* 5177 */
-    584,
-    /* VPMINUDZrmbkz */
+    339,
+    /* VPMACSDDrr */
   },
   { /* 5178 */
-    585,
-    /* VPMINUDZrmk */
+    338,
+    /* VPMACSDQHrm */
   },
   { /* 5179 */
-    315,
-    /* VPMINUDZrmkz */
+    339,
+    /* VPMACSDQHrr */
   },
   { /* 5180 */
-    309,
-    /* VPMINUDZrr */
+    338,
+    /* VPMACSDQLrm */
   },
   { /* 5181 */
-    586,
-    /* VPMINUDZrrk */
+    339,
+    /* VPMACSDQLrr */
   },
   { /* 5182 */
-    316,
-    /* VPMINUDZrrkz */
+    338,
+    /* VPMACSSDDrm */
   },
   { /* 5183 */
-    311,
-    /* VPMINUDrm */
+    339,
+    /* VPMACSSDDrr */
   },
   { /* 5184 */
-    312,
-    /* VPMINUDrr */
+    338,
+    /* VPMACSSDQHrm */
   },
   { /* 5185 */
-    305,
-    /* VPMINUQZrm */
+    339,
+    /* VPMACSSDQHrr */
   },
   { /* 5186 */
-    587,
-    /* VPMINUQZrmb */
+    338,
+    /* VPMACSSDQLrm */
   },
   { /* 5187 */
-    588,
-    /* VPMINUQZrmbk */
+    339,
+    /* VPMACSSDQLrr */
   },
   { /* 5188 */
-    589,
-    /* VPMINUQZrmbkz */
+    338,
+    /* VPMACSSWDrm */
   },
   { /* 5189 */
-    590,
-    /* VPMINUQZrmk */
+    339,
+    /* VPMACSSWDrr */
   },
   { /* 5190 */
-    308,
-    /* VPMINUQZrmkz */
+    338,
+    /* VPMACSSWWrm */
   },
   { /* 5191 */
-    309,
-    /* VPMINUQZrr */
+    339,
+    /* VPMACSSWWrr */
   },
   { /* 5192 */
-    591,
-    /* VPMINUQZrrk */
+    338,
+    /* VPMACSWDrm */
   },
   { /* 5193 */
-    310,
-    /* VPMINUQZrrkz */
+    339,
+    /* VPMACSWDrr */
   },
   { /* 5194 */
-    303,
-    /* VPMINUWYrm */
+    338,
+    /* VPMACSWWrm */
   },
   { /* 5195 */
-    304,
-    /* VPMINUWYrr */
+    339,
+    /* VPMACSWWrr */
   },
   { /* 5196 */
-    311,
-    /* VPMINUWrm */
+    338,
+    /* VPMADCSSWDrm */
   },
   { /* 5197 */
-    312,
-    /* VPMINUWrr */
+    339,
+    /* VPMADCSSWDrr */
   },
   { /* 5198 */
-    627,
-    /* VPMOVDBmr */
+    338,
+    /* VPMADCSWDrm */
   },
   { /* 5199 */
-    628,
-    /* VPMOVDBmrk */
+    339,
+    /* VPMADCSWDrr */
   },
   { /* 5200 */
-    629,
-    /* VPMOVDBrr */
+    312,
+    /* VPMADDUBSWrm128 */
   },
   { /* 5201 */
-    630,
-    /* VPMOVDBrrk */
+    304,
+    /* VPMADDUBSWrm256 */
   },
   { /* 5202 */
-    630,
-    /* VPMOVDBrrkz */
+    313,
+    /* VPMADDUBSWrr128 */
   },
   { /* 5203 */
-    631,
-    /* VPMOVDWmr */
+    305,
+    /* VPMADDUBSWrr256 */
   },
   { /* 5204 */
-    632,
-    /* VPMOVDWmrk */
+    304,
+    /* VPMADDWDYrm */
   },
   { /* 5205 */
-    633,
-    /* VPMOVDWrr */
+    305,
+    /* VPMADDWDYrr */
   },
   { /* 5206 */
-    634,
-    /* VPMOVDWrrk */
+    312,
+    /* VPMADDWDrm */
   },
   { /* 5207 */
-    634,
-    /* VPMOVDWrrkz */
+    313,
+    /* VPMADDWDrr */
   },
   { /* 5208 */
-    556,
-    /* VPMOVMSKBYrr */
+    483,
+    /* VPMASKMOVDYmr */
   },
   { /* 5209 */
-    109,
-    /* VPMOVMSKBrr */
+    304,
+    /* VPMASKMOVDYrm */
   },
   { /* 5210 */
-    635,
-    /* VPMOVQBmr */
+    484,
+    /* VPMASKMOVDmr */
   },
   { /* 5211 */
-    636,
-    /* VPMOVQBmrk */
+    312,
+    /* VPMASKMOVDrm */
   },
   { /* 5212 */
-    637,
-    /* VPMOVQBrr */
+    483,
+    /* VPMASKMOVQYmr */
   },
   { /* 5213 */
-    638,
-    /* VPMOVQBrrk */
+    304,
+    /* VPMASKMOVQYrm */
   },
   { /* 5214 */
-    638,
-    /* VPMOVQBrrkz */
+    484,
+    /* VPMASKMOVQmr */
   },
   { /* 5215 */
-    631,
-    /* VPMOVQDmr */
+    312,
+    /* VPMASKMOVQrm */
   },
   { /* 5216 */
-    639,
-    /* VPMOVQDmrk */
+    304,
+    /* VPMAXSBYrm */
   },
   { /* 5217 */
-    633,
-    /* VPMOVQDrr */
+    305,
+    /* VPMAXSBYrr */
   },
   { /* 5218 */
-    640,
-    /* VPMOVQDrrk */
+    312,
+    /* VPMAXSBrm */
   },
   { /* 5219 */
-    640,
-    /* VPMOVQDrrkz */
+    313,
+    /* VPMAXSBrr */
   },
   { /* 5220 */
-    627,
-    /* VPMOVQWmr */
+    304,
+    /* VPMAXSDYrm */
   },
   { /* 5221 */
-    641,
-    /* VPMOVQWmrk */
+    305,
+    /* VPMAXSDYrr */
   },
   { /* 5222 */
-    629,
-    /* VPMOVQWrr */
+    306,
+    /* VPMAXSDZrm */
   },
   { /* 5223 */
-    642,
-    /* VPMOVQWrrk */
+    585,
+    /* VPMAXSDZrmb */
   },
   { /* 5224 */
-    642,
-    /* VPMOVQWrrkz */
+    586,
+    /* VPMAXSDZrmbk */
   },
   { /* 5225 */
-    627,
-    /* VPMOVSDBmr */
+    587,
+    /* VPMAXSDZrmbkz */
   },
   { /* 5226 */
-    628,
-    /* VPMOVSDBmrk */
+    588,
+    /* VPMAXSDZrmk */
   },
   { /* 5227 */
-    629,
-    /* VPMOVSDBrr */
+    316,
+    /* VPMAXSDZrmkz */
   },
   { /* 5228 */
-    630,
-    /* VPMOVSDBrrk */
+    310,
+    /* VPMAXSDZrr */
   },
   { /* 5229 */
-    630,
-    /* VPMOVSDBrrkz */
+    439,
+    /* VPMAXSDZrrk */
   },
   { /* 5230 */
-    631,
-    /* VPMOVSDWmr */
+    317,
+    /* VPMAXSDZrrkz */
   },
   { /* 5231 */
-    632,
-    /* VPMOVSDWmrk */
+    312,
+    /* VPMAXSDrm */
   },
   { /* 5232 */
-    633,
-    /* VPMOVSDWrr */
+    313,
+    /* VPMAXSDrr */
   },
   { /* 5233 */
-    634,
-    /* VPMOVSDWrrk */
+    306,
+    /* VPMAXSQZrm */
   },
   { /* 5234 */
-    634,
-    /* VPMOVSDWrrkz */
+    589,
+    /* VPMAXSQZrmb */
   },
   { /* 5235 */
-    635,
-    /* VPMOVSQBmr */
+    590,
+    /* VPMAXSQZrmbk */
   },
   { /* 5236 */
-    636,
-    /* VPMOVSQBmrk */
+    591,
+    /* VPMAXSQZrmbkz */
   },
   { /* 5237 */
-    637,
-    /* VPMOVSQBrr */
+    592,
+    /* VPMAXSQZrmk */
   },
   { /* 5238 */
-    638,
-    /* VPMOVSQBrrk */
+    309,
+    /* VPMAXSQZrmkz */
   },
   { /* 5239 */
-    638,
-    /* VPMOVSQBrrkz */
+    310,
+    /* VPMAXSQZrr */
   },
   { /* 5240 */
-    631,
-    /* VPMOVSQDmr */
+    438,
+    /* VPMAXSQZrrk */
   },
   { /* 5241 */
-    639,
-    /* VPMOVSQDmrk */
+    311,
+    /* VPMAXSQZrrkz */
   },
   { /* 5242 */
-    633,
-    /* VPMOVSQDrr */
+    304,
+    /* VPMAXSWYrm */
   },
   { /* 5243 */
-    640,
-    /* VPMOVSQDrrk */
+    305,
+    /* VPMAXSWYrr */
   },
   { /* 5244 */
-    640,
-    /* VPMOVSQDrrkz */
+    312,
+    /* VPMAXSWrm */
   },
   { /* 5245 */
-    627,
-    /* VPMOVSQWmr */
+    313,
+    /* VPMAXSWrr */
   },
   { /* 5246 */
-    641,
-    /* VPMOVSQWmrk */
+    304,
+    /* VPMAXUBYrm */
   },
   { /* 5247 */
-    629,
-    /* VPMOVSQWrr */
+    305,
+    /* VPMAXUBYrr */
   },
   { /* 5248 */
-    642,
-    /* VPMOVSQWrrk */
+    312,
+    /* VPMAXUBrm */
   },
   { /* 5249 */
-    642,
-    /* VPMOVSQWrrkz */
+    313,
+    /* VPMAXUBrr */
   },
   { /* 5250 */
-    598,
-    /* VPMOVSXBDYrm */
+    304,
+    /* VPMAXUDYrm */
   },
   { /* 5251 */
-    345,
-    /* VPMOVSXBDYrr */
+    305,
+    /* VPMAXUDYrr */
   },
   { /* 5252 */
-    341,
-    /* VPMOVSXBDZrm */
+    306,
+    /* VPMAXUDZrm */
   },
   { /* 5253 */
-    340,
-    /* VPMOVSXBDZrmk */
+    585,
+    /* VPMAXUDZrmb */
   },
   { /* 5254 */
-    340,
-    /* VPMOVSXBDZrmkz */
+    586,
+    /* VPMAXUDZrmbk */
   },
   { /* 5255 */
-    643,
-    /* VPMOVSXBDZrr */
+    587,
+    /* VPMAXUDZrmbkz */
   },
   { /* 5256 */
-    644,
-    /* VPMOVSXBDZrrk */
+    588,
+    /* VPMAXUDZrmk */
   },
   { /* 5257 */
-    644,
-    /* VPMOVSXBDZrrkz */
+    316,
+    /* VPMAXUDZrmkz */
   },
   { /* 5258 */
-    104,
-    /* VPMOVSXBDrm */
+    310,
+    /* VPMAXUDZrr */
   },
   { /* 5259 */
-    43,
-    /* VPMOVSXBDrr */
+    439,
+    /* VPMAXUDZrrk */
   },
   { /* 5260 */
-    598,
-    /* VPMOVSXBQYrm */
+    317,
+    /* VPMAXUDZrrkz */
   },
   { /* 5261 */
-    345,
-    /* VPMOVSXBQYrr */
+    312,
+    /* VPMAXUDrm */
   },
   { /* 5262 */
-    645,
-    /* VPMOVSXBQZrm */
+    313,
+    /* VPMAXUDrr */
   },
   { /* 5263 */
-    646,
-    /* VPMOVSXBQZrmk */
+    306,
+    /* VPMAXUQZrm */
   },
   { /* 5264 */
-    646,
-    /* VPMOVSXBQZrmkz */
+    589,
+    /* VPMAXUQZrmb */
   },
   { /* 5265 */
-    347,
-    /* VPMOVSXBQZrr */
+    590,
+    /* VPMAXUQZrmbk */
   },
   { /* 5266 */
-    604,
-    /* VPMOVSXBQZrrk */
+    591,
+    /* VPMAXUQZrmbkz */
   },
   { /* 5267 */
-    604,
-    /* VPMOVSXBQZrrkz */
+    592,
+    /* VPMAXUQZrmk */
   },
   { /* 5268 */
-    104,
-    /* VPMOVSXBQrm */
+    309,
+    /* VPMAXUQZrmkz */
   },
   { /* 5269 */
-    43,
-    /* VPMOVSXBQrr */
+    310,
+    /* VPMAXUQZrr */
   },
   { /* 5270 */
-    339,
-    /* VPMOVSXBWYrm */
+    438,
+    /* VPMAXUQZrrk */
   },
   { /* 5271 */
-    345,
-    /* VPMOVSXBWYrr */
+    311,
+    /* VPMAXUQZrrkz */
   },
   { /* 5272 */
-    104,
-    /* VPMOVSXBWrm */
+    304,
+    /* VPMAXUWYrm */
   },
   { /* 5273 */
-    43,
-    /* VPMOVSXBWrr */
+    305,
+    /* VPMAXUWYrr */
   },
   { /* 5274 */
-    339,
-    /* VPMOVSXDQYrm */
+    312,
+    /* VPMAXUWrm */
   },
   { /* 5275 */
-    345,
-    /* VPMOVSXDQYrr */
+    313,
+    /* VPMAXUWrr */
   },
   { /* 5276 */
-    343,
-    /* VPMOVSXDQZrm */
+    304,
+    /* VPMINSBYrm */
   },
   { /* 5277 */
-    647,
-    /* VPMOVSXDQZrmk */
+    305,
+    /* VPMINSBYrr */
   },
   { /* 5278 */
-    647,
-    /* VPMOVSXDQZrmkz */
+    312,
+    /* VPMINSBrm */
   },
   { /* 5279 */
-    374,
-    /* VPMOVSXDQZrr */
+    313,
+    /* VPMINSBrr */
   },
   { /* 5280 */
-    648,
-    /* VPMOVSXDQZrrk */
+    304,
+    /* VPMINSDYrm */
   },
   { /* 5281 */
-    648,
-    /* VPMOVSXDQZrrkz */
+    305,
+    /* VPMINSDYrr */
   },
   { /* 5282 */
-    104,
-    /* VPMOVSXDQrm */
+    306,
+    /* VPMINSDZrm */
   },
   { /* 5283 */
-    43,
-    /* VPMOVSXDQrr */
+    585,
+    /* VPMINSDZrmb */
   },
   { /* 5284 */
-    339,
-    /* VPMOVSXWDYrm */
+    586,
+    /* VPMINSDZrmbk */
   },
   { /* 5285 */
-    345,
-    /* VPMOVSXWDYrr */
+    587,
+    /* VPMINSDZrmbkz */
   },
   { /* 5286 */
-    343,
-    /* VPMOVSXWDZrm */
+    588,
+    /* VPMINSDZrmk */
   },
   { /* 5287 */
-    342,
-    /* VPMOVSXWDZrmk */
+    316,
+    /* VPMINSDZrmkz */
   },
   { /* 5288 */
-    342,
-    /* VPMOVSXWDZrmkz */
+    310,
+    /* VPMINSDZrr */
   },
   { /* 5289 */
-    374,
-    /* VPMOVSXWDZrr */
+    439,
+    /* VPMINSDZrrk */
   },
   { /* 5290 */
-    649,
-    /* VPMOVSXWDZrrk */
+    317,
+    /* VPMINSDZrrkz */
   },
   { /* 5291 */
-    649,
-    /* VPMOVSXWDZrrkz */
+    312,
+    /* VPMINSDrm */
   },
   { /* 5292 */
-    104,
-    /* VPMOVSXWDrm */
+    313,
+    /* VPMINSDrr */
   },
   { /* 5293 */
-    43,
-    /* VPMOVSXWDrr */
+    306,
+    /* VPMINSQZrm */
   },
   { /* 5294 */
-    598,
-    /* VPMOVSXWQYrm */
+    589,
+    /* VPMINSQZrmb */
   },
   { /* 5295 */
-    345,
-    /* VPMOVSXWQYrr */
+    590,
+    /* VPMINSQZrmbk */
   },
   { /* 5296 */
-    341,
-    /* VPMOVSXWQZrm */
+    591,
+    /* VPMINSQZrmbkz */
   },
   { /* 5297 */
-    650,
-    /* VPMOVSXWQZrmk */
+    592,
+    /* VPMINSQZrmk */
   },
   { /* 5298 */
-    650,
-    /* VPMOVSXWQZrmkz */
+    309,
+    /* VPMINSQZrmkz */
   },
   { /* 5299 */
-    643,
-    /* VPMOVSXWQZrr */
+    310,
+    /* VPMINSQZrr */
   },
   { /* 5300 */
-    651,
-    /* VPMOVSXWQZrrk */
+    438,
+    /* VPMINSQZrrk */
   },
   { /* 5301 */
-    651,
-    /* VPMOVSXWQZrrkz */
+    311,
+    /* VPMINSQZrrkz */
   },
   { /* 5302 */
-    104,
-    /* VPMOVSXWQrm */
+    304,
+    /* VPMINSWYrm */
   },
   { /* 5303 */
-    43,
-    /* VPMOVSXWQrr */
+    305,
+    /* VPMINSWYrr */
   },
   { /* 5304 */
-    627,
-    /* VPMOVUSDBmr */
+    312,
+    /* VPMINSWrm */
   },
   { /* 5305 */
-    628,
-    /* VPMOVUSDBmrk */
+    313,
+    /* VPMINSWrr */
   },
   { /* 5306 */
-    629,
-    /* VPMOVUSDBrr */
+    304,
+    /* VPMINUBYrm */
   },
   { /* 5307 */
-    630,
-    /* VPMOVUSDBrrk */
+    305,
+    /* VPMINUBYrr */
   },
   { /* 5308 */
-    630,
-    /* VPMOVUSDBrrkz */
+    312,
+    /* VPMINUBrm */
   },
   { /* 5309 */
-    631,
-    /* VPMOVUSDWmr */
+    313,
+    /* VPMINUBrr */
   },
   { /* 5310 */
-    632,
-    /* VPMOVUSDWmrk */
+    304,
+    /* VPMINUDYrm */
   },
   { /* 5311 */
-    633,
-    /* VPMOVUSDWrr */
+    305,
+    /* VPMINUDYrr */
   },
   { /* 5312 */
-    634,
-    /* VPMOVUSDWrrk */
+    306,
+    /* VPMINUDZrm */
   },
   { /* 5313 */
-    634,
-    /* VPMOVUSDWrrkz */
+    585,
+    /* VPMINUDZrmb */
   },
   { /* 5314 */
-    635,
-    /* VPMOVUSQBmr */
+    586,
+    /* VPMINUDZrmbk */
   },
   { /* 5315 */
-    636,
-    /* VPMOVUSQBmrk */
+    587,
+    /* VPMINUDZrmbkz */
   },
   { /* 5316 */
-    637,
-    /* VPMOVUSQBrr */
+    588,
+    /* VPMINUDZrmk */
   },
   { /* 5317 */
-    638,
-    /* VPMOVUSQBrrk */
+    316,
+    /* VPMINUDZrmkz */
   },
   { /* 5318 */
-    638,
-    /* VPMOVUSQBrrkz */
+    310,
+    /* VPMINUDZrr */
   },
   { /* 5319 */
-    631,
-    /* VPMOVUSQDmr */
+    439,
+    /* VPMINUDZrrk */
   },
   { /* 5320 */
-    639,
-    /* VPMOVUSQDmrk */
+    317,
+    /* VPMINUDZrrkz */
   },
   { /* 5321 */
-    633,
-    /* VPMOVUSQDrr */
+    312,
+    /* VPMINUDrm */
   },
   { /* 5322 */
-    640,
-    /* VPMOVUSQDrrk */
+    313,
+    /* VPMINUDrr */
   },
   { /* 5323 */
-    640,
-    /* VPMOVUSQDrrkz */
+    306,
+    /* VPMINUQZrm */
   },
   { /* 5324 */
-    627,
-    /* VPMOVUSQWmr */
+    589,
+    /* VPMINUQZrmb */
   },
   { /* 5325 */
-    641,
-    /* VPMOVUSQWmrk */
+    590,
+    /* VPMINUQZrmbk */
   },
   { /* 5326 */
-    629,
-    /* VPMOVUSQWrr */
+    591,
+    /* VPMINUQZrmbkz */
   },
   { /* 5327 */
-    642,
-    /* VPMOVUSQWrrk */
+    592,
+    /* VPMINUQZrmk */
   },
   { /* 5328 */
-    642,
-    /* VPMOVUSQWrrkz */
+    309,
+    /* VPMINUQZrmkz */
   },
   { /* 5329 */
-    598,
-    /* VPMOVZXBDYrm */
+    310,
+    /* VPMINUQZrr */
   },
   { /* 5330 */
-    345,
-    /* VPMOVZXBDYrr */
+    438,
+    /* VPMINUQZrrk */
   },
   { /* 5331 */
-    341,
-    /* VPMOVZXBDZrm */
+    311,
+    /* VPMINUQZrrkz */
   },
   { /* 5332 */
-    340,
-    /* VPMOVZXBDZrmk */
+    304,
+    /* VPMINUWYrm */
   },
   { /* 5333 */
-    340,
-    /* VPMOVZXBDZrmkz */
+    305,
+    /* VPMINUWYrr */
   },
   { /* 5334 */
-    643,
-    /* VPMOVZXBDZrr */
+    312,
+    /* VPMINUWrm */
   },
   { /* 5335 */
-    644,
-    /* VPMOVZXBDZrrk */
+    313,
+    /* VPMINUWrr */
   },
   { /* 5336 */
-    644,
-    /* VPMOVZXBDZrrkz */
+    684,
+    /* VPMOVDBmr */
   },
   { /* 5337 */
-    104,
-    /* VPMOVZXBDrm */
+    685,
+    /* VPMOVDBmrk */
   },
   { /* 5338 */
-    43,
-    /* VPMOVZXBDrr */
+    686,
+    /* VPMOVDBrr */
   },
   { /* 5339 */
-    598,
-    /* VPMOVZXBQYrm */
+    687,
+    /* VPMOVDBrrk */
   },
   { /* 5340 */
-    345,
-    /* VPMOVZXBQYrr */
+    687,
+    /* VPMOVDBrrkz */
   },
   { /* 5341 */
-    645,
-    /* VPMOVZXBQZrm */
+    688,
+    /* VPMOVDWmr */
   },
   { /* 5342 */
-    646,
-    /* VPMOVZXBQZrmk */
+    689,
+    /* VPMOVDWmrk */
   },
   { /* 5343 */
-    646,
-    /* VPMOVZXBQZrmkz */
+    690,
+    /* VPMOVDWrr */
   },
   { /* 5344 */
-    347,
-    /* VPMOVZXBQZrr */
+    691,
+    /* VPMOVDWrrk */
   },
   { /* 5345 */
-    604,
-    /* VPMOVZXBQZrrk */
+    691,
+    /* VPMOVDWrrkz */
   },
   { /* 5346 */
-    604,
-    /* VPMOVZXBQZrrkz */
+    559,
+    /* VPMOVMSKBYrr */
   },
   { /* 5347 */
-    104,
-    /* VPMOVZXBQrm */
+    110,
+    /* VPMOVMSKBrr */
   },
   { /* 5348 */
-    43,
-    /* VPMOVZXBQrr */
+    692,
+    /* VPMOVQBmr */
   },
   { /* 5349 */
-    339,
-    /* VPMOVZXBWYrm */
+    693,
+    /* VPMOVQBmrk */
   },
   { /* 5350 */
-    345,
-    /* VPMOVZXBWYrr */
+    694,
+    /* VPMOVQBrr */
   },
   { /* 5351 */
-    104,
-    /* VPMOVZXBWrm */
+    695,
+    /* VPMOVQBrrk */
   },
   { /* 5352 */
-    43,
-    /* VPMOVZXBWrr */
+    695,
+    /* VPMOVQBrrkz */
   },
   { /* 5353 */
-    339,
-    /* VPMOVZXDQYrm */
+    688,
+    /* VPMOVQDmr */
   },
   { /* 5354 */
-    345,
-    /* VPMOVZXDQYrr */
+    696,
+    /* VPMOVQDmrk */
   },
   { /* 5355 */
-    343,
-    /* VPMOVZXDQZrm */
+    690,
+    /* VPMOVQDrr */
   },
   { /* 5356 */
-    647,
-    /* VPMOVZXDQZrmk */
+    697,
+    /* VPMOVQDrrk */
   },
   { /* 5357 */
-    647,
-    /* VPMOVZXDQZrmkz */
+    697,
+    /* VPMOVQDrrkz */
   },
   { /* 5358 */
-    374,
-    /* VPMOVZXDQZrr */
+    684,
+    /* VPMOVQWmr */
   },
   { /* 5359 */
-    648,
-    /* VPMOVZXDQZrrk */
+    698,
+    /* VPMOVQWmrk */
   },
   { /* 5360 */
-    648,
-    /* VPMOVZXDQZrrkz */
+    686,
+    /* VPMOVQWrr */
   },
   { /* 5361 */
-    104,
-    /* VPMOVZXDQrm */
+    699,
+    /* VPMOVQWrrk */
   },
   { /* 5362 */
-    43,
-    /* VPMOVZXDQrr */
+    699,
+    /* VPMOVQWrrkz */
   },
   { /* 5363 */
-    339,
-    /* VPMOVZXWDYrm */
+    684,
+    /* VPMOVSDBmr */
   },
   { /* 5364 */
-    345,
-    /* VPMOVZXWDYrr */
+    685,
+    /* VPMOVSDBmrk */
   },
   { /* 5365 */
-    343,
-    /* VPMOVZXWDZrm */
+    686,
+    /* VPMOVSDBrr */
   },
   { /* 5366 */
-    342,
-    /* VPMOVZXWDZrmk */
+    687,
+    /* VPMOVSDBrrk */
   },
   { /* 5367 */
-    342,
-    /* VPMOVZXWDZrmkz */
+    687,
+    /* VPMOVSDBrrkz */
   },
   { /* 5368 */
-    374,
-    /* VPMOVZXWDZrr */
+    688,
+    /* VPMOVSDWmr */
   },
   { /* 5369 */
-    649,
-    /* VPMOVZXWDZrrk */
+    689,
+    /* VPMOVSDWmrk */
   },
   { /* 5370 */
-    649,
-    /* VPMOVZXWDZrrkz */
+    690,
+    /* VPMOVSDWrr */
   },
   { /* 5371 */
-    104,
-    /* VPMOVZXWDrm */
+    691,
+    /* VPMOVSDWrrk */
   },
   { /* 5372 */
-    43,
-    /* VPMOVZXWDrr */
+    691,
+    /* VPMOVSDWrrkz */
   },
   { /* 5373 */
-    598,
-    /* VPMOVZXWQYrm */
+    692,
+    /* VPMOVSQBmr */
   },
   { /* 5374 */
-    345,
-    /* VPMOVZXWQYrr */
+    693,
+    /* VPMOVSQBmrk */
   },
   { /* 5375 */
-    341,
-    /* VPMOVZXWQZrm */
+    694,
+    /* VPMOVSQBrr */
   },
   { /* 5376 */
-    650,
-    /* VPMOVZXWQZrmk */
+    695,
+    /* VPMOVSQBrrk */
   },
   { /* 5377 */
-    650,
-    /* VPMOVZXWQZrmkz */
+    695,
+    /* VPMOVSQBrrkz */
   },
   { /* 5378 */
-    643,
-    /* VPMOVZXWQZrr */
+    688,
+    /* VPMOVSQDmr */
   },
   { /* 5379 */
-    651,
-    /* VPMOVZXWQZrrk */
+    696,
+    /* VPMOVSQDmrk */
   },
   { /* 5380 */
-    651,
-    /* VPMOVZXWQZrrkz */
+    690,
+    /* VPMOVSQDrr */
   },
   { /* 5381 */
-    104,
-    /* VPMOVZXWQrm */
+    697,
+    /* VPMOVSQDrrk */
   },
   { /* 5382 */
-    43,
-    /* VPMOVZXWQrr */
+    697,
+    /* VPMOVSQDrrkz */
   },
   { /* 5383 */
-    303,
-    /* VPMULDQYrm */
+    684,
+    /* VPMOVSQWmr */
   },
   { /* 5384 */
-    304,
-    /* VPMULDQYrr */
+    698,
+    /* VPMOVSQWmrk */
   },
   { /* 5385 */
-    305,
-    /* VPMULDQZrm */
+    686,
+    /* VPMOVSQWrr */
   },
   { /* 5386 */
-    587,
-    /* VPMULDQZrmb */
+    699,
+    /* VPMOVSQWrrk */
   },
   { /* 5387 */
-    589,
-    /* VPMULDQZrmbk */
+    699,
+    /* VPMOVSQWrrkz */
   },
   { /* 5388 */
-    589,
-    /* VPMULDQZrmbkz */
+    599,
+    /* VPMOVSXBDYrm */
   },
   { /* 5389 */
-    308,
-    /* VPMULDQZrmk */
+    346,
+    /* VPMOVSXBDYrr */
   },
   { /* 5390 */
-    308,
-    /* VPMULDQZrmkz */
+    342,
+    /* VPMOVSXBDZrm */
   },
   { /* 5391 */
-    309,
-    /* VPMULDQZrr */
+    341,
+    /* VPMOVSXBDZrmk */
   },
   { /* 5392 */
-    310,
-    /* VPMULDQZrrk */
+    341,
+    /* VPMOVSXBDZrmkz */
   },
   { /* 5393 */
-    310,
-    /* VPMULDQZrrkz */
+    700,
+    /* VPMOVSXBDZrr */
   },
   { /* 5394 */
-    311,
-    /* VPMULDQrm */
+    701,
+    /* VPMOVSXBDZrrk */
   },
   { /* 5395 */
-    312,
-    /* VPMULDQrr */
+    701,
+    /* VPMOVSXBDZrrkz */
   },
   { /* 5396 */
-    311,
-    /* VPMULHRSWrm128 */
+    105,
+    /* VPMOVSXBDrm */
   },
   { /* 5397 */
-    303,
-    /* VPMULHRSWrm256 */
+    45,
+    /* VPMOVSXBDrr */
   },
   { /* 5398 */
-    312,
-    /* VPMULHRSWrr128 */
+    599,
+    /* VPMOVSXBQYrm */
   },
   { /* 5399 */
-    304,
-    /* VPMULHRSWrr256 */
+    346,
+    /* VPMOVSXBQYrr */
   },
   { /* 5400 */
-    303,
-    /* VPMULHUWYrm */
+    702,
+    /* VPMOVSXBQZrm */
   },
   { /* 5401 */
-    304,
-    /* VPMULHUWYrr */
+    703,
+    /* VPMOVSXBQZrmk */
   },
   { /* 5402 */
-    311,
-    /* VPMULHUWrm */
+    703,
+    /* VPMOVSXBQZrmkz */
   },
   { /* 5403 */
-    312,
-    /* VPMULHUWrr */
+    348,
+    /* VPMOVSXBQZrr */
   },
   { /* 5404 */
-    303,
-    /* VPMULHWYrm */
+    605,
+    /* VPMOVSXBQZrrk */
   },
   { /* 5405 */
-    304,
-    /* VPMULHWYrr */
+    605,
+    /* VPMOVSXBQZrrkz */
   },
   { /* 5406 */
-    311,
-    /* VPMULHWrm */
+    105,
+    /* VPMOVSXBQrm */
   },
   { /* 5407 */
-    312,
-    /* VPMULHWrr */
+    45,
+    /* VPMOVSXBQrr */
   },
   { /* 5408 */
-    303,
-    /* VPMULLDYrm */
+    340,
+    /* VPMOVSXBWYrm */
   },
   { /* 5409 */
-    304,
-    /* VPMULLDYrr */
+    346,
+    /* VPMOVSXBWYrr */
   },
   { /* 5410 */
-    305,
-    /* VPMULLDZrm */
+    105,
+    /* VPMOVSXBWrm */
   },
   { /* 5411 */
-    582,
-    /* VPMULLDZrmb */
+    45,
+    /* VPMOVSXBWrr */
   },
   { /* 5412 */
-    583,
-    /* VPMULLDZrmbk */
+    340,
+    /* VPMOVSXDQYrm */
   },
   { /* 5413 */
-    584,
-    /* VPMULLDZrmbkz */
+    346,
+    /* VPMOVSXDQYrr */
   },
   { /* 5414 */
-    585,
-    /* VPMULLDZrmk */
+    344,
+    /* VPMOVSXDQZrm */
   },
   { /* 5415 */
-    315,
-    /* VPMULLDZrmkz */
+    704,
+    /* VPMOVSXDQZrmk */
   },
   { /* 5416 */
-    309,
-    /* VPMULLDZrr */
+    704,
+    /* VPMOVSXDQZrmkz */
   },
   { /* 5417 */
-    586,
-    /* VPMULLDZrrk */
+    375,
+    /* VPMOVSXDQZrr */
   },
   { /* 5418 */
-    316,
-    /* VPMULLDZrrkz */
+    705,
+    /* VPMOVSXDQZrrk */
   },
   { /* 5419 */
-    311,
-    /* VPMULLDrm */
+    705,
+    /* VPMOVSXDQZrrkz */
   },
   { /* 5420 */
-    312,
-    /* VPMULLDrr */
+    105,
+    /* VPMOVSXDQrm */
   },
   { /* 5421 */
-    303,
-    /* VPMULLWYrm */
+    45,
+    /* VPMOVSXDQrr */
   },
   { /* 5422 */
-    304,
-    /* VPMULLWYrr */
+    340,
+    /* VPMOVSXWDYrm */
   },
   { /* 5423 */
-    311,
-    /* VPMULLWrm */
+    346,
+    /* VPMOVSXWDYrr */
   },
   { /* 5424 */
-    312,
-    /* VPMULLWrr */
+    344,
+    /* VPMOVSXWDZrm */
   },
   { /* 5425 */
-    303,
-    /* VPMULUDQYrm */
+    343,
+    /* VPMOVSXWDZrmk */
   },
   { /* 5426 */
-    304,
-    /* VPMULUDQYrr */
+    343,
+    /* VPMOVSXWDZrmkz */
   },
   { /* 5427 */
-    305,
-    /* VPMULUDQZrm */
+    375,
+    /* VPMOVSXWDZrr */
   },
   { /* 5428 */
-    587,
-    /* VPMULUDQZrmb */
+    706,
+    /* VPMOVSXWDZrrk */
   },
   { /* 5429 */
-    589,
-    /* VPMULUDQZrmbk */
+    706,
+    /* VPMOVSXWDZrrkz */
   },
   { /* 5430 */
-    589,
-    /* VPMULUDQZrmbkz */
+    105,
+    /* VPMOVSXWDrm */
   },
   { /* 5431 */
-    308,
-    /* VPMULUDQZrmk */
+    45,
+    /* VPMOVSXWDrr */
   },
   { /* 5432 */
-    308,
-    /* VPMULUDQZrmkz */
+    599,
+    /* VPMOVSXWQYrm */
   },
   { /* 5433 */
-    309,
-    /* VPMULUDQZrr */
+    346,
+    /* VPMOVSXWQYrr */
   },
   { /* 5434 */
-    310,
-    /* VPMULUDQZrrk */
+    342,
+    /* VPMOVSXWQZrm */
   },
   { /* 5435 */
-    310,
-    /* VPMULUDQZrrkz */
+    707,
+    /* VPMOVSXWQZrmk */
   },
   { /* 5436 */
-    311,
-    /* VPMULUDQrm */
+    707,
+    /* VPMOVSXWQZrmkz */
   },
   { /* 5437 */
-    312,
-    /* VPMULUDQrr */
+    700,
+    /* VPMOVSXWQZrr */
   },
   { /* 5438 */
-    305,
-    /* VPORDZrm */
+    708,
+    /* VPMOVSXWQZrrk */
   },
   { /* 5439 */
-    582,
-    /* VPORDZrmb */
+    708,
+    /* VPMOVSXWQZrrkz */
   },
   { /* 5440 */
-    583,
-    /* VPORDZrmbk */
+    105,
+    /* VPMOVSXWQrm */
   },
   { /* 5441 */
-    584,
-    /* VPORDZrmbkz */
+    45,
+    /* VPMOVSXWQrr */
   },
   { /* 5442 */
-    585,
-    /* VPORDZrmk */
+    684,
+    /* VPMOVUSDBmr */
   },
   { /* 5443 */
-    315,
-    /* VPORDZrmkz */
+    685,
+    /* VPMOVUSDBmrk */
   },
   { /* 5444 */
-    309,
-    /* VPORDZrr */
+    686,
+    /* VPMOVUSDBrr */
   },
   { /* 5445 */
-    586,
-    /* VPORDZrrk */
+    687,
+    /* VPMOVUSDBrrk */
   },
   { /* 5446 */
-    316,
-    /* VPORDZrrkz */
+    687,
+    /* VPMOVUSDBrrkz */
   },
   { /* 5447 */
-    305,
-    /* VPORQZrm */
+    688,
+    /* VPMOVUSDWmr */
   },
   { /* 5448 */
-    587,
-    /* VPORQZrmb */
+    689,
+    /* VPMOVUSDWmrk */
   },
   { /* 5449 */
-    588,
-    /* VPORQZrmbk */
+    690,
+    /* VPMOVUSDWrr */
   },
   { /* 5450 */
-    589,
-    /* VPORQZrmbkz */
+    691,
+    /* VPMOVUSDWrrk */
   },
   { /* 5451 */
-    590,
-    /* VPORQZrmk */
+    691,
+    /* VPMOVUSDWrrkz */
   },
   { /* 5452 */
-    308,
-    /* VPORQZrmkz */
+    692,
+    /* VPMOVUSQBmr */
   },
   { /* 5453 */
-    309,
-    /* VPORQZrr */
+    693,
+    /* VPMOVUSQBmrk */
   },
   { /* 5454 */
-    591,
-    /* VPORQZrrk */
+    694,
+    /* VPMOVUSQBrr */
   },
   { /* 5455 */
-    310,
-    /* VPORQZrrkz */
+    695,
+    /* VPMOVUSQBrrk */
   },
   { /* 5456 */
-    303,
-    /* VPORYrm */
+    695,
+    /* VPMOVUSQBrrkz */
   },
   { /* 5457 */
-    304,
-    /* VPORYrr */
+    688,
+    /* VPMOVUSQDmr */
   },
   { /* 5458 */
-    311,
-    /* VPORrm */
+    696,
+    /* VPMOVUSQDmrk */
   },
   { /* 5459 */
-    312,
-    /* VPORrr */
+    690,
+    /* VPMOVUSQDrr */
   },
   { /* 5460 */
-    337,
-    /* VPPERMmr */
+    697,
+    /* VPMOVUSQDrrk */
   },
   { /* 5461 */
-    437,
-    /* VPPERMrm */
+    697,
+    /* VPMOVUSQDrrkz */
   },
   { /* 5462 */
-    338,
-    /* VPPERMrr */
+    684,
+    /* VPMOVUSQWmr */
   },
   { /* 5463 */
-    44,
-    /* VPROTBmi */
+    698,
+    /* VPMOVUSQWmrk */
   },
   { /* 5464 */
-    652,
-    /* VPROTBmr */
+    686,
+    /* VPMOVUSQWrr */
   },
   { /* 5465 */
-    45,
-    /* VPROTBri */
+    699,
+    /* VPMOVUSQWrrk */
   },
   { /* 5466 */
-    311,
-    /* VPROTBrm */
+    699,
+    /* VPMOVUSQWrrkz */
   },
   { /* 5467 */
-    653,
-    /* VPROTBrr */
+    599,
+    /* VPMOVZXBDYrm */
   },
   { /* 5468 */
-    44,
-    /* VPROTDmi */
+    346,
+    /* VPMOVZXBDYrr */
   },
   { /* 5469 */
-    652,
-    /* VPROTDmr */
+    342,
+    /* VPMOVZXBDZrm */
   },
   { /* 5470 */
-    45,
-    /* VPROTDri */
+    341,
+    /* VPMOVZXBDZrmk */
   },
   { /* 5471 */
-    311,
-    /* VPROTDrm */
+    341,
+    /* VPMOVZXBDZrmkz */
   },
   { /* 5472 */
-    653,
-    /* VPROTDrr */
+    700,
+    /* VPMOVZXBDZrr */
   },
   { /* 5473 */
-    44,
-    /* VPROTQmi */
+    701,
+    /* VPMOVZXBDZrrk */
   },
   { /* 5474 */
-    652,
-    /* VPROTQmr */
+    701,
+    /* VPMOVZXBDZrrkz */
   },
   { /* 5475 */
-    45,
-    /* VPROTQri */
+    105,
+    /* VPMOVZXBDrm */
   },
   { /* 5476 */
-    311,
-    /* VPROTQrm */
+    45,
+    /* VPMOVZXBDrr */
   },
   { /* 5477 */
-    653,
-    /* VPROTQrr */
+    599,
+    /* VPMOVZXBQYrm */
   },
   { /* 5478 */
-    44,
-    /* VPROTWmi */
+    346,
+    /* VPMOVZXBQYrr */
   },
   { /* 5479 */
-    652,
-    /* VPROTWmr */
+    702,
+    /* VPMOVZXBQZrm */
   },
   { /* 5480 */
-    45,
-    /* VPROTWri */
+    703,
+    /* VPMOVZXBQZrmk */
   },
   { /* 5481 */
-    311,
-    /* VPROTWrm */
+    703,
+    /* VPMOVZXBQZrmkz */
   },
   { /* 5482 */
-    653,
-    /* VPROTWrr */
+    348,
+    /* VPMOVZXBQZrr */
   },
   { /* 5483 */
-    303,
-    /* VPSADBWYrm */
+    605,
+    /* VPMOVZXBQZrrk */
   },
   { /* 5484 */
-    304,
-    /* VPSADBWYrr */
+    605,
+    /* VPMOVZXBQZrrkz */
   },
   { /* 5485 */
-    311,
-    /* VPSADBWrm */
+    105,
+    /* VPMOVZXBQrm */
   },
   { /* 5486 */
-    312,
-    /* VPSADBWrr */
+    45,
+    /* VPMOVZXBQrr */
   },
   { /* 5487 */
-    654,
-    /* VPSCATTERDDZmr */
+    340,
+    /* VPMOVZXBWYrm */
   },
   { /* 5488 */
-    655,
-    /* VPSCATTERDQZmr */
+    346,
+    /* VPMOVZXBWYrr */
   },
   { /* 5489 */
-    656,
-    /* VPSCATTERQDZmr */
+    105,
+    /* VPMOVZXBWrm */
   },
   { /* 5490 */
-    655,
-    /* VPSCATTERQQZmr */
+    45,
+    /* VPMOVZXBWrr */
   },
   { /* 5491 */
-    652,
-    /* VPSHABmr */
+    340,
+    /* VPMOVZXDQYrm */
   },
   { /* 5492 */
-    311,
-    /* VPSHABrm */
+    346,
+    /* VPMOVZXDQYrr */
   },
   { /* 5493 */
-    653,
-    /* VPSHABrr */
+    344,
+    /* VPMOVZXDQZrm */
   },
   { /* 5494 */
-    652,
-    /* VPSHADmr */
+    704,
+    /* VPMOVZXDQZrmk */
   },
   { /* 5495 */
-    311,
-    /* VPSHADrm */
+    704,
+    /* VPMOVZXDQZrmkz */
   },
   { /* 5496 */
-    653,
-    /* VPSHADrr */
+    375,
+    /* VPMOVZXDQZrr */
   },
   { /* 5497 */
-    652,
-    /* VPSHAQmr */
+    705,
+    /* VPMOVZXDQZrrk */
   },
   { /* 5498 */
-    311,
-    /* VPSHAQrm */
+    705,
+    /* VPMOVZXDQZrrkz */
   },
   { /* 5499 */
-    653,
-    /* VPSHAQrr */
+    105,
+    /* VPMOVZXDQrm */
   },
   { /* 5500 */
-    652,
-    /* VPSHAWmr */
+    45,
+    /* VPMOVZXDQrr */
   },
   { /* 5501 */
-    311,
-    /* VPSHAWrm */
+    340,
+    /* VPMOVZXWDYrm */
   },
   { /* 5502 */
-    653,
-    /* VPSHAWrr */
+    346,
+    /* VPMOVZXWDYrr */
   },
   { /* 5503 */
-    652,
-    /* VPSHLBmr */
+    344,
+    /* VPMOVZXWDZrm */
   },
   { /* 5504 */
-    311,
-    /* VPSHLBrm */
+    343,
+    /* VPMOVZXWDZrmk */
   },
   { /* 5505 */
-    653,
-    /* VPSHLBrr */
+    343,
+    /* VPMOVZXWDZrmkz */
   },
   { /* 5506 */
-    652,
-    /* VPSHLDmr */
+    375,
+    /* VPMOVZXWDZrr */
   },
   { /* 5507 */
-    311,
-    /* VPSHLDrm */
+    706,
+    /* VPMOVZXWDZrrk */
   },
   { /* 5508 */
-    653,
-    /* VPSHLDrr */
+    706,
+    /* VPMOVZXWDZrrkz */
   },
   { /* 5509 */
-    652,
-    /* VPSHLQmr */
+    105,
+    /* VPMOVZXWDrm */
   },
   { /* 5510 */
-    311,
-    /* VPSHLQrm */
+    45,
+    /* VPMOVZXWDrr */
   },
   { /* 5511 */
-    653,
-    /* VPSHLQrr */
+    599,
+    /* VPMOVZXWQYrm */
   },
   { /* 5512 */
-    652,
-    /* VPSHLWmr */
+    346,
+    /* VPMOVZXWQYrr */
   },
   { /* 5513 */
-    311,
-    /* VPSHLWrm */
+    342,
+    /* VPMOVZXWQZrm */
   },
   { /* 5514 */
-    653,
-    /* VPSHLWrr */
+    707,
+    /* VPMOVZXWQZrmk */
   },
   { /* 5515 */
-    303,
-    /* VPSHUFBYrm */
+    707,
+    /* VPMOVZXWQZrmkz */
   },
   { /* 5516 */
-    304,
-    /* VPSHUFBYrr */
+    700,
+    /* VPMOVZXWQZrr */
   },
   { /* 5517 */
-    311,
-    /* VPSHUFBrm */
+    708,
+    /* VPMOVZXWQZrrk */
   },
   { /* 5518 */
-    312,
-    /* VPSHUFBrr */
+    708,
+    /* VPMOVZXWQZrrkz */
   },
   { /* 5519 */
-    619,
-    /* VPSHUFDYmi */
+    105,
+    /* VPMOVZXWQrm */
   },
   { /* 5520 */
-    620,
-    /* VPSHUFDYri */
+    45,
+    /* VPMOVZXWQrr */
   },
   { /* 5521 */
-    621,
-    /* VPSHUFDZmi */
+    304,
+    /* VPMULDQYrm */
   },
   { /* 5522 */
-    622,
-    /* VPSHUFDZri */
+    305,
+    /* VPMULDQYrr */
   },
   { /* 5523 */
-    44,
-    /* VPSHUFDmi */
+    306,
+    /* VPMULDQZrm */
   },
   { /* 5524 */
-    45,
-    /* VPSHUFDri */
+    589,
+    /* VPMULDQZrmb */
   },
   { /* 5525 */
-    619,
-    /* VPSHUFHWYmi */
+    591,
+    /* VPMULDQZrmbk */
   },
   { /* 5526 */
-    620,
-    /* VPSHUFHWYri */
+    591,
+    /* VPMULDQZrmbkz */
   },
   { /* 5527 */
-    44,
-    /* VPSHUFHWmi */
+    309,
+    /* VPMULDQZrmk */
   },
   { /* 5528 */
-    45,
-    /* VPSHUFHWri */
+    309,
+    /* VPMULDQZrmkz */
   },
   { /* 5529 */
-    619,
-    /* VPSHUFLWYmi */
+    310,
+    /* VPMULDQZrr */
   },
   { /* 5530 */
-    620,
-    /* VPSHUFLWYri */
+    311,
+    /* VPMULDQZrrk */
   },
   { /* 5531 */
-    44,
-    /* VPSHUFLWmi */
+    311,
+    /* VPMULDQZrrkz */
   },
   { /* 5532 */
-    45,
-    /* VPSHUFLWri */
+    312,
+    /* VPMULDQrm */
   },
   { /* 5533 */
-    303,
-    /* VPSIGNBYrm */
+    313,
+    /* VPMULDQrr */
   },
   { /* 5534 */
-    304,
-    /* VPSIGNBYrr */
+    312,
+    /* VPMULHRSWrm128 */
   },
   { /* 5535 */
-    311,
-    /* VPSIGNBrm */
+    304,
+    /* VPMULHRSWrm256 */
   },
   { /* 5536 */
-    312,
-    /* VPSIGNBrr */
+    313,
+    /* VPMULHRSWrr128 */
   },
   { /* 5537 */
-    303,
-    /* VPSIGNDYrm */
+    305,
+    /* VPMULHRSWrr256 */
   },
   { /* 5538 */
     304,
-    /* VPSIGNDYrr */
+    /* VPMULHUWYrm */
   },
   { /* 5539 */
-    311,
-    /* VPSIGNDrm */
+    305,
+    /* VPMULHUWYrr */
   },
   { /* 5540 */
     312,
-    /* VPSIGNDrr */
+    /* VPMULHUWrm */
   },
   { /* 5541 */
-    303,
-    /* VPSIGNWYrm */
+    313,
+    /* VPMULHUWrr */
   },
   { /* 5542 */
     304,
-    /* VPSIGNWYrr */
+    /* VPMULHWYrm */
   },
   { /* 5543 */
-    311,
-    /* VPSIGNWrm */
+    305,
+    /* VPMULHWYrr */
   },
   { /* 5544 */
     312,
-    /* VPSIGNWrr */
+    /* VPMULHWrm */
   },
   { /* 5545 */
-    657,
-    /* VPSLLDQYri */
+    313,
+    /* VPMULHWrr */
   },
   { /* 5546 */
-    658,
-    /* VPSLLDQri */
+    304,
+    /* VPMULLDYrm */
   },
   { /* 5547 */
-    659,
-    /* VPSLLDYri */
+    305,
+    /* VPMULLDYrr */
   },
   { /* 5548 */
-    660,
-    /* VPSLLDYrm */
+    306,
+    /* VPMULLDZrm */
   },
   { /* 5549 */
-    661,
-    /* VPSLLDYrr */
+    585,
+    /* VPMULLDZrmb */
   },
   { /* 5550 */
-    662,
-    /* VPSLLDZmi */
+    586,
+    /* VPMULLDZrmbk */
   },
   { /* 5551 */
-    663,
-    /* VPSLLDZmik */
+    587,
+    /* VPMULLDZrmbkz */
   },
   { /* 5552 */
-    664,
-    /* VPSLLDZri */
+    588,
+    /* VPMULLDZrmk */
   },
   { /* 5553 */
-    665,
-    /* VPSLLDZrik */
+    316,
+    /* VPMULLDZrmkz */
   },
   { /* 5554 */
-    666,
-    /* VPSLLDZrm */
+    310,
+    /* VPMULLDZrr */
   },
   { /* 5555 */
-    667,
-    /* VPSLLDZrmk */
+    439,
+    /* VPMULLDZrrk */
   },
   { /* 5556 */
-    668,
-    /* VPSLLDZrr */
+    317,
+    /* VPMULLDZrrkz */
   },
   { /* 5557 */
-    669,
-    /* VPSLLDZrrk */
+    312,
+    /* VPMULLDrm */
   },
   { /* 5558 */
-    670,
-    /* VPSLLDri */
+    313,
+    /* VPMULLDrr */
   },
   { /* 5559 */
-    311,
-    /* VPSLLDrm */
+    304,
+    /* VPMULLWYrm */
   },
   { /* 5560 */
-    312,
-    /* VPSLLDrr */
+    305,
+    /* VPMULLWYrr */
   },
   { /* 5561 */
-    659,
-    /* VPSLLQYri */
+    312,
+    /* VPMULLWrm */
   },
   { /* 5562 */
-    660,
-    /* VPSLLQYrm */
+    313,
+    /* VPMULLWrr */
   },
   { /* 5563 */
-    661,
-    /* VPSLLQYrr */
+    304,
+    /* VPMULUDQYrm */
   },
   { /* 5564 */
-    662,
-    /* VPSLLQZmi */
+    305,
+    /* VPMULUDQYrr */
   },
   { /* 5565 */
-    671,
-    /* VPSLLQZmik */
+    306,
+    /* VPMULUDQZrm */
   },
   { /* 5566 */
-    664,
-    /* VPSLLQZri */
+    589,
+    /* VPMULUDQZrmb */
   },
   { /* 5567 */
-    672,
-    /* VPSLLQZrik */
+    591,
+    /* VPMULUDQZrmbk */
   },
   { /* 5568 */
-    666,
-    /* VPSLLQZrm */
+    591,
+    /* VPMULUDQZrmbkz */
   },
   { /* 5569 */
-    673,
-    /* VPSLLQZrmk */
+    309,
+    /* VPMULUDQZrmk */
   },
   { /* 5570 */
-    668,
-    /* VPSLLQZrr */
+    309,
+    /* VPMULUDQZrmkz */
   },
   { /* 5571 */
-    674,
-    /* VPSLLQZrrk */
+    310,
+    /* VPMULUDQZrr */
   },
   { /* 5572 */
-    670,
-    /* VPSLLQri */
+    311,
+    /* VPMULUDQZrrk */
   },
   { /* 5573 */
     311,
-    /* VPSLLQrm */
+    /* VPMULUDQZrrkz */
   },
   { /* 5574 */
     312,
-    /* VPSLLQrr */
+    /* VPMULUDQrm */
   },
   { /* 5575 */
-    303,
-    /* VPSLLVDYrm */
+    313,
+    /* VPMULUDQrr */
   },
   { /* 5576 */
-    304,
-    /* VPSLLVDYrr */
+    306,
+    /* VPORDZrm */
   },
   { /* 5577 */
-    305,
-    /* VPSLLVDZrm */
+    585,
+    /* VPORDZrmb */
   },
   { /* 5578 */
-    309,
-    /* VPSLLVDZrr */
+    586,
+    /* VPORDZrmbk */
   },
   { /* 5579 */
-    311,
-    /* VPSLLVDrm */
+    587,
+    /* VPORDZrmbkz */
   },
   { /* 5580 */
-    312,
-    /* VPSLLVDrr */
+    588,
+    /* VPORDZrmk */
   },
   { /* 5581 */
-    303,
-    /* VPSLLVQYrm */
+    316,
+    /* VPORDZrmkz */
   },
   { /* 5582 */
-    304,
-    /* VPSLLVQYrr */
+    310,
+    /* VPORDZrr */
   },
   { /* 5583 */
-    305,
-    /* VPSLLVQZrm */
+    439,
+    /* VPORDZrrk */
   },
   { /* 5584 */
-    309,
-    /* VPSLLVQZrr */
+    317,
+    /* VPORDZrrkz */
   },
   { /* 5585 */
-    311,
-    /* VPSLLVQrm */
+    306,
+    /* VPORQZrm */
   },
   { /* 5586 */
-    312,
-    /* VPSLLVQrr */
+    589,
+    /* VPORQZrmb */
   },
   { /* 5587 */
-    659,
-    /* VPSLLWYri */
+    590,
+    /* VPORQZrmbk */
   },
   { /* 5588 */
-    660,
-    /* VPSLLWYrm */
+    591,
+    /* VPORQZrmbkz */
   },
   { /* 5589 */
-    661,
-    /* VPSLLWYrr */
+    592,
+    /* VPORQZrmk */
   },
   { /* 5590 */
-    670,
-    /* VPSLLWri */
+    309,
+    /* VPORQZrmkz */
   },
   { /* 5591 */
-    311,
-    /* VPSLLWrm */
+    310,
+    /* VPORQZrr */
   },
   { /* 5592 */
-    312,
-    /* VPSLLWrr */
+    438,
+    /* VPORQZrrk */
   },
   { /* 5593 */
-    659,
-    /* VPSRADYri */
+    311,
+    /* VPORQZrrkz */
   },
   { /* 5594 */
-    660,
-    /* VPSRADYrm */
+    304,
+    /* VPORYrm */
   },
   { /* 5595 */
-    661,
-    /* VPSRADYrr */
+    305,
+    /* VPORYrr */
   },
   { /* 5596 */
-    662,
-    /* VPSRADZmi */
+    312,
+    /* VPORrm */
   },
   { /* 5597 */
-    663,
-    /* VPSRADZmik */
+    313,
+    /* VPORrr */
   },
   { /* 5598 */
-    664,
-    /* VPSRADZri */
+    338,
+    /* VPPERMmr */
   },
   { /* 5599 */
-    665,
-    /* VPSRADZrik */
+    440,
+    /* VPPERMrm */
   },
   { /* 5600 */
-    666,
-    /* VPSRADZrm */
+    339,
+    /* VPPERMrr */
   },
   { /* 5601 */
-    667,
-    /* VPSRADZrmk */
+    46,
+    /* VPROTBmi */
   },
   { /* 5602 */
-    668,
-    /* VPSRADZrr */
+    709,
+    /* VPROTBmr */
   },
   { /* 5603 */
-    669,
-    /* VPSRADZrrk */
+    47,
+    /* VPROTBri */
   },
   { /* 5604 */
-    670,
-    /* VPSRADri */
+    312,
+    /* VPROTBrm */
   },
   { /* 5605 */
-    311,
-    /* VPSRADrm */
+    710,
+    /* VPROTBrr */
   },
   { /* 5606 */
-    312,
-    /* VPSRADrr */
+    46,
+    /* VPROTDmi */
   },
   { /* 5607 */
-    662,
-    /* VPSRAQZmi */
+    709,
+    /* VPROTDmr */
   },
   { /* 5608 */
-    671,
-    /* VPSRAQZmik */
+    47,
+    /* VPROTDri */
   },
   { /* 5609 */
-    664,
-    /* VPSRAQZri */
+    312,
+    /* VPROTDrm */
   },
   { /* 5610 */
-    672,
-    /* VPSRAQZrik */
+    710,
+    /* VPROTDrr */
   },
   { /* 5611 */
-    666,
-    /* VPSRAQZrm */
+    46,
+    /* VPROTQmi */
   },
   { /* 5612 */
-    673,
-    /* VPSRAQZrmk */
+    709,
+    /* VPROTQmr */
   },
   { /* 5613 */
-    668,
-    /* VPSRAQZrr */
+    47,
+    /* VPROTQri */
   },
   { /* 5614 */
-    674,
-    /* VPSRAQZrrk */
+    312,
+    /* VPROTQrm */
   },
   { /* 5615 */
-    303,
-    /* VPSRAVDYrm */
+    710,
+    /* VPROTQrr */
   },
   { /* 5616 */
-    304,
-    /* VPSRAVDYrr */
+    46,
+    /* VPROTWmi */
   },
   { /* 5617 */
-    305,
-    /* VPSRAVDZrm */
+    709,
+    /* VPROTWmr */
   },
   { /* 5618 */
-    309,
-    /* VPSRAVDZrr */
+    47,
+    /* VPROTWri */
   },
   { /* 5619 */
-    311,
-    /* VPSRAVDrm */
+    312,
+    /* VPROTWrm */
   },
   { /* 5620 */
-    312,
-    /* VPSRAVDrr */
+    710,
+    /* VPROTWrr */
   },
   { /* 5621 */
-    305,
-    /* VPSRAVQZrm */
+    304,
+    /* VPSADBWYrm */
   },
   { /* 5622 */
-    309,
-    /* VPSRAVQZrr */
+    305,
+    /* VPSADBWYrr */
   },
   { /* 5623 */
-    659,
-    /* VPSRAWYri */
+    312,
+    /* VPSADBWrm */
   },
   { /* 5624 */
-    660,
-    /* VPSRAWYrm */
+    313,
+    /* VPSADBWrr */
   },
   { /* 5625 */
-    661,
-    /* VPSRAWYrr */
+    711,
+    /* VPSCATTERDDZmr */
   },
   { /* 5626 */
-    670,
-    /* VPSRAWri */
+    712,
+    /* VPSCATTERDQZmr */
   },
   { /* 5627 */
-    311,
-    /* VPSRAWrm */
+    713,
+    /* VPSCATTERQDZmr */
   },
   { /* 5628 */
-    312,
-    /* VPSRAWrr */
+    712,
+    /* VPSCATTERQQZmr */
   },
   { /* 5629 */
-    657,
-    /* VPSRLDQYri */
+    709,
+    /* VPSHABmr */
   },
   { /* 5630 */
-    658,
-    /* VPSRLDQri */
+    312,
+    /* VPSHABrm */
   },
   { /* 5631 */
-    659,
-    /* VPSRLDYri */
+    710,
+    /* VPSHABrr */
   },
   { /* 5632 */
-    660,
-    /* VPSRLDYrm */
+    709,
+    /* VPSHADmr */
   },
   { /* 5633 */
-    661,
-    /* VPSRLDYrr */
+    312,
+    /* VPSHADrm */
   },
   { /* 5634 */
-    662,
-    /* VPSRLDZmi */
+    710,
+    /* VPSHADrr */
   },
   { /* 5635 */
-    663,
-    /* VPSRLDZmik */
+    709,
+    /* VPSHAQmr */
   },
   { /* 5636 */
-    664,
-    /* VPSRLDZri */
+    312,
+    /* VPSHAQrm */
   },
   { /* 5637 */
-    665,
-    /* VPSRLDZrik */
+    710,
+    /* VPSHAQrr */
   },
   { /* 5638 */
-    666,
-    /* VPSRLDZrm */
+    709,
+    /* VPSHAWmr */
   },
   { /* 5639 */
-    667,
-    /* VPSRLDZrmk */
+    312,
+    /* VPSHAWrm */
   },
   { /* 5640 */
-    668,
-    /* VPSRLDZrr */
+    710,
+    /* VPSHAWrr */
   },
   { /* 5641 */
-    669,
-    /* VPSRLDZrrk */
+    709,
+    /* VPSHLBmr */
   },
   { /* 5642 */
-    670,
-    /* VPSRLDri */
+    312,
+    /* VPSHLBrm */
   },
   { /* 5643 */
-    311,
-    /* VPSRLDrm */
+    710,
+    /* VPSHLBrr */
   },
   { /* 5644 */
-    312,
-    /* VPSRLDrr */
+    709,
+    /* VPSHLDmr */
   },
   { /* 5645 */
-    659,
-    /* VPSRLQYri */
+    312,
+    /* VPSHLDrm */
   },
   { /* 5646 */
-    660,
-    /* VPSRLQYrm */
+    710,
+    /* VPSHLDrr */
   },
   { /* 5647 */
-    661,
-    /* VPSRLQYrr */
+    709,
+    /* VPSHLQmr */
   },
   { /* 5648 */
-    662,
-    /* VPSRLQZmi */
+    312,
+    /* VPSHLQrm */
   },
   { /* 5649 */
-    671,
-    /* VPSRLQZmik */
+    710,
+    /* VPSHLQrr */
   },
   { /* 5650 */
-    664,
-    /* VPSRLQZri */
+    709,
+    /* VPSHLWmr */
   },
   { /* 5651 */
-    672,
-    /* VPSRLQZrik */
+    312,
+    /* VPSHLWrm */
   },
   { /* 5652 */
-    666,
-    /* VPSRLQZrm */
+    710,
+    /* VPSHLWrr */
   },
   { /* 5653 */
-    673,
-    /* VPSRLQZrmk */
+    304,
+    /* VPSHUFBYrm */
   },
   { /* 5654 */
-    668,
-    /* VPSRLQZrr */
+    305,
+    /* VPSHUFBYrr */
   },
   { /* 5655 */
-    674,
-    /* VPSRLQZrrk */
+    312,
+    /* VPSHUFBrm */
   },
   { /* 5656 */
-    670,
-    /* VPSRLQri */
+    313,
+    /* VPSHUFBrr */
   },
   { /* 5657 */
-    311,
-    /* VPSRLQrm */
+    676,
+    /* VPSHUFDYmi */
   },
   { /* 5658 */
-    312,
-    /* VPSRLQrr */
+    677,
+    /* VPSHUFDYri */
   },
   { /* 5659 */
-    303,
-    /* VPSRLVDYrm */
+    678,
+    /* VPSHUFDZmi */
   },
   { /* 5660 */
-    304,
-    /* VPSRLVDYrr */
+    679,
+    /* VPSHUFDZri */
   },
   { /* 5661 */
-    305,
-    /* VPSRLVDZrm */
+    46,
+    /* VPSHUFDmi */
   },
   { /* 5662 */
-    309,
-    /* VPSRLVDZrr */
+    47,
+    /* VPSHUFDri */
   },
   { /* 5663 */
-    311,
-    /* VPSRLVDrm */
+    676,
+    /* VPSHUFHWYmi */
   },
   { /* 5664 */
-    312,
-    /* VPSRLVDrr */
+    677,
+    /* VPSHUFHWYri */
   },
   { /* 5665 */
-    303,
-    /* VPSRLVQYrm */
+    46,
+    /* VPSHUFHWmi */
   },
   { /* 5666 */
-    304,
-    /* VPSRLVQYrr */
+    47,
+    /* VPSHUFHWri */
   },
   { /* 5667 */
-    305,
-    /* VPSRLVQZrm */
+    676,
+    /* VPSHUFLWYmi */
   },
   { /* 5668 */
-    309,
-    /* VPSRLVQZrr */
+    677,
+    /* VPSHUFLWYri */
   },
   { /* 5669 */
-    311,
-    /* VPSRLVQrm */
+    46,
+    /* VPSHUFLWmi */
   },
   { /* 5670 */
-    312,
-    /* VPSRLVQrr */
+    47,
+    /* VPSHUFLWri */
   },
   { /* 5671 */
-    659,
-    /* VPSRLWYri */
+    304,
+    /* VPSIGNBYrm */
   },
   { /* 5672 */
-    660,
-    /* VPSRLWYrm */
+    305,
+    /* VPSIGNBYrr */
   },
   { /* 5673 */
-    661,
-    /* VPSRLWYrr */
+    312,
+    /* VPSIGNBrm */
   },
   { /* 5674 */
-    670,
-    /* VPSRLWri */
+    313,
+    /* VPSIGNBrr */
   },
   { /* 5675 */
-    311,
-    /* VPSRLWrm */
+    304,
+    /* VPSIGNDYrm */
   },
   { /* 5676 */
-    312,
-    /* VPSRLWrr */
+    305,
+    /* VPSIGNDYrr */
   },
   { /* 5677 */
-    303,
-    /* VPSUBBYrm */
+    312,
+    /* VPSIGNDrm */
   },
   { /* 5678 */
-    304,
-    /* VPSUBBYrr */
+    313,
+    /* VPSIGNDrr */
   },
   { /* 5679 */
-    311,
-    /* VPSUBBrm */
+    304,
+    /* VPSIGNWYrm */
   },
   { /* 5680 */
-    312,
-    /* VPSUBBrr */
+    305,
+    /* VPSIGNWYrr */
   },
   { /* 5681 */
-    303,
-    /* VPSUBDYrm */
+    312,
+    /* VPSIGNWrm */
   },
   { /* 5682 */
-    304,
-    /* VPSUBDYrr */
+    313,
+    /* VPSIGNWrr */
   },
   { /* 5683 */
-    305,
-    /* VPSUBDZrm */
+    714,
+    /* VPSLLDQYri */
   },
   { /* 5684 */
-    582,
-    /* VPSUBDZrmb */
+    715,
+    /* VPSLLDQri */
   },
   { /* 5685 */
-    583,
-    /* VPSUBDZrmbk */
+    716,
+    /* VPSLLDYri */
   },
   { /* 5686 */
-    584,
-    /* VPSUBDZrmbkz */
+    717,
+    /* VPSLLDYrm */
   },
   { /* 5687 */
-    585,
-    /* VPSUBDZrmk */
+    718,
+    /* VPSLLDYrr */
   },
   { /* 5688 */
-    315,
-    /* VPSUBDZrmkz */
+    719,
+    /* VPSLLDZmi */
   },
   { /* 5689 */
-    309,
-    /* VPSUBDZrr */
+    720,
+    /* VPSLLDZmik */
   },
   { /* 5690 */
-    586,
-    /* VPSUBDZrrk */
+    721,
+    /* VPSLLDZri */
   },
   { /* 5691 */
-    316,
-    /* VPSUBDZrrkz */
+    722,
+    /* VPSLLDZrik */
   },
   { /* 5692 */
-    311,
-    /* VPSUBDrm */
+    723,
+    /* VPSLLDZrm */
   },
   { /* 5693 */
-    312,
-    /* VPSUBDrr */
+    724,
+    /* VPSLLDZrmk */
   },
   { /* 5694 */
-    303,
-    /* VPSUBQYrm */
+    725,
+    /* VPSLLDZrr */
   },
   { /* 5695 */
-    304,
-    /* VPSUBQYrr */
+    726,
+    /* VPSLLDZrrk */
   },
   { /* 5696 */
-    305,
-    /* VPSUBQZrm */
+    727,
+    /* VPSLLDri */
   },
   { /* 5697 */
-    587,
-    /* VPSUBQZrmb */
+    312,
+    /* VPSLLDrm */
   },
   { /* 5698 */
-    588,
-    /* VPSUBQZrmbk */
+    313,
+    /* VPSLLDrr */
   },
   { /* 5699 */
-    589,
-    /* VPSUBQZrmbkz */
+    716,
+    /* VPSLLQYri */
   },
   { /* 5700 */
-    590,
-    /* VPSUBQZrmk */
+    717,
+    /* VPSLLQYrm */
   },
   { /* 5701 */
-    308,
-    /* VPSUBQZrmkz */
+    718,
+    /* VPSLLQYrr */
   },
   { /* 5702 */
-    309,
-    /* VPSUBQZrr */
+    719,
+    /* VPSLLQZmi */
   },
   { /* 5703 */
-    591,
-    /* VPSUBQZrrk */
+    728,
+    /* VPSLLQZmik */
   },
   { /* 5704 */
-    310,
-    /* VPSUBQZrrkz */
+    721,
+    /* VPSLLQZri */
   },
   { /* 5705 */
-    311,
-    /* VPSUBQrm */
+    729,
+    /* VPSLLQZrik */
   },
   { /* 5706 */
-    312,
-    /* VPSUBQrr */
+    723,
+    /* VPSLLQZrm */
   },
   { /* 5707 */
-    303,
-    /* VPSUBSBYrm */
+    730,
+    /* VPSLLQZrmk */
   },
   { /* 5708 */
-    304,
-    /* VPSUBSBYrr */
+    725,
+    /* VPSLLQZrr */
   },
   { /* 5709 */
-    311,
-    /* VPSUBSBrm */
+    731,
+    /* VPSLLQZrrk */
   },
   { /* 5710 */
-    312,
-    /* VPSUBSBrr */
+    727,
+    /* VPSLLQri */
   },
   { /* 5711 */
-    303,
-    /* VPSUBSWYrm */
+    312,
+    /* VPSLLQrm */
   },
   { /* 5712 */
-    304,
-    /* VPSUBSWYrr */
+    313,
+    /* VPSLLQrr */
   },
   { /* 5713 */
-    311,
-    /* VPSUBSWrm */
+    304,
+    /* VPSLLVDYrm */
   },
   { /* 5714 */
-    312,
-    /* VPSUBSWrr */
+    305,
+    /* VPSLLVDYrr */
   },
   { /* 5715 */
-    303,
-    /* VPSUBUSBYrm */
+    306,
+    /* VPSLLVDZrm */
   },
   { /* 5716 */
-    304,
-    /* VPSUBUSBYrr */
+    310,
+    /* VPSLLVDZrr */
   },
   { /* 5717 */
-    311,
-    /* VPSUBUSBrm */
+    312,
+    /* VPSLLVDrm */
   },
   { /* 5718 */
-    312,
-    /* VPSUBUSBrr */
+    313,
+    /* VPSLLVDrr */
   },
   { /* 5719 */
-    303,
-    /* VPSUBUSWYrm */
+    304,
+    /* VPSLLVQYrm */
   },
   { /* 5720 */
-    304,
-    /* VPSUBUSWYrr */
+    305,
+    /* VPSLLVQYrr */
   },
   { /* 5721 */
-    311,
-    /* VPSUBUSWrm */
+    306,
+    /* VPSLLVQZrm */
   },
   { /* 5722 */
-    312,
-    /* VPSUBUSWrr */
+    310,
+    /* VPSLLVQZrr */
   },
   { /* 5723 */
-    303,
-    /* VPSUBWYrm */
+    312,
+    /* VPSLLVQrm */
   },
   { /* 5724 */
-    304,
-    /* VPSUBWYrr */
+    313,
+    /* VPSLLVQrr */
   },
   { /* 5725 */
-    311,
-    /* VPSUBWrm */
+    716,
+    /* VPSLLWYri */
   },
   { /* 5726 */
-    312,
-    /* VPSUBWrr */
+    717,
+    /* VPSLLWYrm */
   },
   { /* 5727 */
-    607,
-    /* VPTESTMDZrm */
+    718,
+    /* VPSLLWYrr */
   },
   { /* 5728 */
-    608,
-    /* VPTESTMDZrr */
+    727,
+    /* VPSLLWri */
   },
   { /* 5729 */
-    609,
-    /* VPTESTMQZrm */
+    312,
+    /* VPSLLWrm */
   },
   { /* 5730 */
-    610,
-    /* VPTESTMQZrr */
+    313,
+    /* VPSLLWrr */
   },
   { /* 5731 */
-    607,
-    /* VPTESTNMDZrm */
+    716,
+    /* VPSRADYri */
   },
   { /* 5732 */
-    608,
-    /* VPTESTNMDZrr */
+    717,
+    /* VPSRADYrm */
   },
   { /* 5733 */
-    609,
-    /* VPTESTNMQZrm */
+    718,
+    /* VPSRADYrr */
   },
   { /* 5734 */
-    610,
-    /* VPTESTNMQZrr */
+    719,
+    /* VPSRADZmi */
   },
   { /* 5735 */
-    375,
-    /* VPTESTYrm */
+    720,
+    /* VPSRADZmik */
   },
   { /* 5736 */
-    376,
-    /* VPTESTYrr */
+    721,
+    /* VPSRADZri */
   },
   { /* 5737 */
-    42,
-    /* VPTESTrm */
+    722,
+    /* VPSRADZrik */
   },
   { /* 5738 */
-    43,
-    /* VPTESTrr */
+    723,
+    /* VPSRADZrm */
   },
   { /* 5739 */
-    303,
-    /* VPUNPCKHBWYrm */
+    724,
+    /* VPSRADZrmk */
   },
   { /* 5740 */
-    304,
-    /* VPUNPCKHBWYrr */
+    725,
+    /* VPSRADZrr */
   },
   { /* 5741 */
-    311,
-    /* VPUNPCKHBWrm */
+    726,
+    /* VPSRADZrrk */
   },
   { /* 5742 */
-    312,
-    /* VPUNPCKHBWrr */
+    727,
+    /* VPSRADri */
   },
   { /* 5743 */
-    303,
-    /* VPUNPCKHDQYrm */
+    312,
+    /* VPSRADrm */
   },
   { /* 5744 */
-    304,
-    /* VPUNPCKHDQYrr */
+    313,
+    /* VPSRADrr */
   },
   { /* 5745 */
-    305,
-    /* VPUNPCKHDQZrm */
+    719,
+    /* VPSRAQZmi */
   },
   { /* 5746 */
-    309,
-    /* VPUNPCKHDQZrr */
+    728,
+    /* VPSRAQZmik */
   },
   { /* 5747 */
-    311,
-    /* VPUNPCKHDQrm */
+    721,
+    /* VPSRAQZri */
   },
   { /* 5748 */
-    312,
-    /* VPUNPCKHDQrr */
+    729,
+    /* VPSRAQZrik */
   },
   { /* 5749 */
-    303,
-    /* VPUNPCKHQDQYrm */
+    723,
+    /* VPSRAQZrm */
   },
   { /* 5750 */
-    304,
-    /* VPUNPCKHQDQYrr */
+    730,
+    /* VPSRAQZrmk */
   },
   { /* 5751 */
-    305,
-    /* VPUNPCKHQDQZrm */
+    725,
+    /* VPSRAQZrr */
   },
   { /* 5752 */
-    309,
-    /* VPUNPCKHQDQZrr */
+    731,
+    /* VPSRAQZrrk */
   },
   { /* 5753 */
-    311,
-    /* VPUNPCKHQDQrm */
+    304,
+    /* VPSRAVDYrm */
   },
   { /* 5754 */
-    312,
-    /* VPUNPCKHQDQrr */
+    305,
+    /* VPSRAVDYrr */
   },
   { /* 5755 */
-    303,
-    /* VPUNPCKHWDYrm */
+    306,
+    /* VPSRAVDZrm */
   },
   { /* 5756 */
-    304,
-    /* VPUNPCKHWDYrr */
+    310,
+    /* VPSRAVDZrr */
   },
   { /* 5757 */
-    311,
-    /* VPUNPCKHWDrm */
+    312,
+    /* VPSRAVDrm */
   },
   { /* 5758 */
-    312,
-    /* VPUNPCKHWDrr */
+    313,
+    /* VPSRAVDrr */
   },
   { /* 5759 */
-    303,
-    /* VPUNPCKLBWYrm */
+    306,
+    /* VPSRAVQZrm */
   },
   { /* 5760 */
-    304,
-    /* VPUNPCKLBWYrr */
+    310,
+    /* VPSRAVQZrr */
   },
   { /* 5761 */
-    311,
-    /* VPUNPCKLBWrm */
+    716,
+    /* VPSRAWYri */
   },
   { /* 5762 */
-    312,
-    /* VPUNPCKLBWrr */
+    717,
+    /* VPSRAWYrm */
   },
   { /* 5763 */
-    303,
-    /* VPUNPCKLDQYrm */
+    718,
+    /* VPSRAWYrr */
   },
   { /* 5764 */
-    304,
-    /* VPUNPCKLDQYrr */
+    727,
+    /* VPSRAWri */
   },
   { /* 5765 */
-    305,
-    /* VPUNPCKLDQZrm */
+    312,
+    /* VPSRAWrm */
   },
   { /* 5766 */
-    309,
-    /* VPUNPCKLDQZrr */
+    313,
+    /* VPSRAWrr */
   },
   { /* 5767 */
-    311,
-    /* VPUNPCKLDQrm */
+    714,
+    /* VPSRLDQYri */
   },
   { /* 5768 */
-    312,
-    /* VPUNPCKLDQrr */
+    715,
+    /* VPSRLDQri */
   },
   { /* 5769 */
-    303,
-    /* VPUNPCKLQDQYrm */
+    716,
+    /* VPSRLDYri */
   },
   { /* 5770 */
-    304,
-    /* VPUNPCKLQDQYrr */
+    717,
+    /* VPSRLDYrm */
   },
   { /* 5771 */
-    305,
-    /* VPUNPCKLQDQZrm */
+    718,
+    /* VPSRLDYrr */
   },
   { /* 5772 */
-    309,
-    /* VPUNPCKLQDQZrr */
+    719,
+    /* VPSRLDZmi */
   },
   { /* 5773 */
-    311,
-    /* VPUNPCKLQDQrm */
+    720,
+    /* VPSRLDZmik */
   },
   { /* 5774 */
-    312,
-    /* VPUNPCKLQDQrr */
+    721,
+    /* VPSRLDZri */
   },
   { /* 5775 */
-    303,
-    /* VPUNPCKLWDYrm */
+    722,
+    /* VPSRLDZrik */
   },
   { /* 5776 */
-    304,
-    /* VPUNPCKLWDYrr */
+    723,
+    /* VPSRLDZrm */
   },
   { /* 5777 */
-    311,
-    /* VPUNPCKLWDrm */
+    724,
+    /* VPSRLDZrmk */
   },
   { /* 5778 */
-    312,
-    /* VPUNPCKLWDrr */
+    725,
+    /* VPSRLDZrr */
   },
   { /* 5779 */
-    305,
-    /* VPXORDZrm */
+    726,
+    /* VPSRLDZrrk */
   },
   { /* 5780 */
-    582,
-    /* VPXORDZrmb */
+    727,
+    /* VPSRLDri */
   },
   { /* 5781 */
-    583,
-    /* VPXORDZrmbk */
+    312,
+    /* VPSRLDrm */
   },
   { /* 5782 */
-    584,
-    /* VPXORDZrmbkz */
+    313,
+    /* VPSRLDrr */
   },
   { /* 5783 */
-    585,
-    /* VPXORDZrmk */
+    716,
+    /* VPSRLQYri */
   },
   { /* 5784 */
-    315,
-    /* VPXORDZrmkz */
+    717,
+    /* VPSRLQYrm */
   },
   { /* 5785 */
-    309,
-    /* VPXORDZrr */
+    718,
+    /* VPSRLQYrr */
   },
   { /* 5786 */
-    586,
-    /* VPXORDZrrk */
+    719,
+    /* VPSRLQZmi */
   },
   { /* 5787 */
-    316,
-    /* VPXORDZrrkz */
+    728,
+    /* VPSRLQZmik */
   },
   { /* 5788 */
-    305,
-    /* VPXORQZrm */
+    721,
+    /* VPSRLQZri */
   },
   { /* 5789 */
-    587,
-    /* VPXORQZrmb */
+    729,
+    /* VPSRLQZrik */
   },
   { /* 5790 */
-    588,
-    /* VPXORQZrmbk */
+    723,
+    /* VPSRLQZrm */
   },
   { /* 5791 */
-    589,
-    /* VPXORQZrmbkz */
+    730,
+    /* VPSRLQZrmk */
   },
   { /* 5792 */
-    590,
-    /* VPXORQZrmk */
+    725,
+    /* VPSRLQZrr */
   },
   { /* 5793 */
-    308,
-    /* VPXORQZrmkz */
+    731,
+    /* VPSRLQZrrk */
   },
   { /* 5794 */
-    309,
-    /* VPXORQZrr */
+    727,
+    /* VPSRLQri */
   },
   { /* 5795 */
-    591,
-    /* VPXORQZrrk */
+    312,
+    /* VPSRLQrm */
   },
   { /* 5796 */
-    310,
-    /* VPXORQZrrkz */
+    313,
+    /* VPSRLQrr */
   },
   { /* 5797 */
-    303,
-    /* VPXORYrm */
+    304,
+    /* VPSRLVDYrm */
   },
   { /* 5798 */
-    304,
-    /* VPXORYrr */
+    305,
+    /* VPSRLVDYrr */
   },
   { /* 5799 */
-    311,
-    /* VPXORrm */
+    306,
+    /* VPSRLVDZrm */
   },
   { /* 5800 */
-    312,
-    /* VPXORrr */
+    310,
+    /* VPSRLVDZrr */
   },
   { /* 5801 */
-    377,
-    /* VRCP14PDZm */
+    312,
+    /* VPSRLVDrm */
   },
   { /* 5802 */
-    378,
-    /* VRCP14PDZr */
+    313,
+    /* VPSRLVDrr */
   },
   { /* 5803 */
-    377,
-    /* VRCP14PSZm */
+    304,
+    /* VPSRLVQYrm */
   },
   { /* 5804 */
-    378,
-    /* VRCP14PSZr */
+    305,
+    /* VPSRLVQYrr */
   },
   { /* 5805 */
-    317,
-    /* VRCP14SDrm */
+    306,
+    /* VPSRLVQZrm */
   },
   { /* 5806 */
-    318,
-    /* VRCP14SDrr */
+    310,
+    /* VPSRLVQZrr */
   },
   { /* 5807 */
-    321,
-    /* VRCP14SSrm */
+    312,
+    /* VPSRLVQrm */
   },
   { /* 5808 */
-    322,
-    /* VRCP14SSrr */
+    313,
+    /* VPSRLVQrr */
   },
   { /* 5809 */
-    377,
-    /* VRCP28PDZm */
+    716,
+    /* VPSRLWYri */
   },
   { /* 5810 */
-    378,
-    /* VRCP28PDZr */
+    717,
+    /* VPSRLWYrm */
   },
   { /* 5811 */
-    675,
-    /* VRCP28PDZrb */
+    718,
+    /* VPSRLWYrr */
   },
   { /* 5812 */
-    377,
-    /* VRCP28PSZm */
+    727,
+    /* VPSRLWri */
   },
   { /* 5813 */
-    378,
-    /* VRCP28PSZr */
+    312,
+    /* VPSRLWrm */
   },
   { /* 5814 */
-    676,
-    /* VRCP28PSZrb */
+    313,
+    /* VPSRLWrr */
   },
   { /* 5815 */
-    317,
-    /* VRCP28SDrm */
+    304,
+    /* VPSUBBYrm */
   },
   { /* 5816 */
-    318,
-    /* VRCP28SDrr */
+    305,
+    /* VPSUBBYrr */
   },
   { /* 5817 */
-    318,
-    /* VRCP28SDrrb */
+    312,
+    /* VPSUBBrm */
   },
   { /* 5818 */
-    321,
-    /* VRCP28SSrm */
+    313,
+    /* VPSUBBrr */
   },
   { /* 5819 */
-    322,
-    /* VRCP28SSrr */
+    304,
+    /* VPSUBDYrm */
   },
   { /* 5820 */
-    322,
-    /* VRCP28SSrrb */
+    305,
+    /* VPSUBDYrr */
   },
   { /* 5821 */
-    375,
-    /* VRCPPSYm */
+    306,
+    /* VPSUBDZrm */
   },
   { /* 5822 */
-    0,
-    /*  */
+    585,
+    /* VPSUBDZrmb */
   },
   { /* 5823 */
-    376,
-    /* VRCPPSYr */
+    586,
+    /* VPSUBDZrmbk */
   },
   { /* 5824 */
-    0,
-    /*  */
+    587,
+    /* VPSUBDZrmbkz */
   },
   { /* 5825 */
-    42,
-    /* VRCPPSm */
+    588,
+    /* VPSUBDZrmk */
   },
   { /* 5826 */
-    0,
-    /*  */
+    316,
+    /* VPSUBDZrmkz */
   },
   { /* 5827 */
-    43,
-    /* VRCPPSr */
+    310,
+    /* VPSUBDZrr */
   },
   { /* 5828 */
-    0,
-    /*  */
+    439,
+    /* VPSUBDZrrk */
   },
   { /* 5829 */
-    323,
-    /* VRCPSSm */
+    317,
+    /* VPSUBDZrrkz */
   },
   { /* 5830 */
-    0,
-    /*  */
+    312,
+    /* VPSUBDrm */
   },
   { /* 5831 */
-    324,
-    /* VRCPSSr */
+    313,
+    /* VPSUBDrr */
   },
   { /* 5832 */
-    677,
-    /* VRNDSCALEPDZm */
+    304,
+    /* VPSUBQYrm */
   },
   { /* 5833 */
-    678,
-    /* VRNDSCALEPDZr */
+    305,
+    /* VPSUBQYrr */
   },
   { /* 5834 */
-    677,
-    /* VRNDSCALEPSZm */
+    306,
+    /* VPSUBQZrm */
   },
   { /* 5835 */
-    678,
-    /* VRNDSCALEPSZr */
+    589,
+    /* VPSUBQZrmb */
   },
   { /* 5836 */
-    679,
-    /* VRNDSCALESDm */
+    590,
+    /* VPSUBQZrmbk */
   },
   { /* 5837 */
-    680,
-    /* VRNDSCALESDr */
+    591,
+    /* VPSUBQZrmbkz */
   },
   { /* 5838 */
-    681,
-    /* VRNDSCALESSm */
+    592,
+    /* VPSUBQZrmk */
   },
   { /* 5839 */
-    682,
-    /* VRNDSCALESSr */
+    309,
+    /* VPSUBQZrmkz */
   },
   { /* 5840 */
-    292,
-    /* VROUNDPDm */
+    310,
+    /* VPSUBQZrr */
   },
   { /* 5841 */
-    293,
-    /* VROUNDPDr */
+    438,
+    /* VPSUBQZrrk */
   },
   { /* 5842 */
-    292,
-    /* VROUNDPSm */
+    311,
+    /* VPSUBQZrrkz */
   },
   { /* 5843 */
-    293,
-    /* VROUNDPSr */
+    312,
+    /* VPSUBQrm */
   },
   { /* 5844 */
-    683,
-    /* VROUNDSDm */
+    313,
+    /* VPSUBQrr */
   },
   { /* 5845 */
-    684,
-    /* VROUNDSDr */
+    304,
+    /* VPSUBSBYrm */
   },
   { /* 5846 */
-    0,
-    /*  */
+    305,
+    /* VPSUBSBYrr */
   },
   { /* 5847 */
-    477,
-    /* VROUNDSSm */
+    312,
+    /* VPSUBSBrm */
   },
   { /* 5848 */
-    685,
-    /* VROUNDSSr */
+    313,
+    /* VPSUBSBrr */
   },
   { /* 5849 */
-    0,
-    /*  */
+    304,
+    /* VPSUBSWYrm */
   },
   { /* 5850 */
-    686,
-    /* VROUNDYPDm */
+    305,
+    /* VPSUBSWYrr */
   },
   { /* 5851 */
-    687,
-    /* VROUNDYPDr */
+    312,
+    /* VPSUBSWrm */
   },
   { /* 5852 */
-    686,
-    /* VROUNDYPSm */
+    313,
+    /* VPSUBSWrr */
   },
   { /* 5853 */
-    687,
-    /* VROUNDYPSr */
+    304,
+    /* VPSUBUSBYrm */
   },
   { /* 5854 */
-    377,
-    /* VRSQRT14PDZm */
+    305,
+    /* VPSUBUSBYrr */
   },
   { /* 5855 */
-    378,
-    /* VRSQRT14PDZr */
+    312,
+    /* VPSUBUSBrm */
   },
   { /* 5856 */
-    377,
-    /* VRSQRT14PSZm */
+    313,
+    /* VPSUBUSBrr */
   },
   { /* 5857 */
-    378,
-    /* VRSQRT14PSZr */
+    304,
+    /* VPSUBUSWYrm */
   },
   { /* 5858 */
-    317,
-    /* VRSQRT14SDrm */
+    305,
+    /* VPSUBUSWYrr */
   },
   { /* 5859 */
-    318,
-    /* VRSQRT14SDrr */
+    312,
+    /* VPSUBUSWrm */
   },
   { /* 5860 */
-    321,
-    /* VRSQRT14SSrm */
+    313,
+    /* VPSUBUSWrr */
   },
   { /* 5861 */
-    322,
-    /* VRSQRT14SSrr */
+    304,
+    /* VPSUBWYrm */
   },
   { /* 5862 */
-    377,
-    /* VRSQRT28PDZm */
+    305,
+    /* VPSUBWYrr */
   },
   { /* 5863 */
-    378,
-    /* VRSQRT28PDZr */
+    312,
+    /* VPSUBWrm */
   },
   { /* 5864 */
-    675,
-    /* VRSQRT28PDZrb */
+    313,
+    /* VPSUBWrr */
   },
   { /* 5865 */
-    377,
-    /* VRSQRT28PSZm */
+    632,
+    /* VPTESTMDZrm */
   },
   { /* 5866 */
-    378,
-    /* VRSQRT28PSZr */
+    636,
+    /* VPTESTMDZrr */
   },
   { /* 5867 */
-    676,
-    /* VRSQRT28PSZrb */
+    650,
+    /* VPTESTMQZrm */
   },
   { /* 5868 */
-    317,
-    /* VRSQRT28SDrm */
+    654,
+    /* VPTESTMQZrr */
   },
   { /* 5869 */
-    318,
-    /* VRSQRT28SDrr */
+    632,
+    /* VPTESTNMDZrm */
   },
   { /* 5870 */
-    318,
-    /* VRSQRT28SDrrb */
+    636,
+    /* VPTESTNMDZrr */
   },
   { /* 5871 */
-    321,
-    /* VRSQRT28SSrm */
+    650,
+    /* VPTESTNMQZrm */
   },
   { /* 5872 */
-    322,
-    /* VRSQRT28SSrr */
+    654,
+    /* VPTESTNMQZrr */
   },
   { /* 5873 */
-    322,
-    /* VRSQRT28SSrrb */
+    376,
+    /* VPTESTYrm */
   },
   { /* 5874 */
-    375,
-    /* VRSQRTPSYm */
+    377,
+    /* VPTESTYrr */
   },
   { /* 5875 */
-    0,
-    /*  */
+    44,
+    /* VPTESTrm */
   },
   { /* 5876 */
-    376,
-    /* VRSQRTPSYr */
+    45,
+    /* VPTESTrr */
   },
   { /* 5877 */
-    0,
-    /*  */
+    304,
+    /* VPUNPCKHBWYrm */
   },
   { /* 5878 */
-    42,
-    /* VRSQRTPSm */
+    305,
+    /* VPUNPCKHBWYrr */
   },
   { /* 5879 */
-    0,
-    /*  */
+    312,
+    /* VPUNPCKHBWrm */
   },
   { /* 5880 */
-    43,
-    /* VRSQRTPSr */
+    313,
+    /* VPUNPCKHBWrr */
   },
   { /* 5881 */
-    0,
-    /*  */
+    304,
+    /* VPUNPCKHDQYrm */
   },
   { /* 5882 */
-    323,
-    /* VRSQRTSSm */
+    305,
+    /* VPUNPCKHDQYrr */
   },
   { /* 5883 */
-    0,
-    /*  */
+    306,
+    /* VPUNPCKHDQZrm */
   },
   { /* 5884 */
-    324,
-    /* VRSQRTSSr */
+    310,
+    /* VPUNPCKHDQZrr */
   },
   { /* 5885 */
-    655,
-    /* VSCATTERDPDZmr */
+    312,
+    /* VPUNPCKHDQrm */
   },
   { /* 5886 */
-    654,
-    /* VSCATTERDPSZmr */
+    313,
+    /* VPUNPCKHDQrr */
   },
   { /* 5887 */
-    467,
-    /* VSCATTERPF0DPDm */
+    304,
+    /* VPUNPCKHQDQYrm */
   },
   { /* 5888 */
-    468,
-    /* VSCATTERPF0DPSm */
+    305,
+    /* VPUNPCKHQDQYrr */
   },
   { /* 5889 */
-    469,
-    /* VSCATTERPF0QPDm */
+    306,
+    /* VPUNPCKHQDQZrm */
   },
   { /* 5890 */
-    469,
-    /* VSCATTERPF0QPSm */
+    310,
+    /* VPUNPCKHQDQZrr */
   },
   { /* 5891 */
-    467,
-    /* VSCATTERPF1DPDm */
+    312,
+    /* VPUNPCKHQDQrm */
   },
   { /* 5892 */
-    468,
-    /* VSCATTERPF1DPSm */
+    313,
+    /* VPUNPCKHQDQrr */
   },
   { /* 5893 */
-    469,
-    /* VSCATTERPF1QPDm */
+    304,
+    /* VPUNPCKHWDYrm */
   },
   { /* 5894 */
-    469,
-    /* VSCATTERPF1QPSm */
+    305,
+    /* VPUNPCKHWDYrr */
   },
   { /* 5895 */
-    655,
-    /* VSCATTERQPDZmr */
+    312,
+    /* VPUNPCKHWDrm */
   },
   { /* 5896 */
-    656,
-    /* VSCATTERQPSZmr */
+    313,
+    /* VPUNPCKHWDrr */
   },
   { /* 5897 */
-    594,
-    /* VSHUFPDYrmi */
+    304,
+    /* VPUNPCKLBWYrm */
   },
   { /* 5898 */
-    595,
-    /* VSHUFPDYrri */
+    305,
+    /* VPUNPCKLBWYrr */
   },
   { /* 5899 */
-    325,
-    /* VSHUFPDZrmi */
+    312,
+    /* VPUNPCKLBWrm */
   },
   { /* 5900 */
-    326,
-    /* VSHUFPDZrri */
+    313,
+    /* VPUNPCKLBWrr */
   },
   { /* 5901 */
-    592,
-    /* VSHUFPDrmi */
+    304,
+    /* VPUNPCKLDQYrm */
   },
   { /* 5902 */
-    593,
-    /* VSHUFPDrri */
+    305,
+    /* VPUNPCKLDQYrr */
   },
   { /* 5903 */
-    594,
-    /* VSHUFPSYrmi */
+    306,
+    /* VPUNPCKLDQZrm */
   },
   { /* 5904 */
-    595,
-    /* VSHUFPSYrri */
+    310,
+    /* VPUNPCKLDQZrr */
   },
   { /* 5905 */
-    325,
-    /* VSHUFPSZrmi */
+    312,
+    /* VPUNPCKLDQrm */
   },
   { /* 5906 */
-    326,
-    /* VSHUFPSZrri */
+    313,
+    /* VPUNPCKLDQrr */
   },
   { /* 5907 */
-    592,
-    /* VSHUFPSrmi */
+    304,
+    /* VPUNPCKLQDQYrm */
   },
   { /* 5908 */
-    593,
-    /* VSHUFPSrri */
+    305,
+    /* VPUNPCKLQDQYrr */
   },
   { /* 5909 */
-    375,
-    /* VSQRTPDYm */
+    306,
+    /* VPUNPCKLQDQZrm */
   },
   { /* 5910 */
-    376,
-    /* VSQRTPDYr */
+    310,
+    /* VPUNPCKLQDQZrr */
   },
   { /* 5911 */
-    377,
-    /* VSQRTPDZrm */
+    312,
+    /* VPUNPCKLQDQrm */
   },
   { /* 5912 */
-    378,
-    /* VSQRTPDZrr */
+    313,
+    /* VPUNPCKLQDQrr */
   },
   { /* 5913 */
-    42,
-    /* VSQRTPDm */
+    304,
+    /* VPUNPCKLWDYrm */
   },
   { /* 5914 */
-    43,
-    /* VSQRTPDr */
+    305,
+    /* VPUNPCKLWDYrr */
   },
   { /* 5915 */
-    375,
-    /* VSQRTPSYm */
+    312,
+    /* VPUNPCKLWDrm */
   },
   { /* 5916 */
-    376,
-    /* VSQRTPSYr */
+    313,
+    /* VPUNPCKLWDrr */
   },
   { /* 5917 */
-    377,
-    /* VSQRTPSZrm */
+    306,
+    /* VPXORDZrm */
   },
   { /* 5918 */
-    378,
-    /* VSQRTPSZrr */
+    585,
+    /* VPXORDZrmb */
   },
   { /* 5919 */
-    42,
-    /* VSQRTPSm */
+    586,
+    /* VPXORDZrmbk */
   },
   { /* 5920 */
-    43,
-    /* VSQRTPSr */
+    587,
+    /* VPXORDZrmbkz */
   },
   { /* 5921 */
-    317,
-    /* VSQRTSDZm */
+    588,
+    /* VPXORDZrmk */
   },
   { /* 5922 */
-    0,
-    /*  */
+    316,
+    /* VPXORDZrmkz */
   },
   { /* 5923 */
-    688,
-    /* VSQRTSDZr */
+    310,
+    /* VPXORDZrr */
   },
   { /* 5924 */
-    0,
-    /*  */
+    439,
+    /* VPXORDZrrk */
   },
   { /* 5925 */
-    319,
-    /* VSQRTSDm */
+    317,
+    /* VPXORDZrrkz */
   },
   { /* 5926 */
-    0,
-    /*  */
+    306,
+    /* VPXORQZrm */
   },
   { /* 5927 */
-    320,
-    /* VSQRTSDr */
+    589,
+    /* VPXORQZrmb */
   },
   { /* 5928 */
-    321,
-    /* VSQRTSSZm */
+    590,
+    /* VPXORQZrmbk */
   },
   { /* 5929 */
-    0,
-    /*  */
+    591,
+    /* VPXORQZrmbkz */
   },
   { /* 5930 */
-    689,
-    /* VSQRTSSZr */
+    592,
+    /* VPXORQZrmk */
   },
   { /* 5931 */
-    0,
-    /*  */
+    309,
+    /* VPXORQZrmkz */
   },
   { /* 5932 */
-    323,
-    /* VSQRTSSm */
+    310,
+    /* VPXORQZrr */
   },
   { /* 5933 */
-    0,
-    /*  */
+    438,
+    /* VPXORQZrrk */
   },
   { /* 5934 */
-    324,
-    /* VSQRTSSr */
+    311,
+    /* VPXORQZrrkz */
   },
   { /* 5935 */
-    40,
-    /* VSTMXCSR */
+    304,
+    /* VPXORYrm */
   },
   { /* 5936 */
-    303,
-    /* VSUBPDYrm */
+    305,
+    /* VPXORYrr */
   },
   { /* 5937 */
-    304,
-    /* VSUBPDYrr */
+    312,
+    /* VPXORrm */
   },
   { /* 5938 */
-    305,
-    /* VSUBPDZrm */
+    313,
+    /* VPXORrr */
   },
   { /* 5939 */
-    306,
-    /* VSUBPDZrmb */
+    378,
+    /* VRCP14PDZm */
   },
   { /* 5940 */
-    307,
-    /* VSUBPDZrmbk */
+    379,
+    /* VRCP14PDZr */
   },
   { /* 5941 */
-    307,
-    /* VSUBPDZrmbkz */
+    378,
+    /* VRCP14PSZm */
   },
   { /* 5942 */
-    308,
-    /* VSUBPDZrmk */
+    379,
+    /* VRCP14PSZr */
   },
   { /* 5943 */
-    308,
-    /* VSUBPDZrmkz */
+    318,
+    /* VRCP14SDrm */
   },
   { /* 5944 */
-    309,
-    /* VSUBPDZrr */
+    319,
+    /* VRCP14SDrr */
   },
   { /* 5945 */
-    310,
-    /* VSUBPDZrrk */
+    322,
+    /* VRCP14SSrm */
   },
   { /* 5946 */
-    310,
-    /* VSUBPDZrrkz */
+    323,
+    /* VRCP14SSrr */
   },
   { /* 5947 */
-    311,
-    /* VSUBPDrm */
+    378,
+    /* VRCP28PDZm */
   },
   { /* 5948 */
-    312,
-    /* VSUBPDrr */
+    379,
+    /* VRCP28PDZr */
   },
   { /* 5949 */
-    303,
-    /* VSUBPSYrm */
+    732,
+    /* VRCP28PDZrb */
   },
   { /* 5950 */
-    304,
-    /* VSUBPSYrr */
+    378,
+    /* VRCP28PSZm */
   },
   { /* 5951 */
-    305,
-    /* VSUBPSZrm */
+    379,
+    /* VRCP28PSZr */
   },
   { /* 5952 */
-    313,
-    /* VSUBPSZrmb */
+    733,
+    /* VRCP28PSZrb */
   },
   { /* 5953 */
-    314,
-    /* VSUBPSZrmbk */
+    318,
+    /* VRCP28SDrm */
   },
   { /* 5954 */
-    314,
-    /* VSUBPSZrmbkz */
+    319,
+    /* VRCP28SDrr */
   },
   { /* 5955 */
-    315,
-    /* VSUBPSZrmk */
+    319,
+    /* VRCP28SDrrb */
   },
   { /* 5956 */
-    315,
-    /* VSUBPSZrmkz */
+    322,
+    /* VRCP28SSrm */
   },
   { /* 5957 */
-    309,
-    /* VSUBPSZrr */
+    323,
+    /* VRCP28SSrr */
   },
   { /* 5958 */
-    316,
-    /* VSUBPSZrrk */
+    323,
+    /* VRCP28SSrrb */
   },
   { /* 5959 */
-    316,
-    /* VSUBPSZrrkz */
+    376,
+    /* VRCPPSYm */
   },
   { /* 5960 */
-    311,
-    /* VSUBPSrm */
+    0,
+    /*  */
   },
   { /* 5961 */
-    312,
-    /* VSUBPSrr */
+    377,
+    /* VRCPPSYr */
   },
   { /* 5962 */
-    317,
-    /* VSUBSDZrm */
+    0,
+    /*  */
   },
   { /* 5963 */
-    318,
-    /* VSUBSDZrr */
+    44,
+    /* VRCPPSm */
   },
   { /* 5964 */
-    319,
-    /* VSUBSDrm */
+    0,
+    /*  */
   },
   { /* 5965 */
-    0,
-    /*  */
+    45,
+    /* VRCPPSr */
   },
   { /* 5966 */
-    320,
-    /* VSUBSDrr */
+    0,
+    /*  */
   },
   { /* 5967 */
-    0,
-    /*  */
+    324,
+    /* VRCPSSm */
   },
   { /* 5968 */
-    321,
-    /* VSUBSSZrm */
+    0,
+    /*  */
   },
   { /* 5969 */
-    322,
-    /* VSUBSSZrr */
+    325,
+    /* VRCPSSr */
   },
   { /* 5970 */
-    323,
-    /* VSUBSSrm */
+    734,
+    /* VRNDSCALEPDZm */
   },
   { /* 5971 */
-    0,
-    /*  */
+    735,
+    /* VRNDSCALEPDZr */
   },
   { /* 5972 */
-    324,
-    /* VSUBSSrr */
+    734,
+    /* VRNDSCALEPSZm */
   },
   { /* 5973 */
-    0,
-    /*  */
+    735,
+    /* VRNDSCALEPSZr */
   },
   { /* 5974 */
-    375,
-    /* VTESTPDYrm */
+    736,
+    /* VRNDSCALESDm */
   },
   { /* 5975 */
-    376,
-    /* VTESTPDYrr */
+    737,
+    /* VRNDSCALESDr */
   },
   { /* 5976 */
-    42,
-    /* VTESTPDrm */
+    738,
+    /* VRNDSCALESSm */
   },
   { /* 5977 */
-    43,
-    /* VTESTPDrr */
+    739,
+    /* VRNDSCALESSr */
   },
   { /* 5978 */
-    375,
-    /* VTESTPSYrm */
+    293,
+    /* VROUNDPDm */
   },
   { /* 5979 */
-    376,
-    /* VTESTPSYrr */
+    294,
+    /* VROUNDPDr */
   },
   { /* 5980 */
-    42,
-    /* VTESTPSrm */
+    293,
+    /* VROUNDPSm */
   },
   { /* 5981 */
-    43,
-    /* VTESTPSrr */
+    294,
+    /* VROUNDPSr */
   },
   { /* 5982 */
-    563,
-    /* VUCOMISDZrm */
+    740,
+    /* VROUNDSDm */
   },
   { /* 5983 */
-    690,
-    /* VUCOMISDZrr */
+    741,
+    /* VROUNDSDr */
   },
   { /* 5984 */
-    253,
-    /* VUCOMISDrm */
+    0,
+    /*  */
   },
   { /* 5985 */
-    302,
-    /* VUCOMISDrr */
+    480,
+    /* VROUNDSSm */
   },
   { /* 5986 */
-    571,
-    /* VUCOMISSZrm */
+    742,
+    /* VROUNDSSr */
   },
   { /* 5987 */
-    691,
-    /* VUCOMISSZrr */
+    0,
+    /*  */
   },
   { /* 5988 */
-    257,
-    /* VUCOMISSrm */
+    743,
+    /* VROUNDYPDm */
   },
   { /* 5989 */
-    286,
-    /* VUCOMISSrr */
+    744,
+    /* VROUNDYPDr */
   },
   { /* 5990 */
-    303,
-    /* VUNPCKHPDYrm */
+    743,
+    /* VROUNDYPSm */
   },
   { /* 5991 */
-    304,
-    /* VUNPCKHPDYrr */
+    744,
+    /* VROUNDYPSr */
   },
   { /* 5992 */
-    305,
-    /* VUNPCKHPDZrm */
+    378,
+    /* VRSQRT14PDZm */
   },
   { /* 5993 */
-    309,
-    /* VUNPCKHPDZrr */
+    379,
+    /* VRSQRT14PDZr */
   },
   { /* 5994 */
-    311,
-    /* VUNPCKHPDrm */
+    378,
+    /* VRSQRT14PSZm */
   },
   { /* 5995 */
-    312,
-    /* VUNPCKHPDrr */
+    379,
+    /* VRSQRT14PSZr */
   },
   { /* 5996 */
-    303,
-    /* VUNPCKHPSYrm */
+    318,
+    /* VRSQRT14SDrm */
   },
   { /* 5997 */
-    304,
-    /* VUNPCKHPSYrr */
+    319,
+    /* VRSQRT14SDrr */
   },
   { /* 5998 */
-    305,
-    /* VUNPCKHPSZrm */
+    322,
+    /* VRSQRT14SSrm */
   },
   { /* 5999 */
-    309,
-    /* VUNPCKHPSZrr */
+    323,
+    /* VRSQRT14SSrr */
   },
   { /* 6000 */
-    311,
-    /* VUNPCKHPSrm */
+    378,
+    /* VRSQRT28PDZm */
   },
   { /* 6001 */
-    312,
-    /* VUNPCKHPSrr */
+    379,
+    /* VRSQRT28PDZr */
   },
   { /* 6002 */
-    303,
-    /* VUNPCKLPDYrm */
+    732,
+    /* VRSQRT28PDZrb */
   },
   { /* 6003 */
-    304,
-    /* VUNPCKLPDYrr */
+    378,
+    /* VRSQRT28PSZm */
   },
   { /* 6004 */
-    305,
-    /* VUNPCKLPDZrm */
+    379,
+    /* VRSQRT28PSZr */
   },
   { /* 6005 */
-    309,
-    /* VUNPCKLPDZrr */
+    733,
+    /* VRSQRT28PSZrb */
   },
   { /* 6006 */
-    311,
-    /* VUNPCKLPDrm */
+    318,
+    /* VRSQRT28SDrm */
   },
   { /* 6007 */
-    312,
-    /* VUNPCKLPDrr */
+    319,
+    /* VRSQRT28SDrr */
   },
   { /* 6008 */
-    303,
-    /* VUNPCKLPSYrm */
+    319,
+    /* VRSQRT28SDrrb */
   },
   { /* 6009 */
-    304,
-    /* VUNPCKLPSYrr */
+    322,
+    /* VRSQRT28SSrm */
   },
   { /* 6010 */
-    305,
-    /* VUNPCKLPSZrm */
+    323,
+    /* VRSQRT28SSrr */
   },
   { /* 6011 */
-    309,
-    /* VUNPCKLPSZrr */
+    323,
+    /* VRSQRT28SSrrb */
   },
   { /* 6012 */
-    311,
-    /* VUNPCKLPSrm */
+    376,
+    /* VRSQRTPSYm */
   },
   { /* 6013 */
-    312,
-    /* VUNPCKLPSrr */
+    0,
+    /*  */
   },
   { /* 6014 */
-    303,
-    /* VXORPDYrm */
+    377,
+    /* VRSQRTPSYr */
   },
   { /* 6015 */
-    304,
-    /* VXORPDYrr */
+    0,
+    /*  */
   },
   { /* 6016 */
-    311,
-    /* VXORPDrm */
+    44,
+    /* VRSQRTPSm */
   },
   { /* 6017 */
-    312,
-    /* VXORPDrr */
+    0,
+    /*  */
   },
   { /* 6018 */
-    303,
-    /* VXORPSYrm */
+    45,
+    /* VRSQRTPSr */
   },
   { /* 6019 */
-    304,
-    /* VXORPSYrr */
+    0,
+    /*  */
   },
   { /* 6020 */
-    311,
-    /* VXORPSrm */
+    324,
+    /* VRSQRTSSm */
   },
   { /* 6021 */
-    312,
-    /* VXORPSrr */
+    0,
+    /*  */
   },
   { /* 6022 */
-    0,
-    /* VZEROALL */
+    325,
+    /* VRSQRTSSr */
   },
   { /* 6023 */
-    0,
-    /* VZEROUPPER */
+    712,
+    /* VSCATTERDPDZmr */
   },
   { /* 6024 */
-    0,
-    /*  */
+    711,
+    /* VSCATTERDPSZmr */
   },
   { /* 6025 */
-    0,
-    /*  */
+    470,
+    /* VSCATTERPF0DPDm */
   },
   { /* 6026 */
-    0,
-    /*  */
+    471,
+    /* VSCATTERPF0DPSm */
   },
   { /* 6027 */
-    0,
-    /* WAIT */
+    472,
+    /* VSCATTERPF0QPDm */
   },
   { /* 6028 */
-    0,
-    /* WBINVD */
+    472,
+    /* VSCATTERPF0QPSm */
   },
   { /* 6029 */
-    0,
-    /*  */
+    470,
+    /* VSCATTERPF1DPDm */
   },
   { /* 6030 */
-    0,
-    /*  */
+    471,
+    /* VSCATTERPF1DPSm */
   },
   { /* 6031 */
-    0,
-    /*  */
+    472,
+    /* VSCATTERPF1QPDm */
   },
   { /* 6032 */
-    287,
-    /* WRFSBASE */
+    472,
+    /* VSCATTERPF1QPSm */
   },
   { /* 6033 */
-    77,
-    /* WRFSBASE64 */
+    712,
+    /* VSCATTERQPDZmr */
   },
   { /* 6034 */
-    287,
-    /* WRGSBASE */
+    713,
+    /* VSCATTERQPSZmr */
   },
   { /* 6035 */
-    77,
-    /* WRGSBASE64 */
+    595,
+    /* VSHUFPDYrmi */
   },
   { /* 6036 */
-    0,
-    /* WRMSR */
+    596,
+    /* VSHUFPDYrri */
   },
   { /* 6037 */
-    1,
-    /* XABORT */
+    326,
+    /* VSHUFPDZrmi */
   },
   { /* 6038 */
-    0,
-    /*  */
+    327,
+    /* VSHUFPDZrri */
   },
   { /* 6039 */
-    5,
-    /* XADD16rm */
+    593,
+    /* VSHUFPDrmi */
   },
   { /* 6040 */
-    71,
-    /* XADD16rr */
+    594,
+    /* VSHUFPDrri */
   },
   { /* 6041 */
-    5,
-    /* XADD32rm */
+    595,
+    /* VSHUFPSYrmi */
   },
   { /* 6042 */
-    71,
-    /* XADD32rr */
+    596,
+    /* VSHUFPSYrri */
   },
   { /* 6043 */
-    16,
-    /* XADD64rm */
+    326,
+    /* VSHUFPSZrmi */
   },
   { /* 6044 */
-    74,
-    /* XADD64rr */
+    327,
+    /* VSHUFPSZrri */
   },
   { /* 6045 */
-    23,
-    /* XADD8rm */
+    593,
+    /* VSHUFPSrmi */
   },
   { /* 6046 */
-    85,
-    /* XADD8rr */
+    594,
+    /* VSHUFPSrri */
   },
   { /* 6047 */
+    376,
+    /* VSQRTPDYm */
+  },
+  { /* 6048 */
+    377,
+    /* VSQRTPDYr */
+  },
+  { /* 6049 */
+    378,
+    /* VSQRTPDZrm */
+  },
+  { /* 6050 */
+    379,
+    /* VSQRTPDZrr */
+  },
+  { /* 6051 */
+    44,
+    /* VSQRTPDm */
+  },
+  { /* 6052 */
+    45,
+    /* VSQRTPDr */
+  },
+  { /* 6053 */
+    376,
+    /* VSQRTPSYm */
+  },
+  { /* 6054 */
+    377,
+    /* VSQRTPSYr */
+  },
+  { /* 6055 */
+    378,
+    /* VSQRTPSZrm */
+  },
+  { /* 6056 */
+    379,
+    /* VSQRTPSZrr */
+  },
+  { /* 6057 */
+    44,
+    /* VSQRTPSm */
+  },
+  { /* 6058 */
+    45,
+    /* VSQRTPSr */
+  },
+  { /* 6059 */
+    318,
+    /* VSQRTSDZm */
+  },
+  { /* 6060 */
     0,
     /*  */
   },
-  { /* 6048 */
-    156,
-    /* XBEGIN_4 */
-  },
-  { /* 6049 */
-    276,
-    /* XCHG16ar */
-  },
-  { /* 6050 */
-    8,
-    /* XCHG16rm */
-  },
-  { /* 6051 */
-    10,
-    /* XCHG16rr */
-  },
-  { /* 6052 */
-    276,
-    /* XCHG32ar */
-  },
-  { /* 6053 */
-    276,
-    /* XCHG32ar64 */
-  },
-  { /* 6054 */
-    8,
-    /* XCHG32rm */
-  },
-  { /* 6055 */
-    10,
-    /* XCHG32rr */
-  },
-  { /* 6056 */
-    277,
-    /* XCHG64ar */
-  },
-  { /* 6057 */
-    19,
-    /* XCHG64rm */
-  },
-  { /* 6058 */
-    21,
-    /* XCHG64rr */
-  },
-  { /* 6059 */
-    25,
-    /* XCHG8rm */
-  },
-  { /* 6060 */
-    27,
-    /* XCHG8rr */
-  },
   { /* 6061 */
-    41,
-    /* XCH_F */
+    745,
+    /* VSQRTSDZr */
   },
   { /* 6062 */
     0,
-    /* XCRYPTCBC */
+    /*  */
   },
   { /* 6063 */
-    0,
-    /* XCRYPTCFB */
+    320,
+    /* VSQRTSDm */
   },
   { /* 6064 */
     0,
-    /* XCRYPTCTR */
+    /*  */
   },
   { /* 6065 */
-    0,
-    /* XCRYPTECB */
+    321,
+    /* VSQRTSDr */
   },
   { /* 6066 */
-    0,
-    /* XCRYPTOFB */
+    322,
+    /* VSQRTSSZm */
   },
   { /* 6067 */
     0,
-    /* XEND */
+    /*  */
   },
   { /* 6068 */
-    0,
-    /* XGETBV */
+    746,
+    /* VSQRTSSZr */
   },
   { /* 6069 */
     0,
-    /* XLAT */
+    /*  */
   },
   { /* 6070 */
-    2,
-    /* XOR16i16 */
+    324,
+    /* VSQRTSSm */
   },
   { /* 6071 */
-    3,
-    /* XOR16mi */
+    0,
+    /*  */
   },
   { /* 6072 */
-    4,
-    /* XOR16mi8 */
+    325,
+    /* VSQRTSSr */
   },
   { /* 6073 */
-    5,
-    /* XOR16mr */
+    38,
+    /* VSTMXCSR */
   },
   { /* 6074 */
-    6,
-    /* XOR16ri */
+    304,
+    /* VSUBPDYrm */
   },
   { /* 6075 */
-    7,
-    /* XOR16ri8 */
+    305,
+    /* VSUBPDYrr */
   },
   { /* 6076 */
-    8,
-    /* XOR16rm */
+    306,
+    /* VSUBPDZrm */
   },
   { /* 6077 */
-    9,
-    /* XOR16rr */
+    307,
+    /* VSUBPDZrmb */
   },
   { /* 6078 */
-    10,
-    /* XOR16rr_REV */
+    308,
+    /* VSUBPDZrmbk */
   },
   { /* 6079 */
-    2,
-    /* XOR32i32 */
+    308,
+    /* VSUBPDZrmbkz */
   },
   { /* 6080 */
-    3,
-    /* XOR32mi */
+    309,
+    /* VSUBPDZrmk */
   },
   { /* 6081 */
-    11,
-    /* XOR32mi8 */
+    309,
+    /* VSUBPDZrmkz */
   },
   { /* 6082 */
-    5,
-    /* XOR32mr */
+    310,
+    /* VSUBPDZrr */
   },
   { /* 6083 */
-    6,
-    /* XOR32ri */
+    311,
+    /* VSUBPDZrrk */
   },
   { /* 6084 */
-    12,
-    /* XOR32ri8 */
+    311,
+    /* VSUBPDZrrkz */
   },
   { /* 6085 */
-    8,
-    /* XOR32rm */
+    312,
+    /* VSUBPDrm */
   },
   { /* 6086 */
-    9,
-    /* XOR32rr */
+    313,
+    /* VSUBPDrr */
   },
   { /* 6087 */
-    10,
-    /* XOR32rr_REV */
+    304,
+    /* VSUBPSYrm */
   },
   { /* 6088 */
-    13,
-    /* XOR64i32 */
+    305,
+    /* VSUBPSYrr */
   },
   { /* 6089 */
-    14,
-    /* XOR64mi32 */
+    306,
+    /* VSUBPSZrm */
   },
   { /* 6090 */
-    15,
-    /* XOR64mi8 */
+    314,
+    /* VSUBPSZrmb */
   },
   { /* 6091 */
-    16,
-    /* XOR64mr */
+    315,
+    /* VSUBPSZrmbk */
   },
   { /* 6092 */
-    17,
-    /* XOR64ri32 */
+    315,
+    /* VSUBPSZrmbkz */
   },
   { /* 6093 */
-    18,
-    /* XOR64ri8 */
+    316,
+    /* VSUBPSZrmk */
   },
   { /* 6094 */
-    19,
-    /* XOR64rm */
+    316,
+    /* VSUBPSZrmkz */
   },
   { /* 6095 */
-    20,
-    /* XOR64rr */
+    310,
+    /* VSUBPSZrr */
   },
   { /* 6096 */
-    21,
-    /* XOR64rr_REV */
+    317,
+    /* VSUBPSZrrk */
   },
   { /* 6097 */
-    1,
-    /* XOR8i8 */
+    317,
+    /* VSUBPSZrrkz */
   },
   { /* 6098 */
-    22,
-    /* XOR8mi */
+    312,
+    /* VSUBPSrm */
   },
   { /* 6099 */
-    23,
-    /* XOR8mr */
+    313,
+    /* VSUBPSrr */
   },
   { /* 6100 */
-    24,
-    /* XOR8ri */
+    318,
+    /* VSUBSDZrm */
   },
   { /* 6101 */
-    24,
-    /* XOR8ri8 */
+    319,
+    /* VSUBSDZrr */
   },
   { /* 6102 */
-    25,
-    /* XOR8rm */
+    320,
+    /* VSUBSDrm */
   },
   { /* 6103 */
-    26,
-    /* XOR8rr */
+    0,
+    /*  */
   },
   { /* 6104 */
-    27,
-    /* XOR8rr_REV */
+    321,
+    /* VSUBSDrr */
   },
   { /* 6105 */
-    32,
-    /* XORPDrm */
+    0,
+    /*  */
   },
   { /* 6106 */
-    33,
-    /* XORPDrr */
+    322,
+    /* VSUBSSZrm */
   },
   { /* 6107 */
-    32,
-    /* XORPSrm */
+    323,
+    /* VSUBSSZrr */
   },
   { /* 6108 */
-    33,
-    /* XORPSrr */
+    324,
+    /* VSUBSSrm */
   },
   { /* 6109 */
     0,
     /*  */
   },
   { /* 6110 */
-    139,
-    /* XRSTOR */
+    325,
+    /* VSUBSSrr */
   },
   { /* 6111 */
-    139,
-    /* XRSTOR64 */
+    0,
+    /*  */
   },
   { /* 6112 */
-    139,
-    /* XSAVE */
+    376,
+    /* VTESTPDYrm */
   },
   { /* 6113 */
-    139,
-    /* XSAVE64 */
+    377,
+    /* VTESTPDYrr */
   },
   { /* 6114 */
-    139,
-    /* XSAVEOPT */
+    44,
+    /* VTESTPDrm */
   },
   { /* 6115 */
-    139,
-    /* XSAVEOPT64 */
+    45,
+    /* VTESTPDrr */
   },
   { /* 6116 */
+    376,
+    /* VTESTPSYrm */
+  },
+  { /* 6117 */
+    377,
+    /* VTESTPSYrr */
+  },
+  { /* 6118 */
+    44,
+    /* VTESTPSrm */
+  },
+  { /* 6119 */
+    45,
+    /* VTESTPSrr */
+  },
+  { /* 6120 */
+    566,
+    /* VUCOMISDZrm */
+  },
+  { /* 6121 */
+    747,
+    /* VUCOMISDZrr */
+  },
+  { /* 6122 */
+    254,
+    /* VUCOMISDrm */
+  },
+  { /* 6123 */
+    303,
+    /* VUCOMISDrr */
+  },
+  { /* 6124 */
+    574,
+    /* VUCOMISSZrm */
+  },
+  { /* 6125 */
+    748,
+    /* VUCOMISSZrr */
+  },
+  { /* 6126 */
+    258,
+    /* VUCOMISSrm */
+  },
+  { /* 6127 */
+    287,
+    /* VUCOMISSrr */
+  },
+  { /* 6128 */
+    304,
+    /* VUNPCKHPDYrm */
+  },
+  { /* 6129 */
+    305,
+    /* VUNPCKHPDYrr */
+  },
+  { /* 6130 */
+    306,
+    /* VUNPCKHPDZrm */
+  },
+  { /* 6131 */
+    310,
+    /* VUNPCKHPDZrr */
+  },
+  { /* 6132 */
+    312,
+    /* VUNPCKHPDrm */
+  },
+  { /* 6133 */
+    313,
+    /* VUNPCKHPDrr */
+  },
+  { /* 6134 */
+    304,
+    /* VUNPCKHPSYrm */
+  },
+  { /* 6135 */
+    305,
+    /* VUNPCKHPSYrr */
+  },
+  { /* 6136 */
+    306,
+    /* VUNPCKHPSZrm */
+  },
+  { /* 6137 */
+    310,
+    /* VUNPCKHPSZrr */
+  },
+  { /* 6138 */
+    312,
+    /* VUNPCKHPSrm */
+  },
+  { /* 6139 */
+    313,
+    /* VUNPCKHPSrr */
+  },
+  { /* 6140 */
+    304,
+    /* VUNPCKLPDYrm */
+  },
+  { /* 6141 */
+    305,
+    /* VUNPCKLPDYrr */
+  },
+  { /* 6142 */
+    306,
+    /* VUNPCKLPDZrm */
+  },
+  { /* 6143 */
+    310,
+    /* VUNPCKLPDZrr */
+  },
+  { /* 6144 */
+    312,
+    /* VUNPCKLPDrm */
+  },
+  { /* 6145 */
+    313,
+    /* VUNPCKLPDrr */
+  },
+  { /* 6146 */
+    304,
+    /* VUNPCKLPSYrm */
+  },
+  { /* 6147 */
+    305,
+    /* VUNPCKLPSYrr */
+  },
+  { /* 6148 */
+    306,
+    /* VUNPCKLPSZrm */
+  },
+  { /* 6149 */
+    310,
+    /* VUNPCKLPSZrr */
+  },
+  { /* 6150 */
+    312,
+    /* VUNPCKLPSrm */
+  },
+  { /* 6151 */
+    313,
+    /* VUNPCKLPSrr */
+  },
+  { /* 6152 */
+    304,
+    /* VXORPDYrm */
+  },
+  { /* 6153 */
+    305,
+    /* VXORPDYrr */
+  },
+  { /* 6154 */
+    312,
+    /* VXORPDrm */
+  },
+  { /* 6155 */
+    313,
+    /* VXORPDrr */
+  },
+  { /* 6156 */
+    304,
+    /* VXORPSYrm */
+  },
+  { /* 6157 */
+    305,
+    /* VXORPSYrr */
+  },
+  { /* 6158 */
+    312,
+    /* VXORPSrm */
+  },
+  { /* 6159 */
+    313,
+    /* VXORPSrr */
+  },
+  { /* 6160 */
+    0,
+    /* VZEROALL */
+  },
+  { /* 6161 */
+    0,
+    /* VZEROUPPER */
+  },
+  { /* 6162 */
+    0,
+    /*  */
+  },
+  { /* 6163 */
+    0,
+    /*  */
+  },
+  { /* 6164 */
+    0,
+    /*  */
+  },
+  { /* 6165 */
+    0,
+    /* WAIT */
+  },
+  { /* 6166 */
+    0,
+    /* WBINVD */
+  },
+  { /* 6167 */
+    0,
+    /*  */
+  },
+  { /* 6168 */
+    0,
+    /*  */
+  },
+  { /* 6169 */
+    0,
+    /*  */
+  },
+  { /* 6170 */
+    288,
+    /* WRFSBASE */
+  },
+  { /* 6171 */
+    79,
+    /* WRFSBASE64 */
+  },
+  { /* 6172 */
+    288,
+    /* WRGSBASE */
+  },
+  { /* 6173 */
+    79,
+    /* WRGSBASE64 */
+  },
+  { /* 6174 */
+    0,
+    /* WRMSR */
+  },
+  { /* 6175 */
+    1,
+    /* XABORT */
+  },
+  { /* 6176 */
+    0,
+    /*  */
+  },
+  { /* 6177 */
+    5,
+    /* XADD16rm */
+  },
+  { /* 6178 */
+    73,
+    /* XADD16rr */
+  },
+  { /* 6179 */
+    5,
+    /* XADD32rm */
+  },
+  { /* 6180 */
+    73,
+    /* XADD32rr */
+  },
+  { /* 6181 */
+    16,
+    /* XADD64rm */
+  },
+  { /* 6182 */
+    76,
+    /* XADD64rr */
+  },
+  { /* 6183 */
+    23,
+    /* XADD8rm */
+  },
+  { /* 6184 */
+    87,
+    /* XADD8rr */
+  },
+  { /* 6185 */
+    0,
+    /*  */
+  },
+  { /* 6186 */
+    157,
+    /* XBEGIN_4 */
+  },
+  { /* 6187 */
+    277,
+    /* XCHG16ar */
+  },
+  { /* 6188 */
+    8,
+    /* XCHG16rm */
+  },
+  { /* 6189 */
+    10,
+    /* XCHG16rr */
+  },
+  { /* 6190 */
+    277,
+    /* XCHG32ar */
+  },
+  { /* 6191 */
+    277,
+    /* XCHG32ar64 */
+  },
+  { /* 6192 */
+    8,
+    /* XCHG32rm */
+  },
+  { /* 6193 */
+    10,
+    /* XCHG32rr */
+  },
+  { /* 6194 */
+    278,
+    /* XCHG64ar */
+  },
+  { /* 6195 */
+    19,
+    /* XCHG64rm */
+  },
+  { /* 6196 */
+    21,
+    /* XCHG64rr */
+  },
+  { /* 6197 */
+    25,
+    /* XCHG8rm */
+  },
+  { /* 6198 */
+    27,
+    /* XCHG8rr */
+  },
+  { /* 6199 */
+    39,
+    /* XCH_F */
+  },
+  { /* 6200 */
+    0,
+    /* XCRYPTCBC */
+  },
+  { /* 6201 */
+    0,
+    /* XCRYPTCFB */
+  },
+  { /* 6202 */
+    0,
+    /* XCRYPTCTR */
+  },
+  { /* 6203 */
+    0,
+    /* XCRYPTECB */
+  },
+  { /* 6204 */
+    0,
+    /* XCRYPTOFB */
+  },
+  { /* 6205 */
+    0,
+    /* XEND */
+  },
+  { /* 6206 */
+    0,
+    /* XGETBV */
+  },
+  { /* 6207 */
+    0,
+    /* XLAT */
+  },
+  { /* 6208 */
+    2,
+    /* XOR16i16 */
+  },
+  { /* 6209 */
+    3,
+    /* XOR16mi */
+  },
+  { /* 6210 */
+    4,
+    /* XOR16mi8 */
+  },
+  { /* 6211 */
+    5,
+    /* XOR16mr */
+  },
+  { /* 6212 */
+    6,
+    /* XOR16ri */
+  },
+  { /* 6213 */
+    7,
+    /* XOR16ri8 */
+  },
+  { /* 6214 */
+    8,
+    /* XOR16rm */
+  },
+  { /* 6215 */
+    9,
+    /* XOR16rr */
+  },
+  { /* 6216 */
+    10,
+    /* XOR16rr_REV */
+  },
+  { /* 6217 */
+    2,
+    /* XOR32i32 */
+  },
+  { /* 6218 */
+    3,
+    /* XOR32mi */
+  },
+  { /* 6219 */
+    11,
+    /* XOR32mi8 */
+  },
+  { /* 6220 */
+    5,
+    /* XOR32mr */
+  },
+  { /* 6221 */
+    6,
+    /* XOR32ri */
+  },
+  { /* 6222 */
+    12,
+    /* XOR32ri8 */
+  },
+  { /* 6223 */
+    8,
+    /* XOR32rm */
+  },
+  { /* 6224 */
+    9,
+    /* XOR32rr */
+  },
+  { /* 6225 */
+    10,
+    /* XOR32rr_REV */
+  },
+  { /* 6226 */
+    13,
+    /* XOR64i32 */
+  },
+  { /* 6227 */
+    14,
+    /* XOR64mi32 */
+  },
+  { /* 6228 */
+    15,
+    /* XOR64mi8 */
+  },
+  { /* 6229 */
+    16,
+    /* XOR64mr */
+  },
+  { /* 6230 */
+    17,
+    /* XOR64ri32 */
+  },
+  { /* 6231 */
+    18,
+    /* XOR64ri8 */
+  },
+  { /* 6232 */
+    19,
+    /* XOR64rm */
+  },
+  { /* 6233 */
+    20,
+    /* XOR64rr */
+  },
+  { /* 6234 */
+    21,
+    /* XOR64rr_REV */
+  },
+  { /* 6235 */
+    1,
+    /* XOR8i8 */
+  },
+  { /* 6236 */
+    22,
+    /* XOR8mi */
+  },
+  { /* 6237 */
+    23,
+    /* XOR8mr */
+  },
+  { /* 6238 */
+    24,
+    /* XOR8ri */
+  },
+  { /* 6239 */
+    24,
+    /* XOR8ri8 */
+  },
+  { /* 6240 */
+    25,
+    /* XOR8rm */
+  },
+  { /* 6241 */
+    26,
+    /* XOR8rr */
+  },
+  { /* 6242 */
+    27,
+    /* XOR8rr_REV */
+  },
+  { /* 6243 */
+    30,
+    /* XORPDrm */
+  },
+  { /* 6244 */
+    31,
+    /* XORPDrr */
+  },
+  { /* 6245 */
+    30,
+    /* XORPSrm */
+  },
+  { /* 6246 */
+    31,
+    /* XORPSrr */
+  },
+  { /* 6247 */
+    0,
+    /*  */
+  },
+  { /* 6248 */
+    140,
+    /* XRSTOR */
+  },
+  { /* 6249 */
+    140,
+    /* XRSTOR64 */
+  },
+  { /* 6250 */
+    140,
+    /* XSAVE */
+  },
+  { /* 6251 */
+    140,
+    /* XSAVE64 */
+  },
+  { /* 6252 */
+    140,
+    /* XSAVEOPT */
+  },
+  { /* 6253 */
+    140,
+    /* XSAVEOPT64 */
+  },
+  { /* 6254 */
     0,
     /* XSETBV */
   },
-  { /* 6117 */
+  { /* 6255 */
     0,
     /* XSHA1 */
   },
-  { /* 6118 */
+  { /* 6256 */
     0,
     /* XSHA256 */
   },
-  { /* 6119 */
+  { /* 6257 */
     0,
     /* XSTORE */
   },
-  { /* 6120 */
+  { /* 6258 */
     0,
     /* XTEST */
   }
@@ -47728,27 +48736,27 @@
 /* Table10 */
   0x52, /* ADD32i32 */
 /* Table11 */
-  0x8e4, /* PUSHES32 */
+  0x8df, /* PUSHES32 */
 /* Table12 */
-  0x86d, /* POPES32 */
+  0x868, /* POPES32 */
 /* Table13 */
-  0x75f, /* OR8mr */
-  0x763, /* OR8rr */
+  0x75a, /* OR8mr */
+  0x75e, /* OR8rr */
 /* Table15 */
-  0x74d, /* OR32mr */
-  0x752, /* OR32rr */
+  0x748, /* OR32mr */
+  0x74d, /* OR32rr */
 /* Table17 */
-  0x762, /* OR8rm */
-  0x764, /* OR8rr_REV */
+  0x75d, /* OR8rm */
+  0x75f, /* OR8rr_REV */
 /* Table19 */
-  0x751, /* OR32rm */
-  0x753, /* OR32rr_REV */
+  0x74c, /* OR32rm */
+  0x74e, /* OR32rr_REV */
 /* Table21 */
-  0x75d, /* OR8i8 */
+  0x758, /* OR8i8 */
 /* Table22 */
-  0x74a, /* OR32i32 */
+  0x745, /* OR32i32 */
 /* Table23 */
-  0x8e0, /* PUSHCS32 */
+  0x8db, /* PUSHCS32 */
 /* Table24 */
   0x3d, /* ADC8mr */
   0x40, /* ADC8rr */
@@ -47766,29 +48774,29 @@
 /* Table33 */
   0x29, /* ADC32i32 */
 /* Table34 */
-  0x8ef, /* PUSHSS32 */
+  0x8ea, /* PUSHSS32 */
 /* Table35 */
-  0x878, /* POPSS32 */
+  0x873, /* POPSS32 */
 /* Table36 */
-  0x9ef, /* SBB8mr */
-  0x9f2, /* SBB8rr */
+  0x9ea, /* SBB8mr */
+  0x9ed, /* SBB8rr */
 /* Table38 */
-  0x9de, /* SBB32mr */
-  0x9e2, /* SBB32rr */
+  0x9d9, /* SBB32mr */
+  0x9dd, /* SBB32rr */
 /* Table40 */
-  0x9f1, /* SBB8rm */
-  0x9f3, /* SBB8rr_REV */
+  0x9ec, /* SBB8rm */
+  0x9ee, /* SBB8rr_REV */
 /* Table42 */
-  0x9e1, /* SBB32rm */
-  0x9e3, /* SBB32rr_REV */
+  0x9dc, /* SBB32rm */
+  0x9de, /* SBB32rr_REV */
 /* Table44 */
-  0x9ed, /* SBB8i8 */
+  0x9e8, /* SBB8i8 */
 /* Table45 */
-  0x9db, /* SBB32i32 */
+  0x9d6, /* SBB32i32 */
 /* Table46 */
-  0x8e2, /* PUSHDS32 */
+  0x8dd, /* PUSHDS32 */
 /* Table47 */
-  0x86b, /* POPDS32 */
+  0x866, /* POPDS32 */
 /* Table48 */
   0xc8, /* AND8mr */
   0xcc, /* AND8rr */
@@ -47806,178 +48814,166 @@
 /* Table57 */
   0xb4, /* AND32i32 */
 /* Table58 */
-  0x2e7, /* ES_PREFIX */
+  0x28b, /* DAA */
 /* Table59 */
-  0x28c, /* DAA */
-/* Table60 */
-  0xaed, /* SUB8mr */
-  0xaf1, /* SUB8rr */
-/* Table62 */
-  0xadc, /* SUB32mr */
-  0xae0, /* SUB32rr */
-/* Table64 */
-  0xaf0, /* SUB8rm */
-  0xaf2, /* SUB8rr_REV */
-/* Table66 */
-  0xadf, /* SUB32rm */
-  0xae1, /* SUB32rr_REV */
+  0xae7, /* SUB8mr */
+  0xaeb, /* SUB8rr */
+/* Table61 */
+  0xad6, /* SUB32mr */
+  0xada, /* SUB32rr */
+/* Table63 */
+  0xaea, /* SUB8rm */
+  0xaec, /* SUB8rr_REV */
+/* Table65 */
+  0xad9, /* SUB32rm */
+  0xadb, /* SUB32rr_REV */
+/* Table67 */
+  0xae5, /* SUB8i8 */
 /* Table68 */
-  0xaeb, /* SUB8i8 */
+  0xad3, /* SUB32i32 */
 /* Table69 */
-  0xad9, /* SUB32i32 */
+  0x28c, /* DAS */
 /* Table70 */
-  0x25d, /* CS_PREFIX */
-/* Table71 */
-  0x28d, /* DAS */
+  0x185d, /* XOR8mr */
+  0x1861, /* XOR8rr */
 /* Table72 */
-  0x17d3, /* XOR8mr */
-  0x17d7, /* XOR8rr */
+  0x184c, /* XOR32mr */
+  0x1850, /* XOR32rr */
 /* Table74 */
-  0x17c2, /* XOR32mr */
-  0x17c6, /* XOR32rr */
+  0x1860, /* XOR8rm */
+  0x1862, /* XOR8rr_REV */
 /* Table76 */
-  0x17d6, /* XOR8rm */
-  0x17d8, /* XOR8rr_REV */
+  0x184f, /* XOR32rm */
+  0x1851, /* XOR32rr_REV */
 /* Table78 */
-  0x17c5, /* XOR32rm */
-  0x17c7, /* XOR32rr_REV */
+  0x185b, /* XOR8i8 */
+/* Table79 */
+  0x1849, /* XOR32i32 */
 /* Table80 */
-  0x17d1, /* XOR8i8 */
-/* Table81 */
-  0x17bf, /* XOR32i32 */
-/* Table82 */
-  0xaad, /* SS_PREFIX */
-/* Table83 */
   0x14, /* AAA */
-/* Table84 */
+/* Table81 */
   0x221, /* CMP8mr */
   0x224, /* CMP8rr */
-/* Table86 */
+/* Table83 */
   0x210, /* CMP32mr */
   0x214, /* CMP32rr */
-/* Table88 */
+/* Table85 */
   0x223, /* CMP8rm */
   0x225, /* CMP8rr_REV */
-/* Table90 */
+/* Table87 */
   0x213, /* CMP32rm */
   0x215, /* CMP32rr_REV */
-/* Table92 */
+/* Table89 */
   0x21f, /* CMP8i8 */
-/* Table93 */
+/* Table90 */
   0x20d, /* CMP32i32 */
-/* Table94 */
-  0x2dc, /* DS_PREFIX */
-/* Table95 */
+/* Table91 */
   0x17, /* AAS */
+/* Table92 */
+  0x385, /* INC32r */
+/* Table93 */
+  0x293, /* DEC32r */
+/* Table94 */
+  0x8cf, /* PUSH32r */
+/* Table95 */
+  0x857, /* POP32r */
 /* Table96 */
-  0x38a, /* INC32r */
+  0x8d9, /* PUSHA32 */
 /* Table97 */
-  0x294, /* DEC32r */
+  0x85e, /* POPA32 */
 /* Table98 */
-  0x8d4, /* PUSH32r */
-/* Table99 */
-  0x85c, /* POP32r */
-/* Table100 */
-  0x8de, /* PUSHA32 */
-/* Table101 */
-  0x863, /* POPA32 */
-/* Table102 */
   0x118, /* BOUNDS32rm */
   0x0, /*  */
-/* Table104 */
+/* Table100 */
   0xda, /* ARPL16mr */
   0xdb, /* ARPL16rr */
+/* Table102 */
+  0x28d, /* DATA16_PREFIX */
+/* Table103 */
+  0x8ec, /* PUSHi32 */
+/* Table104 */
+  0x36b, /* IMUL32rmi */
+  0x36e, /* IMUL32rri */
 /* Table106 */
-  0x327, /* FS_PREFIX */
+  0x8ce, /* PUSH32i8 */
 /* Table107 */
-  0x347, /* GS_PREFIX */
-/* Table108 */
-  0x28e, /* DATA16_PREFIX */
+  0x36c, /* IMUL32rmi8 */
+  0x36f, /* IMUL32rri8 */
 /* Table109 */
-  0x8f1, /* PUSHi32 */
+  0x38e, /* INSB */
 /* Table110 */
-  0x370, /* IMUL32rmi */
-  0x373, /* IMUL32rri */
+  0x393, /* INSL */
+/* Table111 */
+  0x76a, /* OUTSB */
 /* Table112 */
-  0x8d3, /* PUSH32i8 */
+  0x76b, /* OUTSL */
 /* Table113 */
-  0x371, /* IMUL32rmi8 */
-  0x374, /* IMUL32rri8 */
+  0x45c, /* JO_1 */
+/* Table114 */
+  0x453, /* JNO_1 */
 /* Table115 */
-  0x393, /* INSB */
+  0x432, /* JB_1 */
 /* Table116 */
-  0x398, /* INSL */
+  0x429, /* JAE_1 */
 /* Table117 */
-  0x76f, /* OUTSB */
+  0x438, /* JE_1 */
 /* Table118 */
-  0x770, /* OUTSL */
+  0x450, /* JNE_1 */
 /* Table119 */
-  0x461, /* JO_1 */
+  0x42f, /* JBE_1 */
 /* Table120 */
-  0x458, /* JNO_1 */
+  0x42c, /* JA_1 */
 /* Table121 */
-  0x437, /* JB_1 */
+  0x463, /* JS_1 */
 /* Table122 */
-  0x42e, /* JAE_1 */
+  0x459, /* JNS_1 */
 /* Table123 */
-  0x43d, /* JE_1 */
+  0x45f, /* JP_1 */
 /* Table124 */
-  0x455, /* JNE_1 */
+  0x456, /* JNP_1 */
 /* Table125 */
-  0x434, /* JBE_1 */
+  0x444, /* JL_1 */
 /* Table126 */
-  0x431, /* JA_1 */
+  0x43b, /* JGE_1 */
 /* Table127 */
-  0x468, /* JS_1 */
+  0x441, /* JLE_1 */
 /* Table128 */
-  0x45e, /* JNS_1 */
+  0x43e, /* JG_1 */
 /* Table129 */
-  0x464, /* JP_1 */
-/* Table130 */
-  0x45b, /* JNP_1 */
-/* Table131 */
-  0x449, /* JL_1 */
-/* Table132 */
-  0x440, /* JGE_1 */
-/* Table133 */
-  0x446, /* JLE_1 */
-/* Table134 */
-  0x443, /* JG_1 */
-/* Table135 */
   0x6b, /* ADD8mi */
-  0x75e, /* OR8mi */
+  0x759, /* OR8mi */
   0x3c, /* ADC8mi */
-  0x9ee, /* SBB8mi */
+  0x9e9, /* SBB8mi */
   0xc7, /* AND8mi */
-  0xaec, /* SUB8mi */
-  0x17d2, /* XOR8mi */
+  0xae6, /* SUB8mi */
+  0x185c, /* XOR8mi */
   0x220, /* CMP8mi */
   0x6d, /* ADD8ri */
-  0x760, /* OR8ri */
+  0x75b, /* OR8ri */
   0x3e, /* ADC8ri */
-  0x9f0, /* SBB8ri */
+  0x9eb, /* SBB8ri */
   0xc9, /* AND8ri */
-  0xaee, /* SUB8ri */
-  0x17d4, /* XOR8ri */
+  0xae8, /* SUB8ri */
+  0x185e, /* XOR8ri */
   0x222, /* CMP8ri */
-/* Table151 */
+/* Table145 */
   0x53, /* ADD32mi */
-  0x74b, /* OR32mi */
+  0x746, /* OR32mi */
   0x2a, /* ADC32mi */
-  0x9dc, /* SBB32mi */
+  0x9d7, /* SBB32mi */
   0xb5, /* AND32mi */
-  0xada, /* SUB32mi */
-  0x17c0, /* XOR32mi */
+  0xad4, /* SUB32mi */
+  0x184a, /* XOR32mi */
   0x20e, /* CMP32mi */
   0x56, /* ADD32ri */
-  0x74f, /* OR32ri */
+  0x74a, /* OR32ri */
   0x2d, /* ADC32ri */
-  0x9df, /* SBB32ri */
+  0x9da, /* SBB32ri */
   0xb8, /* AND32ri */
-  0xadd, /* SUB32ri */
-  0x17c3, /* XOR32ri */
+  0xad7, /* SUB32ri */
+  0x184d, /* XOR32ri */
   0x211, /* CMP32ri */
-/* Table167 */
+/* Table161 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47987,65 +48983,65 @@
   0x0, /*  */
   0x0, /*  */
   0x6e, /* ADD8ri8 */
-  0x761, /* OR8ri8 */
+  0x75c, /* OR8ri8 */
   0x0, /*  */
   0x0, /*  */
   0xca, /* AND8ri8 */
-  0xaef, /* SUB8ri8 */
-  0x17d5, /* XOR8ri8 */
+  0xae9, /* SUB8ri8 */
+  0x185f, /* XOR8ri8 */
   0x0, /*  */
-/* Table183 */
+/* Table177 */
   0x54, /* ADD32mi8 */
-  0x74c, /* OR32mi8 */
+  0x747, /* OR32mi8 */
   0x2b, /* ADC32mi8 */
-  0x9dd, /* SBB32mi8 */
+  0x9d8, /* SBB32mi8 */
   0xb6, /* AND32mi8 */
-  0xadb, /* SUB32mi8 */
-  0x17c1, /* XOR32mi8 */
+  0xad5, /* SUB32mi8 */
+  0x184b, /* XOR32mi8 */
   0x20f, /* CMP32mi8 */
   0x57, /* ADD32ri8 */
-  0x750, /* OR32ri8 */
+  0x74b, /* OR32ri8 */
   0x2e, /* ADC32ri8 */
-  0x9e0, /* SBB32ri8 */
+  0x9db, /* SBB32ri8 */
   0xb9, /* AND32ri8 */
-  0xade, /* SUB32ri8 */
-  0x17c4, /* XOR32ri8 */
+  0xad8, /* SUB32ri8 */
+  0x184e, /* XOR32ri8 */
   0x212, /* CMP32ri8 */
+/* Table193 */
+  0xb52, /* TEST8rm */
+  0xb53, /* TEST8rr */
+/* Table195 */
+  0xb43, /* TEST32rm */
+  0xb44, /* TEST32rr */
+/* Table197 */
+  0x1835, /* XCHG8rm */
+  0x1836, /* XCHG8rr */
 /* Table199 */
-  0xb58, /* TEST8rm */
-  0xb59, /* TEST8rr */
+  0x1830, /* XCHG32rm */
+  0x1831, /* XCHG32rr */
 /* Table201 */
-  0xb49, /* TEST32rm */
-  0xb4a, /* TEST32rr */
+  0x663, /* MOV8mr */
+  0x66b, /* MOV8rr */
 /* Table203 */
-  0x17ab, /* XCHG8rm */
-  0x17ac, /* XCHG8rr */
+  0x636, /* MOV32mr */
+  0x641, /* MOV32rr */
 /* Table205 */
-  0x17a6, /* XCHG32rm */
-  0x17a7, /* XCHG32rr */
+  0x669, /* MOV8rm */
+  0x66d, /* MOV8rr_REV */
 /* Table207 */
-  0x668, /* MOV8mr */
-  0x670, /* MOV8rr */
+  0x640, /* MOV32rm */
+  0x642, /* MOV32rr_REV */
 /* Table209 */
-  0x63b, /* MOV32mr */
-  0x646, /* MOV32rr */
+  0x637, /* MOV32ms */
+  0x643, /* MOV32rs */
 /* Table211 */
-  0x66e, /* MOV8rm */
-  0x672, /* MOV8rr_REV */
+  0x4be, /* LEA32r */
+  0x0, /*  */
 /* Table213 */
-  0x645, /* MOV32rm */
-  0x647, /* MOV32rr_REV */
+  0x644, /* MOV32sm */
+  0x645, /* MOV32sr */
 /* Table215 */
-  0x63c, /* MOV32ms */
-  0x648, /* MOV32rs */
-/* Table217 */
-  0x4c3, /* LEA32r */
-  0x0, /*  */
-/* Table219 */
-  0x649, /* MOV32sm */
-  0x64a, /* MOV32sr */
-/* Table221 */
-  0x85d, /* POP32rmm */
+  0x858, /* POP32rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48053,7 +49049,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x85e, /* POP32rmr */
+  0x859, /* POP32rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48061,123 +49057,123 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table237 */
-  0x71a, /* NOOP */
-/* Table238 */
-  0x17a4, /* XCHG32ar */
-/* Table239 */
-  0x28b, /* CWDE */
-/* Table240 */
+/* Table231 */
+  0x715, /* NOOP */
+/* Table232 */
+  0x182e, /* XCHG32ar */
+/* Table233 */
+  0x28a, /* CWDE */
+/* Table234 */
   0x165, /* CDQ */
+/* Table235 */
+  0x2ec, /* FARCALL32i */
+/* Table236 */
+  0x1815, /* WAIT */
+/* Table237 */
+  0x8e1, /* PUSHF32 */
+/* Table238 */
+  0x86a, /* POPF32 */
+/* Table239 */
+  0x997, /* SAHF */
+/* Table240 */
+  0x49a, /* LAHF */
 /* Table241 */
-  0x2ef, /* FARCALL32i */
+  0x665, /* MOV8o8a */
 /* Table242 */
-  0x178b, /* WAIT */
+  0x638, /* MOV32o32a */
 /* Table243 */
-  0x8e6, /* PUSHF32 */
+  0x660, /* MOV8ao8 */
 /* Table244 */
-  0x86f, /* POPF32 */
+  0x631, /* MOV32ao32 */
 /* Table245 */
-  0x99c, /* SAHF */
+  0x6a5, /* MOVSB */
 /* Table246 */
-  0x49f, /* LAHF */
+  0x6ae, /* MOVSL */
 /* Table247 */
-  0x66a, /* MOV8o8a */
-/* Table248 */
-  0x63d, /* MOV32o32a */
-/* Table249 */
-  0x665, /* MOV8ao8 */
-/* Table250 */
-  0x636, /* MOV32ao32 */
-/* Table251 */
-  0x6aa, /* MOVSB */
-/* Table252 */
-  0x6b3, /* MOVSL */
-/* Table253 */
   0x22e, /* CMPSB */
-/* Table254 */
+/* Table248 */
   0x233, /* CMPSL */
+/* Table249 */
+  0xb4c, /* TEST8i8 */
+/* Table250 */
+  0xb3e, /* TEST32i32 */
+/* Table251 */
+  0xaae, /* STOSB */
+/* Table252 */
+  0xaaf, /* STOSL */
+/* Table253 */
+  0x516, /* LODSB */
+/* Table254 */
+  0x517, /* LODSL */
 /* Table255 */
-  0xb52, /* TEST8i8 */
+  0x9ef, /* SCASB */
 /* Table256 */
-  0xb44, /* TEST32i32 */
+  0x9f0, /* SCASL */
 /* Table257 */
-  0xab4, /* STOSB */
+  0x667, /* MOV8ri */
 /* Table258 */
-  0xab5, /* STOSL */
+  0x63d, /* MOV32ri */
 /* Table259 */
-  0x51b, /* LODSB */
-/* Table260 */
-  0x51c, /* LODSL */
-/* Table261 */
-  0x9f4, /* SCASB */
-/* Table262 */
-  0x9f5, /* SCASL */
-/* Table263 */
-  0x66c, /* MOV8ri */
-/* Table264 */
-  0x642, /* MOV32ri */
-/* Table265 */
-  0x969, /* ROL8mi */
-  0x981, /* ROR8mi */
-  0x908, /* RCL8mi */
-  0x928, /* RCR8mi */
-  0xa4c, /* SHL8mi */
-  0xa74, /* SHR8mi */
-  0x9b1, /* SAL8mi */
-  0x9ca, /* SAR8mi */
-  0x96c, /* ROL8ri */
-  0x984, /* ROR8ri */
-  0x90b, /* RCL8ri */
-  0x92b, /* RCR8ri */
-  0xa4f, /* SHL8ri */
-  0xa77, /* SHR8ri */
-  0x9b4, /* SAL8ri */
-  0x9cd, /* SAR8ri */
-/* Table281 */
-  0x95d, /* ROL32mi */
-  0x975, /* ROR32mi */
-  0x8fc, /* RCL32mi */
-  0x91c, /* RCR32mi */
-  0xa40, /* SHL32mi */
-  0xa68, /* SHR32mi */
-  0x9a5, /* SAL32mi */
-  0x9be, /* SAR32mi */
-  0x960, /* ROL32ri */
-  0x978, /* ROR32ri */
-  0x8ff, /* RCL32ri */
-  0x91f, /* RCR32ri */
-  0xa43, /* SHL32ri */
-  0xa6b, /* SHR32ri */
-  0x9a8, /* SAL32ri */
-  0x9c1, /* SAR32ri */
+  0x964, /* ROL8mi */
+  0x97c, /* ROR8mi */
+  0x903, /* RCL8mi */
+  0x923, /* RCR8mi */
+  0xa47, /* SHL8mi */
+  0xa6f, /* SHR8mi */
+  0x9ac, /* SAL8mi */
+  0x9c5, /* SAR8mi */
+  0x967, /* ROL8ri */
+  0x97f, /* ROR8ri */
+  0x906, /* RCL8ri */
+  0x926, /* RCR8ri */
+  0xa4a, /* SHL8ri */
+  0xa72, /* SHR8ri */
+  0x9af, /* SAL8ri */
+  0x9c8, /* SAR8ri */
+/* Table275 */
+  0x958, /* ROL32mi */
+  0x970, /* ROR32mi */
+  0x8f7, /* RCL32mi */
+  0x917, /* RCR32mi */
+  0xa3b, /* SHL32mi */
+  0xa63, /* SHR32mi */
+  0x9a0, /* SAL32mi */
+  0x9b9, /* SAR32mi */
+  0x95b, /* ROL32ri */
+  0x973, /* ROR32ri */
+  0x8fa, /* RCL32ri */
+  0x91a, /* RCR32ri */
+  0xa3e, /* SHL32ri */
+  0xa66, /* SHR32ri */
+  0x9a3, /* SAL32ri */
+  0x9bc, /* SAR32ri */
+/* Table291 */
+  0x949, /* RETIL */
+/* Table292 */
+  0x94c, /* RETL */
+/* Table293 */
+  0x4c4, /* LES32rm */
+  0x0, /*  */
+/* Table295 */
+  0x4aa, /* LDS32rm */
+  0x0, /*  */
 /* Table297 */
-  0x94e, /* RETIL */
-/* Table298 */
-  0x951, /* RETL */
-/* Table299 */
-  0x4c9, /* LES32rm */
+  0x662, /* MOV8mi */
   0x0, /*  */
-/* Table301 */
-  0x4af, /* LDS32rm */
   0x0, /*  */
-/* Table303 */
-  0x667, /* MOV8mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x66d, /* MOV8ri_alt */
-  0x66d, /* MOV8ri_alt */
-  0x66d, /* MOV8ri_alt */
-  0x66d, /* MOV8ri_alt */
-  0x66d, /* MOV8ri_alt */
-  0x66d, /* MOV8ri_alt */
-  0x66d, /* MOV8ri_alt */
-  0x66d, /* MOV8ri_alt */
+  0x668, /* MOV8ri_alt */
+  0x668, /* MOV8ri_alt */
+  0x668, /* MOV8ri_alt */
+  0x668, /* MOV8ri_alt */
+  0x668, /* MOV8ri_alt */
+  0x668, /* MOV8ri_alt */
+  0x668, /* MOV8ri_alt */
+  0x668, /* MOV8ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48226,7 +49222,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1795, /* XABORT */
+  0x181f, /* XABORT */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48234,8 +49230,8 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table375 */
-  0x63a, /* MOV32mi */
+/* Table369 */
+  0x635, /* MOV32mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48243,14 +49239,14 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x644, /* MOV32ri_alt */
-  0x644, /* MOV32ri_alt */
-  0x644, /* MOV32ri_alt */
-  0x644, /* MOV32ri_alt */
-  0x644, /* MOV32ri_alt */
-  0x644, /* MOV32ri_alt */
-  0x644, /* MOV32ri_alt */
-  0x644, /* MOV32ri_alt */
+  0x63f, /* MOV32ri_alt */
+  0x63f, /* MOV32ri_alt */
+  0x63f, /* MOV32ri_alt */
+  0x63f, /* MOV32ri_alt */
+  0x63f, /* MOV32ri_alt */
+  0x63f, /* MOV32ri_alt */
+  0x63f, /* MOV32ri_alt */
+  0x63f, /* MOV32ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48299,7 +49295,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x17a0, /* XBEGIN_4 */
+  0x182a, /* XBEGIN_4 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48307,141 +49303,141 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table441 */
+  0x2e4, /* ENTER */
+/* Table442 */
+  0x4c1, /* LEAVE */
+/* Table443 */
+  0x51d, /* LRETIL */
+/* Table444 */
+  0x520, /* LRETL */
+/* Table445 */
+  0x397, /* INT3 */
+/* Table446 */
+  0x395, /* INT */
 /* Table447 */
-  0x2e6, /* ENTER */
+  0x398, /* INTO */
 /* Table448 */
-  0x4c6, /* LEAVE */
+  0x3a4, /* IRET32 */
 /* Table449 */
-  0x522, /* LRETIL */
-/* Table450 */
-  0x525, /* LRETL */
-/* Table451 */
-  0x39c, /* INT3 */
-/* Table452 */
-  0x39a, /* INT */
-/* Table453 */
-  0x39d, /* INTO */
-/* Table454 */
-  0x3a9, /* IRET32 */
-/* Table455 */
-  0x967, /* ROL8m1 */
-  0x97f, /* ROR8m1 */
-  0x906, /* RCL8m1 */
-  0x926, /* RCR8m1 */
-  0xa4a, /* SHL8m1 */
-  0xa72, /* SHR8m1 */
-  0x9af, /* SAL8m1 */
-  0x9c8, /* SAR8m1 */
-  0x96a, /* ROL8r1 */
-  0x982, /* ROR8r1 */
-  0x909, /* RCL8r1 */
-  0x929, /* RCR8r1 */
-  0xa4d, /* SHL8r1 */
-  0xa75, /* SHR8r1 */
-  0x9b2, /* SAL8r1 */
-  0x9cb, /* SAR8r1 */
-/* Table471 */
-  0x95b, /* ROL32m1 */
-  0x973, /* ROR32m1 */
-  0x8fa, /* RCL32m1 */
-  0x91a, /* RCR32m1 */
-  0xa3e, /* SHL32m1 */
-  0xa66, /* SHR32m1 */
-  0x9a3, /* SAL32m1 */
-  0x9bc, /* SAR32m1 */
-  0x95e, /* ROL32r1 */
-  0x976, /* ROR32r1 */
-  0x8fd, /* RCL32r1 */
-  0x91d, /* RCR32r1 */
-  0xa41, /* SHL32r1 */
-  0xa69, /* SHR32r1 */
-  0x9a6, /* SAL32r1 */
-  0x9bf, /* SAR32r1 */
-/* Table487 */
-  0x968, /* ROL8mCL */
-  0x980, /* ROR8mCL */
-  0x907, /* RCL8mCL */
-  0x927, /* RCR8mCL */
-  0xa4b, /* SHL8mCL */
-  0xa73, /* SHR8mCL */
-  0x9b0, /* SAL8mCL */
-  0x9c9, /* SAR8mCL */
-  0x96b, /* ROL8rCL */
-  0x983, /* ROR8rCL */
-  0x90a, /* RCL8rCL */
-  0x92a, /* RCR8rCL */
-  0xa4e, /* SHL8rCL */
-  0xa76, /* SHR8rCL */
-  0x9b3, /* SAL8rCL */
-  0x9cc, /* SAR8rCL */
-/* Table503 */
-  0x95c, /* ROL32mCL */
-  0x974, /* ROR32mCL */
-  0x8fb, /* RCL32mCL */
-  0x91b, /* RCR32mCL */
-  0xa3f, /* SHL32mCL */
-  0xa67, /* SHR32mCL */
-  0x9a4, /* SAL32mCL */
-  0x9bd, /* SAR32mCL */
-  0x95f, /* ROL32rCL */
-  0x977, /* ROR32rCL */
-  0x8fe, /* RCL32rCL */
-  0x91e, /* RCR32rCL */
-  0xa42, /* SHL32rCL */
-  0xa6a, /* SHR32rCL */
-  0x9a7, /* SAL32rCL */
-  0x9c0, /* SAR32rCL */
-/* Table519 */
+  0x962, /* ROL8m1 */
+  0x97a, /* ROR8m1 */
+  0x901, /* RCL8m1 */
+  0x921, /* RCR8m1 */
+  0xa45, /* SHL8m1 */
+  0xa6d, /* SHR8m1 */
+  0x9aa, /* SAL8m1 */
+  0x9c3, /* SAR8m1 */
+  0x965, /* ROL8r1 */
+  0x97d, /* ROR8r1 */
+  0x904, /* RCL8r1 */
+  0x924, /* RCR8r1 */
+  0xa48, /* SHL8r1 */
+  0xa70, /* SHR8r1 */
+  0x9ad, /* SAL8r1 */
+  0x9c6, /* SAR8r1 */
+/* Table465 */
+  0x956, /* ROL32m1 */
+  0x96e, /* ROR32m1 */
+  0x8f5, /* RCL32m1 */
+  0x915, /* RCR32m1 */
+  0xa39, /* SHL32m1 */
+  0xa61, /* SHR32m1 */
+  0x99e, /* SAL32m1 */
+  0x9b7, /* SAR32m1 */
+  0x959, /* ROL32r1 */
+  0x971, /* ROR32r1 */
+  0x8f8, /* RCL32r1 */
+  0x918, /* RCR32r1 */
+  0xa3c, /* SHL32r1 */
+  0xa64, /* SHR32r1 */
+  0x9a1, /* SAL32r1 */
+  0x9ba, /* SAR32r1 */
+/* Table481 */
+  0x963, /* ROL8mCL */
+  0x97b, /* ROR8mCL */
+  0x902, /* RCL8mCL */
+  0x922, /* RCR8mCL */
+  0xa46, /* SHL8mCL */
+  0xa6e, /* SHR8mCL */
+  0x9ab, /* SAL8mCL */
+  0x9c4, /* SAR8mCL */
+  0x966, /* ROL8rCL */
+  0x97e, /* ROR8rCL */
+  0x905, /* RCL8rCL */
+  0x925, /* RCR8rCL */
+  0xa49, /* SHL8rCL */
+  0xa71, /* SHR8rCL */
+  0x9ae, /* SAL8rCL */
+  0x9c7, /* SAR8rCL */
+/* Table497 */
+  0x957, /* ROL32mCL */
+  0x96f, /* ROR32mCL */
+  0x8f6, /* RCL32mCL */
+  0x916, /* RCR32mCL */
+  0xa3a, /* SHL32mCL */
+  0xa62, /* SHR32mCL */
+  0x99f, /* SAL32mCL */
+  0x9b8, /* SAR32mCL */
+  0x95a, /* ROL32rCL */
+  0x972, /* ROR32rCL */
+  0x8f9, /* RCL32rCL */
+  0x919, /* RCR32rCL */
+  0xa3d, /* SHL32rCL */
+  0xa65, /* SHR32rCL */
+  0x9a2, /* SAL32rCL */
+  0x9bb, /* SAR32rCL */
+/* Table513 */
   0x16, /* AAM8i8 */
-/* Table520 */
+/* Table514 */
   0x15, /* AAD8i8 */
-/* Table521 */
-  0x9b5, /* SALC */
-/* Table522 */
-  0x17b5, /* XLAT */
-/* Table523 */
+/* Table515 */
+  0x9b0, /* SALC */
+/* Table516 */
+  0x183f, /* XLAT */
+/* Table517 */
   0x82, /* ADD_F32m */
-  0x6fc, /* MUL_F32m */
-  0x2f9, /* FCOM32m */
-  0x2fb, /* FCOMP32m */
-  0xb11, /* SUB_F32m */
-  0xaf7, /* SUBR_F32m */
-  0x2c3, /* DIV_F32m */
-  0x2a9, /* DIVR_F32m */
+  0x6f7, /* MUL_F32m */
+  0x2f6, /* FCOM32m */
+  0x2f8, /* FCOMP32m */
+  0xb0b, /* SUB_F32m */
+  0xaf1, /* SUBR_F32m */
+  0x2c2, /* DIV_F32m */
+  0x2a8, /* DIVR_F32m */
   0x87, /* ADD_FST0r */
-  0x701, /* MUL_FST0r */
+  0x6fc, /* MUL_FST0r */
   0x24b, /* COM_FST0r */
   0x248, /* COMP_FST0r */
-  0xb16, /* SUB_FST0r */
-  0xafc, /* SUBR_FST0r */
-  0x2c8, /* DIV_FST0r */
-  0x2ae, /* DIVR_FST0r */
-/* Table539 */
-  0x4b2, /* LD_F32m */
+  0xb10, /* SUB_FST0r */
+  0xaf6, /* SUBR_FST0r */
+  0x2c7, /* DIV_FST0r */
+  0x2ad, /* DIVR_FST0r */
+/* Table533 */
+  0x4ad, /* LD_F32m */
   0x0, /*  */
-  0xabc, /* ST_F32m */
-  0xabe, /* ST_FP32m */
-  0x307, /* FLDENVm */
-  0x306, /* FLDCW16m */
-  0x326, /* FSTENVm */
-  0x310, /* FNSTCW16m */
-  0x4c1, /* LD_Frr */
-  0x4c1, /* LD_Frr */
-  0x4c1, /* LD_Frr */
-  0x4c1, /* LD_Frr */
-  0x4c1, /* LD_Frr */
-  0x4c1, /* LD_Frr */
-  0x4c1, /* LD_Frr */
-  0x4c1, /* LD_Frr */
-  0x17ad, /* XCH_F */
-  0x17ad, /* XCH_F */
-  0x17ad, /* XCH_F */
-  0x17ad, /* XCH_F */
-  0x17ad, /* XCH_F */
-  0x17ad, /* XCH_F */
-  0x17ad, /* XCH_F */
-  0x17ad, /* XCH_F */
-  0x30f, /* FNOP */
+  0xab6, /* ST_F32m */
+  0xab8, /* ST_FP32m */
+  0x304, /* FLDENVm */
+  0x303, /* FLDCW16m */
+  0x323, /* FSTENVm */
+  0x30d, /* FNSTCW16m */
+  0x4bc, /* LD_Frr */
+  0x4bc, /* LD_Frr */
+  0x4bc, /* LD_Frr */
+  0x4bc, /* LD_Frr */
+  0x4bc, /* LD_Frr */
+  0x4bc, /* LD_Frr */
+  0x4bc, /* LD_Frr */
+  0x4bc, /* LD_Frr */
+  0x1837, /* XCH_F */
+  0x1837, /* XCH_F */
+  0x1837, /* XCH_F */
+  0x1837, /* XCH_F */
+  0x1837, /* XCH_F */
+  0x1837, /* XCH_F */
+  0x1837, /* XCH_F */
+  0x1837, /* XCH_F */
+  0x30c, /* FNOP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48449,7 +49445,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xac1, /* ST_FPNCE */
+  0xabb, /* ST_FPNCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48461,43 +49457,43 @@
   0x18, /* ABS_F */
   0x0, /*  */
   0x0, /*  */
-  0xb61, /* TST_F */
-  0x328, /* FXAM */
+  0xb5b, /* TST_F */
+  0x324, /* FXAM */
   0x0, /*  */
   0x0, /*  */
-  0x4b1, /* LD_F1 */
-  0x309, /* FLDL2T */
-  0x308, /* FLDL2E */
-  0x30c, /* FLDPI */
-  0x30a, /* FLDLG2 */
-  0x30b, /* FLDLN2 */
-  0x4b0, /* LD_F0 */
+  0x4ac, /* LD_F1 */
+  0x306, /* FLDL2T */
+  0x305, /* FLDL2E */
+  0x309, /* FLDPI */
+  0x307, /* FLDLG2 */
+  0x308, /* FLDLN2 */
+  0x4ab, /* LD_F0 */
   0x0, /*  */
-  0x2ec, /* F2XM1 */
-  0x32e, /* FYL2X */
-  0x31f, /* FPTAN */
-  0x31c, /* FPATAN */
-  0x32d, /* FXTRACT */
-  0x31e, /* FPREM1 */
-  0x2fe, /* FDECSTP */
-  0x305, /* FINCSTP */
-  0x31d, /* FPREM */
-  0x32f, /* FYL2XP1 */
-  0xaa9, /* SQRT_F */
-  0x325, /* FSINCOS */
-  0x320, /* FRNDINT */
-  0x323, /* FSCALE */
-  0xa8f, /* SIN_F */
+  0x2e9, /* F2XM1 */
+  0x32a, /* FYL2X */
+  0x31c, /* FPTAN */
+  0x319, /* FPATAN */
+  0x329, /* FXTRACT */
+  0x31b, /* FPREM1 */
+  0x2fb, /* FDECSTP */
+  0x302, /* FINCSTP */
+  0x31a, /* FPREM */
+  0x32b, /* FYL2XP1 */
+  0xaa4, /* SQRT_F */
+  0x322, /* FSINCOS */
+  0x31d, /* FRNDINT */
+  0x320, /* FSCALE */
+  0xa8a, /* SIN_F */
   0x24c, /* COS_F */
-/* Table611 */
+/* Table605 */
   0x85, /* ADD_FI32m */
-  0x6ff, /* MUL_FI32m */
-  0x302, /* FICOM32m */
-  0x304, /* FICOMP32m */
-  0xb14, /* SUB_FI32m */
-  0xafa, /* SUBR_FI32m */
-  0x2c6, /* DIV_FI32m */
-  0x2ac, /* DIVR_FI32m */
+  0x6fa, /* MUL_FI32m */
+  0x2ff, /* FICOM32m */
+  0x301, /* FICOMP32m */
+  0xb0e, /* SUB_FI32m */
+  0xaf4, /* SUBR_FI32m */
+  0x2c5, /* DIV_FI32m */
+  0x2ab, /* DIVR_FI32m */
   0x18f, /* CMOVB_F */
   0x18f, /* CMOVB_F */
   0x18f, /* CMOVB_F */
@@ -48539,7 +49535,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xb75, /* UCOM_FPPr */
+  0xb6f, /* UCOM_FPPr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48562,15 +49558,15 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table683 */
-  0x35a, /* ILD_F32m */
-  0x3ac, /* ISTT_FP32m */
-  0x3b8, /* IST_F32m */
-  0x3ba, /* IST_FP32m */
+/* Table677 */
+  0x355, /* ILD_F32m */
+  0x3a7, /* ISTT_FP32m */
+  0x3b3, /* IST_F32m */
+  0x3b5, /* IST_FP32m */
   0x0, /*  */
-  0x4b4, /* LD_F80m */
+  0x4af, /* LD_F80m */
   0x0, /*  */
-  0xac0, /* ST_FP80m */
+  0xaba, /* ST_FP80m */
   0x1b9, /* CMOVNB_F */
   0x1b9, /* CMOVNB_F */
   0x1b9, /* CMOVNB_F */
@@ -48605,20 +49601,20 @@
   0x1d3, /* CMOVNP_F */
   0x0, /*  */
   0x0, /*  */
-  0x30d, /* FNCLEX */
-  0x30e, /* FNINIT */
-  0x324, /* FSETPM */
+  0x30a, /* FNCLEX */
+  0x30b, /* FNINIT */
+  0x321, /* FSETPM */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xb74, /* UCOM_FIr */
-  0xb74, /* UCOM_FIr */
-  0xb74, /* UCOM_FIr */
-  0xb74, /* UCOM_FIr */
-  0xb74, /* UCOM_FIr */
-  0xb74, /* UCOM_FIr */
-  0xb74, /* UCOM_FIr */
-  0xb74, /* UCOM_FIr */
+  0xb6e, /* UCOM_FIr */
+  0xb6e, /* UCOM_FIr */
+  0xb6e, /* UCOM_FIr */
+  0xb6e, /* UCOM_FIr */
+  0xb6e, /* UCOM_FIr */
+  0xb6e, /* UCOM_FIr */
+  0xb6e, /* UCOM_FIr */
+  0xb6e, /* UCOM_FIr */
   0x24a, /* COM_FIr */
   0x24a, /* COM_FIr */
   0x24a, /* COM_FIr */
@@ -48635,49 +49631,49 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table755 */
+/* Table749 */
   0x83, /* ADD_F64m */
-  0x6fd, /* MUL_F64m */
-  0x2fa, /* FCOM64m */
-  0x2fc, /* FCOMP64m */
-  0xb12, /* SUB_F64m */
-  0xaf8, /* SUBR_F64m */
-  0x2c4, /* DIV_F64m */
-  0x2aa, /* DIVR_F64m */
+  0x6f8, /* MUL_F64m */
+  0x2f7, /* FCOM64m */
+  0x2f9, /* FCOMP64m */
+  0xb0c, /* SUB_F64m */
+  0xaf2, /* SUBR_F64m */
+  0x2c3, /* DIV_F64m */
+  0x2a9, /* DIVR_F64m */
   0x96, /* ADD_FrST0 */
-  0x710, /* MUL_FrST0 */
+  0x70b, /* MUL_FrST0 */
   0x0, /*  */
   0x0, /*  */
-  0xb08, /* SUBR_FrST0 */
-  0xb25, /* SUB_FrST0 */
-  0x2ba, /* DIVR_FrST0 */
-  0x2d7, /* DIV_FrST0 */
-/* Table771 */
-  0x4b3, /* LD_F64m */
-  0x3ad, /* ISTT_FP64m */
-  0xabd, /* ST_F64m */
-  0xabf, /* ST_FP64m */
-  0x321, /* FRSTORm */
+  0xb02, /* SUBR_FrST0 */
+  0xb1f, /* SUB_FrST0 */
+  0x2b9, /* DIVR_FrST0 */
+  0x2d6, /* DIV_FrST0 */
+/* Table765 */
+  0x4ae, /* LD_F64m */
+  0x3a8, /* ISTT_FP64m */
+  0xab7, /* ST_F64m */
+  0xab9, /* ST_FP64m */
+  0x31e, /* FRSTORm */
   0x0, /*  */
-  0x322, /* FSAVEm */
-  0x312, /* FNSTSWm */
-  0x300, /* FFREE */
+  0x31f, /* FSAVEm */
+  0x30f, /* FNSTSWm */
+  0x2fd, /* FFREE */
   0x0, /*  */
-  0xacf, /* ST_Frr */
-  0xac3, /* ST_FPrr */
-  0xb7d, /* UCOM_Fr */
-  0xb76, /* UCOM_FPr */
+  0xac9, /* ST_Frr */
+  0xabd, /* ST_FPrr */
+  0xb77, /* UCOM_Fr */
+  0xb70, /* UCOM_FPr */
   0x0, /*  */
   0x0, /*  */
-/* Table787 */
+/* Table781 */
   0x84, /* ADD_FI16m */
-  0x6fe, /* MUL_FI16m */
-  0x301, /* FICOM16m */
-  0x303, /* FICOMP16m */
-  0xb13, /* SUB_FI16m */
-  0xaf9, /* SUBR_FI16m */
-  0x2c5, /* DIV_FI16m */
-  0x2ab, /* DIVR_FI16m */
+  0x6f9, /* MUL_FI16m */
+  0x2fe, /* FICOM16m */
+  0x300, /* FICOMP16m */
+  0xb0d, /* SUB_FI16m */
+  0xaf3, /* SUBR_FI16m */
+  0x2c4, /* DIV_FI16m */
+  0x2aa, /* DIVR_FI16m */
   0x86, /* ADD_FPrST0 */
   0x86, /* ADD_FPrST0 */
   0x86, /* ADD_FPrST0 */
@@ -48686,14 +49682,14 @@
   0x86, /* ADD_FPrST0 */
   0x86, /* ADD_FPrST0 */
   0x86, /* ADD_FPrST0 */
-  0x700, /* MUL_FPrST0 */
-  0x700, /* MUL_FPrST0 */
-  0x700, /* MUL_FPrST0 */
-  0x700, /* MUL_FPrST0 */
-  0x700, /* MUL_FPrST0 */
-  0x700, /* MUL_FPrST0 */
-  0x700, /* MUL_FPrST0 */
-  0x700, /* MUL_FPrST0 */
+  0x6fb, /* MUL_FPrST0 */
+  0x6fb, /* MUL_FPrST0 */
+  0x6fb, /* MUL_FPrST0 */
+  0x6fb, /* MUL_FPrST0 */
+  0x6fb, /* MUL_FPrST0 */
+  0x6fb, /* MUL_FPrST0 */
+  0x6fb, /* MUL_FPrST0 */
+  0x6fb, /* MUL_FPrST0 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48703,54 +49699,54 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2fd, /* FCOMPP */
+  0x2fa, /* FCOMPP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xafb, /* SUBR_FPrST0 */
-  0xafb, /* SUBR_FPrST0 */
-  0xafb, /* SUBR_FPrST0 */
-  0xafb, /* SUBR_FPrST0 */
-  0xafb, /* SUBR_FPrST0 */
-  0xafb, /* SUBR_FPrST0 */
-  0xafb, /* SUBR_FPrST0 */
-  0xafb, /* SUBR_FPrST0 */
-  0xb15, /* SUB_FPrST0 */
-  0xb15, /* SUB_FPrST0 */
-  0xb15, /* SUB_FPrST0 */
-  0xb15, /* SUB_FPrST0 */
-  0xb15, /* SUB_FPrST0 */
-  0xb15, /* SUB_FPrST0 */
-  0xb15, /* SUB_FPrST0 */
-  0xb15, /* SUB_FPrST0 */
-  0x2ad, /* DIVR_FPrST0 */
-  0x2ad, /* DIVR_FPrST0 */
-  0x2ad, /* DIVR_FPrST0 */
-  0x2ad, /* DIVR_FPrST0 */
-  0x2ad, /* DIVR_FPrST0 */
-  0x2ad, /* DIVR_FPrST0 */
-  0x2ad, /* DIVR_FPrST0 */
-  0x2ad, /* DIVR_FPrST0 */
-  0x2c7, /* DIV_FPrST0 */
-  0x2c7, /* DIV_FPrST0 */
-  0x2c7, /* DIV_FPrST0 */
-  0x2c7, /* DIV_FPrST0 */
-  0x2c7, /* DIV_FPrST0 */
-  0x2c7, /* DIV_FPrST0 */
-  0x2c7, /* DIV_FPrST0 */
-  0x2c7, /* DIV_FPrST0 */
-/* Table859 */
-  0x359, /* ILD_F16m */
-  0x3ab, /* ISTT_FP16m */
-  0x3b7, /* IST_F16m */
-  0x3b9, /* IST_FP16m */
-  0x2f7, /* FBLDm */
-  0x35b, /* ILD_F64m */
-  0x2f8, /* FBSTPm */
-  0x3bb, /* IST_FP64m */
+  0xaf5, /* SUBR_FPrST0 */
+  0xaf5, /* SUBR_FPrST0 */
+  0xaf5, /* SUBR_FPrST0 */
+  0xaf5, /* SUBR_FPrST0 */
+  0xaf5, /* SUBR_FPrST0 */
+  0xaf5, /* SUBR_FPrST0 */
+  0xaf5, /* SUBR_FPrST0 */
+  0xaf5, /* SUBR_FPrST0 */
+  0xb0f, /* SUB_FPrST0 */
+  0xb0f, /* SUB_FPrST0 */
+  0xb0f, /* SUB_FPrST0 */
+  0xb0f, /* SUB_FPrST0 */
+  0xb0f, /* SUB_FPrST0 */
+  0xb0f, /* SUB_FPrST0 */
+  0xb0f, /* SUB_FPrST0 */
+  0xb0f, /* SUB_FPrST0 */
+  0x2ac, /* DIVR_FPrST0 */
+  0x2ac, /* DIVR_FPrST0 */
+  0x2ac, /* DIVR_FPrST0 */
+  0x2ac, /* DIVR_FPrST0 */
+  0x2ac, /* DIVR_FPrST0 */
+  0x2ac, /* DIVR_FPrST0 */
+  0x2ac, /* DIVR_FPrST0 */
+  0x2ac, /* DIVR_FPrST0 */
+  0x2c6, /* DIV_FPrST0 */
+  0x2c6, /* DIV_FPrST0 */
+  0x2c6, /* DIV_FPrST0 */
+  0x2c6, /* DIV_FPrST0 */
+  0x2c6, /* DIV_FPrST0 */
+  0x2c6, /* DIV_FPrST0 */
+  0x2c6, /* DIV_FPrST0 */
+  0x2c6, /* DIV_FPrST0 */
+/* Table853 */
+  0x354, /* ILD_F16m */
+  0x3a6, /* ISTT_FP16m */
+  0x3b2, /* IST_F16m */
+  0x3b4, /* IST_FP16m */
+  0x2f4, /* FBLDm */
+  0x356, /* ILD_F64m */
+  0x2f5, /* FBSTPm */
+  0x3b6, /* IST_FP64m */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48782,8 +49778,8 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xac2, /* ST_FPr0r7 */
-  0x311, /* FNSTSW16r */
+  0xabc, /* ST_FPr0r7 */
+  0x30e, /* FNSTSW16r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48791,14 +49787,14 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xb73, /* UCOM_FIPr */
-  0xb73, /* UCOM_FIPr */
-  0xb73, /* UCOM_FIPr */
-  0xb73, /* UCOM_FIPr */
-  0xb73, /* UCOM_FIPr */
-  0xb73, /* UCOM_FIPr */
-  0xb73, /* UCOM_FIPr */
-  0xb73, /* UCOM_FIPr */
+  0xb6d, /* UCOM_FIPr */
+  0xb6d, /* UCOM_FIPr */
+  0xb6d, /* UCOM_FIPr */
+  0xb6d, /* UCOM_FIPr */
+  0xb6d, /* UCOM_FIPr */
+  0xb6d, /* UCOM_FIPr */
+  0xb6d, /* UCOM_FIPr */
+  0xb6d, /* UCOM_FIPr */
   0x249, /* COM_FIPr */
   0x249, /* COM_FIPr */
   0x249, /* COM_FIPr */
@@ -48815,145 +49811,145 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table925 */
+  0x51c, /* LOOPNE */
+/* Table926 */
+  0x51b, /* LOOPE */
+/* Table927 */
+  0x51a, /* LOOP */
+/* Table928 */
+  0x436, /* JECXZ_32 */
+/* Table929 */
+  0x37e, /* IN8ri */
+/* Table930 */
+  0x37c, /* IN32ri */
 /* Table931 */
-  0x521, /* LOOPNE */
+  0x768, /* OUT8ir */
 /* Table932 */
-  0x520, /* LOOPE */
+  0x766, /* OUT32ir */
 /* Table933 */
-  0x51f, /* LOOP */
-/* Table934 */
-  0x43b, /* JECXZ_32 */
-/* Table935 */
-  0x383, /* IN8ri */
-/* Table936 */
-  0x381, /* IN32ri */
-/* Table937 */
-  0x76d, /* OUT8ir */
-/* Table938 */
-  0x76b, /* OUT32ir */
-/* Table939 */
   0x163, /* CALLpcrel32 */
+/* Table934 */
+  0x44f, /* JMP_4 */
+/* Table935 */
+  0x2f1, /* FARJMP32i */
+/* Table936 */
+  0x44d, /* JMP_1 */
+/* Table937 */
+  0x37f, /* IN8rr */
+/* Table938 */
+  0x37d, /* IN32rr */
+/* Table939 */
+  0x769, /* OUT8rr */
 /* Table940 */
-  0x454, /* JMP_4 */
+  0x767, /* OUT32rr */
 /* Table941 */
-  0x2f4, /* FARJMP32i */
+  0x4ff, /* LOCK_PREFIX */
 /* Table942 */
-  0x452, /* JMP_1 */
+  0x396, /* INT1 */
 /* Table943 */
-  0x384, /* IN8rr */
+  0x939, /* REPNE_PREFIX */
 /* Table944 */
-  0x382, /* IN32rr */
+  0x941, /* REP_PREFIX */
 /* Table945 */
-  0x76e, /* OUT8rr */
+  0x347, /* HLT */
 /* Table946 */
-  0x76c, /* OUT32rr */
-/* Table947 */
-  0x504, /* LOCK_PREFIX */
-/* Table948 */
-  0x39b, /* INT1 */
-/* Table949 */
-  0x93e, /* REPNE_PREFIX */
-/* Table950 */
-  0x946, /* REP_PREFIX */
-/* Table951 */
-  0x34c, /* HLT */
-/* Table952 */
   0x172, /* CMC */
-/* Table953 */
-  0xb53, /* TEST8mi */
-  0xb54, /* TEST8mi_alt */
-  0x73f, /* NOT8m */
-  0x718, /* NEG8m */
-  0x6ea, /* MUL8m */
-  0x37d, /* IMUL8m */
-  0x2a3, /* DIV8m */
-  0x357, /* IDIV8m */
-  0xb55, /* TEST8ri */
-  0xb57, /* TEST8ri_alt */
-  0x740, /* NOT8r */
-  0x719, /* NEG8r */
-  0x6eb, /* MUL8r */
-  0x37e, /* IMUL8r */
-  0x2a4, /* DIV8r */
-  0x358, /* IDIV8r */
-/* Table969 */
-  0xb45, /* TEST32mi */
-  0xb46, /* TEST32mi_alt */
-  0x73b, /* NOT32m */
-  0x714, /* NEG32m */
-  0x6e6, /* MUL32m */
-  0x36d, /* IMUL32m */
-  0x29f, /* DIV32m */
-  0x353, /* IDIV32m */
-  0xb47, /* TEST32ri */
-  0xb48, /* TEST32ri_alt */
-  0x73c, /* NOT32r */
-  0x715, /* NEG32r */
-  0x6e7, /* MUL32r */
-  0x36e, /* IMUL32r */
-  0x2a0, /* DIV32r */
-  0x354, /* IDIV32r */
-/* Table985 */
+/* Table947 */
+  0xb4d, /* TEST8mi */
+  0xb4e, /* TEST8mi_alt */
+  0x73a, /* NOT8m */
+  0x713, /* NEG8m */
+  0x6e5, /* MUL8m */
+  0x378, /* IMUL8m */
+  0x2a2, /* DIV8m */
+  0x352, /* IDIV8m */
+  0xb4f, /* TEST8ri */
+  0xb51, /* TEST8ri_alt */
+  0x73b, /* NOT8r */
+  0x714, /* NEG8r */
+  0x6e6, /* MUL8r */
+  0x379, /* IMUL8r */
+  0x2a3, /* DIV8r */
+  0x353, /* IDIV8r */
+/* Table963 */
+  0xb3f, /* TEST32mi */
+  0xb40, /* TEST32mi_alt */
+  0x736, /* NOT32m */
+  0x70f, /* NEG32m */
+  0x6e1, /* MUL32m */
+  0x368, /* IMUL32m */
+  0x29e, /* DIV32m */
+  0x34e, /* IDIV32m */
+  0xb41, /* TEST32ri */
+  0xb42, /* TEST32ri_alt */
+  0x737, /* NOT32r */
+  0x710, /* NEG32r */
+  0x6e2, /* MUL32r */
+  0x369, /* IMUL32r */
+  0x29f, /* DIV32r */
+  0x34f, /* IDIV32r */
+/* Table979 */
   0x16c, /* CLC */
-/* Table986 */
-  0xaaf, /* STC */
-/* Table987 */
+/* Table980 */
+  0xaa9, /* STC */
+/* Table981 */
   0x170, /* CLI */
-/* Table988 */
-  0xab2, /* STI */
-/* Table989 */
+/* Table982 */
+  0xaac, /* STI */
+/* Table983 */
   0x16d, /* CLD */
-/* Table990 */
-  0xab0, /* STD */
-/* Table991 */
-  0x391, /* INC8m */
-  0x29b, /* DEC8m */
+/* Table984 */
+  0xaaa, /* STD */
+/* Table985 */
+  0x38c, /* INC8m */
+  0x29a, /* DEC8m */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x392, /* INC8r */
-  0x29c, /* DEC8r */
+  0x38d, /* INC8r */
+  0x29b, /* DEC8r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1007 */
-  0x389, /* INC32m */
-  0x293, /* DEC32m */
+/* Table1001 */
+  0x384, /* INC32m */
+  0x292, /* DEC32m */
   0x15d, /* CALL32m */
-  0x2f0, /* FARCALL32m */
-  0x44e, /* JMP32m */
-  0x2f5, /* FARJMP32m */
-  0x8d5, /* PUSH32rmm */
+  0x2ed, /* FARCALL32m */
+  0x449, /* JMP32m */
+  0x2f2, /* FARJMP32m */
+  0x8d0, /* PUSH32rmm */
   0x0, /*  */
-  0x388, /* INC32_32r */
-  0x292, /* DEC32_32r */
+  0x383, /* INC32_32r */
+  0x291, /* DEC32_32r */
   0x15e, /* CALL32r */
   0x0, /*  */
-  0x44f, /* JMP32r */
+  0x44a, /* JMP32r */
   0x0, /*  */
-  0x8d6, /* PUSH32rmr */
+  0x8d1, /* PUSH32rmr */
   0x0, /*  */
-/* Table1023 */
-  0x954, /* REX64_PREFIX */
+/* Table1017 */
+  0x94f, /* REX64_PREFIX */
+/* Table1018 */
+  0x8d5, /* PUSH64r */
+/* Table1019 */
+  0x85a, /* POP64r */
+/* Table1020 */
+  0x8d3, /* PUSH64i32 */
+/* Table1021 */
+  0x8d4, /* PUSH64i8 */
+/* Table1022 */
+  0x4bf, /* LEA64_32r */
+  0x0, /*  */
 /* Table1024 */
-  0x8da, /* PUSH64r */
-/* Table1025 */
-  0x85f, /* POP64r */
-/* Table1026 */
-  0x8d8, /* PUSH64i32 */
-/* Table1027 */
-  0x8d9, /* PUSH64i8 */
-/* Table1028 */
-  0x4c4, /* LEA64_32r */
-  0x0, /*  */
-/* Table1030 */
-  0x860, /* POP64rmm */
+  0x85b, /* POP64rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48961,7 +49957,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x861, /* POP64rmr */
+  0x85c, /* POP64rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48969,211 +49965,211 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table1040 */
+  0x182f, /* XCHG32ar64 */
+/* Table1041 */
+  0x8e2, /* PUSHF64 */
+/* Table1042 */
+  0x86b, /* POPF64 */
+/* Table1043 */
+  0x652, /* MOV64o8a */
+/* Table1044 */
+  0x650, /* MOV64o32a */
+/* Table1045 */
+  0x649, /* MOV64ao8 */
 /* Table1046 */
-  0x17a5, /* XCHG32ar64 */
+  0x647, /* MOV64ao32 */
 /* Table1047 */
-  0x8e7, /* PUSHF64 */
+  0x94a, /* RETIQ */
 /* Table1048 */
-  0x870, /* POPF64 */
+  0x94d, /* RETQ */
 /* Table1049 */
-  0x657, /* MOV64o8a */
+  0x4c2, /* LEAVE64 */
 /* Table1050 */
-  0x655, /* MOV64o32a */
+  0x462, /* JRCXZ */
 /* Table1051 */
-  0x64e, /* MOV64ao8 */
-/* Table1052 */
-  0x64c, /* MOV64ao32 */
-/* Table1053 */
-  0x94f, /* RETIQ */
-/* Table1054 */
-  0x952, /* RETQ */
-/* Table1055 */
-  0x4c7, /* LEAVE64 */
-/* Table1056 */
-  0x467, /* JRCXZ */
-/* Table1057 */
   0x160, /* CALL64pcrel32 */
-/* Table1058 */
-  0x38d, /* INC64_32m */
-  0x297, /* DEC64_32m */
+/* Table1052 */
+  0x388, /* INC64_32m */
+  0x296, /* DEC64_32m */
   0x15f, /* CALL64m */
-  0x2f0, /* FARCALL32m */
-  0x450, /* JMP64m */
-  0x2f5, /* FARJMP32m */
-  0x8db, /* PUSH64rmm */
+  0x2ed, /* FARCALL32m */
+  0x44b, /* JMP64m */
+  0x2f2, /* FARJMP32m */
+  0x8d6, /* PUSH64rmm */
   0x0, /*  */
-  0x38e, /* INC64_32r */
-  0x298, /* DEC64_32r */
+  0x389, /* INC64_32r */
+  0x297, /* DEC64_32r */
   0x161, /* CALL64r */
   0x0, /*  */
-  0x451, /* JMP64r */
+  0x44c, /* JMP64r */
   0x0, /*  */
-  0x8dc, /* PUSH64rmr */
+  0x8d7, /* PUSH64rmr */
   0x0, /*  */
-/* Table1074 */
+/* Table1068 */
   0x49, /* ADD16mr */
   0x4f, /* ADD16rr */
-/* Table1076 */
+/* Table1070 */
   0x4e, /* ADD16rm */
   0x51, /* ADD16rr_REV */
-/* Table1078 */
+/* Table1072 */
   0x46, /* ADD16i16 */
+/* Table1073 */
+  0x8de, /* PUSHES16 */
+/* Table1074 */
+  0x867, /* POPES16 */
+/* Table1075 */
+  0x73f, /* OR16mr */
+  0x743, /* OR16rr */
+/* Table1077 */
+  0x742, /* OR16rm */
+  0x744, /* OR16rr_REV */
 /* Table1079 */
-  0x8e3, /* PUSHES16 */
+  0x73c, /* OR16i16 */
 /* Table1080 */
-  0x86c, /* POPES16 */
+  0x8da, /* PUSHCS16 */
 /* Table1081 */
-  0x744, /* OR16mr */
-  0x748, /* OR16rr */
-/* Table1083 */
-  0x747, /* OR16rm */
-  0x749, /* OR16rr_REV */
-/* Table1085 */
-  0x741, /* OR16i16 */
-/* Table1086 */
-  0x8df, /* PUSHCS16 */
-/* Table1087 */
   0x23, /* ADC16mr */
   0x27, /* ADC16rr */
-/* Table1089 */
+/* Table1083 */
   0x26, /* ADC16rm */
   0x28, /* ADC16rr_REV */
-/* Table1091 */
+/* Table1085 */
   0x20, /* ADC16i16 */
+/* Table1086 */
+  0x8e9, /* PUSHSS16 */
+/* Table1087 */
+  0x872, /* POPSS16 */
+/* Table1088 */
+  0x9d0, /* SBB16mr */
+  0x9d4, /* SBB16rr */
+/* Table1090 */
+  0x9d3, /* SBB16rm */
+  0x9d5, /* SBB16rr_REV */
 /* Table1092 */
-  0x8ee, /* PUSHSS16 */
+  0x9cd, /* SBB16i16 */
 /* Table1093 */
-  0x877, /* POPSS16 */
+  0x8dc, /* PUSHDS16 */
 /* Table1094 */
-  0x9d5, /* SBB16mr */
-  0x9d9, /* SBB16rr */
-/* Table1096 */
-  0x9d8, /* SBB16rm */
-  0x9da, /* SBB16rr_REV */
-/* Table1098 */
-  0x9d2, /* SBB16i16 */
-/* Table1099 */
-  0x8e1, /* PUSHDS16 */
-/* Table1100 */
-  0x86a, /* POPDS16 */
-/* Table1101 */
+  0x865, /* POPDS16 */
+/* Table1095 */
   0xae, /* AND16mr */
   0xb2, /* AND16rr */
-/* Table1103 */
+/* Table1097 */
   0xb1, /* AND16rm */
   0xb3, /* AND16rr_REV */
-/* Table1105 */
+/* Table1099 */
   0xab, /* AND16i16 */
-/* Table1106 */
-  0xad3, /* SUB16mr */
-  0xad7, /* SUB16rr */
-/* Table1108 */
-  0xad6, /* SUB16rm */
-  0xad8, /* SUB16rr_REV */
+/* Table1100 */
+  0xacd, /* SUB16mr */
+  0xad1, /* SUB16rr */
+/* Table1102 */
+  0xad0, /* SUB16rm */
+  0xad2, /* SUB16rr_REV */
+/* Table1104 */
+  0xaca, /* SUB16i16 */
+/* Table1105 */
+  0x1843, /* XOR16mr */
+  0x1847, /* XOR16rr */
+/* Table1107 */
+  0x1846, /* XOR16rm */
+  0x1848, /* XOR16rr_REV */
+/* Table1109 */
+  0x1840, /* XOR16i16 */
 /* Table1110 */
-  0xad0, /* SUB16i16 */
-/* Table1111 */
-  0x17b9, /* XOR16mr */
-  0x17bd, /* XOR16rr */
-/* Table1113 */
-  0x17bc, /* XOR16rm */
-  0x17be, /* XOR16rr_REV */
-/* Table1115 */
-  0x17b6, /* XOR16i16 */
-/* Table1116 */
   0x207, /* CMP16mr */
   0x20b, /* CMP16rr */
-/* Table1118 */
+/* Table1112 */
   0x20a, /* CMP16rm */
   0x20c, /* CMP16rr_REV */
-/* Table1120 */
+/* Table1114 */
   0x204, /* CMP16i16 */
+/* Table1115 */
+  0x381, /* INC16r */
+/* Table1116 */
+  0x28f, /* DEC16r */
+/* Table1117 */
+  0x8cb, /* PUSH16r */
+/* Table1118 */
+  0x854, /* POP16r */
+/* Table1119 */
+  0x8d8, /* PUSHA16 */
+/* Table1120 */
+  0x85d, /* POPA16 */
 /* Table1121 */
-  0x386, /* INC16r */
-/* Table1122 */
-  0x290, /* DEC16r */
-/* Table1123 */
-  0x8d0, /* PUSH16r */
-/* Table1124 */
-  0x859, /* POP16r */
-/* Table1125 */
-  0x8dd, /* PUSHA16 */
-/* Table1126 */
-  0x862, /* POPA16 */
-/* Table1127 */
   0x117, /* BOUNDS16rm */
   0x0, /*  */
+/* Table1123 */
+  0x8eb, /* PUSHi16 */
+/* Table1124 */
+  0x363, /* IMUL16rmi */
+  0x366, /* IMUL16rri */
+/* Table1126 */
+  0x8ca, /* PUSH16i8 */
+/* Table1127 */
+  0x364, /* IMUL16rmi8 */
+  0x367, /* IMUL16rri8 */
 /* Table1129 */
-  0x8f0, /* PUSHi16 */
+  0x394, /* INSW */
 /* Table1130 */
-  0x368, /* IMUL16rmi */
-  0x36b, /* IMUL16rri */
-/* Table1132 */
-  0x8cf, /* PUSH16i8 */
-/* Table1133 */
-  0x369, /* IMUL16rmi8 */
-  0x36c, /* IMUL16rri8 */
-/* Table1135 */
-  0x399, /* INSW */
-/* Table1136 */
-  0x771, /* OUTSW */
-/* Table1137 */
+  0x76c, /* OUTSW */
+/* Table1131 */
   0x47, /* ADD16mi */
-  0x742, /* OR16mi */
+  0x73d, /* OR16mi */
   0x21, /* ADC16mi */
-  0x9d3, /* SBB16mi */
+  0x9ce, /* SBB16mi */
   0xac, /* AND16mi */
-  0xad1, /* SUB16mi */
-  0x17b7, /* XOR16mi */
+  0xacb, /* SUB16mi */
+  0x1841, /* XOR16mi */
   0x205, /* CMP16mi */
   0x4a, /* ADD16ri */
-  0x745, /* OR16ri */
+  0x740, /* OR16ri */
   0x24, /* ADC16ri */
-  0x9d6, /* SBB16ri */
+  0x9d1, /* SBB16ri */
   0xaf, /* AND16ri */
-  0xad4, /* SUB16ri */
-  0x17ba, /* XOR16ri */
+  0xace, /* SUB16ri */
+  0x1844, /* XOR16ri */
   0x208, /* CMP16ri */
-/* Table1153 */
+/* Table1147 */
   0x48, /* ADD16mi8 */
-  0x743, /* OR16mi8 */
+  0x73e, /* OR16mi8 */
   0x22, /* ADC16mi8 */
-  0x9d4, /* SBB16mi8 */
+  0x9cf, /* SBB16mi8 */
   0xad, /* AND16mi8 */
-  0xad2, /* SUB16mi8 */
-  0x17b8, /* XOR16mi8 */
+  0xacc, /* SUB16mi8 */
+  0x1842, /* XOR16mi8 */
   0x206, /* CMP16mi8 */
   0x4b, /* ADD16ri8 */
-  0x746, /* OR16ri8 */
+  0x741, /* OR16ri8 */
   0x25, /* ADC16ri8 */
-  0x9d7, /* SBB16ri8 */
+  0x9d2, /* SBB16ri8 */
   0xb0, /* AND16ri8 */
-  0xad5, /* SUB16ri8 */
-  0x17bb, /* XOR16ri8 */
+  0xacf, /* SUB16ri8 */
+  0x1845, /* XOR16ri8 */
   0x209, /* CMP16ri8 */
+/* Table1163 */
+  0xb3c, /* TEST16rm */
+  0xb3d, /* TEST16rr */
+/* Table1165 */
+  0x182c, /* XCHG16rm */
+  0x182d, /* XCHG16rr */
+/* Table1167 */
+  0x625, /* MOV16mr */
+  0x62c, /* MOV16rr */
 /* Table1169 */
-  0xb42, /* TEST16rm */
-  0xb43, /* TEST16rr */
+  0x62b, /* MOV16rm */
+  0x62d, /* MOV16rr_REV */
 /* Table1171 */
-  0x17a2, /* XCHG16rm */
-  0x17a3, /* XCHG16rr */
+  0x626, /* MOV16ms */
+  0x62e, /* MOV16rs */
 /* Table1173 */
-  0x62a, /* MOV16mr */
-  0x631, /* MOV16rr */
+  0x4bd, /* LEA16r */
+  0x0, /*  */
 /* Table1175 */
-  0x630, /* MOV16rm */
-  0x632, /* MOV16rr_REV */
+  0x62f, /* MOV16sm */
+  0x630, /* MOV16sr */
 /* Table1177 */
-  0x62b, /* MOV16ms */
-  0x633, /* MOV16rs */
-/* Table1179 */
-  0x4c2, /* LEA16r */
-  0x0, /*  */
-/* Table1181 */
-  0x634, /* MOV16sm */
-  0x635, /* MOV16sr */
-/* Table1183 */
-  0x85a, /* POP16rmm */
+  0x855, /* POP16rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -49181,7 +50177,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x85b, /* POP16rmr */
+  0x856, /* POP16rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -49189,65 +50185,65 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1199 */
-  0x17a1, /* XCHG16ar */
-/* Table1200 */
+/* Table1193 */
+  0x182b, /* XCHG16ar */
+/* Table1194 */
   0x164, /* CBW */
+/* Table1195 */
+  0x289, /* CWD */
+/* Table1196 */
+  0x2ea, /* FARCALL16i */
+/* Table1197 */
+  0x8e0, /* PUSHF16 */
+/* Table1198 */
+  0x869, /* POPF16 */
+/* Table1199 */
+  0x627, /* MOV16o16a */
+/* Table1200 */
+  0x622, /* MOV16ao16 */
 /* Table1201 */
-  0x28a, /* CWD */
+  0x6b8, /* MOVSW */
 /* Table1202 */
-  0x2ed, /* FARCALL16i */
-/* Table1203 */
-  0x8e5, /* PUSHF16 */
-/* Table1204 */
-  0x86e, /* POPF16 */
-/* Table1205 */
-  0x62c, /* MOV16o16a */
-/* Table1206 */
-  0x627, /* MOV16ao16 */
-/* Table1207 */
-  0x6bd, /* MOVSW */
-/* Table1208 */
   0x239, /* CMPSW */
-/* Table1209 */
-  0xb3d, /* TEST16i16 */
-/* Table1210 */
-  0xab7, /* STOSW */
-/* Table1211 */
-  0x51e, /* LODSW */
-/* Table1212 */
-  0x9f7, /* SCASW */
-/* Table1213 */
-  0x62e, /* MOV16ri */
-/* Table1214 */
-  0x957, /* ROL16mi */
-  0x96f, /* ROR16mi */
-  0x8f6, /* RCL16mi */
-  0x916, /* RCR16mi */
-  0xa3a, /* SHL16mi */
-  0xa62, /* SHR16mi */
-  0x99f, /* SAL16mi */
-  0x9b8, /* SAR16mi */
-  0x95a, /* ROL16ri */
-  0x972, /* ROR16ri */
-  0x8f9, /* RCL16ri */
-  0x919, /* RCR16ri */
-  0xa3d, /* SHL16ri */
-  0xa65, /* SHR16ri */
-  0x9a2, /* SAL16ri */
-  0x9bb, /* SAR16ri */
+/* Table1203 */
+  0xb37, /* TEST16i16 */
+/* Table1204 */
+  0xab1, /* STOSW */
+/* Table1205 */
+  0x519, /* LODSW */
+/* Table1206 */
+  0x9f2, /* SCASW */
+/* Table1207 */
+  0x629, /* MOV16ri */
+/* Table1208 */
+  0x952, /* ROL16mi */
+  0x96a, /* ROR16mi */
+  0x8f1, /* RCL16mi */
+  0x911, /* RCR16mi */
+  0xa35, /* SHL16mi */
+  0xa5d, /* SHR16mi */
+  0x99a, /* SAL16mi */
+  0x9b3, /* SAR16mi */
+  0x955, /* ROL16ri */
+  0x96d, /* ROR16ri */
+  0x8f4, /* RCL16ri */
+  0x914, /* RCR16ri */
+  0xa38, /* SHL16ri */
+  0xa60, /* SHR16ri */
+  0x99d, /* SAL16ri */
+  0x9b6, /* SAR16ri */
+/* Table1224 */
+  0x94b, /* RETIW */
+/* Table1225 */
+  0x94e, /* RETW */
+/* Table1226 */
+  0x4c3, /* LES16rm */
+  0x0, /*  */
+/* Table1228 */
+  0x4a9, /* LDS16rm */
+  0x0, /*  */
 /* Table1230 */
-  0x950, /* RETIW */
-/* Table1231 */
-  0x953, /* RETW */
-/* Table1232 */
-  0x4c8, /* LES16rm */
-  0x0, /*  */
-/* Table1234 */
-  0x4ae, /* LDS16rm */
-  0x0, /*  */
-/* Table1236 */
-  0x629, /* MOV16mi */
+  0x624, /* MOV16mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -49255,14 +50251,14 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x62f, /* MOV16ri_alt */
-  0x62f, /* MOV16ri_alt */
-  0x62f, /* MOV16ri_alt */
-  0x62f, /* MOV16ri_alt */
-  0x62f, /* MOV16ri_alt */
-  0x62f, /* MOV16ri_alt */
-  0x62f, /* MOV16ri_alt */
-  0x62f, /* MOV16ri_alt */
+  0x62a, /* MOV16ri_alt */
+  0x62a, /* MOV16ri_alt */
+  0x62a, /* MOV16ri_alt */
+  0x62a, /* MOV16ri_alt */
+  0x62a, /* MOV16ri_alt */
+  0x62a, /* MOV16ri_alt */
+  0x62a, /* MOV16ri_alt */
+  0x62a, /* MOV16ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -49311,7 +50307,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x17a0, /* XBEGIN_4 */
+  0x182a, /* XBEGIN_4 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -49319,269 +50315,269 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1308 */
-  0x524, /* LRETIW */
-/* Table1309 */
-  0x527, /* LRETW */
-/* Table1310 */
-  0x3a8, /* IRET16 */
-/* Table1311 */
-  0x955, /* ROL16m1 */
-  0x96d, /* ROR16m1 */
-  0x8f4, /* RCL16m1 */
-  0x914, /* RCR16m1 */
-  0xa38, /* SHL16m1 */
-  0xa60, /* SHR16m1 */
-  0x99d, /* SAL16m1 */
-  0x9b6, /* SAR16m1 */
-  0x958, /* ROL16r1 */
-  0x970, /* ROR16r1 */
-  0x8f7, /* RCL16r1 */
-  0x917, /* RCR16r1 */
-  0xa3b, /* SHL16r1 */
-  0xa63, /* SHR16r1 */
-  0x9a0, /* SAL16r1 */
-  0x9b9, /* SAR16r1 */
-/* Table1327 */
-  0x956, /* ROL16mCL */
-  0x96e, /* ROR16mCL */
-  0x8f5, /* RCL16mCL */
-  0x915, /* RCR16mCL */
-  0xa39, /* SHL16mCL */
-  0xa61, /* SHR16mCL */
-  0x99e, /* SAL16mCL */
-  0x9b7, /* SAR16mCL */
-  0x959, /* ROL16rCL */
-  0x971, /* ROR16rCL */
-  0x8f8, /* RCL16rCL */
-  0x918, /* RCR16rCL */
-  0xa3c, /* SHL16rCL */
-  0xa64, /* SHR16rCL */
-  0x9a1, /* SAL16rCL */
-  0x9ba, /* SAR16rCL */
-/* Table1343 */
-  0x37f, /* IN16ri */
-/* Table1344 */
-  0x769, /* OUT16ir */
-/* Table1345 */
+/* Table1302 */
+  0x51f, /* LRETIW */
+/* Table1303 */
+  0x522, /* LRETW */
+/* Table1304 */
+  0x3a3, /* IRET16 */
+/* Table1305 */
+  0x950, /* ROL16m1 */
+  0x968, /* ROR16m1 */
+  0x8ef, /* RCL16m1 */
+  0x90f, /* RCR16m1 */
+  0xa33, /* SHL16m1 */
+  0xa5b, /* SHR16m1 */
+  0x998, /* SAL16m1 */
+  0x9b1, /* SAR16m1 */
+  0x953, /* ROL16r1 */
+  0x96b, /* ROR16r1 */
+  0x8f2, /* RCL16r1 */
+  0x912, /* RCR16r1 */
+  0xa36, /* SHL16r1 */
+  0xa5e, /* SHR16r1 */
+  0x99b, /* SAL16r1 */
+  0x9b4, /* SAR16r1 */
+/* Table1321 */
+  0x951, /* ROL16mCL */
+  0x969, /* ROR16mCL */
+  0x8f0, /* RCL16mCL */
+  0x910, /* RCR16mCL */
+  0xa34, /* SHL16mCL */
+  0xa5c, /* SHR16mCL */
+  0x999, /* SAL16mCL */
+  0x9b2, /* SAR16mCL */
+  0x954, /* ROL16rCL */
+  0x96c, /* ROR16rCL */
+  0x8f3, /* RCL16rCL */
+  0x913, /* RCR16rCL */
+  0xa37, /* SHL16rCL */
+  0xa5f, /* SHR16rCL */
+  0x99c, /* SAL16rCL */
+  0x9b5, /* SAR16rCL */
+/* Table1337 */
+  0x37a, /* IN16ri */
+/* Table1338 */
+  0x764, /* OUT16ir */
+/* Table1339 */
   0x162, /* CALLpcrel16 */
-/* Table1346 */
-  0x453, /* JMP_2 */
-/* Table1347 */
-  0x2f2, /* FARJMP16i */
-/* Table1348 */
-  0x380, /* IN16rr */
-/* Table1349 */
-  0x76a, /* OUT16rr */
-/* Table1350 */
-  0xb3e, /* TEST16mi */
-  0xb3f, /* TEST16mi_alt */
-  0x739, /* NOT16m */
-  0x712, /* NEG16m */
-  0x6e4, /* MUL16m */
-  0x365, /* IMUL16m */
-  0x29d, /* DIV16m */
-  0x351, /* IDIV16m */
-  0xb40, /* TEST16ri */
-  0xb41, /* TEST16ri_alt */
-  0x73a, /* NOT16r */
-  0x713, /* NEG16r */
-  0x6e5, /* MUL16r */
-  0x366, /* IMUL16r */
-  0x29e, /* DIV16r */
-  0x352, /* IDIV16r */
-/* Table1366 */
-  0x385, /* INC16m */
-  0x28f, /* DEC16m */
+/* Table1340 */
+  0x44e, /* JMP_2 */
+/* Table1341 */
+  0x2ef, /* FARJMP16i */
+/* Table1342 */
+  0x37b, /* IN16rr */
+/* Table1343 */
+  0x765, /* OUT16rr */
+/* Table1344 */
+  0xb38, /* TEST16mi */
+  0xb39, /* TEST16mi_alt */
+  0x734, /* NOT16m */
+  0x70d, /* NEG16m */
+  0x6df, /* MUL16m */
+  0x360, /* IMUL16m */
+  0x29c, /* DIV16m */
+  0x34c, /* IDIV16m */
+  0xb3a, /* TEST16ri */
+  0xb3b, /* TEST16ri_alt */
+  0x735, /* NOT16r */
+  0x70e, /* NEG16r */
+  0x6e0, /* MUL16r */
+  0x361, /* IMUL16r */
+  0x29d, /* DIV16r */
+  0x34d, /* IDIV16r */
+/* Table1360 */
+  0x380, /* INC16m */
+  0x28e, /* DEC16m */
   0x15b, /* CALL16m */
-  0x2ee, /* FARCALL16m */
-  0x44c, /* JMP16m */
-  0x2f3, /* FARJMP16m */
-  0x8d1, /* PUSH16rmm */
+  0x2eb, /* FARCALL16m */
+  0x447, /* JMP16m */
+  0x2f0, /* FARJMP16m */
+  0x8cc, /* PUSH16rmm */
   0x0, /*  */
-  0x387, /* INC32_16r */
-  0x291, /* DEC32_16r */
+  0x382, /* INC32_16r */
+  0x290, /* DEC32_16r */
   0x15c, /* CALL16r */
   0x0, /*  */
-  0x44d, /* JMP16r */
+  0x448, /* JMP16r */
   0x0, /*  */
-  0x8d2, /* PUSH16rmr */
+  0x8cd, /* PUSH16rmr */
   0x0, /*  */
-/* Table1382 */
-  0x43a, /* JCXZ */
-/* Table1383 */
-  0x796, /* PAUSE */
-/* Table1384 */
+/* Table1376 */
+  0x435, /* JCXZ */
+/* Table1377 */
+  0x791, /* PAUSE */
+/* Table1378 */
   0x61, /* ADD64mr */
   0x67, /* ADD64rr */
-/* Table1386 */
+/* Table1380 */
   0x66, /* ADD64rm */
   0x69, /* ADD64rr_REV */
-/* Table1388 */
+/* Table1382 */
   0x5e, /* ADD64i32 */
-/* Table1389 */
-  0x757, /* OR64mr */
-  0x75b, /* OR64rr */
-/* Table1391 */
-  0x75a, /* OR64rm */
-  0x75c, /* OR64rr_REV */
-/* Table1393 */
-  0x754, /* OR64i32 */
-/* Table1394 */
+/* Table1383 */
+  0x752, /* OR64mr */
+  0x756, /* OR64rr */
+/* Table1385 */
+  0x755, /* OR64rm */
+  0x757, /* OR64rr_REV */
+/* Table1387 */
+  0x74f, /* OR64i32 */
+/* Table1388 */
   0x35, /* ADC64mr */
   0x39, /* ADC64rr */
-/* Table1396 */
+/* Table1390 */
   0x38, /* ADC64rm */
   0x3a, /* ADC64rr_REV */
-/* Table1398 */
+/* Table1392 */
   0x32, /* ADC64i32 */
-/* Table1399 */
-  0x9e7, /* SBB64mr */
-  0x9eb, /* SBB64rr */
-/* Table1401 */
-  0x9ea, /* SBB64rm */
-  0x9ec, /* SBB64rr_REV */
-/* Table1403 */
-  0x9e4, /* SBB64i32 */
-/* Table1404 */
+/* Table1393 */
+  0x9e2, /* SBB64mr */
+  0x9e6, /* SBB64rr */
+/* Table1395 */
+  0x9e5, /* SBB64rm */
+  0x9e7, /* SBB64rr_REV */
+/* Table1397 */
+  0x9df, /* SBB64i32 */
+/* Table1398 */
   0xc0, /* AND64mr */
   0xc4, /* AND64rr */
-/* Table1406 */
+/* Table1400 */
   0xc3, /* AND64rm */
   0xc5, /* AND64rr_REV */
-/* Table1408 */
+/* Table1402 */
   0xbd, /* AND64i32 */
-/* Table1409 */
-  0xae5, /* SUB64mr */
-  0xae9, /* SUB64rr */
-/* Table1411 */
-  0xae8, /* SUB64rm */
-  0xaea, /* SUB64rr_REV */
+/* Table1403 */
+  0xadf, /* SUB64mr */
+  0xae3, /* SUB64rr */
+/* Table1405 */
+  0xae2, /* SUB64rm */
+  0xae4, /* SUB64rr_REV */
+/* Table1407 */
+  0xadc, /* SUB64i32 */
+/* Table1408 */
+  0x1855, /* XOR64mr */
+  0x1859, /* XOR64rr */
+/* Table1410 */
+  0x1858, /* XOR64rm */
+  0x185a, /* XOR64rr_REV */
+/* Table1412 */
+  0x1852, /* XOR64i32 */
 /* Table1413 */
-  0xae2, /* SUB64i32 */
-/* Table1414 */
-  0x17cb, /* XOR64mr */
-  0x17cf, /* XOR64rr */
-/* Table1416 */
-  0x17ce, /* XOR64rm */
-  0x17d0, /* XOR64rr_REV */
-/* Table1418 */
-  0x17c8, /* XOR64i32 */
-/* Table1419 */
   0x219, /* CMP64mr */
   0x21d, /* CMP64rr */
-/* Table1421 */
+/* Table1415 */
   0x21c, /* CMP64rm */
   0x21e, /* CMP64rr_REV */
-/* Table1423 */
+/* Table1417 */
   0x216, /* CMP64i32 */
+/* Table1418 */
+  0x6c0, /* MOVSX64rm32 */
+  0x6c3, /* MOVSX64rr32 */
+/* Table1420 */
+  0x373, /* IMUL64rmi32 */
+  0x376, /* IMUL64rri32 */
+/* Table1422 */
+  0x374, /* IMUL64rmi8 */
+  0x377, /* IMUL64rri8 */
 /* Table1424 */
-  0x6c5, /* MOVSX64rm32 */
-  0x6c8, /* MOVSX64rr32 */
-/* Table1426 */
-  0x378, /* IMUL64rmi32 */
-  0x37b, /* IMUL64rri32 */
-/* Table1428 */
-  0x379, /* IMUL64rmi8 */
-  0x37c, /* IMUL64rri8 */
-/* Table1430 */
   0x5f, /* ADD64mi32 */
-  0x755, /* OR64mi32 */
+  0x750, /* OR64mi32 */
   0x33, /* ADC64mi32 */
-  0x9e5, /* SBB64mi32 */
+  0x9e0, /* SBB64mi32 */
   0xbe, /* AND64mi32 */
-  0xae3, /* SUB64mi32 */
-  0x17c9, /* XOR64mi32 */
+  0xadd, /* SUB64mi32 */
+  0x1853, /* XOR64mi32 */
   0x217, /* CMP64mi32 */
   0x62, /* ADD64ri32 */
-  0x758, /* OR64ri32 */
+  0x753, /* OR64ri32 */
   0x36, /* ADC64ri32 */
-  0x9e8, /* SBB64ri32 */
+  0x9e3, /* SBB64ri32 */
   0xc1, /* AND64ri32 */
-  0xae6, /* SUB64ri32 */
-  0x17cc, /* XOR64ri32 */
+  0xae0, /* SUB64ri32 */
+  0x1856, /* XOR64ri32 */
   0x21a, /* CMP64ri32 */
-/* Table1446 */
+/* Table1440 */
   0x60, /* ADD64mi8 */
-  0x756, /* OR64mi8 */
+  0x751, /* OR64mi8 */
   0x34, /* ADC64mi8 */
-  0x9e6, /* SBB64mi8 */
+  0x9e1, /* SBB64mi8 */
   0xbf, /* AND64mi8 */
-  0xae4, /* SUB64mi8 */
-  0x17ca, /* XOR64mi8 */
+  0xade, /* SUB64mi8 */
+  0x1854, /* XOR64mi8 */
   0x218, /* CMP64mi8 */
   0x64, /* ADD64ri8 */
-  0x759, /* OR64ri8 */
+  0x754, /* OR64ri8 */
   0x37, /* ADC64ri8 */
-  0x9e9, /* SBB64ri8 */
+  0x9e4, /* SBB64ri8 */
   0xc2, /* AND64ri8 */
-  0xae7, /* SUB64ri8 */
-  0x17cd, /* XOR64ri8 */
+  0xae1, /* SUB64ri8 */
+  0x1857, /* XOR64ri8 */
   0x21b, /* CMP64ri8 */
+/* Table1456 */
+  0xb4a, /* TEST64rm */
+  0xb4b, /* TEST64rr */
+/* Table1458 */
+  0x1833, /* XCHG64rm */
+  0x1834, /* XCHG64rr */
+/* Table1460 */
+  0x64d, /* MOV64mr */
+  0x658, /* MOV64rr */
 /* Table1462 */
-  0xb50, /* TEST64rm */
-  0xb51, /* TEST64rr */
+  0x657, /* MOV64rm */
+  0x659, /* MOV64rr_REV */
 /* Table1464 */
-  0x17a9, /* XCHG64rm */
-  0x17aa, /* XCHG64rr */
+  0x64e, /* MOV64ms */
+  0x65a, /* MOV64rs */
 /* Table1466 */
-  0x652, /* MOV64mr */
-  0x65d, /* MOV64rr */
+  0x4c0, /* LEA64r */
+  0x0, /*  */
 /* Table1468 */
-  0x65c, /* MOV64rm */
-  0x65e, /* MOV64rr_REV */
+  0x65b, /* MOV64sm */
+  0x65c, /* MOV64sr */
 /* Table1470 */
-  0x653, /* MOV64ms */
-  0x65f, /* MOV64rs */
-/* Table1472 */
-  0x4c5, /* LEA64r */
-  0x0, /*  */
-/* Table1474 */
-  0x660, /* MOV64sm */
-  0x661, /* MOV64sr */
-/* Table1476 */
-  0x17a8, /* XCHG64ar */
-/* Table1477 */
+  0x1832, /* XCHG64ar */
+/* Table1471 */
   0x166, /* CDQE */
-/* Table1478 */
+/* Table1472 */
   0x252, /* CQO */
-/* Table1479 */
-  0x656, /* MOV64o64a */
-/* Table1480 */
-  0x64d, /* MOV64ao64 */
-/* Table1481 */
-  0x6b6, /* MOVSQ */
-/* Table1482 */
+/* Table1473 */
+  0x651, /* MOV64o64a */
+/* Table1474 */
+  0x648, /* MOV64ao64 */
+/* Table1475 */
+  0x6b1, /* MOVSQ */
+/* Table1476 */
   0x234, /* CMPSQ */
-/* Table1483 */
-  0xb4b, /* TEST64i32 */
-/* Table1484 */
-  0xab6, /* STOSQ */
-/* Table1485 */
-  0x51d, /* LODSQ */
-/* Table1486 */
-  0x9f6, /* SCASQ */
-/* Table1487 */
-  0x65a, /* MOV64ri */
-/* Table1488 */
-  0x963, /* ROL64mi */
-  0x97b, /* ROR64mi */
-  0x902, /* RCL64mi */
-  0x922, /* RCR64mi */
-  0xa46, /* SHL64mi */
-  0xa6e, /* SHR64mi */
-  0x9ab, /* SAL64mi */
-  0x9c4, /* SAR64mi */
-  0x966, /* ROL64ri */
-  0x97e, /* ROR64ri */
-  0x905, /* RCL64ri */
-  0x925, /* RCR64ri */
-  0xa49, /* SHL64ri */
-  0xa71, /* SHR64ri */
-  0x9ae, /* SAL64ri */
-  0x9c7, /* SAR64ri */
-/* Table1504 */
-  0x651, /* MOV64mi32 */
+/* Table1477 */
+  0xb45, /* TEST64i32 */
+/* Table1478 */
+  0xab0, /* STOSQ */
+/* Table1479 */
+  0x518, /* LODSQ */
+/* Table1480 */
+  0x9f1, /* SCASQ */
+/* Table1481 */
+  0x655, /* MOV64ri */
+/* Table1482 */
+  0x95e, /* ROL64mi */
+  0x976, /* ROR64mi */
+  0x8fd, /* RCL64mi */
+  0x91d, /* RCR64mi */
+  0xa41, /* SHL64mi */
+  0xa69, /* SHR64mi */
+  0x9a6, /* SAL64mi */
+  0x9bf, /* SAR64mi */
+  0x961, /* ROL64ri */
+  0x979, /* ROR64ri */
+  0x900, /* RCL64ri */
+  0x920, /* RCR64ri */
+  0xa44, /* SHL64ri */
+  0xa6c, /* SHR64ri */
+  0x9a9, /* SAL64ri */
+  0x9c2, /* SAR64ri */
+/* Table1498 */
+  0x64c, /* MOV64mi32 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -49589,14 +50585,14 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x65b, /* MOV64ri32 */
-  0x65b, /* MOV64ri32 */
-  0x65b, /* MOV64ri32 */
-  0x65b, /* MOV64ri32 */
-  0x65b, /* MOV64ri32 */
-  0x65b, /* MOV64ri32 */
-  0x65b, /* MOV64ri32 */
-  0x65b, /* MOV64ri32 */
+  0x656, /* MOV64ri32 */
+  0x656, /* MOV64ri32 */
+  0x656, /* MOV64ri32 */
+  0x656, /* MOV64ri32 */
+  0x656, /* MOV64ri32 */
+  0x656, /* MOV64ri32 */
+  0x656, /* MOV64ri32 */
+  0x656, /* MOV64ri32 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -49645,7 +50641,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x17a0, /* XBEGIN_4 */
+  0x182a, /* XBEGIN_4 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -49653,188 +50649,188 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1576 */
-  0x523, /* LRETIQ */
-/* Table1577 */
-  0x526, /* LRETQ */
-/* Table1578 */
-  0x3aa, /* IRET64 */
-/* Table1579 */
-  0x961, /* ROL64m1 */
-  0x979, /* ROR64m1 */
-  0x900, /* RCL64m1 */
-  0x920, /* RCR64m1 */
-  0xa44, /* SHL64m1 */
-  0xa6c, /* SHR64m1 */
-  0x9a9, /* SAL64m1 */
-  0x9c2, /* SAR64m1 */
-  0x964, /* ROL64r1 */
-  0x97c, /* ROR64r1 */
-  0x903, /* RCL64r1 */
-  0x923, /* RCR64r1 */
-  0xa47, /* SHL64r1 */
-  0xa6f, /* SHR64r1 */
-  0x9ac, /* SAL64r1 */
-  0x9c5, /* SAR64r1 */
-/* Table1595 */
-  0x962, /* ROL64mCL */
-  0x97a, /* ROR64mCL */
-  0x901, /* RCL64mCL */
-  0x921, /* RCR64mCL */
-  0xa45, /* SHL64mCL */
-  0xa6d, /* SHR64mCL */
-  0x9aa, /* SAL64mCL */
-  0x9c3, /* SAR64mCL */
-  0x965, /* ROL64rCL */
-  0x97d, /* ROR64rCL */
-  0x904, /* RCL64rCL */
-  0x924, /* RCR64rCL */
-  0xa48, /* SHL64rCL */
-  0xa70, /* SHR64rCL */
-  0x9ad, /* SAL64rCL */
-  0x9c6, /* SAR64rCL */
-/* Table1611 */
-  0xb4c, /* TEST64mi32 */
-  0xb4d, /* TEST64mi32_alt */
-  0x73d, /* NOT64m */
-  0x716, /* NEG64m */
-  0x6e8, /* MUL64m */
-  0x375, /* IMUL64m */
-  0x2a1, /* DIV64m */
-  0x355, /* IDIV64m */
-  0xb4e, /* TEST64ri32 */
-  0xb4f, /* TEST64ri32_alt */
-  0x73e, /* NOT64r */
-  0x717, /* NEG64r */
-  0x6e9, /* MUL64r */
-  0x376, /* IMUL64r */
-  0x2a2, /* DIV64r */
-  0x356, /* IDIV64r */
-/* Table1627 */
-  0x38f, /* INC64m */
-  0x299, /* DEC64m */
+/* Table1570 */
+  0x51e, /* LRETIQ */
+/* Table1571 */
+  0x521, /* LRETQ */
+/* Table1572 */
+  0x3a5, /* IRET64 */
+/* Table1573 */
+  0x95c, /* ROL64m1 */
+  0x974, /* ROR64m1 */
+  0x8fb, /* RCL64m1 */
+  0x91b, /* RCR64m1 */
+  0xa3f, /* SHL64m1 */
+  0xa67, /* SHR64m1 */
+  0x9a4, /* SAL64m1 */
+  0x9bd, /* SAR64m1 */
+  0x95f, /* ROL64r1 */
+  0x977, /* ROR64r1 */
+  0x8fe, /* RCL64r1 */
+  0x91e, /* RCR64r1 */
+  0xa42, /* SHL64r1 */
+  0xa6a, /* SHR64r1 */
+  0x9a7, /* SAL64r1 */
+  0x9c0, /* SAR64r1 */
+/* Table1589 */
+  0x95d, /* ROL64mCL */
+  0x975, /* ROR64mCL */
+  0x8fc, /* RCL64mCL */
+  0x91c, /* RCR64mCL */
+  0xa40, /* SHL64mCL */
+  0xa68, /* SHR64mCL */
+  0x9a5, /* SAL64mCL */
+  0x9be, /* SAR64mCL */
+  0x960, /* ROL64rCL */
+  0x978, /* ROR64rCL */
+  0x8ff, /* RCL64rCL */
+  0x91f, /* RCR64rCL */
+  0xa43, /* SHL64rCL */
+  0xa6b, /* SHR64rCL */
+  0x9a8, /* SAL64rCL */
+  0x9c1, /* SAR64rCL */
+/* Table1605 */
+  0xb46, /* TEST64mi32 */
+  0xb47, /* TEST64mi32_alt */
+  0x738, /* NOT64m */
+  0x711, /* NEG64m */
+  0x6e3, /* MUL64m */
+  0x370, /* IMUL64m */
+  0x2a0, /* DIV64m */
+  0x350, /* IDIV64m */
+  0xb48, /* TEST64ri32 */
+  0xb49, /* TEST64ri32_alt */
+  0x739, /* NOT64r */
+  0x712, /* NEG64r */
+  0x6e4, /* MUL64r */
+  0x371, /* IMUL64r */
+  0x2a1, /* DIV64r */
+  0x351, /* IDIV64r */
+/* Table1621 */
+  0x38a, /* INC64m */
+  0x298, /* DEC64m */
   0x15f, /* CALL64m */
-  0x2f1, /* FARCALL64 */
-  0x450, /* JMP64m */
-  0x2f6, /* FARJMP64 */
-  0x8db, /* PUSH64rmm */
+  0x2ee, /* FARCALL64 */
+  0x44b, /* JMP64m */
+  0x2f3, /* FARJMP64 */
+  0x8d6, /* PUSH64rmm */
   0x0, /*  */
-  0x390, /* INC64r */
-  0x29a, /* DEC64r */
+  0x38b, /* INC64r */
+  0x299, /* DEC64r */
   0x161, /* CALL64r */
   0x0, /*  */
-  0x451, /* JMP64r */
+  0x44c, /* JMP64r */
   0x0, /*  */
-  0x8dc, /* PUSH64rmr */
+  0x8d7, /* PUSH64rmr */
   0x0, /*  */
-/* Table1643 */
-  0x8d7, /* PUSH64i16 */
-/* Table1644 */
-  0x654, /* MOV64o16a */
-/* Table1645 */
-  0x64b, /* MOV64ao16 */
-/* Table1646 */
-  0x38b, /* INC64_16m */
-  0x295, /* DEC64_16m */
+/* Table1637 */
+  0x8d2, /* PUSH64i16 */
+/* Table1638 */
+  0x64f, /* MOV64o16a */
+/* Table1639 */
+  0x646, /* MOV64ao16 */
+/* Table1640 */
+  0x386, /* INC64_16m */
+  0x294, /* DEC64_16m */
   0x15f, /* CALL64m */
-  0x2ee, /* FARCALL16m */
-  0x450, /* JMP64m */
-  0x2f3, /* FARJMP16m */
-  0x8d1, /* PUSH16rmm */
+  0x2eb, /* FARCALL16m */
+  0x44b, /* JMP64m */
+  0x2f0, /* FARJMP16m */
+  0x8cc, /* PUSH16rmm */
   0x0, /*  */
-  0x38c, /* INC64_16r */
-  0x296, /* DEC64_16r */
+  0x387, /* INC64_16r */
+  0x295, /* DEC64_16r */
   0x161, /* CALL64r */
   0x0, /*  */
-  0x451, /* JMP64r */
+  0x44c, /* JMP64r */
   0x0, /*  */
-  0x8d2, /* PUSH16rmr */
+  0x8cd, /* PUSH16rmr */
   0x0, /*  */
-/* Table1662 */
-  0x43c, /* JECXZ_64 */
-/* Table1663 */
-  0x38f, /* INC64m */
-  0x299, /* DEC64m */
+/* Table1656 */
+  0x437, /* JECXZ_64 */
+/* Table1657 */
+  0x38a, /* INC64m */
+  0x298, /* DEC64m */
   0x15f, /* CALL64m */
-  0x2f1, /* FARCALL64 */
-  0x450, /* JMP64m */
-  0x2f6, /* FARJMP64 */
-  0x8d1, /* PUSH16rmm */
+  0x2ee, /* FARCALL64 */
+  0x44b, /* JMP64m */
+  0x2f3, /* FARJMP64 */
+  0x8cc, /* PUSH16rmm */
   0x0, /*  */
-  0x390, /* INC64r */
-  0x29a, /* DEC64r */
+  0x38b, /* INC64r */
+  0x299, /* DEC64r */
   0x161, /* CALL64r */
   0x0, /*  */
-  0x451, /* JMP64r */
+  0x44c, /* JMP64r */
   0x0, /*  */
-  0x8d2, /* PUSH16rmr */
+  0x8cd, /* PUSH16rmr */
   0x0, /*  */
-/* Table1679 */
-  0xa94, /* SLDT16m */
-  0xabb, /* STRm */
-  0x4d7, /* LLDT16m */
-  0x531, /* LTRm */
-  0xcfc, /* VERRm */
-  0xcfe, /* VERWm */
+/* Table1673 */
+  0xa8f, /* SLDT16m */
+  0xab5, /* STRm */
+  0x4d2, /* LLDT16m */
+  0x52c, /* LTRm */
+  0xcf6, /* VERRm */
+  0xcf8, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0xa96, /* SLDT32r */
-  0xab9, /* STR32r */
-  0x4d8, /* LLDT16r */
-  0x532, /* LTRr */
-  0xcfd, /* VERRr */
-  0xcff, /* VERWr */
+  0xa91, /* SLDT32r */
+  0xab3, /* STR32r */
+  0x4d3, /* LLDT16r */
+  0x52d, /* LTRr */
+  0xcf7, /* VERRr */
+  0xcf9, /* VERWr */
   0x0, /*  */
   0x0, /*  */
-/* Table1695 */
-  0xa28, /* SGDT32m */
-  0xa8d, /* SIDT32m */
-  0x4cf, /* LGDT32m */
-  0x4d5, /* LIDT32m */
-  0xa99, /* SMSW16m */
+/* Table1689 */
+  0xa23, /* SGDT32m */
+  0xa88, /* SIDT32m */
+  0x4ca, /* LGDT32m */
+  0x4d0, /* LIDT32m */
+  0xa94, /* SMSW16m */
   0x0, /*  */
-  0x4d9, /* LMSW16m */
-  0x3a1, /* INVLPG */
+  0x4d4, /* LMSW16m */
+  0x39c, /* INVLPG */
   0x0, /*  */
-  0xf43, /* VMCALL */
-  0xf78, /* VMLAUNCH */
-  0x1166, /* VMRESUME */
-  0x1195, /* VMXOFF */
+  0xf55, /* VMCALL */
+  0xf8a, /* VMLAUNCH */
+  0x1180, /* VMRESUME */
+  0x11af, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x623, /* MONITORrrr */
-  0x711, /* MWAITrr */
+  0x61e, /* MONITORrrr */
+  0x70c, /* MWAITrr */
   0x16b, /* CLAC */
-  0xaae, /* STAC */
+  0xaa8, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2e4, /* ENCLS */
-  0x17b4, /* XGETBV */
-  0x17e4, /* XSETBV */
+  0x2e2, /* ENCLS */
+  0x183e, /* XGETBV */
+  0x186e, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0xf45, /* VMFUNC */
-  0x17b3, /* XEND */
-  0x17e8, /* XTEST */
-  0x2e5, /* ENCLU */
-  0x1167, /* VMRUN32 */
-  0xf7b, /* VMMCALL */
-  0xf79, /* VMLOAD32 */
-  0x1169, /* VMSAVE32 */
-  0xab1, /* STGI */
+  0xf57, /* VMFUNC */
+  0x183d, /* XEND */
+  0x1872, /* XTEST */
+  0x2e3, /* ENCLU */
+  0x1181, /* VMRUN32 */
+  0xf8d, /* VMMCALL */
+  0xf8b, /* VMLOAD32 */
+  0x1183, /* VMSAVE32 */
+  0xaab, /* STGI */
   0x16f, /* CLGI */
-  0xa93, /* SKINIT */
-  0x3a2, /* INVLPGA32 */
-  0xa9b, /* SMSW32r */
-  0xa9b, /* SMSW32r */
-  0xa9b, /* SMSW32r */
-  0xa9b, /* SMSW32r */
-  0xa9b, /* SMSW32r */
-  0xa9b, /* SMSW32r */
-  0xa9b, /* SMSW32r */
-  0xa9b, /* SMSW32r */
+  0xa8e, /* SKINIT */
+  0x39d, /* INVLPGA32 */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -49843,43 +50839,43 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0xb26, /* SWAPGS */
-  0x939, /* RDTSCP */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0xb20, /* SWAPGS */
+  0x934, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1767 */
-  0x4a2, /* LAR32rm */
-  0x4a3, /* LAR32rr */
-/* Table1769 */
-  0x52a, /* LSL32rm */
-  0x52b, /* LSL32rr */
-/* Table1771 */
-  0xb27, /* SYSCALL */
-/* Table1772 */
+/* Table1761 */
+  0x49d, /* LAR32rm */
+  0x49e, /* LAR32rr */
+/* Table1763 */
+  0x525, /* LSL32rm */
+  0x526, /* LSL32rr */
+/* Table1765 */
+  0xb21, /* SYSCALL */
+/* Table1766 */
   0x171, /* CLTS */
-/* Table1773 */
-  0xb2b, /* SYSRET */
-/* Table1774 */
-  0x39e, /* INVD */
-/* Table1775 */
-  0x178c, /* WBINVD */
-/* Table1776 */
-  0xb60, /* TRAP */
-/* Table1777 */
+/* Table1767 */
+  0xb25, /* SYSRET */
+/* Table1768 */
+  0x399, /* INVD */
+/* Table1769 */
+  0x1816, /* WBINVD */
+/* Table1770 */
+  0xb5a, /* TRAP */
+/* Table1771 */
   0x0, /*  */
-  0x880, /* PREFETCHW */
+  0x87b, /* PREFETCHW */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -49894,260 +50890,260 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table1787 */
+  0x6ca, /* MOVUPSrm */
+  0x6cb, /* MOVUPSrr */
+/* Table1789 */
+  0x6c9, /* MOVUPSmr */
+  0x6cc, /* MOVUPSrr_REV */
+/* Table1791 */
+  0x693, /* MOVLPSrm */
+  0x68a, /* MOVHLPSrr */
 /* Table1793 */
-  0x6cf, /* MOVUPSrm */
-  0x6d0, /* MOVUPSrr */
+  0x692, /* MOVLPSmr */
+  0x0, /*  */
 /* Table1795 */
-  0x6ce, /* MOVUPSmr */
-  0x6d1, /* MOVUPSrr_REV */
+  0xb7f, /* UNPCKLPSrm */
+  0xb80, /* UNPCKLPSrr */
 /* Table1797 */
-  0x698, /* MOVLPSrm */
-  0x68f, /* MOVHLPSrr */
+  0xb7b, /* UNPCKHPSrm */
+  0xb7c, /* UNPCKHPSrr */
 /* Table1799 */
-  0x697, /* MOVLPSmr */
-  0x0, /*  */
+  0x68e, /* MOVHPSrm */
+  0x68f, /* MOVLHPSrr */
 /* Table1801 */
-  0xb85, /* UNPCKLPSrm */
-  0xb86, /* UNPCKLPSrr */
+  0x68d, /* MOVHPSmr */
+  0x0, /*  */
 /* Table1803 */
-  0xb81, /* UNPCKHPSrm */
-  0xb82, /* UNPCKHPSrr */
-/* Table1805 */
-  0x693, /* MOVHPSrm */
-  0x694, /* MOVLHPSrr */
-/* Table1807 */
-  0x692, /* MOVHPSmr */
-  0x0, /*  */
-/* Table1809 */
-  0x87c, /* PREFETCHNTA */
-  0x87d, /* PREFETCHT0 */
-  0x87e, /* PREFETCHT1 */
-  0x87f, /* PREFETCHT2 */
-  0x723, /* NOOP18_m4 */
-  0x724, /* NOOP18_m5 */
-  0x725, /* NOOP18_m6 */
-  0x726, /* NOOP18_m7 */
+  0x877, /* PREFETCHNTA */
+  0x878, /* PREFETCHT0 */
+  0x879, /* PREFETCHT1 */
+  0x87a, /* PREFETCHT2 */
+  0x71e, /* NOOP18_m4 */
+  0x71f, /* NOOP18_m5 */
+  0x720, /* NOOP18_m6 */
+  0x721, /* NOOP18_m7 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x727, /* NOOP18_r4 */
-  0x728, /* NOOP18_r5 */
-  0x729, /* NOOP18_r6 */
-  0x72a, /* NOOP18_r7 */
+  0x722, /* NOOP18_r4 */
+  0x723, /* NOOP18_r5 */
+  0x724, /* NOOP18_r6 */
+  0x725, /* NOOP18_r7 */
+/* Table1819 */
+  0x727, /* NOOPL_19 */
+  0x0, /*  */
+/* Table1821 */
+  0x728, /* NOOPL_1a */
+  0x0, /*  */
+/* Table1823 */
+  0x729, /* NOOPL_1b */
+  0x0, /*  */
 /* Table1825 */
-  0x72c, /* NOOPL_19 */
+  0x72a, /* NOOPL_1c */
   0x0, /*  */
 /* Table1827 */
-  0x72d, /* NOOPL_1a */
+  0x72b, /* NOOPL_1d */
   0x0, /*  */
 /* Table1829 */
-  0x72e, /* NOOPL_1b */
+  0x72c, /* NOOPL_1e */
   0x0, /*  */
 /* Table1831 */
-  0x72f, /* NOOPL_1c */
+  0x726, /* NOOPL */
   0x0, /*  */
 /* Table1833 */
-  0x730, /* NOOPL_1d */
   0x0, /*  */
+  0x63b, /* MOV32rc */
 /* Table1835 */
-  0x731, /* NOOPL_1e */
   0x0, /*  */
+  0x63c, /* MOV32rd */
 /* Table1837 */
-  0x72b, /* NOOPL */
   0x0, /*  */
+  0x633, /* MOV32cr */
 /* Table1839 */
   0x0, /*  */
-  0x640, /* MOV32rc */
+  0x634, /* MOV32dr */
 /* Table1841 */
-  0x0, /*  */
-  0x641, /* MOV32rd */
+  0x673, /* MOVAPSrm */
+  0x674, /* MOVAPSrr */
 /* Table1843 */
-  0x0, /*  */
-  0x638, /* MOV32cr */
+  0x672, /* MOVAPSmr */
+  0x675, /* MOVAPSrr_REV */
 /* Table1845 */
-  0x0, /*  */
-  0x639, /* MOV32dr */
+  0x567, /* MMX_CVTPI2PSirm */
+  0x568, /* MMX_CVTPI2PSirr */
 /* Table1847 */
-  0x678, /* MOVAPSrm */
-  0x679, /* MOVAPSrr */
-/* Table1849 */
-  0x677, /* MOVAPSmr */
-  0x67a, /* MOVAPSrr_REV */
-/* Table1851 */
-  0x56c, /* MMX_CVTPI2PSirm */
-  0x56d, /* MMX_CVTPI2PSirr */
-/* Table1853 */
-  0x6a0, /* MOVNTPSmr */
+  0x69b, /* MOVNTPSmr */
   0x0, /*  */
+/* Table1849 */
+  0x56d, /* MMX_CVTTPS2PIirm */
+  0x56e, /* MMX_CVTTPS2PIirr */
+/* Table1851 */
+  0x569, /* MMX_CVTPS2PIirm */
+  0x56a, /* MMX_CVTPS2PIirr */
+/* Table1853 */
+  0xb6b, /* UCOMISSrm */
+  0xb6c, /* UCOMISSrr */
 /* Table1855 */
-  0x572, /* MMX_CVTTPS2PIirm */
-  0x573, /* MMX_CVTTPS2PIirr */
-/* Table1857 */
-  0x56e, /* MMX_CVTPS2PIirm */
-  0x56f, /* MMX_CVTPS2PIirr */
-/* Table1859 */
-  0xb71, /* UCOMISSrm */
-  0xb72, /* UCOMISSrr */
-/* Table1861 */
   0x246, /* COMISSrm */
   0x247, /* COMISSrr */
+/* Table1857 */
+  0x181e, /* WRMSR */
+/* Table1858 */
+  0x933, /* RDTSC */
+/* Table1859 */
+  0x92b, /* RDMSR */
+/* Table1860 */
+  0x92c, /* RDPMC */
+/* Table1861 */
+  0xb22, /* SYSENTER */
+/* Table1862 */
+  0xb23, /* SYSEXIT */
 /* Table1863 */
-  0x1794, /* WRMSR */
+  0x342, /* GETSEC */
 /* Table1864 */
-  0x938, /* RDTSC */
-/* Table1865 */
-  0x930, /* RDMSR */
-/* Table1866 */
-  0x931, /* RDPMC */
-/* Table1867 */
-  0xb28, /* SYSENTER */
-/* Table1868 */
-  0xb29, /* SYSEXIT */
-/* Table1869 */
-  0x346, /* GETSEC */
-/* Table1870 */
   0x1df, /* CMOVO32rm */
   0x1e0, /* CMOVO32rr */
-/* Table1872 */
+/* Table1866 */
   0x1c9, /* CMOVNO32rm */
   0x1ca, /* CMOVNO32rr */
-/* Table1874 */
+/* Table1868 */
   0x181, /* CMOVB32rm */
   0x182, /* CMOVB32rr */
-/* Table1876 */
+/* Table1870 */
   0x17b, /* CMOVAE32rm */
   0x17c, /* CMOVAE32rr */
-/* Table1878 */
+/* Table1872 */
   0x195, /* CMOVE32rm */
   0x196, /* CMOVE32rr */
-/* Table1880 */
+/* Table1874 */
   0x1bf, /* CMOVNE32rm */
   0x1c0, /* CMOVNE32rr */
-/* Table1882 */
+/* Table1876 */
   0x187, /* CMOVBE32rm */
   0x188, /* CMOVBE32rr */
-/* Table1884 */
+/* Table1878 */
   0x175, /* CMOVA32rm */
   0x176, /* CMOVA32rr */
-/* Table1886 */
+/* Table1880 */
   0x1ef, /* CMOVS32rm */
   0x1f0, /* CMOVS32rr */
-/* Table1888 */
+/* Table1882 */
   0x1d9, /* CMOVNS32rm */
   0x1da, /* CMOVNS32rr */
-/* Table1890 */
+/* Table1884 */
   0x1e5, /* CMOVP32rm */
   0x1e6, /* CMOVP32rr */
-/* Table1892 */
+/* Table1886 */
   0x1cf, /* CMOVNP32rm */
   0x1d0, /* CMOVNP32rr */
-/* Table1894 */
+/* Table1888 */
   0x1ab, /* CMOVL32rm */
   0x1ac, /* CMOVL32rr */
-/* Table1896 */
+/* Table1890 */
   0x1a5, /* CMOVGE32rm */
   0x1a6, /* CMOVGE32rr */
-/* Table1898 */
+/* Table1892 */
   0x1b1, /* CMOVLE32rm */
   0x1b2, /* CMOVLE32rr */
-/* Table1900 */
+/* Table1894 */
   0x19f, /* CMOVG32rm */
   0x1a0, /* CMOVG32rr */
-/* Table1902 */
+/* Table1896 */
   0x0, /*  */
-  0x69a, /* MOVMSKPSrr */
+  0x695, /* MOVMSKPSrr */
+/* Table1898 */
+  0xa9a, /* SQRTPSm */
+  0xa9b, /* SQRTPSr */
+/* Table1900 */
+  0x98f, /* RSQRTPSm */
+  0x991, /* RSQRTPSr */
+/* Table1902 */
+  0x907, /* RCPPSm */
+  0x909, /* RCPPSr */
 /* Table1904 */
-  0xa9f, /* SQRTPSm */
-  0xaa0, /* SQRTPSr */
-/* Table1906 */
-  0x994, /* RSQRTPSm */
-  0x996, /* RSQRTPSr */
-/* Table1908 */
-  0x90c, /* RCPPSm */
-  0x90e, /* RCPPSr */
-/* Table1910 */
   0xd8, /* ANDPSrm */
   0xd9, /* ANDPSrr */
-/* Table1912 */
+/* Table1906 */
   0xd4, /* ANDNPSrm */
   0xd5, /* ANDNPSrr */
-/* Table1914 */
-  0x767, /* ORPSrm */
-  0x768, /* ORPSrr */
-/* Table1916 */
-  0x17db, /* XORPSrm */
-  0x17dc, /* XORPSrr */
-/* Table1918 */
+/* Table1908 */
+  0x762, /* ORPSrm */
+  0x763, /* ORPSrr */
+/* Table1910 */
+  0x1865, /* XORPSrm */
+  0x1866, /* XORPSrr */
+/* Table1912 */
   0x74, /* ADDPSrm */
   0x75, /* ADDPSrr */
+/* Table1914 */
+  0x6e9, /* MULPSrm */
+  0x6ea, /* MULPSrr */
+/* Table1916 */
+  0x267, /* CVTPS2PDrm */
+  0x268, /* CVTPS2PDrr */
+/* Table1918 */
+  0x25f, /* CVTDQ2PSrm */
+  0x260, /* CVTDQ2PSrr */
 /* Table1920 */
-  0x6ee, /* MULPSrm */
-  0x6ef, /* MULPSrr */
+  0xaef, /* SUBPSrm */
+  0xaf0, /* SUBPSrr */
 /* Table1922 */
-  0x268, /* CVTPS2PDrm */
-  0x269, /* CVTPS2PDrr */
+  0x559, /* MINPSrm */
+  0x55a, /* MINPSrr */
 /* Table1924 */
-  0x260, /* CVTDQ2PSrm */
-  0x261, /* CVTDQ2PSrr */
+  0x2a6, /* DIVPSrm */
+  0x2a7, /* DIVPSrr */
 /* Table1926 */
-  0xaf5, /* SUBPSrm */
-  0xaf6, /* SUBPSrr */
+  0x544, /* MAXPSrm */
+  0x545, /* MAXPSrr */
 /* Table1928 */
-  0x55e, /* MINPSrm */
-  0x55f, /* MINPSrr */
+  0x615, /* MMX_PUNPCKLBWirm */
+  0x616, /* MMX_PUNPCKLBWirr */
 /* Table1930 */
-  0x2a7, /* DIVPSrm */
-  0x2a8, /* DIVPSrr */
+  0x619, /* MMX_PUNPCKLWDirm */
+  0x61a, /* MMX_PUNPCKLWDirr */
 /* Table1932 */
-  0x549, /* MAXPSrm */
-  0x54a, /* MAXPSrr */
+  0x617, /* MMX_PUNPCKLDQirm */
+  0x618, /* MMX_PUNPCKLDQirr */
 /* Table1934 */
-  0x61a, /* MMX_PUNPCKLBWirm */
-  0x61b, /* MMX_PUNPCKLBWirr */
+  0x589, /* MMX_PACKSSWBirm */
+  0x58a, /* MMX_PACKSSWBirr */
 /* Table1936 */
-  0x61e, /* MMX_PUNPCKLWDirm */
-  0x61f, /* MMX_PUNPCKLWDirr */
+  0x5ad, /* MMX_PCMPGTBirm */
+  0x5ae, /* MMX_PCMPGTBirr */
 /* Table1938 */
-  0x61c, /* MMX_PUNPCKLDQirm */
-  0x61d, /* MMX_PUNPCKLDQirr */
+  0x5b1, /* MMX_PCMPGTWirm */
+  0x5b2, /* MMX_PCMPGTWirr */
 /* Table1940 */
-  0x58e, /* MMX_PACKSSWBirm */
-  0x58f, /* MMX_PACKSSWBirr */
+  0x5af, /* MMX_PCMPGTDirm */
+  0x5b0, /* MMX_PCMPGTDirr */
 /* Table1942 */
-  0x5b2, /* MMX_PCMPGTBirm */
-  0x5b3, /* MMX_PCMPGTBirr */
+  0x58b, /* MMX_PACKUSWBirm */
+  0x58c, /* MMX_PACKUSWBirr */
 /* Table1944 */
-  0x5b6, /* MMX_PCMPGTWirm */
-  0x5b7, /* MMX_PCMPGTWirr */
+  0x60f, /* MMX_PUNPCKHBWirm */
+  0x610, /* MMX_PUNPCKHBWirr */
 /* Table1946 */
-  0x5b4, /* MMX_PCMPGTDirm */
-  0x5b5, /* MMX_PCMPGTDirr */
+  0x613, /* MMX_PUNPCKHWDirm */
+  0x614, /* MMX_PUNPCKHWDirr */
 /* Table1948 */
-  0x590, /* MMX_PACKUSWBirm */
-  0x591, /* MMX_PACKUSWBirr */
+  0x611, /* MMX_PUNPCKHDQirm */
+  0x612, /* MMX_PUNPCKHDQirr */
 /* Table1950 */
-  0x614, /* MMX_PUNPCKHBWirm */
-  0x615, /* MMX_PUNPCKHBWirr */
+  0x587, /* MMX_PACKSSDWirm */
+  0x588, /* MMX_PACKSSDWirr */
 /* Table1952 */
-  0x618, /* MMX_PUNPCKHWDirm */
-  0x619, /* MMX_PUNPCKHWDirr */
+  0x575, /* MMX_MOVD64rm */
+  0x576, /* MMX_MOVD64rr */
 /* Table1954 */
-  0x616, /* MMX_PUNPCKHDQirm */
-  0x617, /* MMX_PUNPCKHDQirr */
+  0x57e, /* MMX_MOVQ64rm */
+  0x57f, /* MMX_MOVQ64rr */
 /* Table1956 */
-  0x58c, /* MMX_PACKSSDWirm */
-  0x58d, /* MMX_PACKSSDWirr */
+  0x5df, /* MMX_PSHUFWmi */
+  0x5e0, /* MMX_PSHUFWri */
 /* Table1958 */
-  0x57a, /* MMX_MOVD64rm */
-  0x57b, /* MMX_MOVD64rr */
-/* Table1960 */
-  0x583, /* MMX_MOVQ64rm */
-  0x584, /* MMX_MOVQ64rr */
-/* Table1962 */
-  0x5e4, /* MMX_PSHUFWmi */
-  0x5e5, /* MMX_PSHUFWri */
-/* Table1964 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50158,13 +51154,13 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x601, /* MMX_PSRLWri */
+  0x5fc, /* MMX_PSRLWri */
   0x0, /*  */
-  0x5f8, /* MMX_PSRAWri */
+  0x5f3, /* MMX_PSRAWri */
   0x0, /*  */
-  0x5f2, /* MMX_PSLLWri */
+  0x5ed, /* MMX_PSLLWri */
   0x0, /*  */
-/* Table1980 */
+/* Table1974 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50175,13 +51171,13 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x5fb, /* MMX_PSRLDri */
+  0x5f6, /* MMX_PSRLDri */
   0x0, /*  */
-  0x5f5, /* MMX_PSRADri */
+  0x5f0, /* MMX_PSRADri */
   0x0, /*  */
-  0x5ec, /* MMX_PSLLDri */
+  0x5e7, /* MMX_PSLLDri */
   0x0, /*  */
-/* Table1996 */
+/* Table1990 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50192,131 +51188,131 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x5fe, /* MMX_PSRLQri */
+  0x5f9, /* MMX_PSRLQri */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x5ef, /* MMX_PSLLQri */
+  0x5ea, /* MMX_PSLLQri */
   0x0, /*  */
+/* Table2006 */
+  0x5a7, /* MMX_PCMPEQBirm */
+  0x5a8, /* MMX_PCMPEQBirr */
+/* Table2008 */
+  0x5ab, /* MMX_PCMPEQWirm */
+  0x5ac, /* MMX_PCMPEQWirr */
+/* Table2010 */
+  0x5a9, /* MMX_PCMPEQDirm */
+  0x5aa, /* MMX_PCMPEQDirr */
 /* Table2012 */
-  0x5ac, /* MMX_PCMPEQBirm */
-  0x5ad, /* MMX_PCMPEQBirr */
-/* Table2014 */
-  0x5b0, /* MMX_PCMPEQWirm */
-  0x5b1, /* MMX_PCMPEQWirr */
-/* Table2016 */
-  0x5ae, /* MMX_PCMPEQDirm */
-  0x5af, /* MMX_PCMPEQDirr */
-/* Table2018 */
-  0x574, /* MMX_EMMS */
+  0x56f, /* MMX_EMMS */
+/* Table2013 */
+  0x117c, /* VMREAD32rm */
+  0x117d, /* VMREAD32rr */
+/* Table2015 */
+  0x11ab, /* VMWRITE32rm */
+  0x11ac, /* VMWRITE32rr */
+/* Table2017 */
+  0x574, /* MMX_MOVD64mr */
+  0x573, /* MMX_MOVD64grr */
 /* Table2019 */
-  0x1162, /* VMREAD32rm */
-  0x1163, /* VMREAD32rr */
+  0x57d, /* MMX_MOVQ64mr */
+  0x580, /* MMX_MOVQ64rr_REV */
 /* Table2021 */
-  0x1191, /* VMWRITE32rm */
-  0x1192, /* VMWRITE32rr */
+  0x45e, /* JO_4 */
+/* Table2022 */
+  0x455, /* JNO_4 */
 /* Table2023 */
-  0x579, /* MMX_MOVD64mr */
-  0x578, /* MMX_MOVD64grr */
+  0x434, /* JB_4 */
+/* Table2024 */
+  0x42b, /* JAE_4 */
 /* Table2025 */
-  0x582, /* MMX_MOVQ64mr */
-  0x585, /* MMX_MOVQ64rr_REV */
+  0x43a, /* JE_4 */
+/* Table2026 */
+  0x452, /* JNE_4 */
 /* Table2027 */
-  0x463, /* JO_4 */
+  0x431, /* JBE_4 */
 /* Table2028 */
-  0x45a, /* JNO_4 */
+  0x42e, /* JA_4 */
 /* Table2029 */
-  0x439, /* JB_4 */
+  0x465, /* JS_4 */
 /* Table2030 */
-  0x430, /* JAE_4 */
+  0x45b, /* JNS_4 */
 /* Table2031 */
-  0x43f, /* JE_4 */
+  0x461, /* JP_4 */
 /* Table2032 */
-  0x457, /* JNE_4 */
+  0x458, /* JNP_4 */
 /* Table2033 */
-  0x436, /* JBE_4 */
+  0x446, /* JL_4 */
 /* Table2034 */
-  0x433, /* JA_4 */
+  0x43d, /* JGE_4 */
 /* Table2035 */
-  0x46a, /* JS_4 */
+  0x443, /* JLE_4 */
 /* Table2036 */
-  0x460, /* JNS_4 */
+  0x440, /* JG_4 */
 /* Table2037 */
-  0x466, /* JP_4 */
-/* Table2038 */
-  0x45d, /* JNP_4 */
+  0xa1b, /* SETOm */
+  0xa1c, /* SETOr */
 /* Table2039 */
-  0x44b, /* JL_4 */
-/* Table2040 */
-  0x442, /* JGE_4 */
+  0xa15, /* SETNOm */
+  0xa16, /* SETNOr */
 /* Table2041 */
-  0x448, /* JLE_4 */
-/* Table2042 */
-  0x445, /* JG_4 */
+  0xa07, /* SETBm */
+  0xa08, /* SETBr */
 /* Table2043 */
-  0xa20, /* SETOm */
-  0xa21, /* SETOr */
+  0x9fd, /* SETAEm */
+  0x9fe, /* SETAEr */
 /* Table2045 */
-  0xa1a, /* SETNOm */
-  0xa1b, /* SETNOr */
+  0xa09, /* SETEm */
+  0xa0a, /* SETEr */
 /* Table2047 */
-  0xa0c, /* SETBm */
-  0xa0d, /* SETBr */
+  0xa13, /* SETNEm */
+  0xa14, /* SETNEr */
 /* Table2049 */
-  0xa02, /* SETAEm */
-  0xa03, /* SETAEr */
+  0xa01, /* SETBEm */
+  0xa02, /* SETBEr */
 /* Table2051 */
-  0xa0e, /* SETEm */
-  0xa0f, /* SETEr */
+  0x9ff, /* SETAm */
+  0xa00, /* SETAr */
 /* Table2053 */
-  0xa18, /* SETNEm */
-  0xa19, /* SETNEr */
+  0xa1f, /* SETSm */
+  0xa20, /* SETSr */
 /* Table2055 */
-  0xa06, /* SETBEm */
-  0xa07, /* SETBEr */
+  0xa19, /* SETNSm */
+  0xa1a, /* SETNSr */
 /* Table2057 */
-  0xa04, /* SETAm */
-  0xa05, /* SETAr */
+  0xa1d, /* SETPm */
+  0xa1e, /* SETPr */
 /* Table2059 */
-  0xa24, /* SETSm */
-  0xa25, /* SETSr */
+  0xa17, /* SETNPm */
+  0xa18, /* SETNPr */
 /* Table2061 */
-  0xa1e, /* SETNSm */
-  0xa1f, /* SETNSr */
+  0xa11, /* SETLm */
+  0xa12, /* SETLr */
 /* Table2063 */
-  0xa22, /* SETPm */
-  0xa23, /* SETPr */
+  0xa0b, /* SETGEm */
+  0xa0c, /* SETGEr */
 /* Table2065 */
-  0xa1c, /* SETNPm */
-  0xa1d, /* SETNPr */
+  0xa0f, /* SETLEm */
+  0xa10, /* SETLEr */
 /* Table2067 */
-  0xa16, /* SETLm */
-  0xa17, /* SETLr */
+  0xa0d, /* SETGm */
+  0xa0e, /* SETGr */
 /* Table2069 */
-  0xa10, /* SETGEm */
-  0xa11, /* SETGEr */
+  0x8e4, /* PUSHFS32 */
+/* Table2070 */
+  0x86d, /* POPFS32 */
 /* Table2071 */
-  0xa14, /* SETLEm */
-  0xa15, /* SETLEr */
-/* Table2073 */
-  0xa12, /* SETGm */
-  0xa13, /* SETGr */
-/* Table2075 */
-  0x8e9, /* PUSHFS32 */
-/* Table2076 */
-  0x872, /* POPFS32 */
-/* Table2077 */
   0x250, /* CPUID32 */
-/* Table2078 */
+/* Table2072 */
   0x12c, /* BT32mr */
   0x12e, /* BT32rr */
-/* Table2080 */
-  0xa55, /* SHLD32mri8 */
-  0xa57, /* SHLD32rri8 */
-/* Table2082 */
-  0xa54, /* SHLD32mrCL */
-  0xa56, /* SHLD32rrCL */
-/* Table2084 */
+/* Table2074 */
+  0xa50, /* SHLD32mri8 */
+  0xa52, /* SHLD32rri8 */
+/* Table2076 */
+  0xa4f, /* SHLD32mrCL */
+  0xa51, /* SHLD32rrCL */
+/* Table2078 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50325,7 +51321,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x624, /* MONTMUL */
+  0x61f, /* MONTMUL */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50333,7 +51329,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x17e5, /* XSHA1 */
+  0x186f, /* XSHA1 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50341,7 +51337,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x17e6, /* XSHA256 */
+  0x1870, /* XSHA256 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50389,7 +51385,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table2156 */
+/* Table2150 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50398,7 +51394,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x17e7, /* XSTORE */
+  0x1871, /* XSTORE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50406,7 +51402,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x17b1, /* XCRYPTECB */
+  0x183b, /* XCRYPTECB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50414,7 +51410,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x17ae, /* XCRYPTCBC */
+  0x1838, /* XCRYPTCBC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50422,7 +51418,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x17b0, /* XCRYPTCTR */
+  0x183a, /* XCRYPTCTR */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50430,7 +51426,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x17af, /* XCRYPTCFB */
+  0x1839, /* XCRYPTCFB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50438,7 +51434,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x17b2, /* XCRYPTOFB */
+  0x183c, /* XCRYPTOFB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50462,29 +51458,29 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table2228 */
-  0x8ec, /* PUSHGS32 */
-/* Table2229 */
-  0x875, /* POPGS32 */
-/* Table2230 */
-  0x993, /* RSM */
-/* Table2231 */
+/* Table2222 */
+  0x8e7, /* PUSHGS32 */
+/* Table2223 */
+  0x870, /* POPGS32 */
+/* Table2224 */
+  0x98e, /* RSM */
+/* Table2225 */
   0x150, /* BTS32mr */
   0x152, /* BTS32rr */
-/* Table2233 */
-  0xa7d, /* SHRD32mri8 */
-  0xa7f, /* SHRD32rri8 */
-/* Table2235 */
-  0xa7c, /* SHRD32mrCL */
-  0xa7e, /* SHRD32rrCL */
-/* Table2237 */
-  0x32b, /* FXSAVE */
-  0x329, /* FXRSTOR */
-  0x4ad, /* LDMXCSR */
-  0xab3, /* STMXCSR */
-  0x17e0, /* XSAVE */
-  0x17de, /* XRSTOR */
-  0x17e2, /* XSAVEOPT */
+/* Table2227 */
+  0xa78, /* SHRD32mri8 */
+  0xa7a, /* SHRD32rri8 */
+/* Table2229 */
+  0xa77, /* SHRD32mrCL */
+  0xa79, /* SHRD32rrCL */
+/* Table2231 */
+  0x327, /* FXSAVE */
+  0x325, /* FXRSTOR */
+  0x4a8, /* LDMXCSR */
+  0xaad, /* STMXCSR */
+  0x186a, /* XSAVE */
+  0x1868, /* XRSTOR */
+  0x186c, /* XSAVEOPT */
   0x16e, /* CLFLUSH */
   0x0, /*  */
   0x0, /*  */
@@ -50526,7 +51522,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4ca, /* LFENCE */
+  0x4c5, /* LFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50534,7 +51530,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x553, /* MFENCE */
+  0x54e, /* MFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50542,7 +51538,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xa26, /* SFENCE */
+  0xa21, /* SFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50550,36 +51546,36 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table2309 */
-  0x36f, /* IMUL32rm */
-  0x372, /* IMUL32rr */
-/* Table2311 */
+/* Table2303 */
+  0x36a, /* IMUL32rm */
+  0x36d, /* IMUL32rr */
+/* Table2305 */
   0x242, /* CMPXCHG8rm */
   0x243, /* CMPXCHG8rr */
-/* Table2313 */
+/* Table2307 */
   0x23d, /* CMPXCHG32rm */
   0x23e, /* CMPXCHG32rr */
-/* Table2315 */
-  0x52f, /* LSS32rm */
+/* Table2309 */
+  0x52a, /* LSS32rm */
   0x0, /*  */
-/* Table2317 */
+/* Table2311 */
   0x144, /* BTR32mr */
   0x146, /* BTR32rr */
+/* Table2313 */
+  0x4c7, /* LFS32rm */
+  0x0, /*  */
+/* Table2315 */
+  0x4cd, /* LGS32rm */
+  0x0, /*  */
+/* Table2317 */
+  0x6d6, /* MOVZX32rm8 */
+  0x6d8, /* MOVZX32rr8 */
 /* Table2319 */
-  0x4cc, /* LFS32rm */
-  0x0, /*  */
+  0x6d5, /* MOVZX32rm16 */
+  0x6d7, /* MOVZX32rr16 */
 /* Table2321 */
-  0x4d2, /* LGS32rm */
-  0x0, /*  */
-/* Table2323 */
-  0x6db, /* MOVZX32rm8 */
-  0x6dd, /* MOVZX32rr8 */
-/* Table2325 */
-  0x6da, /* MOVZX32rm16 */
-  0x6dc, /* MOVZX32rr16 */
-/* Table2327 */
-  0xb7e, /* UD2B */
-/* Table2328 */
+  0xb78, /* UD2B */
+/* Table2322 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50596,239 +51592,239 @@
   0x151, /* BTS32ri8 */
   0x145, /* BTR32ri8 */
   0x139, /* BTC32ri8 */
-/* Table2344 */
+/* Table2338 */
   0x138, /* BTC32mr */
   0x13a, /* BTC32rr */
-/* Table2346 */
+/* Table2340 */
   0x11b, /* BSF32rm */
   0x11c, /* BSF32rr */
-/* Table2348 */
+/* Table2342 */
   0x121, /* BSR32rm */
   0x122, /* BSR32rr */
+/* Table2344 */
+  0x6bc, /* MOVSX32rm8 */
+  0x6be, /* MOVSX32rr8 */
+/* Table2346 */
+  0x6bb, /* MOVSX32rm16 */
+  0x6bd, /* MOVSX32rr16 */
+/* Table2348 */
+  0x1827, /* XADD8rm */
+  0x1828, /* XADD8rr */
 /* Table2350 */
-  0x6c1, /* MOVSX32rm8 */
-  0x6c3, /* MOVSX32rr8 */
+  0x1823, /* XADD32rm */
+  0x1824, /* XADD32rr */
 /* Table2352 */
-  0x6c0, /* MOVSX32rm16 */
-  0x6c2, /* MOVSX32rr16 */
-/* Table2354 */
-  0x179d, /* XADD8rm */
-  0x179e, /* XADD8rr */
-/* Table2356 */
-  0x1799, /* XADD32rm */
-  0x179a, /* XADD32rr */
-/* Table2358 */
   0x22a, /* CMPPSrmi */
   0x22c, /* CMPPSrri */
+/* Table2354 */
+  0x699, /* MOVNTImr */
+  0x0, /*  */
+/* Table2356 */
+  0x5c0, /* MMX_PINSRWirmi */
+  0x5c1, /* MMX_PINSRWirri */
+/* Table2358 */
+  0x0, /*  */
+  0x5b3, /* MMX_PEXTRWirri */
 /* Table2360 */
-  0x69e, /* MOVNTImr */
-  0x0, /*  */
+  0xa85, /* SHUFPSrmi */
+  0xa86, /* SHUFPSrri */
 /* Table2362 */
-  0x5c5, /* MMX_PINSRWirmi */
-  0x5c6, /* MMX_PINSRWirri */
-/* Table2364 */
-  0x0, /*  */
-  0x5b8, /* MMX_PEXTRWirri */
-/* Table2366 */
-  0xa8a, /* SHUFPSrmi */
-  0xa8b, /* SHUFPSrri */
-/* Table2368 */
   0x0, /*  */
   0x241, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1160, /* VMPTRLDm */
-  0x1161, /* VMPTRSTm */
+  0x117a, /* VMPTRLDm */
+  0x117b, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x933, /* RDRAND32r */
-  0x936, /* RDSEED32r */
-/* Table2384 */
+  0x92e, /* RDRAND32r */
+  0x931, /* RDSEED32r */
+/* Table2378 */
   0x125, /* BSWAP32r */
+/* Table2379 */
+  0x5fd, /* MMX_PSRLWrm */
+  0x5fe, /* MMX_PSRLWrr */
+/* Table2381 */
+  0x5f7, /* MMX_PSRLDrm */
+  0x5f8, /* MMX_PSRLDrr */
+/* Table2383 */
+  0x5fa, /* MMX_PSRLQrm */
+  0x5fb, /* MMX_PSRLQrr */
 /* Table2385 */
-  0x602, /* MMX_PSRLWrm */
-  0x603, /* MMX_PSRLWrr */
+  0x591, /* MMX_PADDQirm */
+  0x592, /* MMX_PADDQirr */
 /* Table2387 */
-  0x5fc, /* MMX_PSRLDrm */
-  0x5fd, /* MMX_PSRLDrr */
+  0x5d5, /* MMX_PMULLWirm */
+  0x5d6, /* MMX_PMULLWirr */
 /* Table2389 */
-  0x5ff, /* MMX_PSRLQrm */
-  0x600, /* MMX_PSRLQrr */
+  0x0, /*  */
+  0x5ce, /* MMX_PMOVMSKBrr */
 /* Table2391 */
-  0x596, /* MMX_PADDQirm */
-  0x597, /* MMX_PADDQirr */
+  0x609, /* MMX_PSUBUSBirm */
+  0x60a, /* MMX_PSUBUSBirr */
 /* Table2393 */
-  0x5da, /* MMX_PMULLWirm */
-  0x5db, /* MMX_PMULLWirr */
+  0x60b, /* MMX_PSUBUSWirm */
+  0x60c, /* MMX_PSUBUSWirr */
 /* Table2395 */
-  0x0, /*  */
-  0x5d3, /* MMX_PMOVMSKBrr */
+  0x5cc, /* MMX_PMINUBirm */
+  0x5cd, /* MMX_PMINUBirr */
 /* Table2397 */
-  0x60e, /* MMX_PSUBUSBirm */
-  0x60f, /* MMX_PSUBUSBirr */
+  0x5a1, /* MMX_PANDirm */
+  0x5a2, /* MMX_PANDirr */
 /* Table2399 */
-  0x610, /* MMX_PSUBUSWirm */
-  0x611, /* MMX_PSUBUSWirr */
+  0x597, /* MMX_PADDUSBirm */
+  0x598, /* MMX_PADDUSBirr */
 /* Table2401 */
-  0x5d1, /* MMX_PMINUBirm */
-  0x5d2, /* MMX_PMINUBirr */
+  0x599, /* MMX_PADDUSWirm */
+  0x59a, /* MMX_PADDUSWirr */
 /* Table2403 */
-  0x5a6, /* MMX_PANDirm */
-  0x5a7, /* MMX_PANDirr */
+  0x5c8, /* MMX_PMAXUBirm */
+  0x5c9, /* MMX_PMAXUBirr */
 /* Table2405 */
-  0x59c, /* MMX_PADDUSBirm */
-  0x59d, /* MMX_PADDUSBirr */
+  0x59f, /* MMX_PANDNirm */
+  0x5a0, /* MMX_PANDNirr */
 /* Table2407 */
-  0x59e, /* MMX_PADDUSWirm */
-  0x59f, /* MMX_PADDUSWirr */
+  0x5a3, /* MMX_PAVGBirm */
+  0x5a4, /* MMX_PAVGBirr */
 /* Table2409 */
-  0x5cd, /* MMX_PMAXUBirm */
-  0x5ce, /* MMX_PMAXUBirr */
+  0x5f4, /* MMX_PSRAWrm */
+  0x5f5, /* MMX_PSRAWrr */
 /* Table2411 */
-  0x5a4, /* MMX_PANDNirm */
-  0x5a5, /* MMX_PANDNirr */
+  0x5f1, /* MMX_PSRADrm */
+  0x5f2, /* MMX_PSRADrr */
 /* Table2413 */
-  0x5a8, /* MMX_PAVGBirm */
-  0x5a9, /* MMX_PAVGBirr */
+  0x5a5, /* MMX_PAVGWirm */
+  0x5a6, /* MMX_PAVGWirr */
 /* Table2415 */
-  0x5f9, /* MMX_PSRAWrm */
-  0x5fa, /* MMX_PSRAWrr */
+  0x5d1, /* MMX_PMULHUWirm */
+  0x5d2, /* MMX_PMULHUWirr */
 /* Table2417 */
-  0x5f6, /* MMX_PSRADrm */
-  0x5f7, /* MMX_PSRADrr */
+  0x5d3, /* MMX_PMULHWirm */
+  0x5d4, /* MMX_PMULHWirr */
 /* Table2419 */
-  0x5aa, /* MMX_PAVGWirm */
-  0x5ab, /* MMX_PAVGWirr */
+  0x57a, /* MMX_MOVNTQmr */
+  0x0, /*  */
 /* Table2421 */
-  0x5d6, /* MMX_PMULHUWirm */
-  0x5d7, /* MMX_PMULHUWirr */
+  0x605, /* MMX_PSUBSBirm */
+  0x606, /* MMX_PSUBSBirr */
 /* Table2423 */
-  0x5d8, /* MMX_PMULHWirm */
-  0x5d9, /* MMX_PMULHWirr */
+  0x607, /* MMX_PSUBSWirm */
+  0x608, /* MMX_PSUBSWirr */
 /* Table2425 */
-  0x57f, /* MMX_MOVNTQmr */
-  0x0, /*  */
+  0x5ca, /* MMX_PMINSWirm */
+  0x5cb, /* MMX_PMINSWirr */
 /* Table2427 */
-  0x60a, /* MMX_PSUBSBirm */
-  0x60b, /* MMX_PSUBSBirr */
+  0x5d9, /* MMX_PORirm */
+  0x5da, /* MMX_PORirr */
 /* Table2429 */
-  0x60c, /* MMX_PSUBSWirm */
-  0x60d, /* MMX_PSUBSWirr */
+  0x593, /* MMX_PADDSBirm */
+  0x594, /* MMX_PADDSBirr */
 /* Table2431 */
-  0x5cf, /* MMX_PMINSWirm */
-  0x5d0, /* MMX_PMINSWirr */
+  0x595, /* MMX_PADDSWirm */
+  0x596, /* MMX_PADDSWirr */
 /* Table2433 */
-  0x5de, /* MMX_PORirm */
-  0x5df, /* MMX_PORirr */
+  0x5c6, /* MMX_PMAXSWirm */
+  0x5c7, /* MMX_PMAXSWirr */
 /* Table2435 */
-  0x598, /* MMX_PADDSBirm */
-  0x599, /* MMX_PADDSBirr */
+  0x61b, /* MMX_PXORirm */
+  0x61c, /* MMX_PXORirr */
 /* Table2437 */
-  0x59a, /* MMX_PADDSWirm */
-  0x59b, /* MMX_PADDSWirr */
+  0x5ee, /* MMX_PSLLWrm */
+  0x5ef, /* MMX_PSLLWrr */
 /* Table2439 */
-  0x5cb, /* MMX_PMAXSWirm */
-  0x5cc, /* MMX_PMAXSWirr */
+  0x5e8, /* MMX_PSLLDrm */
+  0x5e9, /* MMX_PSLLDrr */
 /* Table2441 */
-  0x620, /* MMX_PXORirm */
-  0x621, /* MMX_PXORirr */
+  0x5eb, /* MMX_PSLLQrm */
+  0x5ec, /* MMX_PSLLQrr */
 /* Table2443 */
-  0x5f3, /* MMX_PSLLWrm */
-  0x5f4, /* MMX_PSLLWrr */
+  0x5d7, /* MMX_PMULUDQirm */
+  0x5d8, /* MMX_PMULUDQirr */
 /* Table2445 */
-  0x5ed, /* MMX_PSLLDrm */
-  0x5ee, /* MMX_PSLLDrr */
+  0x5c4, /* MMX_PMADDWDirm */
+  0x5c5, /* MMX_PMADDWDirr */
 /* Table2447 */
-  0x5f0, /* MMX_PSLLQrm */
-  0x5f1, /* MMX_PSLLQrr */
+  0x5db, /* MMX_PSADBWirm */
+  0x5dc, /* MMX_PSADBWirr */
 /* Table2449 */
-  0x5dc, /* MMX_PMULUDQirm */
-  0x5dd, /* MMX_PMULUDQirr */
+  0x0, /*  */
+  0x570, /* MMX_MASKMOVQ */
 /* Table2451 */
-  0x5c9, /* MMX_PMADDWDirm */
-  0x5ca, /* MMX_PMADDWDirr */
+  0x5ff, /* MMX_PSUBBirm */
+  0x600, /* MMX_PSUBBirr */
 /* Table2453 */
-  0x5e0, /* MMX_PSADBWirm */
-  0x5e1, /* MMX_PSADBWirr */
+  0x60d, /* MMX_PSUBWirm */
+  0x60e, /* MMX_PSUBWirr */
 /* Table2455 */
-  0x0, /*  */
-  0x575, /* MMX_MASKMOVQ */
+  0x601, /* MMX_PSUBDirm */
+  0x602, /* MMX_PSUBDirr */
 /* Table2457 */
-  0x604, /* MMX_PSUBBirm */
-  0x605, /* MMX_PSUBBirr */
+  0x603, /* MMX_PSUBQirm */
+  0x604, /* MMX_PSUBQirr */
 /* Table2459 */
-  0x612, /* MMX_PSUBWirm */
-  0x613, /* MMX_PSUBWirr */
+  0x58d, /* MMX_PADDBirm */
+  0x58e, /* MMX_PADDBirr */
 /* Table2461 */
-  0x606, /* MMX_PSUBDirm */
-  0x607, /* MMX_PSUBDirr */
+  0x59b, /* MMX_PADDWirm */
+  0x59c, /* MMX_PADDWirr */
 /* Table2463 */
-  0x608, /* MMX_PSUBQirm */
-  0x609, /* MMX_PSUBQirr */
+  0x58f, /* MMX_PADDDirm */
+  0x590, /* MMX_PADDDirr */
 /* Table2465 */
-  0x592, /* MMX_PADDBirm */
-  0x593, /* MMX_PADDBirr */
-/* Table2467 */
-  0x5a0, /* MMX_PADDWirm */
-  0x5a1, /* MMX_PADDWirr */
-/* Table2469 */
-  0x594, /* MMX_PADDDirm */
-  0x595, /* MMX_PADDDirr */
-/* Table2471 */
-  0xa29, /* SGDT64m */
-  0xa8e, /* SIDT64m */
-  0x4d0, /* LGDT64m */
-  0x4d6, /* LIDT64m */
-  0xa99, /* SMSW16m */
+  0xa24, /* SGDT64m */
+  0xa89, /* SIDT64m */
+  0x4cb, /* LGDT64m */
+  0x4d1, /* LIDT64m */
+  0xa94, /* SMSW16m */
   0x0, /*  */
-  0x4d9, /* LMSW16m */
-  0x3a1, /* INVLPG */
+  0x4d4, /* LMSW16m */
+  0x39c, /* INVLPG */
   0x0, /*  */
-  0xf43, /* VMCALL */
-  0xf78, /* VMLAUNCH */
-  0x1166, /* VMRESUME */
-  0x1195, /* VMXOFF */
+  0xf55, /* VMCALL */
+  0xf8a, /* VMLAUNCH */
+  0x1180, /* VMRESUME */
+  0x11af, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x623, /* MONITORrrr */
-  0x711, /* MWAITrr */
+  0x61e, /* MONITORrrr */
+  0x70c, /* MWAITrr */
   0x16b, /* CLAC */
-  0xaae, /* STAC */
+  0xaa8, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2e4, /* ENCLS */
-  0x17b4, /* XGETBV */
-  0x17e4, /* XSETBV */
+  0x2e2, /* ENCLS */
+  0x183e, /* XGETBV */
+  0x186e, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0xf45, /* VMFUNC */
-  0x17b3, /* XEND */
-  0x17e8, /* XTEST */
-  0x2e5, /* ENCLU */
-  0x1168, /* VMRUN64 */
-  0xf7b, /* VMMCALL */
-  0xf7a, /* VMLOAD64 */
-  0x116a, /* VMSAVE64 */
-  0xab1, /* STGI */
+  0xf57, /* VMFUNC */
+  0x183d, /* XEND */
+  0x1872, /* XTEST */
+  0x2e3, /* ENCLU */
+  0x1182, /* VMRUN64 */
+  0xf8d, /* VMMCALL */
+  0xf8c, /* VMLOAD64 */
+  0x1184, /* VMSAVE64 */
+  0xaab, /* STGI */
   0x16f, /* CLGI */
-  0xa93, /* SKINIT */
-  0x3a3, /* INVLPGA64 */
-  0xa9b, /* SMSW32r */
-  0xa9b, /* SMSW32r */
-  0xa9b, /* SMSW32r */
-  0xa9b, /* SMSW32r */
-  0xa9b, /* SMSW32r */
-  0xa9b, /* SMSW32r */
-  0xa9b, /* SMSW32r */
-  0xa9b, /* SMSW32r */
+  0xa8e, /* SKINIT */
+  0x39e, /* INVLPGA64 */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50837,119 +51833,119 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0xb26, /* SWAPGS */
-  0x939, /* RDTSCP */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0xb20, /* SWAPGS */
+  0x934, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table2537 */
+  0x0, /*  */
+  0x653, /* MOV64rc */
+/* Table2539 */
+  0x0, /*  */
+  0x654, /* MOV64rd */
+/* Table2541 */
+  0x0, /*  */
+  0x64a, /* MOV64cr */
 /* Table2543 */
   0x0, /*  */
-  0x658, /* MOV64rc */
+  0x64b, /* MOV64dr */
 /* Table2545 */
-  0x0, /*  */
-  0x659, /* MOV64rd */
+  0x117e, /* VMREAD64rm */
+  0x117f, /* VMREAD64rr */
 /* Table2547 */
-  0x0, /*  */
-  0x64f, /* MOV64cr */
+  0x11ad, /* VMWRITE64rm */
+  0x11ae, /* VMWRITE64rr */
 /* Table2549 */
-  0x0, /*  */
-  0x650, /* MOV64dr */
+  0x8e5, /* PUSHFS64 */
+/* Table2550 */
+  0x86e, /* POPFS64 */
 /* Table2551 */
-  0x1164, /* VMREAD64rm */
-  0x1165, /* VMREAD64rr */
-/* Table2553 */
-  0x1193, /* VMWRITE64rm */
-  0x1194, /* VMWRITE64rr */
-/* Table2555 */
-  0x8ea, /* PUSHFS64 */
-/* Table2556 */
-  0x873, /* POPFS64 */
-/* Table2557 */
   0x251, /* CPUID64 */
-/* Table2558 */
-  0x8ed, /* PUSHGS64 */
-/* Table2559 */
-  0x876, /* POPGS64 */
-/* Table2560 */
+/* Table2552 */
+  0x8e8, /* PUSHGS64 */
+/* Table2553 */
+  0x871, /* POPGS64 */
+/* Table2554 */
   0x0, /*  */
-  0x576, /* MMX_MASKMOVQ64 */
-/* Table2562 */
-  0xa94, /* SLDT16m */
-  0xabb, /* STRm */
-  0x4d7, /* LLDT16m */
-  0x531, /* LTRm */
-  0xcfc, /* VERRm */
-  0xcfe, /* VERWm */
+  0x571, /* MMX_MASKMOVQ64 */
+/* Table2556 */
+  0xa8f, /* SLDT16m */
+  0xab5, /* STRm */
+  0x4d2, /* LLDT16m */
+  0x52c, /* LTRm */
+  0xcf6, /* VERRm */
+  0xcf8, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0xa95, /* SLDT16r */
-  0xab8, /* STR16r */
-  0x4d8, /* LLDT16r */
-  0x532, /* LTRr */
-  0xcfd, /* VERRr */
-  0xcff, /* VERWr */
+  0xa90, /* SLDT16r */
+  0xab2, /* STR16r */
+  0x4d3, /* LLDT16r */
+  0x52d, /* LTRr */
+  0xcf7, /* VERRr */
+  0xcf9, /* VERWr */
   0x0, /*  */
   0x0, /*  */
-/* Table2578 */
-  0xa27, /* SGDT16m */
-  0xa8c, /* SIDT16m */
-  0x4ce, /* LGDT16m */
-  0x4d4, /* LIDT16m */
-  0xa99, /* SMSW16m */
+/* Table2572 */
+  0xa22, /* SGDT16m */
+  0xa87, /* SIDT16m */
+  0x4c9, /* LGDT16m */
+  0x4cf, /* LIDT16m */
+  0xa94, /* SMSW16m */
   0x0, /*  */
-  0x4d9, /* LMSW16m */
-  0x3a1, /* INVLPG */
+  0x4d4, /* LMSW16m */
+  0x39c, /* INVLPG */
   0x0, /*  */
-  0xf43, /* VMCALL */
-  0xf78, /* VMLAUNCH */
-  0x1166, /* VMRESUME */
-  0x1195, /* VMXOFF */
+  0xf55, /* VMCALL */
+  0xf8a, /* VMLAUNCH */
+  0x1180, /* VMRESUME */
+  0x11af, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x623, /* MONITORrrr */
-  0x711, /* MWAITrr */
+  0x61e, /* MONITORrrr */
+  0x70c, /* MWAITrr */
   0x16b, /* CLAC */
-  0xaae, /* STAC */
+  0xaa8, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2e4, /* ENCLS */
-  0x17b4, /* XGETBV */
-  0x17e4, /* XSETBV */
+  0x2e2, /* ENCLS */
+  0x183e, /* XGETBV */
+  0x186e, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0xf45, /* VMFUNC */
-  0x17b3, /* XEND */
-  0x17e8, /* XTEST */
-  0x2e5, /* ENCLU */
-  0x1167, /* VMRUN32 */
-  0xf7b, /* VMMCALL */
-  0xf79, /* VMLOAD32 */
-  0x1169, /* VMSAVE32 */
-  0xab1, /* STGI */
+  0xf57, /* VMFUNC */
+  0x183d, /* XEND */
+  0x1872, /* XTEST */
+  0x2e3, /* ENCLU */
+  0x1181, /* VMRUN32 */
+  0xf8d, /* VMMCALL */
+  0xf8b, /* VMLOAD32 */
+  0x1183, /* VMSAVE32 */
+  0xaab, /* STGI */
   0x16f, /* CLGI */
-  0xa93, /* SKINIT */
-  0x3a2, /* INVLPGA32 */
-  0xa9a, /* SMSW16r */
-  0xa9a, /* SMSW16r */
-  0xa9a, /* SMSW16r */
-  0xa9a, /* SMSW16r */
-  0xa9a, /* SMSW16r */
-  0xa9a, /* SMSW16r */
-  0xa9a, /* SMSW16r */
-  0xa9a, /* SMSW16r */
+  0xa8e, /* SKINIT */
+  0x39d, /* INVLPGA32 */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -50958,256 +51954,256 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0xb26, /* SWAPGS */
-  0x939, /* RDTSCP */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0xb20, /* SWAPGS */
+  0x934, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table2644 */
+  0x49b, /* LAR16rm */
+  0x49c, /* LAR16rr */
+/* Table2646 */
+  0x523, /* LSL16rm */
+  0x524, /* LSL16rr */
+/* Table2648 */
+  0x6c6, /* MOVUPDrm */
+  0x6c7, /* MOVUPDrr */
 /* Table2650 */
-  0x4a0, /* LAR16rm */
-  0x4a1, /* LAR16rr */
+  0x6c5, /* MOVUPDmr */
+  0x6c8, /* MOVUPDrr_REV */
 /* Table2652 */
-  0x528, /* LSL16rm */
-  0x529, /* LSL16rr */
+  0x691, /* MOVLPDrm */
+  0x68a, /* MOVHLPSrr */
 /* Table2654 */
-  0x6cb, /* MOVUPDrm */
-  0x6cc, /* MOVUPDrr */
+  0x690, /* MOVLPDmr */
+  0x0, /*  */
 /* Table2656 */
-  0x6ca, /* MOVUPDmr */
-  0x6cd, /* MOVUPDrr_REV */
+  0xb7d, /* UNPCKLPDrm */
+  0xb7e, /* UNPCKLPDrr */
 /* Table2658 */
-  0x696, /* MOVLPDrm */
-  0x68f, /* MOVHLPSrr */
+  0xb79, /* UNPCKHPDrm */
+  0xb7a, /* UNPCKHPDrr */
 /* Table2660 */
-  0x695, /* MOVLPDmr */
-  0x0, /*  */
+  0x68c, /* MOVHPDrm */
+  0x68f, /* MOVLHPSrr */
 /* Table2662 */
-  0xb83, /* UNPCKLPDrm */
-  0xb84, /* UNPCKLPDrr */
+  0x68b, /* MOVHPDmr */
+  0x0, /*  */
 /* Table2664 */
-  0xb7f, /* UNPCKHPDrm */
-  0xb80, /* UNPCKHPDrr */
-/* Table2666 */
-  0x691, /* MOVHPDrm */
-  0x694, /* MOVLHPSrr */
-/* Table2668 */
-  0x690, /* MOVHPDmr */
-  0x0, /*  */
-/* Table2670 */
-  0x87c, /* PREFETCHNTA */
-  0x87d, /* PREFETCHT0 */
-  0x87e, /* PREFETCHT1 */
-  0x87f, /* PREFETCHT2 */
-  0x71b, /* NOOP18_16m4 */
-  0x71c, /* NOOP18_16m5 */
-  0x71d, /* NOOP18_16m6 */
-  0x71e, /* NOOP18_16m7 */
+  0x877, /* PREFETCHNTA */
+  0x878, /* PREFETCHT0 */
+  0x879, /* PREFETCHT1 */
+  0x87a, /* PREFETCHT2 */
+  0x716, /* NOOP18_16m4 */
+  0x717, /* NOOP18_16m5 */
+  0x718, /* NOOP18_16m6 */
+  0x719, /* NOOP18_16m7 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x71f, /* NOOP18_16r4 */
-  0x720, /* NOOP18_16r5 */
-  0x721, /* NOOP18_16r6 */
-  0x722, /* NOOP18_16r7 */
+  0x71a, /* NOOP18_16r4 */
+  0x71b, /* NOOP18_16r5 */
+  0x71c, /* NOOP18_16r6 */
+  0x71d, /* NOOP18_16r7 */
+/* Table2680 */
+  0x72e, /* NOOPW_19 */
+  0x0, /*  */
+/* Table2682 */
+  0x72f, /* NOOPW_1a */
+  0x0, /*  */
+/* Table2684 */
+  0x730, /* NOOPW_1b */
+  0x0, /*  */
 /* Table2686 */
-  0x733, /* NOOPW_19 */
+  0x731, /* NOOPW_1c */
   0x0, /*  */
 /* Table2688 */
-  0x734, /* NOOPW_1a */
+  0x732, /* NOOPW_1d */
   0x0, /*  */
 /* Table2690 */
-  0x735, /* NOOPW_1b */
+  0x733, /* NOOPW_1e */
   0x0, /*  */
 /* Table2692 */
-  0x736, /* NOOPW_1c */
+  0x72d, /* NOOPW */
   0x0, /*  */
 /* Table2694 */
-  0x737, /* NOOPW_1d */
-  0x0, /*  */
+  0x66f, /* MOVAPDrm */
+  0x670, /* MOVAPDrr */
 /* Table2696 */
-  0x738, /* NOOPW_1e */
-  0x0, /*  */
+  0x66e, /* MOVAPDmr */
+  0x671, /* MOVAPDrr_REV */
 /* Table2698 */
-  0x732, /* NOOPW */
-  0x0, /*  */
+  0x565, /* MMX_CVTPI2PDirm */
+  0x566, /* MMX_CVTPI2PDirr */
 /* Table2700 */
-  0x674, /* MOVAPDrm */
-  0x675, /* MOVAPDrr */
-/* Table2702 */
-  0x673, /* MOVAPDmr */
-  0x676, /* MOVAPDrr_REV */
-/* Table2704 */
-  0x56a, /* MMX_CVTPI2PDirm */
-  0x56b, /* MMX_CVTPI2PDirr */
-/* Table2706 */
-  0x69f, /* MOVNTPDmr */
+  0x69a, /* MOVNTPDmr */
   0x0, /*  */
+/* Table2702 */
+  0x56b, /* MMX_CVTTPD2PIirm */
+  0x56c, /* MMX_CVTTPD2PIirr */
+/* Table2704 */
+  0x563, /* MMX_CVTPD2PIirm */
+  0x564, /* MMX_CVTPD2PIirr */
+/* Table2706 */
+  0xb69, /* UCOMISDrm */
+  0xb6a, /* UCOMISDrr */
 /* Table2708 */
-  0x570, /* MMX_CVTTPD2PIirm */
-  0x571, /* MMX_CVTTPD2PIirr */
-/* Table2710 */
-  0x568, /* MMX_CVTPD2PIirm */
-  0x569, /* MMX_CVTPD2PIirr */
-/* Table2712 */
-  0xb6f, /* UCOMISDrm */
-  0xb70, /* UCOMISDrr */
-/* Table2714 */
   0x244, /* COMISDrm */
   0x245, /* COMISDrr */
-/* Table2716 */
+/* Table2710 */
   0x1dd, /* CMOVO16rm */
   0x1de, /* CMOVO16rr */
-/* Table2718 */
+/* Table2712 */
   0x1c7, /* CMOVNO16rm */
   0x1c8, /* CMOVNO16rr */
-/* Table2720 */
+/* Table2714 */
   0x17f, /* CMOVB16rm */
   0x180, /* CMOVB16rr */
-/* Table2722 */
+/* Table2716 */
   0x179, /* CMOVAE16rm */
   0x17a, /* CMOVAE16rr */
-/* Table2724 */
+/* Table2718 */
   0x193, /* CMOVE16rm */
   0x194, /* CMOVE16rr */
-/* Table2726 */
+/* Table2720 */
   0x1bd, /* CMOVNE16rm */
   0x1be, /* CMOVNE16rr */
-/* Table2728 */
+/* Table2722 */
   0x185, /* CMOVBE16rm */
   0x186, /* CMOVBE16rr */
-/* Table2730 */
+/* Table2724 */
   0x173, /* CMOVA16rm */
   0x174, /* CMOVA16rr */
-/* Table2732 */
+/* Table2726 */
   0x1ed, /* CMOVS16rm */
   0x1ee, /* CMOVS16rr */
-/* Table2734 */
+/* Table2728 */
   0x1d7, /* CMOVNS16rm */
   0x1d8, /* CMOVNS16rr */
-/* Table2736 */
+/* Table2730 */
   0x1e3, /* CMOVP16rm */
   0x1e4, /* CMOVP16rr */
-/* Table2738 */
+/* Table2732 */
   0x1cd, /* CMOVNP16rm */
   0x1ce, /* CMOVNP16rr */
-/* Table2740 */
+/* Table2734 */
   0x1a9, /* CMOVL16rm */
   0x1aa, /* CMOVL16rr */
-/* Table2742 */
+/* Table2736 */
   0x1a3, /* CMOVGE16rm */
   0x1a4, /* CMOVGE16rr */
-/* Table2744 */
+/* Table2738 */
   0x1af, /* CMOVLE16rm */
   0x1b0, /* CMOVLE16rr */
-/* Table2746 */
+/* Table2740 */
   0x19d, /* CMOVG16rm */
   0x19e, /* CMOVG16rr */
-/* Table2748 */
+/* Table2742 */
   0x0, /*  */
-  0x699, /* MOVMSKPDrr */
-/* Table2750 */
-  0xa9d, /* SQRTPDm */
-  0xa9e, /* SQRTPDr */
-/* Table2752 */
+  0x694, /* MOVMSKPDrr */
+/* Table2744 */
+  0xa98, /* SQRTPDm */
+  0xa99, /* SQRTPDr */
+/* Table2746 */
   0xd6, /* ANDPDrm */
   0xd7, /* ANDPDrr */
-/* Table2754 */
+/* Table2748 */
   0xd2, /* ANDNPDrm */
   0xd3, /* ANDNPDrr */
-/* Table2756 */
-  0x765, /* ORPDrm */
-  0x766, /* ORPDrr */
-/* Table2758 */
-  0x17d9, /* XORPDrm */
-  0x17da, /* XORPDrr */
-/* Table2760 */
+/* Table2750 */
+  0x760, /* ORPDrm */
+  0x761, /* ORPDrr */
+/* Table2752 */
+  0x1863, /* XORPDrm */
+  0x1864, /* XORPDrr */
+/* Table2754 */
   0x72, /* ADDPDrm */
   0x73, /* ADDPDrr */
+/* Table2756 */
+  0x6e7, /* MULPDrm */
+  0x6e8, /* MULPDrr */
+/* Table2758 */
+  0x263, /* CVTPD2PSrm */
+  0x264, /* CVTPD2PSrr */
+/* Table2760 */
+  0x265, /* CVTPS2DQrm */
+  0x266, /* CVTPS2DQrr */
 /* Table2762 */
-  0x6ec, /* MULPDrm */
-  0x6ed, /* MULPDrr */
+  0xaed, /* SUBPDrm */
+  0xaee, /* SUBPDrr */
 /* Table2764 */
-  0x264, /* CVTPD2PSrm */
-  0x265, /* CVTPD2PSrr */
+  0x557, /* MINPDrm */
+  0x558, /* MINPDrr */
 /* Table2766 */
-  0x266, /* CVTPS2DQrm */
-  0x267, /* CVTPS2DQrr */
+  0x2a4, /* DIVPDrm */
+  0x2a5, /* DIVPDrr */
 /* Table2768 */
-  0xaf3, /* SUBPDrm */
-  0xaf4, /* SUBPDrr */
+  0x542, /* MAXPDrm */
+  0x543, /* MAXPDrr */
 /* Table2770 */
-  0x55c, /* MINPDrm */
-  0x55d, /* MINPDrr */
+  0x8c2, /* PUNPCKLBWrm */
+  0x8c3, /* PUNPCKLBWrr */
 /* Table2772 */
-  0x2a5, /* DIVPDrm */
-  0x2a6, /* DIVPDrr */
+  0x8c8, /* PUNPCKLWDrm */
+  0x8c9, /* PUNPCKLWDrr */
 /* Table2774 */
-  0x547, /* MAXPDrm */
-  0x548, /* MAXPDrr */
+  0x8c4, /* PUNPCKLDQrm */
+  0x8c5, /* PUNPCKLDQrr */
 /* Table2776 */
-  0x8c7, /* PUNPCKLBWrm */
-  0x8c8, /* PUNPCKLBWrr */
+  0x775, /* PACKSSWBrm */
+  0x776, /* PACKSSWBrr */
 /* Table2778 */
-  0x8cd, /* PUNPCKLWDrm */
-  0x8ce, /* PUNPCKLWDrr */
+  0x7ae, /* PCMPGTBrm */
+  0x7af, /* PCMPGTBrr */
 /* Table2780 */
-  0x8c9, /* PUNPCKLDQrm */
-  0x8ca, /* PUNPCKLDQrr */
+  0x7b4, /* PCMPGTWrm */
+  0x7b5, /* PCMPGTWrr */
 /* Table2782 */
-  0x77a, /* PACKSSWBrm */
-  0x77b, /* PACKSSWBrr */
+  0x7b0, /* PCMPGTDrm */
+  0x7b1, /* PCMPGTDrr */
 /* Table2784 */
-  0x7b3, /* PCMPGTBrm */
-  0x7b4, /* PCMPGTBrr */
+  0x779, /* PACKUSWBrm */
+  0x77a, /* PACKUSWBrr */
 /* Table2786 */
-  0x7b9, /* PCMPGTWrm */
-  0x7ba, /* PCMPGTWrr */
+  0x8ba, /* PUNPCKHBWrm */
+  0x8bb, /* PUNPCKHBWrr */
 /* Table2788 */
-  0x7b5, /* PCMPGTDrm */
-  0x7b6, /* PCMPGTDrr */
+  0x8c0, /* PUNPCKHWDrm */
+  0x8c1, /* PUNPCKHWDrr */
 /* Table2790 */
-  0x77e, /* PACKUSWBrm */
-  0x77f, /* PACKUSWBrr */
+  0x8bc, /* PUNPCKHDQrm */
+  0x8bd, /* PUNPCKHDQrr */
 /* Table2792 */
-  0x8bf, /* PUNPCKHBWrm */
-  0x8c0, /* PUNPCKHBWrr */
+  0x773, /* PACKSSDWrm */
+  0x774, /* PACKSSDWrr */
 /* Table2794 */
-  0x8c5, /* PUNPCKHWDrm */
-  0x8c6, /* PUNPCKHWDrr */
+  0x8c6, /* PUNPCKLQDQrm */
+  0x8c7, /* PUNPCKLQDQrr */
 /* Table2796 */
-  0x8c1, /* PUNPCKHDQrm */
-  0x8c2, /* PUNPCKHDQrr */
+  0x8be, /* PUNPCKHQDQrm */
+  0x8bf, /* PUNPCKHQDQrr */
 /* Table2798 */
-  0x778, /* PACKSSDWrm */
-  0x779, /* PACKSSDWrr */
+  0x67e, /* MOVDI2PDIrm */
+  0x67f, /* MOVDI2PDIrr */
 /* Table2800 */
-  0x8cb, /* PUNPCKLQDQrm */
-  0x8cc, /* PUNPCKLQDQrr */
+  0x683, /* MOVDQArm */
+  0x684, /* MOVDQArr */
 /* Table2802 */
-  0x8c3, /* PUNPCKHQDQrm */
-  0x8c4, /* PUNPCKHQDQrr */
+  0x880, /* PSHUFDmi */
+  0x881, /* PSHUFDri */
 /* Table2804 */
-  0x683, /* MOVDI2PDIrm */
-  0x684, /* MOVDI2PDIrr */
-/* Table2806 */
-  0x688, /* MOVDQArm */
-  0x689, /* MOVDQArr */
-/* Table2808 */
-  0x885, /* PSHUFDmi */
-  0x886, /* PSHUFDri */
-/* Table2810 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -51218,13 +52214,13 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x8a8, /* PSRLWri */
+  0x8a3, /* PSRLWri */
   0x0, /*  */
-  0x89e, /* PSRAWri */
+  0x899, /* PSRAWri */
   0x0, /*  */
-  0x898, /* PSLLWri */
+  0x893, /* PSLLWri */
   0x0, /*  */
-/* Table2826 */
+/* Table2820 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -51235,13 +52231,13 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x8a2, /* PSRLDri */
+  0x89d, /* PSRLDri */
   0x0, /*  */
-  0x89b, /* PSRADri */
+  0x896, /* PSRADri */
   0x0, /*  */
-  0x892, /* PSLLDri */
+  0x88d, /* PSLLDri */
   0x0, /*  */
-/* Table2842 */
+/* Table2836 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -51252,119 +52248,119 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x8a5, /* PSRLQri */
-  0x8a1, /* PSRLDQri */
+  0x8a0, /* PSRLQri */
+  0x89c, /* PSRLDQri */
   0x0, /*  */
   0x0, /*  */
-  0x895, /* PSLLQri */
-  0x891, /* PSLLDQri */
+  0x890, /* PSLLQri */
+  0x88c, /* PSLLDQri */
+/* Table2852 */
+  0x79e, /* PCMPEQBrm */
+  0x79f, /* PCMPEQBrr */
+/* Table2854 */
+  0x7a4, /* PCMPEQWrm */
+  0x7a5, /* PCMPEQWrr */
+/* Table2856 */
+  0x7a0, /* PCMPEQDrm */
+  0x7a1, /* PCMPEQDrr */
 /* Table2858 */
-  0x7a3, /* PCMPEQBrm */
-  0x7a4, /* PCMPEQBrr */
+  0x117c, /* VMREAD32rm */
+  0x2e8, /* EXTRQI */
 /* Table2860 */
-  0x7a9, /* PCMPEQWrm */
-  0x7aa, /* PCMPEQWrr */
+  0x11ab, /* VMWRITE32rm */
+  0x2e7, /* EXTRQ */
 /* Table2862 */
-  0x7a5, /* PCMPEQDrm */
-  0x7a6, /* PCMPEQDrr */
+  0x343, /* HADDPDrm */
+  0x344, /* HADDPDrr */
 /* Table2864 */
-  0x1162, /* VMREAD32rm */
-  0x2eb, /* EXTRQI */
+  0x348, /* HSUBPDrm */
+  0x349, /* HSUBPDrr */
 /* Table2866 */
-  0x1191, /* VMWRITE32rm */
-  0x2ea, /* EXTRQ */
+  0x69f, /* MOVPDI2DImr */
+  0x6a0, /* MOVPDI2DIrr */
 /* Table2868 */
-  0x348, /* HADDPDrm */
-  0x349, /* HADDPDrr */
+  0x682, /* MOVDQAmr */
+  0x685, /* MOVDQArr_REV */
 /* Table2870 */
-  0x34d, /* HSUBPDrm */
-  0x34e, /* HSUBPDrr */
+  0x45d, /* JO_2 */
+/* Table2871 */
+  0x454, /* JNO_2 */
 /* Table2872 */
-  0x6a4, /* MOVPDI2DImr */
-  0x6a5, /* MOVPDI2DIrr */
+  0x433, /* JB_2 */
+/* Table2873 */
+  0x42a, /* JAE_2 */
 /* Table2874 */
-  0x687, /* MOVDQAmr */
-  0x68a, /* MOVDQArr_REV */
+  0x439, /* JE_2 */
+/* Table2875 */
+  0x451, /* JNE_2 */
 /* Table2876 */
-  0x462, /* JO_2 */
+  0x430, /* JBE_2 */
 /* Table2877 */
-  0x459, /* JNO_2 */
+  0x42d, /* JA_2 */
 /* Table2878 */
-  0x438, /* JB_2 */
+  0x464, /* JS_2 */
 /* Table2879 */
-  0x42f, /* JAE_2 */
+  0x45a, /* JNS_2 */
 /* Table2880 */
-  0x43e, /* JE_2 */
+  0x460, /* JP_2 */
 /* Table2881 */
-  0x456, /* JNE_2 */
+  0x457, /* JNP_2 */
 /* Table2882 */
-  0x435, /* JBE_2 */
+  0x445, /* JL_2 */
 /* Table2883 */
-  0x432, /* JA_2 */
+  0x43c, /* JGE_2 */
 /* Table2884 */
-  0x469, /* JS_2 */
+  0x442, /* JLE_2 */
 /* Table2885 */
-  0x45f, /* JNS_2 */
+  0x43f, /* JG_2 */
 /* Table2886 */
-  0x465, /* JP_2 */
+  0x8e3, /* PUSHFS16 */
 /* Table2887 */
-  0x45c, /* JNP_2 */
+  0x86c, /* POPFS16 */
 /* Table2888 */
-  0x44a, /* JL_2 */
-/* Table2889 */
-  0x441, /* JGE_2 */
-/* Table2890 */
-  0x447, /* JLE_2 */
-/* Table2891 */
-  0x444, /* JG_2 */
-/* Table2892 */
-  0x8e8, /* PUSHFS16 */
-/* Table2893 */
-  0x871, /* POPFS16 */
-/* Table2894 */
   0x128, /* BT16mr */
   0x12a, /* BT16rr */
+/* Table2890 */
+  0xa4c, /* SHLD16mri8 */
+  0xa4e, /* SHLD16rri8 */
+/* Table2892 */
+  0xa4b, /* SHLD16mrCL */
+  0xa4d, /* SHLD16rrCL */
+/* Table2894 */
+  0x8e6, /* PUSHGS16 */
+/* Table2895 */
+  0x86f, /* POPGS16 */
 /* Table2896 */
-  0xa51, /* SHLD16mri8 */
-  0xa53, /* SHLD16rri8 */
-/* Table2898 */
-  0xa50, /* SHLD16mrCL */
-  0xa52, /* SHLD16rrCL */
-/* Table2900 */
-  0x8eb, /* PUSHGS16 */
-/* Table2901 */
-  0x874, /* POPGS16 */
-/* Table2902 */
   0x14c, /* BTS16mr */
   0x14e, /* BTS16rr */
+/* Table2898 */
+  0xa74, /* SHRD16mri8 */
+  0xa76, /* SHRD16rri8 */
+/* Table2900 */
+  0xa73, /* SHRD16mrCL */
+  0xa75, /* SHRD16rrCL */
+/* Table2902 */
+  0x362, /* IMUL16rm */
+  0x365, /* IMUL16rr */
 /* Table2904 */
-  0xa79, /* SHRD16mri8 */
-  0xa7b, /* SHRD16rri8 */
-/* Table2906 */
-  0xa78, /* SHRD16mrCL */
-  0xa7a, /* SHRD16rrCL */
-/* Table2908 */
-  0x367, /* IMUL16rm */
-  0x36a, /* IMUL16rr */
-/* Table2910 */
   0x23b, /* CMPXCHG16rm */
   0x23c, /* CMPXCHG16rr */
-/* Table2912 */
-  0x52e, /* LSS16rm */
+/* Table2906 */
+  0x529, /* LSS16rm */
   0x0, /*  */
-/* Table2914 */
+/* Table2908 */
   0x140, /* BTR16mr */
   0x142, /* BTR16rr */
+/* Table2910 */
+  0x4c6, /* LFS16rm */
+  0x0, /*  */
+/* Table2912 */
+  0x4cc, /* LGS16rm */
+  0x0, /*  */
+/* Table2914 */
+  0x6d1, /* MOVZX16rm8 */
+  0x6d2, /* MOVZX16rr8 */
 /* Table2916 */
-  0x4cb, /* LFS16rm */
-  0x0, /*  */
-/* Table2918 */
-  0x4d1, /* LGS16rm */
-  0x0, /*  */
-/* Table2920 */
-  0x6d6, /* MOVZX16rm8 */
-  0x6d7, /* MOVZX16rr8 */
-/* Table2922 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -51381,442 +52377,442 @@
   0x14d, /* BTS16ri8 */
   0x141, /* BTR16ri8 */
   0x135, /* BTC16ri8 */
-/* Table2938 */
+/* Table2932 */
   0x134, /* BTC16mr */
   0x136, /* BTC16rr */
-/* Table2940 */
+/* Table2934 */
   0x119, /* BSF16rm */
   0x11a, /* BSF16rr */
-/* Table2942 */
+/* Table2936 */
   0x11f, /* BSR16rm */
   0x120, /* BSR16rr */
-/* Table2944 */
-  0x6be, /* MOVSX16rm8 */
-  0x6bf, /* MOVSX16rr8 */
-/* Table2946 */
-  0x1797, /* XADD16rm */
-  0x1798, /* XADD16rr */
-/* Table2948 */
+/* Table2938 */
+  0x6b9, /* MOVSX16rm8 */
+  0x6ba, /* MOVSX16rr8 */
+/* Table2940 */
+  0x1821, /* XADD16rm */
+  0x1822, /* XADD16rr */
+/* Table2942 */
   0x226, /* CMPPDrmi */
   0x228, /* CMPPDrri */
-/* Table2950 */
-  0x812, /* PINSRWrmi */
-  0x813, /* PINSRWrri */
-/* Table2952 */
+/* Table2944 */
+  0x80d, /* PINSRWrmi */
+  0x80e, /* PINSRWrri */
+/* Table2946 */
   0x0, /*  */
-  0x7d2, /* PEXTRWri */
-/* Table2954 */
-  0xa88, /* SHUFPDrmi */
-  0xa89, /* SHUFPDrri */
-/* Table2956 */
+  0x7cd, /* PEXTRWri */
+/* Table2948 */
+  0xa83, /* SHUFPDrmi */
+  0xa84, /* SHUFPDrri */
+/* Table2950 */
   0x0, /*  */
   0x241, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xf44, /* VMCLEARm */
-  0x1161, /* VMPTRSTm */
+  0xf56, /* VMCLEARm */
+  0x117b, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x932, /* RDRAND16r */
-  0x935, /* RDSEED16r */
-/* Table2972 */
+  0x92d, /* RDRAND16r */
+  0x930, /* RDSEED16r */
+/* Table2966 */
   0x7e, /* ADDSUBPDrm */
   0x7f, /* ADDSUBPDrr */
+/* Table2968 */
+  0x8a4, /* PSRLWrm */
+  0x8a5, /* PSRLWrr */
+/* Table2970 */
+  0x89e, /* PSRLDrm */
+  0x89f, /* PSRLDrr */
+/* Table2972 */
+  0x8a1, /* PSRLQrm */
+  0x8a2, /* PSRLQrr */
 /* Table2974 */
-  0x8a9, /* PSRLWrm */
-  0x8aa, /* PSRLWrr */
+  0x77f, /* PADDQrm */
+  0x780, /* PADDQrr */
 /* Table2976 */
-  0x8a3, /* PSRLDrm */
-  0x8a4, /* PSRLDrr */
+  0x850, /* PMULLWrm */
+  0x851, /* PMULLWrr */
 /* Table2978 */
-  0x8a6, /* PSRLQrm */
-  0x8a7, /* PSRLQrr */
+  0x6a1, /* MOVPQI2QImr */
+  0x6a2, /* MOVPQI2QIrr */
 /* Table2980 */
-  0x784, /* PADDQrm */
-  0x785, /* PADDQrr */
+  0x0, /*  */
+  0x82b, /* PMOVMSKBrr */
 /* Table2982 */
-  0x855, /* PMULLWrm */
-  0x856, /* PMULLWrr */
+  0x8b0, /* PSUBUSBrm */
+  0x8b1, /* PSUBUSBrr */
 /* Table2984 */
-  0x6a6, /* MOVPQI2QImr */
-  0x6a7, /* MOVPQI2QIrr */
+  0x8b2, /* PSUBUSWrm */
+  0x8b3, /* PSUBUSWrr */
 /* Table2986 */
-  0x0, /*  */
-  0x830, /* PMOVMSKBrr */
+  0x825, /* PMINUBrm */
+  0x826, /* PMINUBrr */
 /* Table2988 */
-  0x8b5, /* PSUBUSBrm */
-  0x8b6, /* PSUBUSBrr */
+  0x78f, /* PANDrm */
+  0x790, /* PANDrr */
 /* Table2990 */
-  0x8b7, /* PSUBUSWrm */
-  0x8b8, /* PSUBUSWrr */
+  0x785, /* PADDUSBrm */
+  0x786, /* PADDUSBrr */
 /* Table2992 */
-  0x82a, /* PMINUBrm */
-  0x82b, /* PMINUBrr */
+  0x787, /* PADDUSWrm */
+  0x788, /* PADDUSWrr */
 /* Table2994 */
-  0x794, /* PANDrm */
-  0x795, /* PANDrr */
+  0x819, /* PMAXUBrm */
+  0x81a, /* PMAXUBrr */
 /* Table2996 */
-  0x78a, /* PADDUSBrm */
-  0x78b, /* PADDUSBrr */
+  0x78d, /* PANDNrm */
+  0x78e, /* PANDNrr */
 /* Table2998 */
-  0x78c, /* PADDUSWrm */
-  0x78d, /* PADDUSWrr */
+  0x792, /* PAVGBrm */
+  0x793, /* PAVGBrr */
 /* Table3000 */
-  0x81e, /* PMAXUBrm */
-  0x81f, /* PMAXUBrr */
+  0x89a, /* PSRAWrm */
+  0x89b, /* PSRAWrr */
 /* Table3002 */
-  0x792, /* PANDNrm */
-  0x793, /* PANDNrr */
+  0x897, /* PSRADrm */
+  0x898, /* PSRADrr */
 /* Table3004 */
-  0x797, /* PAVGBrm */
-  0x798, /* PAVGBrr */
+  0x796, /* PAVGWrm */
+  0x797, /* PAVGWrr */
 /* Table3006 */
-  0x89f, /* PSRAWrm */
-  0x8a0, /* PSRAWrr */
+  0x84a, /* PMULHUWrm */
+  0x84b, /* PMULHUWrr */
 /* Table3008 */
-  0x89c, /* PSRADrm */
-  0x89d, /* PSRADrr */
+  0x84c, /* PMULHWrm */
+  0x84d, /* PMULHWrr */
 /* Table3010 */
-  0x79b, /* PAVGWrm */
-  0x79c, /* PAVGWrr */
+  0x27d, /* CVTTPD2DQrm */
+  0x27e, /* CVTTPD2DQrr */
 /* Table3012 */
-  0x84f, /* PMULHUWrm */
-  0x850, /* PMULHUWrr */
+  0x697, /* MOVNTDQmr */
+  0x0, /*  */
 /* Table3014 */
-  0x851, /* PMULHWrm */
-  0x852, /* PMULHWrr */
+  0x8ac, /* PSUBSBrm */
+  0x8ad, /* PSUBSBrr */
 /* Table3016 */
-  0x27e, /* CVTTPD2DQrm */
-  0x27f, /* CVTTPD2DQrr */
+  0x8ae, /* PSUBSWrm */
+  0x8af, /* PSUBSWrr */
 /* Table3018 */
-  0x69c, /* MOVNTDQmr */
-  0x0, /*  */
+  0x823, /* PMINSWrm */
+  0x824, /* PMINSWrr */
 /* Table3020 */
-  0x8b1, /* PSUBSBrm */
-  0x8b2, /* PSUBSBrr */
+  0x874, /* PORrm */
+  0x875, /* PORrr */
 /* Table3022 */
-  0x8b3, /* PSUBSWrm */
-  0x8b4, /* PSUBSWrr */
+  0x781, /* PADDSBrm */
+  0x782, /* PADDSBrr */
 /* Table3024 */
-  0x828, /* PMINSWrm */
-  0x829, /* PMINSWrr */
+  0x783, /* PADDSWrm */
+  0x784, /* PADDSWrr */
 /* Table3026 */
-  0x879, /* PORrm */
-  0x87a, /* PORrr */
+  0x817, /* PMAXSWrm */
+  0x818, /* PMAXSWrr */
 /* Table3028 */
-  0x786, /* PADDSBrm */
-  0x787, /* PADDSBrr */
+  0x8ed, /* PXORrm */
+  0x8ee, /* PXORrr */
 /* Table3030 */
-  0x788, /* PADDSWrm */
-  0x789, /* PADDSWrr */
+  0x894, /* PSLLWrm */
+  0x895, /* PSLLWrr */
 /* Table3032 */
-  0x81c, /* PMAXSWrm */
-  0x81d, /* PMAXSWrr */
+  0x88e, /* PSLLDrm */
+  0x88f, /* PSLLDrr */
 /* Table3034 */
-  0x8f2, /* PXORrm */
-  0x8f3, /* PXORrr */
+  0x891, /* PSLLQrm */
+  0x892, /* PSLLQrr */
 /* Table3036 */
-  0x899, /* PSLLWrm */
-  0x89a, /* PSLLWrr */
+  0x852, /* PMULUDQrm */
+  0x853, /* PMULUDQrr */
 /* Table3038 */
-  0x893, /* PSLLDrm */
-  0x894, /* PSLLDrr */
+  0x811, /* PMADDWDrm */
+  0x812, /* PMADDWDrr */
 /* Table3040 */
-  0x896, /* PSLLQrm */
-  0x897, /* PSLLQrr */
+  0x87c, /* PSADBWrm */
+  0x87d, /* PSADBWrr */
 /* Table3042 */
-  0x857, /* PMULUDQrm */
-  0x858, /* PMULUDQrr */
+  0x0, /*  */
+  0x538, /* MASKMOVDQU */
 /* Table3044 */
-  0x816, /* PMADDWDrm */
-  0x817, /* PMADDWDrr */
+  0x8a6, /* PSUBBrm */
+  0x8a7, /* PSUBBrr */
 /* Table3046 */
-  0x881, /* PSADBWrm */
-  0x882, /* PSADBWrr */
+  0x8b4, /* PSUBWrm */
+  0x8b5, /* PSUBWrr */
 /* Table3048 */
-  0x0, /*  */
-  0x53d, /* MASKMOVDQU */
+  0x8a8, /* PSUBDrm */
+  0x8a9, /* PSUBDrr */
 /* Table3050 */
-  0x8ab, /* PSUBBrm */
-  0x8ac, /* PSUBBrr */
+  0x8aa, /* PSUBQrm */
+  0x8ab, /* PSUBQrr */
 /* Table3052 */
-  0x8b9, /* PSUBWrm */
-  0x8ba, /* PSUBWrr */
+  0x77b, /* PADDBrm */
+  0x77c, /* PADDBrr */
 /* Table3054 */
-  0x8ad, /* PSUBDrm */
-  0x8ae, /* PSUBDrr */
+  0x789, /* PADDWrm */
+  0x78a, /* PADDWrr */
 /* Table3056 */
-  0x8af, /* PSUBQrm */
-  0x8b0, /* PSUBQrr */
+  0x77d, /* PADDDrm */
+  0x77e, /* PADDDrr */
 /* Table3058 */
-  0x780, /* PADDBrm */
-  0x781, /* PADDBrr */
+  0x6a7, /* MOVSDrm */
+  0x6a8, /* MOVSDrr */
 /* Table3060 */
-  0x78e, /* PADDWrm */
-  0x78f, /* PADDWrr */
+  0x6a6, /* MOVSDmr */
+  0x6a9, /* MOVSDrr_REV */
 /* Table3062 */
-  0x782, /* PADDDrm */
-  0x783, /* PADDDrr */
+  0x67c, /* MOVDDUPrm */
+  0x67d, /* MOVDDUPrr */
 /* Table3064 */
-  0x6ac, /* MOVSDrm */
-  0x6ad, /* MOVSDrr */
+  0x271, /* CVTSI2SDrm */
+  0x272, /* CVTSI2SDrr */
 /* Table3066 */
-  0x6ab, /* MOVSDmr */
-  0x6ae, /* MOVSDrr_REV */
-/* Table3068 */
-  0x681, /* MOVDDUPrm */
-  0x682, /* MOVDDUPrr */
-/* Table3070 */
-  0x272, /* CVTSI2SDrm */
-  0x273, /* CVTSI2SDrr */
-/* Table3072 */
-  0x6a1, /* MOVNTSD */
+  0x69c, /* MOVNTSD */
   0x0, /*  */
+/* Table3068 */
+  0x283, /* CVTTSD2SIrm */
+  0x284, /* CVTTSD2SIrr */
+/* Table3070 */
+  0x26b, /* CVTSD2SIrm */
+  0x26c, /* CVTSD2SIrr */
+/* Table3072 */
+  0xa9c, /* SQRTSDm */
+  0xa9e, /* SQRTSDr */
 /* Table3074 */
-  0x284, /* CVTTSD2SIrm */
-  0x285, /* CVTTSD2SIrr */
-/* Table3076 */
-  0x26c, /* CVTSD2SIrm */
-  0x26d, /* CVTSD2SIrr */
-/* Table3078 */
-  0xaa1, /* SQRTSDm */
-  0xaa3, /* SQRTSDr */
-/* Table3080 */
   0x76, /* ADDSDrm */
   0x78, /* ADDSDrr */
+/* Table3076 */
+  0x6eb, /* MULSDrm */
+  0x6ed, /* MULSDrr */
+/* Table3078 */
+  0x26d, /* CVTSD2SSrm */
+  0x26e, /* CVTSD2SSrr */
+/* Table3080 */
+  0xb03, /* SUBSDrm */
+  0xb05, /* SUBSDrr */
 /* Table3082 */
-  0x6f0, /* MULSDrm */
-  0x6f2, /* MULSDrr */
+  0x55b, /* MINSDrm */
+  0x55d, /* MINSDrr */
 /* Table3084 */
-  0x26e, /* CVTSD2SSrm */
-  0x26f, /* CVTSD2SSrr */
+  0x2ba, /* DIVSDrm */
+  0x2bc, /* DIVSDrr */
 /* Table3086 */
-  0xb09, /* SUBSDrm */
-  0xb0b, /* SUBSDrr */
+  0x546, /* MAXSDrm */
+  0x548, /* MAXSDrr */
 /* Table3088 */
-  0x560, /* MINSDrm */
-  0x562, /* MINSDrr */
+  0x884, /* PSHUFLWmi */
+  0x885, /* PSHUFLWri */
 /* Table3090 */
-  0x2bb, /* DIVSDrm */
-  0x2bd, /* DIVSDrr */
+  0x117c, /* VMREAD32rm */
+  0x392, /* INSERTQI */
 /* Table3092 */
-  0x54b, /* MAXSDrm */
-  0x54d, /* MAXSDrr */
+  0x11ab, /* VMWRITE32rm */
+  0x391, /* INSERTQ */
 /* Table3094 */
-  0x889, /* PSHUFLWmi */
-  0x88a, /* PSHUFLWri */
+  0x345, /* HADDPSrm */
+  0x346, /* HADDPSrr */
 /* Table3096 */
-  0x1162, /* VMREAD32rm */
-  0x397, /* INSERTQI */
+  0x34a, /* HSUBPSrm */
+  0x34b, /* HSUBPSrr */
 /* Table3098 */
-  0x1191, /* VMWRITE32rm */
-  0x396, /* INSERTQ */
-/* Table3100 */
-  0x34a, /* HADDPSrm */
-  0x34b, /* HADDPSrr */
-/* Table3102 */
-  0x34f, /* HSUBPSrm */
-  0x350, /* HSUBPSrr */
-/* Table3104 */
   0x22f, /* CMPSDrm */
   0x231, /* CMPSDrr */
-/* Table3106 */
+/* Table3100 */
   0x80, /* ADDSUBPSrm */
   0x81, /* ADDSUBPSrr */
+/* Table3102 */
+  0x0, /*  */
+  0x578, /* MMX_MOVDQ2Qrr */
+/* Table3104 */
+  0x261, /* CVTPD2DQrm */
+  0x262, /* CVTPD2DQrr */
+/* Table3106 */
+  0x4a7, /* LDDQUrm */
+  0x0, /*  */
 /* Table3108 */
-  0x0, /*  */
-  0x57d, /* MMX_MOVDQ2Qrr */
+  0x6b5, /* MOVSSrm */
+  0x6b6, /* MOVSSrr */
 /* Table3110 */
-  0x262, /* CVTPD2DQrm */
-  0x263, /* CVTPD2DQrr */
+  0x6b4, /* MOVSSmr */
+  0x6b7, /* MOVSSrr_REV */
 /* Table3112 */
-  0x4ac, /* LDDQUrm */
-  0x0, /*  */
+  0x6af, /* MOVSLDUPrm */
+  0x6b0, /* MOVSLDUPrr */
 /* Table3114 */
-  0x6ba, /* MOVSSrm */
-  0x6bb, /* MOVSSrr */
+  0x6ac, /* MOVSHDUPrm */
+  0x6ad, /* MOVSHDUPrr */
 /* Table3116 */
-  0x6b9, /* MOVSSmr */
-  0x6bc, /* MOVSSrr_REV */
+  0x275, /* CVTSI2SSrm */
+  0x276, /* CVTSI2SSrr */
 /* Table3118 */
-  0x6b4, /* MOVSLDUPrm */
-  0x6b5, /* MOVSLDUPrr */
-/* Table3120 */
-  0x6b1, /* MOVSHDUPrm */
-  0x6b2, /* MOVSHDUPrr */
-/* Table3122 */
-  0x276, /* CVTSI2SSrm */
-  0x277, /* CVTSI2SSrr */
-/* Table3124 */
-  0x6a2, /* MOVNTSS */
+  0x69d, /* MOVNTSS */
   0x0, /*  */
+/* Table3120 */
+  0x287, /* CVTTSS2SIrm */
+  0x288, /* CVTTSS2SIrr */
+/* Table3122 */
+  0x27b, /* CVTSS2SIrm */
+  0x27c, /* CVTSS2SIrr */
+/* Table3124 */
+  0xaa0, /* SQRTSSm */
+  0xaa2, /* SQRTSSr */
 /* Table3126 */
-  0x288, /* CVTTSS2SIrm */
-  0x289, /* CVTTSS2SIrr */
+  0x993, /* RSQRTSSm */
+  0x995, /* RSQRTSSr */
 /* Table3128 */
-  0x27c, /* CVTSS2SIrm */
-  0x27d, /* CVTSS2SIrr */
+  0x90b, /* RCPSSm */
+  0x90d, /* RCPSSr */
 /* Table3130 */
-  0xaa5, /* SQRTSSm */
-  0xaa7, /* SQRTSSr */
-/* Table3132 */
-  0x998, /* RSQRTSSm */
-  0x99a, /* RSQRTSSr */
-/* Table3134 */
-  0x910, /* RCPSSm */
-  0x912, /* RCPSSr */
-/* Table3136 */
   0x7a, /* ADDSSrm */
   0x7c, /* ADDSSrr */
+/* Table3132 */
+  0x6ef, /* MULSSrm */
+  0x6f1, /* MULSSrr */
+/* Table3134 */
+  0x277, /* CVTSS2SDrm */
+  0x278, /* CVTSS2SDrr */
+/* Table3136 */
+  0x27f, /* CVTTPS2DQrm */
+  0x280, /* CVTTPS2DQrr */
 /* Table3138 */
-  0x6f4, /* MULSSrm */
-  0x6f6, /* MULSSrr */
+  0xb07, /* SUBSSrm */
+  0xb09, /* SUBSSrr */
 /* Table3140 */
-  0x278, /* CVTSS2SDrm */
-  0x279, /* CVTSS2SDrr */
+  0x55f, /* MINSSrm */
+  0x561, /* MINSSrr */
 /* Table3142 */
-  0x280, /* CVTTPS2DQrm */
-  0x281, /* CVTTPS2DQrr */
+  0x2be, /* DIVSSrm */
+  0x2c0, /* DIVSSrr */
 /* Table3144 */
-  0xb0d, /* SUBSSrm */
-  0xb0f, /* SUBSSrr */
+  0x54a, /* MAXSSrm */
+  0x54c, /* MAXSSrr */
 /* Table3146 */
-  0x564, /* MINSSrm */
-  0x566, /* MINSSrr */
+  0x687, /* MOVDQUrm */
+  0x688, /* MOVDQUrr */
 /* Table3148 */
-  0x2bf, /* DIVSSrm */
-  0x2c1, /* DIVSSrr */
+  0x882, /* PSHUFHWmi */
+  0x883, /* PSHUFHWri */
 /* Table3150 */
-  0x54f, /* MAXSSrm */
-  0x551, /* MAXSSrr */
+  0x6a4, /* MOVQI2PQIrm */
+  0x6ce, /* MOVZPQILo2PQIrr */
 /* Table3152 */
-  0x68c, /* MOVDQUrm */
-  0x68d, /* MOVDQUrr */
+  0x686, /* MOVDQUmr */
+  0x689, /* MOVDQUrr_REV */
 /* Table3154 */
-  0x887, /* PSHUFHWmi */
-  0x888, /* PSHUFHWri */
+  0x861, /* POPCNT32rm */
+  0x862, /* POPCNT32rr */
 /* Table3156 */
-  0x6a9, /* MOVQI2PQIrm */
-  0x6d3, /* MOVZPQILo2PQIrr */
+  0xb61, /* TZCNT32rm */
+  0xb62, /* TZCNT32rr */
 /* Table3158 */
-  0x68b, /* MOVDQUmr */
-  0x68e, /* MOVDQUrr_REV */
+  0x534, /* LZCNT32rm */
+  0x535, /* LZCNT32rr */
 /* Table3160 */
-  0x866, /* POPCNT32rm */
-  0x867, /* POPCNT32rr */
-/* Table3162 */
-  0xb67, /* TZCNT32rm */
-  0xb68, /* TZCNT32rr */
-/* Table3164 */
-  0x539, /* LZCNT32rm */
-  0x53a, /* LZCNT32rr */
-/* Table3166 */
   0x235, /* CMPSSrm */
   0x237, /* CMPSSrr */
-/* Table3168 */
+/* Table3162 */
   0x0, /*  */
   0x241, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1196, /* VMXON */
-  0x1161, /* VMPTRSTm */
+  0x11b0, /* VMXON */
+  0x117b, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x933, /* RDRAND32r */
-  0x936, /* RDSEED32r */
+  0x92e, /* RDRAND32r */
+  0x931, /* RDSEED32r */
+/* Table3178 */
+  0x0, /*  */
+  0x57b, /* MMX_MOVQ2DQrr */
+/* Table3180 */
+  0x25d, /* CVTDQ2PDrm */
+  0x25e, /* CVTDQ2PDrr */
+/* Table3182 */
+  0x85f, /* POPCNT16rm */
+  0x860, /* POPCNT16rr */
 /* Table3184 */
-  0x0, /*  */
-  0x580, /* MMX_MOVQ2DQrr */
+  0xb5f, /* TZCNT16rm */
+  0xb60, /* TZCNT16rr */
 /* Table3186 */
-  0x25e, /* CVTDQ2PDrm */
-  0x25f, /* CVTDQ2PDrr */
+  0x532, /* LZCNT16rm */
+  0x533, /* LZCNT16rr */
 /* Table3188 */
-  0x864, /* POPCNT16rm */
-  0x865, /* POPCNT16rr */
-/* Table3190 */
-  0xb65, /* TZCNT16rm */
-  0xb66, /* TZCNT16rr */
-/* Table3192 */
-  0x537, /* LZCNT16rm */
-  0x538, /* LZCNT16rr */
-/* Table3194 */
-  0xa97, /* SLDT64m */
-  0xabb, /* STRm */
-  0x4d7, /* LLDT16m */
-  0x531, /* LTRm */
-  0xcfc, /* VERRm */
-  0xcfe, /* VERWm */
+  0xa92, /* SLDT64m */
+  0xab5, /* STRm */
+  0x4d2, /* LLDT16m */
+  0x52c, /* LTRm */
+  0xcf6, /* VERRm */
+  0xcf8, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0xa98, /* SLDT64r */
-  0xaba, /* STR64r */
-  0x4d8, /* LLDT16r */
-  0x532, /* LTRr */
-  0xcfd, /* VERRr */
-  0xcff, /* VERWr */
+  0xa93, /* SLDT64r */
+  0xab4, /* STR64r */
+  0x4d3, /* LLDT16r */
+  0x52d, /* LTRr */
+  0xcf7, /* VERRr */
+  0xcf9, /* VERWr */
   0x0, /*  */
   0x0, /*  */
-/* Table3210 */
-  0xa29, /* SGDT64m */
-  0xa8e, /* SIDT64m */
-  0x4d0, /* LGDT64m */
-  0x4d6, /* LIDT64m */
-  0xa99, /* SMSW16m */
+/* Table3204 */
+  0xa24, /* SGDT64m */
+  0xa89, /* SIDT64m */
+  0x4cb, /* LGDT64m */
+  0x4d1, /* LIDT64m */
+  0xa94, /* SMSW16m */
   0x0, /*  */
-  0x4d9, /* LMSW16m */
-  0x3a1, /* INVLPG */
+  0x4d4, /* LMSW16m */
+  0x39c, /* INVLPG */
   0x0, /*  */
-  0xf43, /* VMCALL */
-  0xf78, /* VMLAUNCH */
-  0x1166, /* VMRESUME */
-  0x1195, /* VMXOFF */
+  0xf55, /* VMCALL */
+  0xf8a, /* VMLAUNCH */
+  0x1180, /* VMRESUME */
+  0x11af, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x623, /* MONITORrrr */
-  0x711, /* MWAITrr */
+  0x61e, /* MONITORrrr */
+  0x70c, /* MWAITrr */
   0x16b, /* CLAC */
-  0xaae, /* STAC */
+  0xaa8, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2e4, /* ENCLS */
-  0x17b4, /* XGETBV */
-  0x17e4, /* XSETBV */
+  0x2e2, /* ENCLS */
+  0x183e, /* XGETBV */
+  0x186e, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0xf45, /* VMFUNC */
-  0x17b3, /* XEND */
-  0x17e8, /* XTEST */
-  0x2e5, /* ENCLU */
-  0x1168, /* VMRUN64 */
-  0xf7b, /* VMMCALL */
-  0xf7a, /* VMLOAD64 */
-  0x116a, /* VMSAVE64 */
-  0xab1, /* STGI */
+  0xf57, /* VMFUNC */
+  0x183d, /* XEND */
+  0x1872, /* XTEST */
+  0x2e3, /* ENCLU */
+  0x1182, /* VMRUN64 */
+  0xf8d, /* VMMCALL */
+  0xf8c, /* VMLOAD64 */
+  0x1184, /* VMSAVE64 */
+  0xaab, /* STGI */
   0x16f, /* CLGI */
-  0xa93, /* SKINIT */
-  0x3a3, /* INVLPGA64 */
-  0xa9c, /* SMSW64r */
-  0xa9c, /* SMSW64r */
-  0xa9c, /* SMSW64r */
-  0xa9c, /* SMSW64r */
-  0xa9c, /* SMSW64r */
-  0xa9c, /* SMSW64r */
-  0xa9c, /* SMSW64r */
-  0xa9c, /* SMSW64r */
+  0xa8e, /* SKINIT */
+  0x39e, /* INVLPGA64 */
+  0xa97, /* SMSW64r */
+  0xa97, /* SMSW64r */
+  0xa97, /* SMSW64r */
+  0xa97, /* SMSW64r */
+  0xa97, /* SMSW64r */
+  0xa97, /* SMSW64r */
+  0xa97, /* SMSW64r */
+  0xa97, /* SMSW64r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -51825,112 +52821,112 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0xb26, /* SWAPGS */
-  0x939, /* RDTSCP */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0xb20, /* SWAPGS */
+  0x934, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table3276 */
+  0x49f, /* LAR64rm */
+  0x4a0, /* LAR64rr */
+/* Table3278 */
+  0x527, /* LSL64rm */
+  0x528, /* LSL64rr */
+/* Table3280 */
+  0xb26, /* SYSRET64 */
+/* Table3281 */
+  0xb24, /* SYSEXIT64 */
 /* Table3282 */
-  0x4a4, /* LAR64rm */
-  0x4a5, /* LAR64rr */
-/* Table3284 */
-  0x52c, /* LSL64rm */
-  0x52d, /* LSL64rr */
-/* Table3286 */
-  0xb2c, /* SYSRET64 */
-/* Table3287 */
-  0xb2a, /* SYSEXIT64 */
-/* Table3288 */
   0x1e1, /* CMOVO64rm */
   0x1e2, /* CMOVO64rr */
-/* Table3290 */
+/* Table3284 */
   0x1cb, /* CMOVNO64rm */
   0x1cc, /* CMOVNO64rr */
-/* Table3292 */
+/* Table3286 */
   0x183, /* CMOVB64rm */
   0x184, /* CMOVB64rr */
-/* Table3294 */
+/* Table3288 */
   0x17d, /* CMOVAE64rm */
   0x17e, /* CMOVAE64rr */
-/* Table3296 */
+/* Table3290 */
   0x197, /* CMOVE64rm */
   0x198, /* CMOVE64rr */
-/* Table3298 */
+/* Table3292 */
   0x1c1, /* CMOVNE64rm */
   0x1c2, /* CMOVNE64rr */
-/* Table3300 */
+/* Table3294 */
   0x189, /* CMOVBE64rm */
   0x18a, /* CMOVBE64rr */
-/* Table3302 */
+/* Table3296 */
   0x177, /* CMOVA64rm */
   0x178, /* CMOVA64rr */
-/* Table3304 */
+/* Table3298 */
   0x1f1, /* CMOVS64rm */
   0x1f2, /* CMOVS64rr */
-/* Table3306 */
+/* Table3300 */
   0x1db, /* CMOVNS64rm */
   0x1dc, /* CMOVNS64rr */
-/* Table3308 */
+/* Table3302 */
   0x1e7, /* CMOVP64rm */
   0x1e8, /* CMOVP64rr */
-/* Table3310 */
+/* Table3304 */
   0x1d1, /* CMOVNP64rm */
   0x1d2, /* CMOVNP64rr */
-/* Table3312 */
+/* Table3306 */
   0x1ad, /* CMOVL64rm */
   0x1ae, /* CMOVL64rr */
-/* Table3314 */
+/* Table3308 */
   0x1a7, /* CMOVGE64rm */
   0x1a8, /* CMOVGE64rr */
-/* Table3316 */
+/* Table3310 */
   0x1b3, /* CMOVLE64rm */
   0x1b4, /* CMOVLE64rr */
-/* Table3318 */
+/* Table3312 */
   0x1a1, /* CMOVG64rm */
   0x1a2, /* CMOVG64rr */
-/* Table3320 */
-  0x57a, /* MMX_MOVD64rm */
-  0x57c, /* MMX_MOVD64to64rr */
-/* Table3322 */
-  0x579, /* MMX_MOVD64mr */
-  0x577, /* MMX_MOVD64from64rr */
-/* Table3324 */
+/* Table3314 */
+  0x575, /* MMX_MOVD64rm */
+  0x577, /* MMX_MOVD64to64rr */
+/* Table3316 */
+  0x574, /* MMX_MOVD64mr */
+  0x572, /* MMX_MOVD64from64rr */
+/* Table3318 */
   0x130, /* BT64mr */
   0x132, /* BT64rr */
-/* Table3326 */
-  0xa59, /* SHLD64mri8 */
-  0xa5b, /* SHLD64rri8 */
-/* Table3328 */
-  0xa58, /* SHLD64mrCL */
-  0xa5a, /* SHLD64rrCL */
-/* Table3330 */
+/* Table3320 */
+  0xa54, /* SHLD64mri8 */
+  0xa56, /* SHLD64rri8 */
+/* Table3322 */
+  0xa53, /* SHLD64mrCL */
+  0xa55, /* SHLD64rrCL */
+/* Table3324 */
   0x154, /* BTS64mr */
   0x156, /* BTS64rr */
-/* Table3332 */
-  0xa81, /* SHRD64mri8 */
-  0xa83, /* SHRD64rri8 */
-/* Table3334 */
-  0xa80, /* SHRD64mrCL */
-  0xa82, /* SHRD64rrCL */
-/* Table3336 */
-  0x32c, /* FXSAVE64 */
-  0x32a, /* FXRSTOR64 */
-  0x4ad, /* LDMXCSR */
-  0xab3, /* STMXCSR */
-  0x17e1, /* XSAVE64 */
-  0x17df, /* XRSTOR64 */
-  0x17e3, /* XSAVEOPT64 */
+/* Table3326 */
+  0xa7c, /* SHRD64mri8 */
+  0xa7e, /* SHRD64rri8 */
+/* Table3328 */
+  0xa7b, /* SHRD64mrCL */
+  0xa7d, /* SHRD64rrCL */
+/* Table3330 */
+  0x328, /* FXSAVE64 */
+  0x326, /* FXRSTOR64 */
+  0x4a8, /* LDMXCSR */
+  0xaad, /* STMXCSR */
+  0x186b, /* XSAVE64 */
+  0x1869, /* XRSTOR64 */
+  0x186d, /* XSAVEOPT64 */
   0x16e, /* CLFLUSH */
   0x0, /*  */
   0x0, /*  */
@@ -51972,7 +52968,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4ca, /* LFENCE */
+  0x4c5, /* LFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -51980,7 +52976,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x553, /* MFENCE */
+  0x54e, /* MFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -51988,7 +52984,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xa26, /* SFENCE */
+  0xa21, /* SFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -51996,31 +52992,31 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table3408 */
-  0x377, /* IMUL64rm */
-  0x37a, /* IMUL64rr */
-/* Table3410 */
+/* Table3402 */
+  0x372, /* IMUL64rm */
+  0x375, /* IMUL64rr */
+/* Table3404 */
   0x23f, /* CMPXCHG64rm */
   0x240, /* CMPXCHG64rr */
-/* Table3412 */
-  0x530, /* LSS64rm */
+/* Table3406 */
+  0x52b, /* LSS64rm */
   0x0, /*  */
-/* Table3414 */
+/* Table3408 */
   0x148, /* BTR64mr */
   0x14a, /* BTR64rr */
+/* Table3410 */
+  0x4c8, /* LFS64rm */
+  0x0, /*  */
+/* Table3412 */
+  0x4ce, /* LGS64rm */
+  0x0, /*  */
+/* Table3414 */
+  0x6da, /* MOVZX64rm8_Q */
+  0x6dc, /* MOVZX64rr8_Q */
 /* Table3416 */
-  0x4cd, /* LFS64rm */
-  0x0, /*  */
+  0x6d9, /* MOVZX64rm16_Q */
+  0x6db, /* MOVZX64rr16_Q */
 /* Table3418 */
-  0x4d3, /* LGS64rm */
-  0x0, /*  */
-/* Table3420 */
-  0x6df, /* MOVZX64rm8_Q */
-  0x6e1, /* MOVZX64rr8_Q */
-/* Table3422 */
-  0x6de, /* MOVZX64rm16_Q */
-  0x6e0, /* MOVZX64rr16_Q */
-/* Table3424 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -52037,95 +53033,95 @@
   0x155, /* BTS64ri8 */
   0x149, /* BTR64ri8 */
   0x13d, /* BTC64ri8 */
-/* Table3440 */
+/* Table3434 */
   0x13c, /* BTC64mr */
   0x13e, /* BTC64rr */
-/* Table3442 */
+/* Table3436 */
   0x11d, /* BSF64rm */
   0x11e, /* BSF64rr */
-/* Table3444 */
+/* Table3438 */
   0x123, /* BSR64rm */
   0x124, /* BSR64rr */
+/* Table3440 */
+  0x6c1, /* MOVSX64rm8 */
+  0x6c4, /* MOVSX64rr8 */
+/* Table3442 */
+  0x6bf, /* MOVSX64rm16 */
+  0x6c2, /* MOVSX64rr16 */
+/* Table3444 */
+  0x1825, /* XADD64rm */
+  0x1826, /* XADD64rr */
 /* Table3446 */
-  0x6c6, /* MOVSX64rm8 */
-  0x6c9, /* MOVSX64rr8 */
+  0x698, /* MOVNTI_64mr */
+  0x0, /*  */
 /* Table3448 */
-  0x6c4, /* MOVSX64rm16 */
-  0x6c7, /* MOVSX64rr16 */
-/* Table3450 */
-  0x179b, /* XADD64rm */
-  0x179c, /* XADD64rr */
-/* Table3452 */
-  0x69d, /* MOVNTI_64mr */
-  0x0, /*  */
-/* Table3454 */
   0x0, /*  */
   0x23a, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1160, /* VMPTRLDm */
-  0x1161, /* VMPTRSTm */
+  0x117a, /* VMPTRLDm */
+  0x117b, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x934, /* RDRAND64r */
-  0x937, /* RDSEED64r */
-/* Table3470 */
+  0x92f, /* RDRAND64r */
+  0x932, /* RDSEED64r */
+/* Table3464 */
   0x126, /* BSWAP64r */
-/* Table3471 */
-  0xa29, /* SGDT64m */
-  0xa8e, /* SIDT64m */
-  0x4d0, /* LGDT64m */
-  0x4d6, /* LIDT64m */
-  0xa99, /* SMSW16m */
+/* Table3465 */
+  0xa24, /* SGDT64m */
+  0xa89, /* SIDT64m */
+  0x4cb, /* LGDT64m */
+  0x4d1, /* LIDT64m */
+  0xa94, /* SMSW16m */
   0x0, /*  */
-  0x4d9, /* LMSW16m */
-  0x3a1, /* INVLPG */
+  0x4d4, /* LMSW16m */
+  0x39c, /* INVLPG */
   0x0, /*  */
-  0xf43, /* VMCALL */
-  0xf78, /* VMLAUNCH */
-  0x1166, /* VMRESUME */
-  0x1195, /* VMXOFF */
+  0xf55, /* VMCALL */
+  0xf8a, /* VMLAUNCH */
+  0x1180, /* VMRESUME */
+  0x11af, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x623, /* MONITORrrr */
-  0x711, /* MWAITrr */
+  0x61e, /* MONITORrrr */
+  0x70c, /* MWAITrr */
   0x16b, /* CLAC */
-  0xaae, /* STAC */
+  0xaa8, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2e4, /* ENCLS */
-  0x17b4, /* XGETBV */
-  0x17e4, /* XSETBV */
+  0x2e2, /* ENCLS */
+  0x183e, /* XGETBV */
+  0x186e, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0xf45, /* VMFUNC */
-  0x17b3, /* XEND */
-  0x17e8, /* XTEST */
-  0x2e5, /* ENCLU */
-  0x1168, /* VMRUN64 */
-  0xf7b, /* VMMCALL */
-  0xf7a, /* VMLOAD64 */
-  0x116a, /* VMSAVE64 */
-  0xab1, /* STGI */
+  0xf57, /* VMFUNC */
+  0x183d, /* XEND */
+  0x1872, /* XTEST */
+  0x2e3, /* ENCLU */
+  0x1182, /* VMRUN64 */
+  0xf8d, /* VMMCALL */
+  0xf8c, /* VMLOAD64 */
+  0x1184, /* VMSAVE64 */
+  0xaab, /* STGI */
   0x16f, /* CLGI */
-  0xa93, /* SKINIT */
-  0x3a3, /* INVLPGA64 */
-  0xa9a, /* SMSW16r */
-  0xa9a, /* SMSW16r */
-  0xa9a, /* SMSW16r */
-  0xa9a, /* SMSW16r */
-  0xa9a, /* SMSW16r */
-  0xa9a, /* SMSW16r */
-  0xa9a, /* SMSW16r */
-  0xa9a, /* SMSW16r */
+  0xa8e, /* SKINIT */
+  0x39e, /* INVLPGA64 */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -52134,78 +53130,78 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0x4da, /* LMSW16r */
-  0xb26, /* SWAPGS */
-  0x939, /* RDTSCP */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0xb20, /* SWAPGS */
+  0x934, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table3537 */
+  0x117e, /* VMREAD64rm */
+  0x2e8, /* EXTRQI */
+/* Table3539 */
+  0x11ad, /* VMWRITE64rm */
+  0x2e7, /* EXTRQ */
+/* Table3541 */
+  0x0, /*  */
+  0x539, /* MASKMOVDQU64 */
 /* Table3543 */
-  0x1164, /* VMREAD64rm */
-  0x2eb, /* EXTRQI */
+  0x117e, /* VMREAD64rm */
+  0x392, /* INSERTQI */
 /* Table3545 */
-  0x1193, /* VMWRITE64rm */
-  0x2ea, /* EXTRQ */
+  0x11ad, /* VMWRITE64rm */
+  0x391, /* INSERTQ */
 /* Table3547 */
-  0x0, /*  */
-  0x53e, /* MASKMOVDQU64 */
-/* Table3549 */
-  0x1164, /* VMREAD64rm */
-  0x397, /* INSERTQI */
-/* Table3551 */
-  0x1193, /* VMWRITE64rm */
-  0x396, /* INSERTQ */
-/* Table3553 */
-  0x32b, /* FXSAVE */
-  0x329, /* FXRSTOR */
-  0x4ad, /* LDMXCSR */
-  0xab3, /* STMXCSR */
-  0x17e0, /* XSAVE */
-  0x17de, /* XRSTOR */
-  0x17e2, /* XSAVEOPT */
+  0x327, /* FXSAVE */
+  0x325, /* FXRSTOR */
+  0x4a8, /* LDMXCSR */
+  0xaad, /* STMXCSR */
+  0x186a, /* XSAVE */
+  0x1868, /* XRSTOR */
+  0x186c, /* XSAVEOPT */
   0x16e, /* CLFLUSH */
-  0x92c, /* RDFSBASE */
-  0x92c, /* RDFSBASE */
-  0x92c, /* RDFSBASE */
-  0x92c, /* RDFSBASE */
-  0x92c, /* RDFSBASE */
-  0x92c, /* RDFSBASE */
-  0x92c, /* RDFSBASE */
-  0x92c, /* RDFSBASE */
-  0x92e, /* RDGSBASE */
-  0x92e, /* RDGSBASE */
-  0x92e, /* RDGSBASE */
-  0x92e, /* RDGSBASE */
-  0x92e, /* RDGSBASE */
-  0x92e, /* RDGSBASE */
-  0x92e, /* RDGSBASE */
-  0x92e, /* RDGSBASE */
-  0x1790, /* WRFSBASE */
-  0x1790, /* WRFSBASE */
-  0x1790, /* WRFSBASE */
-  0x1790, /* WRFSBASE */
-  0x1790, /* WRFSBASE */
-  0x1790, /* WRFSBASE */
-  0x1790, /* WRFSBASE */
-  0x1790, /* WRFSBASE */
-  0x1792, /* WRGSBASE */
-  0x1792, /* WRGSBASE */
-  0x1792, /* WRGSBASE */
-  0x1792, /* WRGSBASE */
-  0x1792, /* WRGSBASE */
-  0x1792, /* WRGSBASE */
-  0x1792, /* WRGSBASE */
-  0x1792, /* WRGSBASE */
+  0x927, /* RDFSBASE */
+  0x927, /* RDFSBASE */
+  0x927, /* RDFSBASE */
+  0x927, /* RDFSBASE */
+  0x927, /* RDFSBASE */
+  0x927, /* RDFSBASE */
+  0x927, /* RDFSBASE */
+  0x927, /* RDFSBASE */
+  0x929, /* RDGSBASE */
+  0x929, /* RDGSBASE */
+  0x929, /* RDGSBASE */
+  0x929, /* RDGSBASE */
+  0x929, /* RDGSBASE */
+  0x929, /* RDGSBASE */
+  0x929, /* RDGSBASE */
+  0x929, /* RDGSBASE */
+  0x181a, /* WRFSBASE */
+  0x181a, /* WRFSBASE */
+  0x181a, /* WRFSBASE */
+  0x181a, /* WRFSBASE */
+  0x181a, /* WRFSBASE */
+  0x181a, /* WRFSBASE */
+  0x181a, /* WRFSBASE */
+  0x181a, /* WRFSBASE */
+  0x181c, /* WRGSBASE */
+  0x181c, /* WRGSBASE */
+  0x181c, /* WRGSBASE */
+  0x181c, /* WRGSBASE */
+  0x181c, /* WRGSBASE */
+  0x181c, /* WRGSBASE */
+  0x181c, /* WRGSBASE */
+  0x181c, /* WRGSBASE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -52214,7 +53210,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4ca, /* LFENCE */
+  0x4c5, /* LFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -52222,7 +53218,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x553, /* MFENCE */
+  0x54e, /* MFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -52230,7 +53226,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xa26, /* SFENCE */
+  0xa21, /* SFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -52238,59 +53234,59 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table3619 */
+  0x273, /* CVTSI2SS64rm */
+  0x274, /* CVTSI2SS64rr */
+/* Table3621 */
+  0x285, /* CVTTSS2SI64rm */
+  0x286, /* CVTTSS2SI64rr */
+/* Table3623 */
+  0x279, /* CVTSS2SI64rm */
+  0x27a, /* CVTSS2SI64rr */
 /* Table3625 */
-  0x274, /* CVTSI2SS64rm */
-  0x275, /* CVTSI2SS64rr */
+  0x6a4, /* MOVQI2PQIrm */
+  0x572, /* MMX_MOVD64from64rr */
 /* Table3627 */
-  0x286, /* CVTTSS2SI64rm */
-  0x287, /* CVTTSS2SI64rr */
-/* Table3629 */
-  0x27a, /* CVTSS2SI64rm */
-  0x27b, /* CVTSS2SI64rr */
-/* Table3631 */
-  0x6a9, /* MOVQI2PQIrm */
-  0x577, /* MMX_MOVD64from64rr */
-/* Table3633 */
-  0x32c, /* FXSAVE64 */
-  0x32a, /* FXRSTOR64 */
-  0x4ad, /* LDMXCSR */
-  0xab3, /* STMXCSR */
-  0x17e1, /* XSAVE64 */
-  0x17df, /* XRSTOR64 */
-  0x17e3, /* XSAVEOPT64 */
+  0x328, /* FXSAVE64 */
+  0x326, /* FXRSTOR64 */
+  0x4a8, /* LDMXCSR */
+  0xaad, /* STMXCSR */
+  0x186b, /* XSAVE64 */
+  0x1869, /* XRSTOR64 */
+  0x186d, /* XSAVEOPT64 */
   0x16e, /* CLFLUSH */
-  0x92d, /* RDFSBASE64 */
-  0x92d, /* RDFSBASE64 */
-  0x92d, /* RDFSBASE64 */
-  0x92d, /* RDFSBASE64 */
-  0x92d, /* RDFSBASE64 */
-  0x92d, /* RDFSBASE64 */
-  0x92d, /* RDFSBASE64 */
-  0x92d, /* RDFSBASE64 */
-  0x92f, /* RDGSBASE64 */
-  0x92f, /* RDGSBASE64 */
-  0x92f, /* RDGSBASE64 */
-  0x92f, /* RDGSBASE64 */
-  0x92f, /* RDGSBASE64 */
-  0x92f, /* RDGSBASE64 */
-  0x92f, /* RDGSBASE64 */
-  0x92f, /* RDGSBASE64 */
-  0x1791, /* WRFSBASE64 */
-  0x1791, /* WRFSBASE64 */
-  0x1791, /* WRFSBASE64 */
-  0x1791, /* WRFSBASE64 */
-  0x1791, /* WRFSBASE64 */
-  0x1791, /* WRFSBASE64 */
-  0x1791, /* WRFSBASE64 */
-  0x1791, /* WRFSBASE64 */
-  0x1793, /* WRGSBASE64 */
-  0x1793, /* WRGSBASE64 */
-  0x1793, /* WRGSBASE64 */
-  0x1793, /* WRGSBASE64 */
-  0x1793, /* WRGSBASE64 */
-  0x1793, /* WRGSBASE64 */
-  0x1793, /* WRGSBASE64 */
-  0x1793, /* WRGSBASE64 */
+  0x928, /* RDFSBASE64 */
+  0x928, /* RDFSBASE64 */
+  0x928, /* RDFSBASE64 */
+  0x928, /* RDFSBASE64 */
+  0x928, /* RDFSBASE64 */
+  0x928, /* RDFSBASE64 */
+  0x928, /* RDFSBASE64 */
+  0x928, /* RDFSBASE64 */
+  0x92a, /* RDGSBASE64 */
+  0x92a, /* RDGSBASE64 */
+  0x92a, /* RDGSBASE64 */
+  0x92a, /* RDGSBASE64 */
+  0x92a, /* RDGSBASE64 */
+  0x92a, /* RDGSBASE64 */
+  0x92a, /* RDGSBASE64 */
+  0x92a, /* RDGSBASE64 */
+  0x181b, /* WRFSBASE64 */
+  0x181b, /* WRFSBASE64 */
+  0x181b, /* WRFSBASE64 */
+  0x181b, /* WRFSBASE64 */
+  0x181b, /* WRFSBASE64 */
+  0x181b, /* WRFSBASE64 */
+  0x181b, /* WRFSBASE64 */
+  0x181b, /* WRFSBASE64 */
+  0x181d, /* WRGSBASE64 */
+  0x181d, /* WRGSBASE64 */
+  0x181d, /* WRGSBASE64 */
+  0x181d, /* WRGSBASE64 */
+  0x181d, /* WRGSBASE64 */
+  0x181d, /* WRGSBASE64 */
+  0x181d, /* WRGSBASE64 */
+  0x181d, /* WRGSBASE64 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -52299,7 +53295,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4ca, /* LFENCE */
+  0x4c5, /* LFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -52307,7 +53303,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x553, /* MFENCE */
+  0x54e, /* MFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -52315,7 +53311,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xa26, /* SFENCE */
+  0xa21, /* SFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -52323,176 +53319,176 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table3699 */
+  0x863, /* POPCNT64rm */
+  0x864, /* POPCNT64rr */
+/* Table3701 */
+  0xb63, /* TZCNT64rm */
+  0xb64, /* TZCNT64rr */
+/* Table3703 */
+  0x536, /* LZCNT64rm */
+  0x537, /* LZCNT64rr */
 /* Table3705 */
-  0x868, /* POPCNT64rm */
-  0x869, /* POPCNT64rr */
-/* Table3707 */
-  0xb69, /* TZCNT64rm */
-  0xb6a, /* TZCNT64rr */
-/* Table3709 */
-  0x53b, /* LZCNT64rm */
-  0x53c, /* LZCNT64rr */
-/* Table3711 */
   0x0, /*  */
   0x23a, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1196, /* VMXON */
-  0x1161, /* VMPTRSTm */
+  0x11b0, /* VMXON */
+  0x117b, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x934, /* RDRAND64r */
-  0x937, /* RDSEED64r */
+  0x92f, /* RDRAND64r */
+  0x932, /* RDSEED64r */
+/* Table3721 */
+  0x26f, /* CVTSI2SD64rm */
+  0x270, /* CVTSI2SD64rr */
+/* Table3723 */
+  0x281, /* CVTTSD2SI64rm */
+  0x282, /* CVTTSD2SI64rr */
+/* Table3725 */
+  0x269, /* CVTSD2SI64rm */
+  0x26a, /* CVTSD2SI64rr */
 /* Table3727 */
-  0x270, /* CVTSI2SD64rm */
-  0x271, /* CVTSI2SD64rr */
+  0x67e, /* MOVDI2PDIrm */
+  0x65d, /* MOV64toPQIrr */
 /* Table3729 */
-  0x282, /* CVTTSD2SI64rm */
-  0x283, /* CVTTSD2SI64rr */
+  0x69f, /* MOVPDI2DImr */
+  0x6a3, /* MOVPQIto64rr */
 /* Table3731 */
-  0x26a, /* CVTSD2SI64rm */
-  0x26b, /* CVTSD2SI64rr */
-/* Table3733 */
-  0x683, /* MOVDI2PDIrm */
-  0x662, /* MOV64toPQIrr */
-/* Table3735 */
-  0x6a4, /* MOVPDI2DImr */
-  0x6a8, /* MOVPQIto64rr */
-/* Table3737 */
   0x0, /*  */
   0x23a, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xf44, /* VMCLEARm */
-  0x1161, /* VMPTRSTm */
+  0xf56, /* VMCLEARm */
+  0x117b, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x934, /* RDRAND64r */
-  0x937, /* RDSEED64r */
+  0x92f, /* RDRAND64r */
+  0x932, /* RDSEED64r */
+/* Table3747 */
+  0x116d, /* VMOVUPSrm */
+  0x116e, /* VMOVUPSrr */
+/* Table3749 */
+  0x116c, /* VMOVUPSmr */
+  0x116f, /* VMOVUPSrr_REV */
+/* Table3751 */
+  0x10d4, /* VMOVLPSrm */
+  0x10ca, /* VMOVHLPSrr */
 /* Table3753 */
-  0x1153, /* VMOVUPSrm */
-  0x1154, /* VMOVUPSrr */
-/* Table3755 */
-  0x1152, /* VMOVUPSmr */
-  0x1155, /* VMOVUPSrr_REV */
-/* Table3757 */
-  0x10c2, /* VMOVLPSrm */
-  0x10b8, /* VMOVHLPSrr */
-/* Table3759 */
-  0x10c1, /* VMOVLPSmr */
+  0x10d3, /* VMOVLPSmr */
   0x0, /*  */
+/* Table3755 */
+  0x1806, /* VUNPCKLPSrm */
+  0x1807, /* VUNPCKLPSrr */
+/* Table3757 */
+  0x17fa, /* VUNPCKHPSrm */
+  0x17fb, /* VUNPCKHPSrr */
+/* Table3759 */
+  0x10ce, /* VMOVHPSrm */
+  0x10d0, /* VMOVLHPSrr */
 /* Table3761 */
-  0x177c, /* VUNPCKLPSrm */
-  0x177d, /* VUNPCKLPSrr */
+  0x10cd, /* VMOVHPSmr */
+  0x0, /*  */
 /* Table3763 */
-  0x1770, /* VUNPCKHPSrm */
-  0x1771, /* VUNPCKHPSrr */
+  0xfe2, /* VMOVAPSrm */
+  0xfe3, /* VMOVAPSrr */
 /* Table3765 */
-  0x10bc, /* VMOVHPSrm */
-  0x10be, /* VMOVLHPSrr */
+  0xfe1, /* VMOVAPSmr */
+  0xfe4, /* VMOVAPSrr_REV */
 /* Table3767 */
-  0x10bb, /* VMOVHPSmr */
+  0x10ec, /* VMOVNTPSmr */
   0x0, /*  */
 /* Table3769 */
-  0xfd0, /* VMOVAPSrm */
-  0xfd1, /* VMOVAPSrr */
+  0x17ee, /* VUCOMISSrm */
+  0x17ef, /* VUCOMISSrr */
 /* Table3771 */
-  0xfcf, /* VMOVAPSmr */
-  0xfd2, /* VMOVAPSrr_REV */
+  0xc29, /* VCOMISSrm */
+  0xc2a, /* VCOMISSrr */
 /* Table3773 */
-  0x10d2, /* VMOVNTPSmr */
   0x0, /*  */
+  0x485, /* KNOTWrr */
 /* Table3775 */
-  0x1764, /* VUCOMISSrm */
-  0x1765, /* VUCOMISSrr */
+  0x0, /*  */
+  0x10d8, /* VMOVMSKPSrr */
 /* Table3777 */
-  0xc2f, /* VCOMISSrm */
-  0xc30, /* VCOMISSrr */
+  0x17a9, /* VSQRTPSm */
+  0x17aa, /* VSQRTPSr */
 /* Table3779 */
-  0x0, /*  */
-  0x48a, /* KNOTWrr */
+  0x1780, /* VRSQRTPSm */
+  0x1782, /* VRSQRTPSr */
 /* Table3781 */
-  0x0, /*  */
-  0x10c6, /* VMOVMSKPSrr */
+  0x174b, /* VRCPPSm */
+  0x174d, /* VRCPPSr */
 /* Table3783 */
-  0x171f, /* VSQRTPSm */
-  0x1720, /* VSQRTPSr */
+  0xbd2, /* VANDPSrm */
+  0xbd3, /* VANDPSrr */
 /* Table3785 */
-  0x16f6, /* VRSQRTPSm */
-  0x16f8, /* VRSQRTPSr */
+  0xbca, /* VANDNPSrm */
+  0xbcb, /* VANDNPSrr */
 /* Table3787 */
-  0x16c1, /* VRCPPSm */
-  0x16c3, /* VRCPPSr */
+  0x11b7, /* VORPSrm */
+  0x11b8, /* VORPSrr */
 /* Table3789 */
-  0xbd8, /* VANDPSrm */
-  0xbd9, /* VANDPSrr */
+  0x180e, /* VXORPSrm */
+  0x180f, /* VXORPSrr */
 /* Table3791 */
-  0xbd0, /* VANDNPSrm */
-  0xbd1, /* VANDNPSrr */
+  0xb9a, /* VADDPSrm */
+  0xb9b, /* VADDPSrr */
 /* Table3793 */
-  0x119d, /* VORPSrm */
-  0x119e, /* VORPSrr */
+  0x119d, /* VMULPSrm */
+  0x119e, /* VMULPSrr */
 /* Table3795 */
-  0x1784, /* VXORPSrm */
-  0x1785, /* VXORPSrr */
+  0xc5a, /* VCVTPS2PDrm */
+  0xc5b, /* VCVTPS2PDrr */
 /* Table3797 */
-  0xba0, /* VADDPSrm */
-  0xba1, /* VADDPSrr */
+  0xc36, /* VCVTDQ2PSrm */
+  0xc37, /* VCVTDQ2PSrr */
 /* Table3799 */
-  0x1183, /* VMULPSrm */
-  0x1184, /* VMULPSrr */
+  0x17d2, /* VSUBPSrm */
+  0x17d3, /* VSUBPSrr */
 /* Table3801 */
-  0xc60, /* VCVTPS2PDrm */
-  0xc61, /* VCVTPS2PDrr */
+  0xf7c, /* VMINPSrm */
+  0xf7d, /* VMINPSrr */
 /* Table3803 */
-  0xc3c, /* VCVTDQ2PSrm */
-  0xc3d, /* VCVTDQ2PSrr */
+  0xce2, /* VDIVPSrm */
+  0xce3, /* VDIVPSrr */
 /* Table3805 */
-  0x1748, /* VSUBPSrm */
-  0x1749, /* VSUBPSrr */
+  0xf47, /* VMAXPSrm */
+  0xf48, /* VMAXPSrr */
 /* Table3807 */
-  0xf6a, /* VMINPSrm */
-  0xf6b, /* VMINPSrr */
-/* Table3809 */
-  0xce8, /* VDIVPSrm */
-  0xce9, /* VDIVPSrr */
-/* Table3811 */
-  0xf35, /* VMAXPSrm */
-  0xf36, /* VMAXPSrr */
-/* Table3813 */
-  0x1787, /* VZEROUPPER */
-/* Table3814 */
-  0x483, /* KMOVWkm */
-  0x482, /* KMOVWkk */
-/* Table3816 */
-  0x485, /* KMOVWmk */
+  0x1811, /* VZEROUPPER */
+/* Table3808 */
+  0x47e, /* KMOVWkm */
+  0x47d, /* KMOVWkk */
+/* Table3810 */
+  0x480, /* KMOVWmk */
   0x0, /*  */
+/* Table3812 */
+  0x0, /*  */
+  0x47f, /* KMOVWkr */
+/* Table3814 */
+  0x0, /*  */
+  0x481, /* KMOVWrk */
+/* Table3816 */
+  0x0, /*  */
+  0x489, /* KORTESTWrr */
 /* Table3818 */
   0x0, /*  */
-  0x484, /* KMOVWkr */
-/* Table3820 */
   0x0, /*  */
-  0x486, /* KMOVWrk */
-/* Table3822 */
-  0x0, /*  */
-  0x48e, /* KORTESTWrr */
-/* Table3824 */
-  0x0, /*  */
-  0x0, /*  */
-  0xf06, /* VLDMXCSR */
-  0x172f, /* VSTMXCSR */
+  0xf18, /* VLDMXCSR */
+  0x17b9, /* VSTMXCSR */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -52505,289 +53501,289 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table3834 */
+  0xc0f, /* VCMPPSrmi */
+  0xc11, /* VCMPPSrri */
+/* Table3836 */
+  0x179d, /* VSHUFPSrmi */
+  0x179e, /* VSHUFPSrri */
+/* Table3838 */
+  0x111b, /* VMOVSSrm */
+  0x111c, /* VMOVSSrr */
 /* Table3840 */
-  0xc15, /* VCMPPSrmi */
-  0xc17, /* VCMPPSrri */
+  0x111a, /* VMOVSSmr */
+  0x111d, /* VMOVSSrr_REV */
 /* Table3842 */
-  0x1713, /* VSHUFPSrmi */
-  0x1714, /* VSHUFPSrri */
+  0x110f, /* VMOVSLDUPrm */
+  0x1110, /* VMOVSLDUPrr */
 /* Table3844 */
-  0x1101, /* VMOVSSrm */
-  0x1102, /* VMOVSSrr */
+  0x1109, /* VMOVSHDUPrm */
+  0x110a, /* VMOVSHDUPrr */
 /* Table3846 */
-  0x1100, /* VMOVSSmr */
-  0x1103, /* VMOVSSrr_REV */
+  0xc7f, /* VCVTSI2SSrm */
+  0xc80, /* VCVTSI2SSrr */
 /* Table3848 */
-  0x10f5, /* VMOVSLDUPrm */
-  0x10f6, /* VMOVSLDUPrr */
+  0xcb7, /* VCVTTSS2SIrm */
+  0xcb8, /* VCVTTSS2SIrr */
 /* Table3850 */
-  0x10ef, /* VMOVSHDUPrm */
-  0x10f0, /* VMOVSHDUPrr */
+  0xc8f, /* VCVTSS2SIrm */
+  0xc90, /* VCVTSS2SIrr */
 /* Table3852 */
-  0xc85, /* VCVTSI2SSrm */
-  0xc86, /* VCVTSI2SSrr */
+  0x17b6, /* VSQRTSSm */
+  0x17b8, /* VSQRTSSr */
 /* Table3854 */
-  0xcbd, /* VCVTTSS2SIrm */
-  0xcbe, /* VCVTTSS2SIrr */
+  0x1784, /* VRSQRTSSm */
+  0x1786, /* VRSQRTSSr */
 /* Table3856 */
-  0xc95, /* VCVTSS2SIrm */
-  0xc96, /* VCVTSS2SIrr */
+  0x174f, /* VRCPSSm */
+  0x1751, /* VRCPSSr */
 /* Table3858 */
-  0x172c, /* VSQRTSSm */
-  0x172e, /* VSQRTSSr */
+  0xba4, /* VADDSSrm */
+  0xba6, /* VADDSSrr */
 /* Table3860 */
-  0x16fa, /* VRSQRTSSm */
-  0x16fc, /* VRSQRTSSr */
+  0x11a7, /* VMULSSrm */
+  0x11a9, /* VMULSSrr */
 /* Table3862 */
-  0x16c5, /* VRCPSSm */
-  0x16c7, /* VRCPSSr */
+  0xc87, /* VCVTSS2SDrm */
+  0xc88, /* VCVTSS2SDrr */
 /* Table3864 */
-  0xbaa, /* VADDSSrm */
-  0xbac, /* VADDSSrr */
+  0xca1, /* VCVTTPS2DQrm */
+  0xca2, /* VCVTTPS2DQrr */
 /* Table3866 */
-  0x118d, /* VMULSSrm */
-  0x118f, /* VMULSSrr */
+  0x17dc, /* VSUBSSrm */
+  0x17de, /* VSUBSSrr */
 /* Table3868 */
-  0xc8d, /* VCVTSS2SDrm */
-  0xc8e, /* VCVTSS2SDrr */
+  0xf86, /* VMINSSrm */
+  0xf88, /* VMINSSrr */
 /* Table3870 */
-  0xca7, /* VCVTTPS2DQrm */
-  0xca8, /* VCVTTPS2DQrr */
+  0xcec, /* VDIVSSrm */
+  0xcee, /* VDIVSSrr */
 /* Table3872 */
-  0x1752, /* VSUBSSrm */
-  0x1754, /* VSUBSSrr */
+  0xf51, /* VMAXSSrm */
+  0xf53, /* VMAXSSrr */
 /* Table3874 */
-  0xf74, /* VMINSSrm */
-  0xf76, /* VMINSSrr */
+  0x10c6, /* VMOVDQUrm */
+  0x10c7, /* VMOVDQUrr */
 /* Table3876 */
-  0xcf2, /* VDIVSSrm */
-  0xcf4, /* VDIVSSrr */
+  0x1621, /* VPSHUFHWmi */
+  0x1622, /* VPSHUFHWri */
 /* Table3878 */
-  0xf3f, /* VMAXSSrm */
-  0xf41, /* VMAXSSrr */
+  0x10f7, /* VMOVQI2PQIrm */
+  0x1173, /* VMOVZPQILo2PQIrr */
 /* Table3880 */
-  0x10b4, /* VMOVDQUrm */
-  0x10b5, /* VMOVDQUrr */
+  0x10c5, /* VMOVDQUmr */
+  0x10c8, /* VMOVDQUrr_REV */
 /* Table3882 */
-  0x1597, /* VPSHUFHWmi */
-  0x1598, /* VPSHUFHWri */
+  0xc1f, /* VCMPSSrm */
+  0xc21, /* VCMPSSrr */
 /* Table3884 */
-  0x10dd, /* VMOVQI2PQIrm */
-  0x1159, /* VMOVZPQILo2PQIrr */
+  0xc2f, /* VCVTDQ2PDrm */
+  0xc30, /* VCVTDQ2PDrr */
 /* Table3886 */
-  0x10b3, /* VMOVDQUmr */
-  0x10b6, /* VMOVDQUrr_REV */
+  0x10fe, /* VMOVSDrm */
+  0x10ff, /* VMOVSDrr */
 /* Table3888 */
-  0xc25, /* VCMPSSrm */
-  0xc27, /* VCMPSSrr */
+  0x10fd, /* VMOVSDmr */
+  0x1100, /* VMOVSDrr_REV */
 /* Table3890 */
-  0xc35, /* VCVTDQ2PDrm */
-  0xc36, /* VCVTDQ2PDrr */
+  0xfe9, /* VMOVDDUPrm */
+  0xfea, /* VMOVDDUPrr */
 /* Table3892 */
-  0x10e4, /* VMOVSDrm */
-  0x10e5, /* VMOVSDrr */
+  0xc79, /* VCVTSI2SDrm */
+  0xc7a, /* VCVTSI2SDrr */
 /* Table3894 */
-  0x10e3, /* VMOVSDmr */
-  0x10e6, /* VMOVSDrr_REV */
+  0xcab, /* VCVTTSD2SIrm */
+  0xcac, /* VCVTTSD2SIrr */
 /* Table3896 */
-  0xfd7, /* VMOVDDUPrm */
-  0xfd8, /* VMOVDDUPrr */
+  0xc6b, /* VCVTSD2SIrm */
+  0xc6c, /* VCVTSD2SIrr */
 /* Table3898 */
-  0xc7f, /* VCVTSI2SDrm */
-  0xc80, /* VCVTSI2SDrr */
+  0x17af, /* VSQRTSDm */
+  0x17b1, /* VSQRTSDr */
 /* Table3900 */
-  0xcb1, /* VCVTTSD2SIrm */
-  0xcb2, /* VCVTTSD2SIrr */
+  0xb9e, /* VADDSDrm */
+  0xba0, /* VADDSDrr */
 /* Table3902 */
-  0xc71, /* VCVTSD2SIrm */
-  0xc72, /* VCVTSD2SIrr */
+  0x11a1, /* VMULSDrm */
+  0x11a3, /* VMULSDrr */
 /* Table3904 */
-  0x1725, /* VSQRTSDm */
-  0x1727, /* VSQRTSDr */
+  0xc6f, /* VCVTSD2SSrm */
+  0xc70, /* VCVTSD2SSrr */
 /* Table3906 */
-  0xba4, /* VADDSDrm */
-  0xba6, /* VADDSDrr */
+  0x17d6, /* VSUBSDrm */
+  0x17d8, /* VSUBSDrr */
 /* Table3908 */
-  0x1187, /* VMULSDrm */
-  0x1189, /* VMULSDrr */
+  0xf80, /* VMINSDrm */
+  0xf82, /* VMINSDrr */
 /* Table3910 */
-  0xc75, /* VCVTSD2SSrm */
-  0xc76, /* VCVTSD2SSrr */
+  0xce6, /* VDIVSDrm */
+  0xce8, /* VDIVSDrr */
 /* Table3912 */
-  0x174c, /* VSUBSDrm */
-  0x174e, /* VSUBSDrr */
+  0xf4b, /* VMAXSDrm */
+  0xf4d, /* VMAXSDrr */
 /* Table3914 */
-  0xf6e, /* VMINSDrm */
-  0xf70, /* VMINSDrr */
+  0x1625, /* VPSHUFLWmi */
+  0x1626, /* VPSHUFLWri */
 /* Table3916 */
-  0xcec, /* VDIVSDrm */
-  0xcee, /* VDIVSDrr */
+  0xefc, /* VHADDPSrm */
+  0xefd, /* VHADDPSrr */
 /* Table3918 */
-  0xf39, /* VMAXSDrm */
-  0xf3b, /* VMAXSDrr */
+  0xf04, /* VHSUBPSrm */
+  0xf05, /* VHSUBPSrr */
 /* Table3920 */
-  0x159b, /* VPSHUFLWmi */
-  0x159c, /* VPSHUFLWri */
+  0x0, /*  */
+  0x475, /* KMOVDkr */
 /* Table3922 */
-  0xeea, /* VHADDPSrm */
-  0xeeb, /* VHADDPSrr */
+  0x0, /*  */
+  0x477, /* KMOVDrk */
 /* Table3924 */
-  0xef2, /* VHSUBPSrm */
-  0xef3, /* VHSUBPSrr */
+  0xc17, /* VCMPSDrm */
+  0xc19, /* VCMPSDrr */
 /* Table3926 */
-  0x0, /*  */
-  0x47a, /* KMOVDkr */
+  0xbae, /* VADDSUBPSrm */
+  0xbaf, /* VADDSUBPSrr */
 /* Table3928 */
-  0x0, /*  */
-  0x47c, /* KMOVDrk */
+  0xc38, /* VCVTPD2DQXrm */
+  0xc3e, /* VCVTPD2DQrr */
 /* Table3930 */
-  0xc1d, /* VCMPSDrm */
-  0xc1f, /* VCMPSDrr */
+  0xf17, /* VLDDQUrm */
+  0x0, /*  */
 /* Table3932 */
-  0xbb4, /* VADDSUBPSrm */
-  0xbb5, /* VADDSUBPSrr */
+  0x1144, /* VMOVUPDrm */
+  0x1145, /* VMOVUPDrr */
 /* Table3934 */
-  0xc3e, /* VCVTPD2DQXrm */
-  0xc44, /* VCVTPD2DQrr */
+  0x1143, /* VMOVUPDmr */
+  0x1146, /* VMOVUPDrr_REV */
 /* Table3936 */
-  0xf05, /* VLDDQUrm */
+  0x10d2, /* VMOVLPDrm */
   0x0, /*  */
 /* Table3938 */
-  0x112a, /* VMOVUPDrm */
-  0x112b, /* VMOVUPDrr */
-/* Table3940 */
-  0x1129, /* VMOVUPDmr */
-  0x112c, /* VMOVUPDrr_REV */
-/* Table3942 */
-  0x10c0, /* VMOVLPDrm */
+  0x10d1, /* VMOVLPDmr */
   0x0, /*  */
+/* Table3940 */
+  0x1800, /* VUNPCKLPDrm */
+  0x1801, /* VUNPCKLPDrr */
+/* Table3942 */
+  0x17f4, /* VUNPCKHPDrm */
+  0x17f5, /* VUNPCKHPDrr */
 /* Table3944 */
-  0x10bf, /* VMOVLPDmr */
+  0x10cc, /* VMOVHPDrm */
   0x0, /*  */
 /* Table3946 */
-  0x1776, /* VUNPCKLPDrm */
-  0x1777, /* VUNPCKLPDrr */
-/* Table3948 */
-  0x176a, /* VUNPCKHPDrm */
-  0x176b, /* VUNPCKHPDrr */
-/* Table3950 */
-  0x10ba, /* VMOVHPDrm */
+  0x10cb, /* VMOVHPDmr */
   0x0, /*  */
+/* Table3948 */
+  0xfb9, /* VMOVAPDrm */
+  0xfba, /* VMOVAPDrr */
+/* Table3950 */
+  0xfb8, /* VMOVAPDmr */
+  0xfbb, /* VMOVAPDrr_REV */
 /* Table3952 */
-  0x10b9, /* VMOVHPDmr */
+  0x10e7, /* VMOVNTPDmr */
   0x0, /*  */
 /* Table3954 */
-  0xfa7, /* VMOVAPDrm */
-  0xfa8, /* VMOVAPDrr */
+  0x17ea, /* VUCOMISDrm */
+  0x17eb, /* VUCOMISDrr */
 /* Table3956 */
-  0xfa6, /* VMOVAPDmr */
-  0xfa9, /* VMOVAPDrr_REV */
+  0xc25, /* VCOMISDrm */
+  0xc26, /* VCOMISDrr */
 /* Table3958 */
-  0x10cf, /* VMOVNTPDmr */
   0x0, /*  */
+  0x482, /* KNOTBrr */
 /* Table3960 */
-  0x1760, /* VUCOMISDrm */
-  0x1761, /* VUCOMISDrr */
+  0x0, /*  */
+  0x10d6, /* VMOVMSKPDrr */
 /* Table3962 */
-  0xc2b, /* VCOMISDrm */
-  0xc2c, /* VCOMISDrr */
+  0x17a3, /* VSQRTPDm */
+  0x17a4, /* VSQRTPDr */
 /* Table3964 */
-  0x0, /*  */
-  0x487, /* KNOTBrr */
+  0xbce, /* VANDPDrm */
+  0xbcf, /* VANDPDrr */
 /* Table3966 */
-  0x0, /*  */
-  0x10c4, /* VMOVMSKPDrr */
+  0xbc6, /* VANDNPDrm */
+  0xbc7, /* VANDNPDrr */
 /* Table3968 */
-  0x1719, /* VSQRTPDm */
-  0x171a, /* VSQRTPDr */
+  0x11b3, /* VORPDrm */
+  0x11b4, /* VORPDrr */
 /* Table3970 */
-  0xbd4, /* VANDPDrm */
-  0xbd5, /* VANDPDrr */
+  0x180a, /* VXORPDrm */
+  0x180b, /* VXORPDrr */
 /* Table3972 */
-  0xbcc, /* VANDNPDrm */
-  0xbcd, /* VANDNPDrr */
+  0xb8d, /* VADDPDrm */
+  0xb8e, /* VADDPDrr */
 /* Table3974 */
-  0x1199, /* VORPDrm */
-  0x119a, /* VORPDrr */
+  0x1190, /* VMULPDrm */
+  0x1191, /* VMULPDrr */
 /* Table3976 */
-  0x1780, /* VXORPDrm */
-  0x1781, /* VXORPDrr */
+  0xc3f, /* VCVTPD2PSXrm */
+  0xc45, /* VCVTPD2PSrr */
 /* Table3978 */
-  0xb93, /* VADDPDrm */
-  0xb94, /* VADDPDrr */
+  0xc54, /* VCVTPS2DQrm */
+  0xc55, /* VCVTPS2DQrr */
 /* Table3980 */
-  0x1176, /* VMULPDrm */
-  0x1177, /* VMULPDrr */
+  0x17c5, /* VSUBPDrm */
+  0x17c6, /* VSUBPDrr */
 /* Table3982 */
-  0xc45, /* VCVTPD2PSXrm */
-  0xc4b, /* VCVTPD2PSrr */
+  0xf6f, /* VMINPDrm */
+  0xf70, /* VMINPDrr */
 /* Table3984 */
-  0xc5a, /* VCVTPS2DQrm */
-  0xc5b, /* VCVTPS2DQrr */
+  0xcd5, /* VDIVPDrm */
+  0xcd6, /* VDIVPDrr */
 /* Table3986 */
-  0x173b, /* VSUBPDrm */
-  0x173c, /* VSUBPDrr */
+  0xf3a, /* VMAXPDrm */
+  0xf3b, /* VMAXPDrr */
 /* Table3988 */
-  0xf5d, /* VMINPDrm */
-  0xf5e, /* VMINPDrr */
+  0x170b, /* VPUNPCKLBWrm */
+  0x170c, /* VPUNPCKLBWrr */
 /* Table3990 */
-  0xcdb, /* VDIVPDrm */
-  0xcdc, /* VDIVPDrr */
+  0x171b, /* VPUNPCKLWDrm */
+  0x171c, /* VPUNPCKLWDrr */
 /* Table3992 */
-  0xf28, /* VMAXPDrm */
-  0xf29, /* VMAXPDrr */
+  0x1711, /* VPUNPCKLDQrm */
+  0x1712, /* VPUNPCKLDQrr */
 /* Table3994 */
-  0x1681, /* VPUNPCKLBWrm */
-  0x1682, /* VPUNPCKLBWrr */
+  0x11dd, /* VPACKSSWBrm */
+  0x11de, /* VPACKSSWBrr */
 /* Table3996 */
-  0x1691, /* VPUNPCKLWDrm */
-  0x1692, /* VPUNPCKLWDrr */
+  0x12ef, /* VPCMPGTBrm */
+  0x12f0, /* VPCMPGTBrr */
 /* Table3998 */
-  0x1687, /* VPUNPCKLDQrm */
-  0x1688, /* VPUNPCKLDQrr */
+  0x132b, /* VPCMPGTWrm */
+  0x132c, /* VPCMPGTWrr */
 /* Table4000 */
-  0x11c3, /* VPACKSSWBrm */
-  0x11c4, /* VPACKSSWBrr */
+  0x1305, /* VPCMPGTDrm */
+  0x1306, /* VPCMPGTDrr */
 /* Table4002 */
-  0x1291, /* VPCMPGTBrm */
-  0x1292, /* VPCMPGTBrr */
+  0x11e5, /* VPACKUSWBrm */
+  0x11e6, /* VPACKUSWBrr */
 /* Table4004 */
-  0x12a1, /* VPCMPGTWrm */
-  0x12a2, /* VPCMPGTWrr */
+  0x16f7, /* VPUNPCKHBWrm */
+  0x16f8, /* VPUNPCKHBWrr */
 /* Table4006 */
-  0x1297, /* VPCMPGTDrm */
-  0x1298, /* VPCMPGTDrr */
+  0x1707, /* VPUNPCKHWDrm */
+  0x1708, /* VPUNPCKHWDrr */
 /* Table4008 */
-  0x11cb, /* VPACKUSWBrm */
-  0x11cc, /* VPACKUSWBrr */
+  0x16fd, /* VPUNPCKHDQrm */
+  0x16fe, /* VPUNPCKHDQrr */
 /* Table4010 */
-  0x166d, /* VPUNPCKHBWrm */
-  0x166e, /* VPUNPCKHBWrr */
+  0x11d9, /* VPACKSSDWrm */
+  0x11da, /* VPACKSSDWrr */
 /* Table4012 */
-  0x167d, /* VPUNPCKHWDrm */
-  0x167e, /* VPUNPCKHWDrr */
+  0x1717, /* VPUNPCKLQDQrm */
+  0x1718, /* VPUNPCKLQDQrr */
 /* Table4014 */
-  0x1673, /* VPUNPCKHDQrm */
-  0x1674, /* VPUNPCKHDQrr */
+  0x1703, /* VPUNPCKHQDQrm */
+  0x1704, /* VPUNPCKHQDQrr */
 /* Table4016 */
-  0x11bf, /* VPACKSSDWrm */
-  0x11c0, /* VPACKSSDWrr */
+  0xfed, /* VMOVDI2PDIrm */
+  0xfee, /* VMOVDI2PDIrr */
 /* Table4018 */
-  0x168d, /* VPUNPCKLQDQrm */
-  0x168e, /* VPUNPCKLQDQrr */
+  0x103a, /* VMOVDQArm */
+  0x103b, /* VMOVDQArr */
 /* Table4020 */
-  0x1679, /* VPUNPCKHQDQrm */
-  0x167a, /* VPUNPCKHQDQrr */
+  0x161d, /* VPSHUFDmi */
+  0x161e, /* VPSHUFDri */
 /* Table4022 */
-  0xfdb, /* VMOVDI2PDIrm */
-  0xfdc, /* VMOVDI2PDIrr */
-/* Table4024 */
-  0x1028, /* VMOVDQArm */
-  0x1029, /* VMOVDQArr */
-/* Table4026 */
-  0x1593, /* VPSHUFDmi */
-  0x1594, /* VPSHUFDri */
-/* Table4028 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -52798,13 +53794,13 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x162a, /* VPSRLWri */
+  0x16b4, /* VPSRLWri */
   0x0, /*  */
-  0x15fa, /* VPSRAWri */
+  0x1684, /* VPSRAWri */
   0x0, /*  */
-  0x15d6, /* VPSLLWri */
+  0x1660, /* VPSLLWri */
   0x0, /*  */
-/* Table4044 */
+/* Table4038 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -52815,13 +53811,13 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x160a, /* VPSRLDri */
+  0x1694, /* VPSRLDri */
   0x0, /*  */
-  0x15e4, /* VPSRADri */
+  0x166e, /* VPSRADri */
   0x0, /*  */
-  0x15b6, /* VPSLLDri */
+  0x1640, /* VPSLLDri */
   0x0, /*  */
-/* Table4060 */
+/* Table4054 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -52832,507 +53828,507 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1618, /* VPSRLQri */
-  0x15fe, /* VPSRLDQri */
+  0x16a2, /* VPSRLQri */
+  0x1688, /* VPSRLDQri */
   0x0, /*  */
   0x0, /*  */
-  0x15c4, /* VPSLLQri */
-  0x15aa, /* VPSLLDQri */
+  0x164e, /* VPSLLQri */
+  0x1634, /* VPSLLDQri */
+/* Table4070 */
+  0x129b, /* VPCMPEQBrm */
+  0x129c, /* VPCMPEQBrr */
+/* Table4072 */
+  0x12d7, /* VPCMPEQWrm */
+  0x12d8, /* VPCMPEQWrr */
+/* Table4074 */
+  0x12b1, /* VPCMPEQDrm */
+  0x12b2, /* VPCMPEQDrr */
 /* Table4076 */
-  0x1275, /* VPCMPEQBrm */
-  0x1276, /* VPCMPEQBrr */
+  0xef8, /* VHADDPDrm */
+  0xef9, /* VHADDPDrr */
 /* Table4078 */
-  0x1285, /* VPCMPEQWrm */
-  0x1286, /* VPCMPEQWrr */
+  0xf00, /* VHSUBPDrm */
+  0xf01, /* VHSUBPDrr */
 /* Table4080 */
-  0x127b, /* VPCMPEQDrm */
-  0x127c, /* VPCMPEQDrr */
+  0x10ef, /* VMOVPDI2DImr */
+  0x10f0, /* VMOVPDI2DIrr */
 /* Table4082 */
-  0xee6, /* VHADDPDrm */
-  0xee7, /* VHADDPDrr */
+  0x1039, /* VMOVDQAmr */
+  0x103c, /* VMOVDQArr_REV */
 /* Table4084 */
-  0xeee, /* VHSUBPDrm */
-  0xeef, /* VHSUBPDrr */
+  0x46f, /* KMOVBkm */
+  0x46e, /* KMOVBkk */
 /* Table4086 */
-  0x10d5, /* VMOVPDI2DImr */
-  0x10d6, /* VMOVPDI2DIrr */
+  0x471, /* KMOVBmk */
+  0x0, /*  */
 /* Table4088 */
-  0x1027, /* VMOVDQAmr */
-  0x102a, /* VMOVDQArr_REV */
+  0x0, /*  */
+  0x470, /* KMOVBkr */
 /* Table4090 */
-  0x474, /* KMOVBkm */
-  0x473, /* KMOVBkk */
+  0x0, /*  */
+  0x472, /* KMOVBrk */
 /* Table4092 */
-  0x476, /* KMOVBmk */
-  0x0, /*  */
+  0xc02, /* VCMPPDrmi */
+  0xc04, /* VCMPPDrri */
 /* Table4094 */
-  0x0, /*  */
-  0x475, /* KMOVBkr */
+  0x1424, /* VPINSRWrmi */
+  0x1425, /* VPINSRWrri */
 /* Table4096 */
   0x0, /*  */
-  0x477, /* KMOVBrk */
+  0x13d8, /* VPEXTRWri */
 /* Table4098 */
-  0xc08, /* VCMPPDrmi */
-  0xc0a, /* VCMPPDrri */
+  0x1797, /* VSHUFPDrmi */
+  0x1798, /* VSHUFPDrri */
 /* Table4100 */
-  0x139a, /* VPINSRWrmi */
-  0x139b, /* VPINSRWrri */
+  0xbaa, /* VADDSUBPDrm */
+  0xbab, /* VADDSUBPDrr */
 /* Table4102 */
-  0x0, /*  */
-  0x134e, /* VPEXTRWri */
+  0x16b5, /* VPSRLWrm */
+  0x16b6, /* VPSRLWrr */
 /* Table4104 */
-  0x170d, /* VSHUFPDrmi */
-  0x170e, /* VSHUFPDrri */
+  0x1695, /* VPSRLDrm */
+  0x1696, /* VPSRLDrr */
 /* Table4106 */
-  0xbb0, /* VADDSUBPDrm */
-  0xbb1, /* VADDSUBPDrr */
+  0x16a3, /* VPSRLQrm */
+  0x16a4, /* VPSRLQrr */
 /* Table4108 */
-  0x162b, /* VPSRLWrm */
-  0x162c, /* VPSRLWrr */
+  0x1203, /* VPADDQrm */
+  0x1204, /* VPADDQrr */
 /* Table4110 */
-  0x160b, /* VPSRLDrm */
-  0x160c, /* VPSRLDrr */
+  0x15b9, /* VPMULLWrm */
+  0x15ba, /* VPMULLWrr */
 /* Table4112 */
-  0x1619, /* VPSRLQrm */
-  0x161a, /* VPSRLQrr */
+  0x10f1, /* VMOVPQI2QImr */
+  0x10f2, /* VMOVPQI2QIrr */
 /* Table4114 */
-  0x11e9, /* VPADDQrm */
-  0x11ea, /* VPADDQrr */
+  0x0, /*  */
+  0x14e3, /* VPMOVMSKBrr */
 /* Table4116 */
-  0x152f, /* VPMULLWrm */
-  0x1530, /* VPMULLWrr */
+  0x16df, /* VPSUBUSBrm */
+  0x16e0, /* VPSUBUSBrr */
 /* Table4118 */
-  0x10d7, /* VMOVPQI2QImr */
-  0x10d8, /* VMOVPQI2QIrr */
+  0x16e3, /* VPSUBUSWrm */
+  0x16e4, /* VPSUBUSWrr */
 /* Table4120 */
-  0x0, /*  */
-  0x1459, /* VPMOVMSKBrr */
+  0x14bc, /* VPMINUBrm */
+  0x14bd, /* VPMINUBrr */
 /* Table4122 */
-  0x1655, /* VPSUBUSBrm */
-  0x1656, /* VPSUBUSBrr */
+  0x1247, /* VPANDrm */
+  0x1248, /* VPANDrr */
 /* Table4124 */
-  0x1659, /* VPSUBUSWrm */
-  0x165a, /* VPSUBUSWrr */
+  0x120f, /* VPADDUSBrm */
+  0x1210, /* VPADDUSBrr */
 /* Table4126 */
-  0x1432, /* VPMINUBrm */
-  0x1433, /* VPMINUBrr */
+  0x1213, /* VPADDUSWrm */
+  0x1214, /* VPADDUSWrr */
 /* Table4128 */
-  0x122d, /* VPANDrm */
-  0x122e, /* VPANDrr */
+  0x1480, /* VPMAXUBrm */
+  0x1481, /* VPMAXUBrr */
 /* Table4130 */
-  0x11f5, /* VPADDUSBrm */
-  0x11f6, /* VPADDUSBrr */
+  0x123a, /* VPANDNrm */
+  0x123b, /* VPANDNrr */
 /* Table4132 */
-  0x11f9, /* VPADDUSWrm */
-  0x11fa, /* VPADDUSWrr */
+  0x124b, /* VPAVGBrm */
+  0x124c, /* VPAVGBrr */
 /* Table4134 */
-  0x13f6, /* VPMAXUBrm */
-  0x13f7, /* VPMAXUBrr */
+  0x1685, /* VPSRAWrm */
+  0x1686, /* VPSRAWrr */
 /* Table4136 */
-  0x1220, /* VPANDNrm */
-  0x1221, /* VPANDNrr */
+  0x166f, /* VPSRADrm */
+  0x1670, /* VPSRADrr */
 /* Table4138 */
-  0x1231, /* VPAVGBrm */
-  0x1232, /* VPAVGBrr */
+  0x124f, /* VPAVGWrm */
+  0x1250, /* VPAVGWrr */
 /* Table4140 */
-  0x15fb, /* VPSRAWrm */
-  0x15fc, /* VPSRAWrr */
+  0x15a4, /* VPMULHUWrm */
+  0x15a5, /* VPMULHUWrr */
 /* Table4142 */
-  0x15e5, /* VPSRADrm */
-  0x15e6, /* VPSRADrr */
+  0x15a8, /* VPMULHWrm */
+  0x15a9, /* VPMULHWrr */
 /* Table4144 */
-  0x1235, /* VPAVGWrm */
-  0x1236, /* VPAVGWrr */
+  0xc95, /* VCVTTPD2DQXrm */
+  0xc9a, /* VCVTTPD2DQrr */
 /* Table4146 */
-  0x151a, /* VPMULHUWrm */
-  0x151b, /* VPMULHUWrr */
+  0x10e2, /* VMOVNTDQmr */
+  0x0, /*  */
 /* Table4148 */
-  0x151e, /* VPMULHWrm */
-  0x151f, /* VPMULHWrr */
+  0x16d7, /* VPSUBSBrm */
+  0x16d8, /* VPSUBSBrr */
 /* Table4150 */
-  0xc9b, /* VCVTTPD2DQXrm */
-  0xca0, /* VCVTTPD2DQrr */
+  0x16db, /* VPSUBSWrm */
+  0x16dc, /* VPSUBSWrr */
 /* Table4152 */
-  0x10cc, /* VMOVNTDQmr */
-  0x0, /*  */
+  0x14b8, /* VPMINSWrm */
+  0x14b9, /* VPMINSWrr */
 /* Table4154 */
-  0x164d, /* VPSUBSBrm */
-  0x164e, /* VPSUBSBrr */
+  0x15dc, /* VPORrm */
+  0x15dd, /* VPORrr */
 /* Table4156 */
-  0x1651, /* VPSUBSWrm */
-  0x1652, /* VPSUBSWrr */
+  0x1207, /* VPADDSBrm */
+  0x1208, /* VPADDSBrr */
 /* Table4158 */
-  0x142e, /* VPMINSWrm */
-  0x142f, /* VPMINSWrr */
+  0x120b, /* VPADDSWrm */
+  0x120c, /* VPADDSWrr */
 /* Table4160 */
-  0x1552, /* VPORrm */
-  0x1553, /* VPORrr */
+  0x147c, /* VPMAXSWrm */
+  0x147d, /* VPMAXSWrr */
 /* Table4162 */
-  0x11ed, /* VPADDSBrm */
-  0x11ee, /* VPADDSBrr */
+  0x1731, /* VPXORrm */
+  0x1732, /* VPXORrr */
 /* Table4164 */
-  0x11f1, /* VPADDSWrm */
-  0x11f2, /* VPADDSWrr */
+  0x1661, /* VPSLLWrm */
+  0x1662, /* VPSLLWrr */
 /* Table4166 */
-  0x13f2, /* VPMAXSWrm */
-  0x13f3, /* VPMAXSWrr */
+  0x1641, /* VPSLLDrm */
+  0x1642, /* VPSLLDrr */
 /* Table4168 */
-  0x16a7, /* VPXORrm */
-  0x16a8, /* VPXORrr */
+  0x164f, /* VPSLLQrm */
+  0x1650, /* VPSLLQrr */
 /* Table4170 */
-  0x15d7, /* VPSLLWrm */
-  0x15d8, /* VPSLLWrr */
+  0x15c6, /* VPMULUDQrm */
+  0x15c7, /* VPMULUDQrr */
 /* Table4172 */
-  0x15b7, /* VPSLLDrm */
-  0x15b8, /* VPSLLDrr */
+  0x1456, /* VPMADDWDrm */
+  0x1457, /* VPMADDWDrr */
 /* Table4174 */
-  0x15c5, /* VPSLLQrm */
-  0x15c6, /* VPSLLQrr */
+  0x15f7, /* VPSADBWrm */
+  0x15f8, /* VPSADBWrr */
 /* Table4176 */
-  0x153c, /* VPMULUDQrm */
-  0x153d, /* VPMULUDQrr */
+  0x0, /*  */
+  0xf19, /* VMASKMOVDQU */
 /* Table4178 */
-  0x13cc, /* VPMADDWDrm */
-  0x13cd, /* VPMADDWDrr */
+  0x16b9, /* VPSUBBrm */
+  0x16ba, /* VPSUBBrr */
 /* Table4180 */
-  0x156d, /* VPSADBWrm */
-  0x156e, /* VPSADBWrr */
+  0x16e7, /* VPSUBWrm */
+  0x16e8, /* VPSUBWrr */
 /* Table4182 */
-  0x0, /*  */
-  0xf07, /* VMASKMOVDQU */
+  0x16c6, /* VPSUBDrm */
+  0x16c7, /* VPSUBDrr */
 /* Table4184 */
-  0x162f, /* VPSUBBrm */
-  0x1630, /* VPSUBBrr */
+  0x16d3, /* VPSUBQrm */
+  0x16d4, /* VPSUBQrr */
 /* Table4186 */
-  0x165d, /* VPSUBWrm */
-  0x165e, /* VPSUBWrr */
+  0x11e9, /* VPADDBrm */
+  0x11ea, /* VPADDBrr */
 /* Table4188 */
-  0x163c, /* VPSUBDrm */
-  0x163d, /* VPSUBDrr */
+  0x1217, /* VPADDWrm */
+  0x1218, /* VPADDWrr */
 /* Table4190 */
-  0x1649, /* VPSUBQrm */
-  0x164a, /* VPSUBQrr */
+  0x11f6, /* VPADDDrm */
+  0x11f7, /* VPADDDrr */
 /* Table4192 */
-  0x11cf, /* VPADDBrm */
-  0x11d0, /* VPADDBrr */
+  0x0, /*  */
+  0x484, /* KNOTQrr */
 /* Table4194 */
-  0x11fd, /* VPADDWrm */
-  0x11fe, /* VPADDWrr */
+  0x479, /* KMOVQkm */
+  0x478, /* KMOVQkk */
 /* Table4196 */
-  0x11dc, /* VPADDDrm */
-  0x11dd, /* VPADDDrr */
+  0x47b, /* KMOVQmk */
+  0x0, /*  */
 /* Table4198 */
-  0x0, /*  */
-  0x489, /* KNOTQrr */
+  0xc7b, /* VCVTSI2SS64rm */
+  0xc7c, /* VCVTSI2SS64rr */
 /* Table4200 */
-  0x47e, /* KMOVQkm */
-  0x47d, /* KMOVQkk */
+  0xcb3, /* VCVTTSS2SI64rm */
+  0xcb4, /* VCVTTSS2SI64rr */
 /* Table4202 */
-  0x480, /* KMOVQmk */
-  0x0, /*  */
+  0xc8b, /* VCVTSS2SI64rm */
+  0xc8c, /* VCVTSS2SI64rr */
 /* Table4204 */
-  0xc81, /* VCVTSI2SS64rm */
-  0xc82, /* VCVTSI2SS64rr */
+  0xc75, /* VCVTSI2SD64rm */
+  0xc76, /* VCVTSI2SD64rr */
 /* Table4206 */
-  0xcb9, /* VCVTTSS2SI64rm */
-  0xcba, /* VCVTTSS2SI64rr */
+  0xca7, /* VCVTTSD2SI64rm */
+  0xca8, /* VCVTTSD2SI64rr */
 /* Table4208 */
-  0xc91, /* VCVTSS2SI64rm */
-  0xc92, /* VCVTSS2SI64rr */
+  0xc67, /* VCVTSD2SI64rm */
+  0xc68, /* VCVTSD2SI64rr */
 /* Table4210 */
-  0xc7b, /* VCVTSI2SD64rm */
-  0xc7c, /* VCVTSI2SD64rr */
+  0x0, /*  */
+  0x47a, /* KMOVQkr */
 /* Table4212 */
-  0xcad, /* VCVTTSD2SI64rm */
-  0xcae, /* VCVTTSD2SI64rr */
+  0x0, /*  */
+  0x47c, /* KMOVQrk */
 /* Table4214 */
-  0xc6d, /* VCVTSD2SI64rm */
-  0xc6e, /* VCVTSD2SI64rr */
+  0x0, /*  */
+  0x483, /* KNOTDrr */
 /* Table4216 */
-  0x0, /*  */
-  0x47f, /* KMOVQkr */
+  0xfed, /* VMOVDI2PDIrm */
+  0xf8f, /* VMOV64toPQIrr */
 /* Table4218 */
-  0x0, /*  */
-  0x481, /* KMOVQrk */
+  0x10ef, /* VMOVPDI2DImr */
+  0x10f5, /* VMOVPQIto64rr */
 /* Table4220 */
-  0x0, /*  */
-  0x488, /* KNOTDrr */
+  0x474, /* KMOVDkm */
+  0x473, /* KMOVDkk */
 /* Table4222 */
-  0xfdb, /* VMOVDI2PDIrm */
-  0xf7d, /* VMOV64toPQIrr */
+  0x476, /* KMOVDmk */
+  0x0, /*  */
 /* Table4224 */
-  0x10d5, /* VMOVPDI2DImr */
-  0x10db, /* VMOVPQIto64rr */
+  0x1148, /* VMOVUPSYrm */
+  0x1149, /* VMOVUPSYrr */
 /* Table4226 */
-  0x479, /* KMOVDkm */
-  0x478, /* KMOVDkk */
+  0x1147, /* VMOVUPSYmr */
+  0x114a, /* VMOVUPSYrr_REV */
 /* Table4228 */
-  0x47b, /* KMOVDmk */
-  0x0, /*  */
+  0x1802, /* VUNPCKLPSYrm */
+  0x1803, /* VUNPCKLPSYrr */
 /* Table4230 */
-  0x112e, /* VMOVUPSYrm */
-  0x112f, /* VMOVUPSYrr */
+  0x17f6, /* VUNPCKHPSYrm */
+  0x17f7, /* VUNPCKHPSYrr */
 /* Table4232 */
-  0x112d, /* VMOVUPSYmr */
-  0x1130, /* VMOVUPSYrr_REV */
+  0xfbd, /* VMOVAPSYrm */
+  0xfbe, /* VMOVAPSYrr */
 /* Table4234 */
-  0x1778, /* VUNPCKLPSYrm */
-  0x1779, /* VUNPCKLPSYrr */
+  0xfbc, /* VMOVAPSYmr */
+  0xfbf, /* VMOVAPSYrr_REV */
 /* Table4236 */
-  0x176c, /* VUNPCKHPSYrm */
-  0x176d, /* VUNPCKHPSYrr */
-/* Table4238 */
-  0xfab, /* VMOVAPSYrm */
-  0xfac, /* VMOVAPSYrr */
-/* Table4240 */
-  0xfaa, /* VMOVAPSYmr */
-  0xfad, /* VMOVAPSYrr_REV */
-/* Table4242 */
-  0x10d0, /* VMOVNTPSYmr */
+  0x10e8, /* VMOVNTPSYmr */
   0x0, /*  */
+/* Table4238 */
+  0x0, /*  */
+  0x46d, /* KANDWrr */
+/* Table4240 */
+  0x0, /*  */
+  0x46b, /* KANDNWrr */
+/* Table4242 */
+  0x0, /*  */
+  0x48a, /* KORWrr */
 /* Table4244 */
   0x0, /*  */
-  0x472, /* KANDWrr */
+  0x495, /* KXNORWrr */
 /* Table4246 */
   0x0, /*  */
-  0x470, /* KANDNWrr */
+  0x499, /* KXORWrr */
 /* Table4248 */
   0x0, /*  */
-  0x48f, /* KORWrr */
+  0x10d7, /* VMOVMSKPSYrr */
 /* Table4250 */
-  0x0, /*  */
-  0x49a, /* KXNORWrr */
+  0x17a5, /* VSQRTPSYm */
+  0x17a6, /* VSQRTPSYr */
 /* Table4252 */
-  0x0, /*  */
-  0x49e, /* KXORWrr */
+  0x177c, /* VRSQRTPSYm */
+  0x177e, /* VRSQRTPSYr */
 /* Table4254 */
-  0x0, /*  */
-  0x10c5, /* VMOVMSKPSYrr */
+  0x1747, /* VRCPPSYm */
+  0x1749, /* VRCPPSYr */
 /* Table4256 */
-  0x171b, /* VSQRTPSYm */
-  0x171c, /* VSQRTPSYr */
+  0xbd0, /* VANDPSYrm */
+  0xbd1, /* VANDPSYrr */
 /* Table4258 */
-  0x16f2, /* VRSQRTPSYm */
-  0x16f4, /* VRSQRTPSYr */
+  0xbc8, /* VANDNPSYrm */
+  0xbc9, /* VANDNPSYrr */
 /* Table4260 */
-  0x16bd, /* VRCPPSYm */
-  0x16bf, /* VRCPPSYr */
+  0x11b5, /* VORPSYrm */
+  0x11b6, /* VORPSYrr */
 /* Table4262 */
-  0xbd6, /* VANDPSYrm */
-  0xbd7, /* VANDPSYrr */
+  0x180c, /* VXORPSYrm */
+  0x180d, /* VXORPSYrr */
 /* Table4264 */
-  0xbce, /* VANDNPSYrm */
-  0xbcf, /* VANDNPSYrr */
+  0xb8f, /* VADDPSYrm */
+  0xb90, /* VADDPSYrr */
 /* Table4266 */
-  0x119b, /* VORPSYrm */
-  0x119c, /* VORPSYrr */
+  0x1192, /* VMULPSYrm */
+  0x1193, /* VMULPSYrr */
 /* Table4268 */
-  0x1782, /* VXORPSYrm */
-  0x1783, /* VXORPSYrr */
+  0xc56, /* VCVTPS2PDYrm */
+  0xc57, /* VCVTPS2PDYrr */
 /* Table4270 */
-  0xb95, /* VADDPSYrm */
-  0xb96, /* VADDPSYrr */
+  0xc31, /* VCVTDQ2PSYrm */
+  0xc32, /* VCVTDQ2PSYrr */
 /* Table4272 */
-  0x1178, /* VMULPSYrm */
-  0x1179, /* VMULPSYrr */
+  0x17c7, /* VSUBPSYrm */
+  0x17c8, /* VSUBPSYrr */
 /* Table4274 */
-  0xc5c, /* VCVTPS2PDYrm */
-  0xc5d, /* VCVTPS2PDYrr */
+  0xf71, /* VMINPSYrm */
+  0xf72, /* VMINPSYrr */
 /* Table4276 */
-  0xc37, /* VCVTDQ2PSYrm */
-  0xc38, /* VCVTDQ2PSYrr */
+  0xcd7, /* VDIVPSYrm */
+  0xcd8, /* VDIVPSYrr */
 /* Table4278 */
-  0x173d, /* VSUBPSYrm */
-  0x173e, /* VSUBPSYrr */
+  0xf3c, /* VMAXPSYrm */
+  0xf3d, /* VMAXPSYrr */
 /* Table4280 */
-  0xf5f, /* VMINPSYrm */
-  0xf60, /* VMINPSYrr */
-/* Table4282 */
-  0xcdd, /* VDIVPSYrm */
-  0xcde, /* VDIVPSYrr */
-/* Table4284 */
-  0xf2a, /* VMAXPSYrm */
-  0xf2b, /* VMAXPSYrr */
-/* Table4286 */
-  0x1786, /* VZEROALL */
+  0x1810, /* VZEROALL */
+/* Table4281 */
+  0xc06, /* VCMPPSYrmi */
+  0xc08, /* VCMPPSYrri */
+/* Table4283 */
+  0x1799, /* VSHUFPSYrmi */
+  0x179a, /* VSHUFPSYrri */
+/* Table4285 */
+  0x110b, /* VMOVSLDUPYrm */
+  0x110c, /* VMOVSLDUPYrr */
 /* Table4287 */
-  0xc0c, /* VCMPPSYrmi */
-  0xc0e, /* VCMPPSYrri */
+  0x1105, /* VMOVSHDUPYrm */
+  0x1106, /* VMOVSHDUPYrr */
 /* Table4289 */
-  0x170f, /* VSHUFPSYrmi */
-  0x1710, /* VSHUFPSYrri */
+  0xc9d, /* VCVTTPS2DQYrm */
+  0xc9e, /* VCVTTPS2DQYrr */
 /* Table4291 */
-  0x10f1, /* VMOVSLDUPYrm */
-  0x10f2, /* VMOVSLDUPYrr */
+  0x10c2, /* VMOVDQUYrm */
+  0x10c3, /* VMOVDQUYrr */
 /* Table4293 */
-  0x10eb, /* VMOVSHDUPYrm */
-  0x10ec, /* VMOVSHDUPYrr */
+  0x161f, /* VPSHUFHWYmi */
+  0x1620, /* VPSHUFHWYri */
 /* Table4295 */
-  0xca3, /* VCVTTPS2DQYrm */
-  0xca4, /* VCVTTPS2DQYrr */
+  0x10c1, /* VMOVDQUYmr */
+  0x10c4, /* VMOVDQUYrr_REV */
 /* Table4297 */
-  0x10b0, /* VMOVDQUYrm */
-  0x10b1, /* VMOVDQUYrr */
+  0xc2b, /* VCVTDQ2PDYrm */
+  0xc2c, /* VCVTDQ2PDYrr */
 /* Table4299 */
-  0x1595, /* VPSHUFHWYmi */
-  0x1596, /* VPSHUFHWYri */
+  0xfe5, /* VMOVDDUPYrm */
+  0xfe6, /* VMOVDDUPYrr */
 /* Table4301 */
-  0x10af, /* VMOVDQUYmr */
-  0x10b2, /* VMOVDQUYrr_REV */
+  0x1623, /* VPSHUFLWYmi */
+  0x1624, /* VPSHUFLWYri */
 /* Table4303 */
-  0xc31, /* VCVTDQ2PDYrm */
-  0xc32, /* VCVTDQ2PDYrr */
+  0xefa, /* VHADDPSYrm */
+  0xefb, /* VHADDPSYrr */
 /* Table4305 */
-  0xfd3, /* VMOVDDUPYrm */
-  0xfd4, /* VMOVDDUPYrr */
+  0xf02, /* VHSUBPSYrm */
+  0xf03, /* VHSUBPSYrr */
 /* Table4307 */
-  0x1599, /* VPSHUFLWYmi */
-  0x159a, /* VPSHUFLWYri */
+  0xbac, /* VADDSUBPSYrm */
+  0xbad, /* VADDSUBPSYrr */
 /* Table4309 */
-  0xee8, /* VHADDPSYrm */
-  0xee9, /* VHADDPSYrr */
+  0xc39, /* VCVTPD2DQYrm */
+  0xc3a, /* VCVTPD2DQYrr */
 /* Table4311 */
-  0xef0, /* VHSUBPSYrm */
-  0xef1, /* VHSUBPSYrr */
+  0xf16, /* VLDDQUYrm */
+  0x0, /*  */
 /* Table4313 */
-  0xbb2, /* VADDSUBPSYrm */
-  0xbb3, /* VADDSUBPSYrr */
+  0x111f, /* VMOVUPDYrm */
+  0x1120, /* VMOVUPDYrr */
 /* Table4315 */
-  0xc3f, /* VCVTPD2DQYrm */
-  0xc40, /* VCVTPD2DQYrr */
+  0x111e, /* VMOVUPDYmr */
+  0x1121, /* VMOVUPDYrr_REV */
 /* Table4317 */
-  0xf04, /* VLDDQUYrm */
-  0x0, /*  */
+  0x17fc, /* VUNPCKLPDYrm */
+  0x17fd, /* VUNPCKLPDYrr */
 /* Table4319 */
-  0x1105, /* VMOVUPDYrm */
-  0x1106, /* VMOVUPDYrr */
+  0x17f0, /* VUNPCKHPDYrm */
+  0x17f1, /* VUNPCKHPDYrr */
 /* Table4321 */
-  0x1104, /* VMOVUPDYmr */
-  0x1107, /* VMOVUPDYrr_REV */
+  0xf94, /* VMOVAPDYrm */
+  0xf95, /* VMOVAPDYrr */
 /* Table4323 */
-  0x1772, /* VUNPCKLPDYrm */
-  0x1773, /* VUNPCKLPDYrr */
+  0xf93, /* VMOVAPDYmr */
+  0xf96, /* VMOVAPDYrr_REV */
 /* Table4325 */
-  0x1766, /* VUNPCKHPDYrm */
-  0x1767, /* VUNPCKHPDYrr */
-/* Table4327 */
-  0xf82, /* VMOVAPDYrm */
-  0xf83, /* VMOVAPDYrr */
-/* Table4329 */
-  0xf81, /* VMOVAPDYmr */
-  0xf84, /* VMOVAPDYrr_REV */
-/* Table4331 */
-  0x10cd, /* VMOVNTPDYmr */
+  0x10e3, /* VMOVNTPDYmr */
   0x0, /*  */
+/* Table4327 */
+  0x0, /*  */
+  0x466, /* KANDBrr */
+/* Table4329 */
+  0x0, /*  */
+  0x468, /* KANDNBrr */
+/* Table4331 */
+  0x0, /*  */
+  0x486, /* KORBrr */
 /* Table4333 */
   0x0, /*  */
-  0x46b, /* KANDBrr */
+  0x492, /* KXNORBrr */
 /* Table4335 */
   0x0, /*  */
-  0x46d, /* KANDNBrr */
+  0x496, /* KXORBrr */
 /* Table4337 */
   0x0, /*  */
-  0x48b, /* KORBrr */
+  0x491, /* KUNPCKBWrr */
 /* Table4339 */
   0x0, /*  */
-  0x497, /* KXNORBrr */
+  0x10d5, /* VMOVMSKPDYrr */
 /* Table4341 */
-  0x0, /*  */
-  0x49b, /* KXORBrr */
+  0x179f, /* VSQRTPDYm */
+  0x17a0, /* VSQRTPDYr */
 /* Table4343 */
-  0x0, /*  */
-  0x496, /* KUNPCKBWrr */
+  0xbcc, /* VANDPDYrm */
+  0xbcd, /* VANDPDYrr */
 /* Table4345 */
-  0x0, /*  */
-  0x10c3, /* VMOVMSKPDYrr */
+  0xbc4, /* VANDNPDYrm */
+  0xbc5, /* VANDNPDYrr */
 /* Table4347 */
-  0x1715, /* VSQRTPDYm */
-  0x1716, /* VSQRTPDYr */
+  0x11b1, /* VORPDYrm */
+  0x11b2, /* VORPDYrr */
 /* Table4349 */
-  0xbd2, /* VANDPDYrm */
-  0xbd3, /* VANDPDYrr */
+  0x1808, /* VXORPDYrm */
+  0x1809, /* VXORPDYrr */
 /* Table4351 */
-  0xbca, /* VANDNPDYrm */
-  0xbcb, /* VANDNPDYrr */
+  0xb82, /* VADDPDYrm */
+  0xb83, /* VADDPDYrr */
 /* Table4353 */
-  0x1197, /* VORPDYrm */
-  0x1198, /* VORPDYrr */
+  0x1185, /* VMULPDYrm */
+  0x1186, /* VMULPDYrr */
 /* Table4355 */
-  0x177e, /* VXORPDYrm */
-  0x177f, /* VXORPDYrr */
+  0xc40, /* VCVTPD2PSYrm */
+  0xc41, /* VCVTPD2PSYrr */
 /* Table4357 */
-  0xb88, /* VADDPDYrm */
-  0xb89, /* VADDPDYrr */
+  0xc4f, /* VCVTPS2DQYrm */
+  0xc50, /* VCVTPS2DQYrr */
 /* Table4359 */
-  0x116b, /* VMULPDYrm */
-  0x116c, /* VMULPDYrr */
+  0x17ba, /* VSUBPDYrm */
+  0x17bb, /* VSUBPDYrr */
 /* Table4361 */
-  0xc46, /* VCVTPD2PSYrm */
-  0xc47, /* VCVTPD2PSYrr */
+  0xf64, /* VMINPDYrm */
+  0xf65, /* VMINPDYrr */
 /* Table4363 */
-  0xc55, /* VCVTPS2DQYrm */
-  0xc56, /* VCVTPS2DQYrr */
+  0xcca, /* VDIVPDYrm */
+  0xccb, /* VDIVPDYrr */
 /* Table4365 */
-  0x1730, /* VSUBPDYrm */
-  0x1731, /* VSUBPDYrr */
+  0xf2f, /* VMAXPDYrm */
+  0xf30, /* VMAXPDYrr */
 /* Table4367 */
-  0xf52, /* VMINPDYrm */
-  0xf53, /* VMINPDYrr */
+  0x1709, /* VPUNPCKLBWYrm */
+  0x170a, /* VPUNPCKLBWYrr */
 /* Table4369 */
-  0xcd0, /* VDIVPDYrm */
-  0xcd1, /* VDIVPDYrr */
+  0x1719, /* VPUNPCKLWDYrm */
+  0x171a, /* VPUNPCKLWDYrr */
 /* Table4371 */
-  0xf1d, /* VMAXPDYrm */
-  0xf1e, /* VMAXPDYrr */
+  0x170d, /* VPUNPCKLDQYrm */
+  0x170e, /* VPUNPCKLDQYrr */
 /* Table4373 */
-  0x167f, /* VPUNPCKLBWYrm */
-  0x1680, /* VPUNPCKLBWYrr */
+  0x11db, /* VPACKSSWBYrm */
+  0x11dc, /* VPACKSSWBYrr */
 /* Table4375 */
-  0x168f, /* VPUNPCKLWDYrm */
-  0x1690, /* VPUNPCKLWDYrr */
+  0x12e1, /* VPCMPGTBYrm */
+  0x12e2, /* VPCMPGTBYrr */
 /* Table4377 */
-  0x1683, /* VPUNPCKLDQYrm */
-  0x1684, /* VPUNPCKLDQYrr */
+  0x131d, /* VPCMPGTWYrm */
+  0x131e, /* VPCMPGTWYrr */
 /* Table4379 */
-  0x11c1, /* VPACKSSWBYrm */
-  0x11c2, /* VPACKSSWBYrr */
+  0x12f1, /* VPCMPGTDYrm */
+  0x12f2, /* VPCMPGTDYrr */
 /* Table4381 */
-  0x128f, /* VPCMPGTBYrm */
-  0x1290, /* VPCMPGTBYrr */
+  0x11e3, /* VPACKUSWBYrm */
+  0x11e4, /* VPACKUSWBYrr */
 /* Table4383 */
-  0x129f, /* VPCMPGTWYrm */
-  0x12a0, /* VPCMPGTWYrr */
+  0x16f5, /* VPUNPCKHBWYrm */
+  0x16f6, /* VPUNPCKHBWYrr */
 /* Table4385 */
-  0x1293, /* VPCMPGTDYrm */
-  0x1294, /* VPCMPGTDYrr */
+  0x1705, /* VPUNPCKHWDYrm */
+  0x1706, /* VPUNPCKHWDYrr */
 /* Table4387 */
-  0x11c9, /* VPACKUSWBYrm */
-  0x11ca, /* VPACKUSWBYrr */
+  0x16f9, /* VPUNPCKHDQYrm */
+  0x16fa, /* VPUNPCKHDQYrr */
 /* Table4389 */
-  0x166b, /* VPUNPCKHBWYrm */
-  0x166c, /* VPUNPCKHBWYrr */
+  0x11d7, /* VPACKSSDWYrm */
+  0x11d8, /* VPACKSSDWYrr */
 /* Table4391 */
-  0x167b, /* VPUNPCKHWDYrm */
-  0x167c, /* VPUNPCKHWDYrr */
+  0x1713, /* VPUNPCKLQDQYrm */
+  0x1714, /* VPUNPCKLQDQYrr */
 /* Table4393 */
-  0x166f, /* VPUNPCKHDQYrm */
-  0x1670, /* VPUNPCKHDQYrr */
+  0x16ff, /* VPUNPCKHQDQYrm */
+  0x1700, /* VPUNPCKHQDQYrr */
 /* Table4395 */
-  0x11bd, /* VPACKSSDWYrm */
-  0x11be, /* VPACKSSDWYrr */
+  0x1036, /* VMOVDQAYrm */
+  0x1037, /* VMOVDQAYrr */
 /* Table4397 */
-  0x1689, /* VPUNPCKLQDQYrm */
-  0x168a, /* VPUNPCKLQDQYrr */
+  0x1619, /* VPSHUFDYmi */
+  0x161a, /* VPSHUFDYri */
 /* Table4399 */
-  0x1675, /* VPUNPCKHQDQYrm */
-  0x1676, /* VPUNPCKHQDQYrr */
-/* Table4401 */
-  0x1024, /* VMOVDQAYrm */
-  0x1025, /* VMOVDQAYrr */
-/* Table4403 */
-  0x158f, /* VPSHUFDYmi */
-  0x1590, /* VPSHUFDYri */
-/* Table4405 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -53343,13 +54339,13 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1627, /* VPSRLWYri */
+  0x16b1, /* VPSRLWYri */
   0x0, /*  */
-  0x15f7, /* VPSRAWYri */
+  0x1681, /* VPSRAWYri */
   0x0, /*  */
-  0x15d3, /* VPSLLWYri */
+  0x165d, /* VPSLLWYri */
   0x0, /*  */
-/* Table4421 */
+/* Table4415 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -53360,13 +54356,13 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x15ff, /* VPSRLDYri */
+  0x1689, /* VPSRLDYri */
   0x0, /*  */
-  0x15d9, /* VPSRADYri */
+  0x1663, /* VPSRADYri */
   0x0, /*  */
-  0x15ab, /* VPSLLDYri */
+  0x1635, /* VPSLLDYri */
   0x0, /*  */
-/* Table4437 */
+/* Table4431 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -53377,1837 +54373,1993 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x160d, /* VPSRLQYri */
-  0x15fd, /* VPSRLDQYri */
+  0x1697, /* VPSRLQYri */
+  0x1687, /* VPSRLDQYri */
   0x0, /*  */
   0x0, /*  */
-  0x15b9, /* VPSLLQYri */
-  0x15a9, /* VPSLLDQYri */
+  0x1643, /* VPSLLQYri */
+  0x1633, /* VPSLLDQYri */
+/* Table4447 */
+  0x128d, /* VPCMPEQBYrm */
+  0x128e, /* VPCMPEQBYrr */
+/* Table4449 */
+  0x12c9, /* VPCMPEQWYrm */
+  0x12ca, /* VPCMPEQWYrr */
+/* Table4451 */
+  0x129d, /* VPCMPEQDYrm */
+  0x129e, /* VPCMPEQDYrr */
 /* Table4453 */
-  0x1273, /* VPCMPEQBYrm */
-  0x1274, /* VPCMPEQBYrr */
+  0xef6, /* VHADDPDYrm */
+  0xef7, /* VHADDPDYrr */
 /* Table4455 */
-  0x1283, /* VPCMPEQWYrm */
-  0x1284, /* VPCMPEQWYrr */
+  0xefe, /* VHSUBPDYrm */
+  0xeff, /* VHSUBPDYrr */
 /* Table4457 */
-  0x1277, /* VPCMPEQDYrm */
-  0x1278, /* VPCMPEQDYrr */
+  0x1035, /* VMOVDQAYmr */
+  0x1038, /* VMOVDQAYrr_REV */
 /* Table4459 */
-  0xee4, /* VHADDPDYrm */
-  0xee5, /* VHADDPDYrr */
+  0xbf9, /* VCMPPDYrmi */
+  0xbfb, /* VCMPPDYrri */
 /* Table4461 */
-  0xeec, /* VHSUBPDYrm */
-  0xeed, /* VHSUBPDYrr */
+  0x1793, /* VSHUFPDYrmi */
+  0x1794, /* VSHUFPDYrri */
 /* Table4463 */
-  0x1023, /* VMOVDQAYmr */
-  0x1026, /* VMOVDQAYrr_REV */
+  0xba8, /* VADDSUBPDYrm */
+  0xba9, /* VADDSUBPDYrr */
 /* Table4465 */
-  0xbff, /* VCMPPDYrmi */
-  0xc01, /* VCMPPDYrri */
+  0x16b2, /* VPSRLWYrm */
+  0x16b3, /* VPSRLWYrr */
 /* Table4467 */
-  0x1709, /* VSHUFPDYrmi */
-  0x170a, /* VSHUFPDYrri */
+  0x168a, /* VPSRLDYrm */
+  0x168b, /* VPSRLDYrr */
 /* Table4469 */
-  0xbae, /* VADDSUBPDYrm */
-  0xbaf, /* VADDSUBPDYrr */
+  0x1698, /* VPSRLQYrm */
+  0x1699, /* VPSRLQYrr */
 /* Table4471 */
-  0x1628, /* VPSRLWYrm */
-  0x1629, /* VPSRLWYrr */
+  0x11f8, /* VPADDQYrm */
+  0x11f9, /* VPADDQYrr */
 /* Table4473 */
-  0x1600, /* VPSRLDYrm */
-  0x1601, /* VPSRLDYrr */
+  0x15b7, /* VPMULLWYrm */
+  0x15b8, /* VPMULLWYrr */
 /* Table4475 */
-  0x160e, /* VPSRLQYrm */
-  0x160f, /* VPSRLQYrr */
+  0x0, /*  */
+  0x14e2, /* VPMOVMSKBYrr */
 /* Table4477 */
-  0x11de, /* VPADDQYrm */
-  0x11df, /* VPADDQYrr */
+  0x16dd, /* VPSUBUSBYrm */
+  0x16de, /* VPSUBUSBYrr */
 /* Table4479 */
-  0x152d, /* VPMULLWYrm */
-  0x152e, /* VPMULLWYrr */
+  0x16e1, /* VPSUBUSWYrm */
+  0x16e2, /* VPSUBUSWYrr */
 /* Table4481 */
-  0x0, /*  */
-  0x1458, /* VPMOVMSKBYrr */
+  0x14ba, /* VPMINUBYrm */
+  0x14bb, /* VPMINUBYrr */
 /* Table4483 */
-  0x1653, /* VPSUBUSBYrm */
-  0x1654, /* VPSUBUSBYrr */
+  0x1245, /* VPANDYrm */
+  0x1246, /* VPANDYrr */
 /* Table4485 */
-  0x1657, /* VPSUBUSWYrm */
-  0x1658, /* VPSUBUSWYrr */
+  0x120d, /* VPADDUSBYrm */
+  0x120e, /* VPADDUSBYrr */
 /* Table4487 */
-  0x1430, /* VPMINUBYrm */
-  0x1431, /* VPMINUBYrr */
+  0x1211, /* VPADDUSWYrm */
+  0x1212, /* VPADDUSWYrr */
 /* Table4489 */
-  0x122b, /* VPANDYrm */
-  0x122c, /* VPANDYrr */
+  0x147e, /* VPMAXUBYrm */
+  0x147f, /* VPMAXUBYrr */
 /* Table4491 */
-  0x11f3, /* VPADDUSBYrm */
-  0x11f4, /* VPADDUSBYrr */
+  0x1238, /* VPANDNYrm */
+  0x1239, /* VPANDNYrr */
 /* Table4493 */
-  0x11f7, /* VPADDUSWYrm */
-  0x11f8, /* VPADDUSWYrr */
+  0x1249, /* VPAVGBYrm */
+  0x124a, /* VPAVGBYrr */
 /* Table4495 */
-  0x13f4, /* VPMAXUBYrm */
-  0x13f5, /* VPMAXUBYrr */
+  0x1682, /* VPSRAWYrm */
+  0x1683, /* VPSRAWYrr */
 /* Table4497 */
-  0x121e, /* VPANDNYrm */
-  0x121f, /* VPANDNYrr */
+  0x1664, /* VPSRADYrm */
+  0x1665, /* VPSRADYrr */
 /* Table4499 */
-  0x122f, /* VPAVGBYrm */
-  0x1230, /* VPAVGBYrr */
+  0x124d, /* VPAVGWYrm */
+  0x124e, /* VPAVGWYrr */
 /* Table4501 */
-  0x15f8, /* VPSRAWYrm */
-  0x15f9, /* VPSRAWYrr */
+  0x15a2, /* VPMULHUWYrm */
+  0x15a3, /* VPMULHUWYrr */
 /* Table4503 */
-  0x15da, /* VPSRADYrm */
-  0x15db, /* VPSRADYrr */
+  0x15a6, /* VPMULHWYrm */
+  0x15a7, /* VPMULHWYrr */
 /* Table4505 */
-  0x1233, /* VPAVGWYrm */
-  0x1234, /* VPAVGWYrr */
+  0xc96, /* VCVTTPD2DQYrm */
+  0xc97, /* VCVTTPD2DQYrr */
 /* Table4507 */
-  0x1518, /* VPMULHUWYrm */
-  0x1519, /* VPMULHUWYrr */
-/* Table4509 */
-  0x151c, /* VPMULHWYrm */
-  0x151d, /* VPMULHWYrr */
-/* Table4511 */
-  0xc9c, /* VCVTTPD2DQYrm */
-  0xc9d, /* VCVTTPD2DQYrr */
-/* Table4513 */
-  0x10ca, /* VMOVNTDQYmr */
+  0x10de, /* VMOVNTDQYmr */
   0x0, /*  */
+/* Table4509 */
+  0x16d5, /* VPSUBSBYrm */
+  0x16d6, /* VPSUBSBYrr */
+/* Table4511 */
+  0x16d9, /* VPSUBSWYrm */
+  0x16da, /* VPSUBSWYrr */
+/* Table4513 */
+  0x14b6, /* VPMINSWYrm */
+  0x14b7, /* VPMINSWYrr */
 /* Table4515 */
-  0x164b, /* VPSUBSBYrm */
-  0x164c, /* VPSUBSBYrr */
+  0x15da, /* VPORYrm */
+  0x15db, /* VPORYrr */
 /* Table4517 */
-  0x164f, /* VPSUBSWYrm */
-  0x1650, /* VPSUBSWYrr */
+  0x1205, /* VPADDSBYrm */
+  0x1206, /* VPADDSBYrr */
 /* Table4519 */
-  0x142c, /* VPMINSWYrm */
-  0x142d, /* VPMINSWYrr */
+  0x1209, /* VPADDSWYrm */
+  0x120a, /* VPADDSWYrr */
 /* Table4521 */
-  0x1550, /* VPORYrm */
-  0x1551, /* VPORYrr */
+  0x147a, /* VPMAXSWYrm */
+  0x147b, /* VPMAXSWYrr */
 /* Table4523 */
-  0x11eb, /* VPADDSBYrm */
-  0x11ec, /* VPADDSBYrr */
+  0x172f, /* VPXORYrm */
+  0x1730, /* VPXORYrr */
 /* Table4525 */
-  0x11ef, /* VPADDSWYrm */
-  0x11f0, /* VPADDSWYrr */
+  0x165e, /* VPSLLWYrm */
+  0x165f, /* VPSLLWYrr */
 /* Table4527 */
-  0x13f0, /* VPMAXSWYrm */
-  0x13f1, /* VPMAXSWYrr */
+  0x1636, /* VPSLLDYrm */
+  0x1637, /* VPSLLDYrr */
 /* Table4529 */
-  0x16a5, /* VPXORYrm */
-  0x16a6, /* VPXORYrr */
+  0x1644, /* VPSLLQYrm */
+  0x1645, /* VPSLLQYrr */
 /* Table4531 */
-  0x15d4, /* VPSLLWYrm */
-  0x15d5, /* VPSLLWYrr */
+  0x15bb, /* VPMULUDQYrm */
+  0x15bc, /* VPMULUDQYrr */
 /* Table4533 */
-  0x15ac, /* VPSLLDYrm */
-  0x15ad, /* VPSLLDYrr */
+  0x1454, /* VPMADDWDYrm */
+  0x1455, /* VPMADDWDYrr */
 /* Table4535 */
-  0x15ba, /* VPSLLQYrm */
-  0x15bb, /* VPSLLQYrr */
+  0x15f5, /* VPSADBWYrm */
+  0x15f6, /* VPSADBWYrr */
 /* Table4537 */
-  0x1531, /* VPMULUDQYrm */
-  0x1532, /* VPMULUDQYrr */
+  0x16b7, /* VPSUBBYrm */
+  0x16b8, /* VPSUBBYrr */
 /* Table4539 */
-  0x13ca, /* VPMADDWDYrm */
-  0x13cb, /* VPMADDWDYrr */
+  0x16e5, /* VPSUBWYrm */
+  0x16e6, /* VPSUBWYrr */
 /* Table4541 */
-  0x156b, /* VPSADBWYrm */
-  0x156c, /* VPSADBWYrr */
+  0x16bb, /* VPSUBDYrm */
+  0x16bc, /* VPSUBDYrr */
 /* Table4543 */
-  0x162d, /* VPSUBBYrm */
-  0x162e, /* VPSUBBYrr */
+  0x16c8, /* VPSUBQYrm */
+  0x16c9, /* VPSUBQYrr */
 /* Table4545 */
-  0x165b, /* VPSUBWYrm */
-  0x165c, /* VPSUBWYrr */
+  0x11e7, /* VPADDBYrm */
+  0x11e8, /* VPADDBYrr */
 /* Table4547 */
-  0x1631, /* VPSUBDYrm */
-  0x1632, /* VPSUBDYrr */
+  0x1215, /* VPADDWYrm */
+  0x1216, /* VPADDWYrr */
 /* Table4549 */
-  0x163e, /* VPSUBQYrm */
-  0x163f, /* VPSUBQYrr */
+  0x11eb, /* VPADDDYrm */
+  0x11ec, /* VPADDDYrr */
 /* Table4551 */
-  0x11cd, /* VPADDBYrm */
-  0x11ce, /* VPADDBYrr */
+  0x0, /*  */
+  0x46c, /* KANDQrr */
 /* Table4553 */
-  0x11fb, /* VPADDWYrm */
-  0x11fc, /* VPADDWYrr */
+  0x0, /*  */
+  0x46a, /* KANDNQrr */
 /* Table4555 */
-  0x11d1, /* VPADDDYrm */
-  0x11d2, /* VPADDDYrr */
+  0x0, /*  */
+  0x488, /* KORQrr */
 /* Table4557 */
   0x0, /*  */
-  0x471, /* KANDQrr */
+  0x494, /* KXNORQrr */
 /* Table4559 */
   0x0, /*  */
-  0x46f, /* KANDNQrr */
+  0x498, /* KXORQrr */
 /* Table4561 */
   0x0, /*  */
-  0x48d, /* KORQrr */
+  0x467, /* KANDDrr */
 /* Table4563 */
   0x0, /*  */
-  0x499, /* KXNORQrr */
+  0x469, /* KANDNDrr */
 /* Table4565 */
   0x0, /*  */
-  0x49d, /* KXORQrr */
+  0x487, /* KORDrr */
 /* Table4567 */
   0x0, /*  */
-  0x46c, /* KANDDrr */
+  0x493, /* KXNORDrr */
 /* Table4569 */
   0x0, /*  */
-  0x46e, /* KANDNDrr */
+  0x497, /* KXORDrr */
 /* Table4571 */
-  0x0, /*  */
-  0x48c, /* KORDrr */
+  0x114d, /* VMOVUPSZ128rm */
+  0x1150, /* VMOVUPSZ128rr */
 /* Table4573 */
+  0x114b, /* VMOVUPSZ128mr */
   0x0, /*  */
-  0x498, /* KXNORDrr */
 /* Table4575 */
   0x0, /*  */
-  0x49c, /* KXORDrr */
+  0x10c9, /* VMOVHLPSZrr */
 /* Table4577 */
-  0x1133, /* VMOVUPSZ128rm */
-  0x1136, /* VMOVUPSZ128rr */
+  0x0, /*  */
+  0x10cf, /* VMOVLHPSZrr */
 /* Table4579 */
-  0x1131, /* VMOVUPSZ128mr */
-  0x0, /*  */
+  0xfc2, /* VMOVAPSZ128rm */
+  0xfc5, /* VMOVAPSZ128rr */
 /* Table4581 */
+  0xfc0, /* VMOVAPSZ128mr */
   0x0, /*  */
-  0x10b7, /* VMOVHLPSZrr */
 /* Table4583 */
+  0x10e9, /* VMOVNTPSZ128mr */
   0x0, /*  */
-  0x10bd, /* VMOVLHPSZrr */
 /* Table4585 */
-  0xfb0, /* VMOVAPSZ128rm */
-  0xfb3, /* VMOVAPSZ128rr */
+  0x17ec, /* VUCOMISSZrm */
+  0x17ed, /* VUCOMISSZrr */
 /* Table4587 */
-  0xfae, /* VMOVAPSZ128mr */
-  0x0, /*  */
+  0xc27, /* VCOMISSZrm */
+  0xc28, /* VCOMISSZrr */
 /* Table4589 */
-  0x1762, /* VUCOMISSZrm */
-  0x1763, /* VUCOMISSZrr */
+  0x1116, /* VMOVSSZrm */
+  0x1117, /* VMOVSSZrr */
 /* Table4591 */
-  0xc2d, /* VCOMISSZrm */
-  0xc2e, /* VCOMISSZrr */
+  0x1115, /* VMOVSSZmr */
+  0x1118, /* VMOVSSZrr_REV */
 /* Table4593 */
-  0x10fc, /* VMOVSSZrm */
-  0x10fd, /* VMOVSSZrr */
+  0xc7d, /* VCVTSI2SSZrm */
+  0xc7e, /* VCVTSI2SSZrr */
 /* Table4595 */
-  0x10fb, /* VMOVSSZmr */
-  0x10fe, /* VMOVSSZrr_REV */
+  0xcb5, /* VCVTTSS2SIZrm */
+  0xcb6, /* VCVTTSS2SIZrr */
 /* Table4597 */
-  0xc83, /* VCVTSI2SSZrm */
-  0xc84, /* VCVTSI2SSZrr */
+  0xc8d, /* VCVTSS2SIZrm */
+  0xc8e, /* VCVTSS2SIZrr */
 /* Table4599 */
-  0xcbb, /* VCVTTSS2SIZrm */
-  0xcbc, /* VCVTTSS2SIZrr */
+  0x17b2, /* VSQRTSSZm */
+  0x17b4, /* VSQRTSSZr */
 /* Table4601 */
-  0xc93, /* VCVTSS2SIZrm */
-  0xc94, /* VCVTSS2SIZrr */
+  0xba2, /* VADDSSZrm */
+  0xba3, /* VADDSSZrr */
 /* Table4603 */
-  0x1728, /* VSQRTSSZm */
-  0x172a, /* VSQRTSSZr */
+  0x11a5, /* VMULSSZrm */
+  0x11a6, /* VMULSSZrr */
 /* Table4605 */
-  0xba8, /* VADDSSZrm */
-  0xba9, /* VADDSSZrr */
+  0xc85, /* VCVTSS2SDZrm */
+  0xc86, /* VCVTSS2SDZrr */
 /* Table4607 */
-  0x118b, /* VMULSSZrm */
-  0x118c, /* VMULSSZrr */
+  0x17da, /* VSUBSSZrm */
+  0x17db, /* VSUBSSZrr */
 /* Table4609 */
-  0xc8b, /* VCVTSS2SDZrm */
-  0xc8c, /* VCVTSS2SDZrr */
+  0xf84, /* VMINSSZrm */
+  0xf85, /* VMINSSZrr */
 /* Table4611 */
-  0x1750, /* VSUBSSZrm */
-  0x1751, /* VSUBSSZrr */
+  0xcea, /* VDIVSSZrm */
+  0xceb, /* VDIVSSZrr */
 /* Table4613 */
-  0xf72, /* VMINSSZrm */
-  0xf73, /* VMINSSZrr */
+  0xf4f, /* VMAXSSZrm */
+  0xf50, /* VMAXSSZrr */
 /* Table4615 */
-  0xcf0, /* VDIVSSZrm */
-  0xcf1, /* VDIVSSZrr */
+  0x1060, /* VMOVDQU32Z128rm */
+  0x1063, /* VMOVDQU32Z128rr */
 /* Table4617 */
-  0xf3d, /* VMAXSSZrm */
-  0xf3e, /* VMAXSSZrr */
+  0xcbb, /* VCVTTSS2USIZrm */
+  0xcbc, /* VCVTTSS2USIZrr */
 /* Table4619 */
-  0x104e, /* VMOVDQU32Z128rm */
-  0x1051, /* VMOVDQU32Z128rr */
+  0xc93, /* VCVTSS2USIZrm */
+  0xc94, /* VCVTSS2USIZrr */
 /* Table4621 */
-  0xcc1, /* VCVTTSS2USIZrm */
-  0xcc2, /* VCVTTSS2USIZrr */
+  0xcc4, /* VCVTUSI2SSZrm */
+  0xcc5, /* VCVTUSI2SSZrr */
 /* Table4623 */
-  0xc99, /* VCVTSS2USIZrm */
-  0xc9a, /* VCVTSS2USIZrr */
+  0x105e, /* VMOVDQU32Z128mr */
+  0x0, /*  */
 /* Table4625 */
-  0xcca, /* VCVTUSI2SSZrm */
-  0xccb, /* VCVTUSI2SSZrr */
+  0xc1b, /* VCMPSSZrm */
+  0xc1d, /* VCMPSSZrr */
 /* Table4627 */
-  0x104c, /* VMOVDQU32Z128mr */
-  0x0, /*  */
+  0xc77, /* VCVTSI2SDZrm */
+  0xc78, /* VCVTSI2SDZrr */
 /* Table4629 */
-  0xc21, /* VCMPSSZrm */
-  0xc23, /* VCMPSSZrr */
+  0xca9, /* VCVTTSD2SIZrm */
+  0xcaa, /* VCVTTSD2SIZrr */
 /* Table4631 */
-  0xc7d, /* VCVTSI2SDZrm */
-  0xc7e, /* VCVTSI2SDZrr */
+  0xc69, /* VCVTSD2SIZrm */
+  0xc6a, /* VCVTSD2SIZrr */
 /* Table4633 */
-  0xcaf, /* VCVTTSD2SIZrm */
-  0xcb0, /* VCVTTSD2SIZrr */
+  0x10a2, /* VMOVDQU8Z128rm */
+  0x10a5, /* VMOVDQU8Z128rr */
 /* Table4635 */
-  0xc6f, /* VCVTSD2SIZrm */
-  0xc70, /* VCVTSD2SIZrr */
+  0xcaf, /* VCVTTSD2USIZrm */
+  0xcb0, /* VCVTTSD2USIZrr */
 /* Table4637 */
-  0x1090, /* VMOVDQU8Z128rm */
-  0x1093, /* VMOVDQU8Z128rr */
+  0xc73, /* VCVTSD2USIZrm */
+  0xc74, /* VCVTSD2USIZrr */
 /* Table4639 */
-  0xcb5, /* VCVTTSD2USIZrm */
-  0xcb6, /* VCVTTSD2USIZrr */
+  0xcc2, /* VCVTUSI2SDZrm */
+  0xcc3, /* VCVTUSI2SDZrr */
 /* Table4641 */
-  0xc79, /* VCVTSD2USIZrm */
-  0xc7a, /* VCVTSD2USIZrr */
+  0x10a0, /* VMOVDQU8Z128mr */
+  0x0, /*  */
 /* Table4643 */
-  0xcc8, /* VCVTUSI2SDZrm */
-  0xcc9, /* VCVTUSI2SDZrr */
+  0x12e3, /* VPCMPGTBZ128rm */
+  0x12e5, /* VPCMPGTBZ128rr */
 /* Table4645 */
-  0x108e, /* VMOVDQU8Z128mr */
-  0x0, /*  */
+  0x131f, /* VPCMPGTWZ128rm */
+  0x1321, /* VPCMPGTWZ128rr */
 /* Table4647 */
-  0xfd9, /* VMOVDI2PDIZrm */
-  0xfda, /* VMOVDI2PDIZrr */
+  0x12f3, /* VPCMPGTDZ128rm */
+  0x12f7, /* VPCMPGTDZ128rr */
 /* Table4649 */
-  0xfe3, /* VMOVDQA32Z128rm */
-  0xfe6, /* VMOVDQA32Z128rr */
+  0xfeb, /* VMOVDI2PDIZrm */
+  0xfec, /* VMOVDI2PDIZrr */
 /* Table4651 */
-  0x10d3, /* VMOVPDI2DIZmr */
-  0x10d4, /* VMOVPDI2DIZrr */
+  0xff5, /* VMOVDQA32Z128rm */
+  0xff8, /* VMOVDQA32Z128rr */
 /* Table4653 */
-  0xfe1, /* VMOVDQA32Z128mr */
-  0x0, /*  */
+  0x128f, /* VPCMPEQBZ128rm */
+  0x1291, /* VPCMPEQBZ128rr */
 /* Table4655 */
-  0xc89, /* VCVTSI642SSZrm */
-  0xc8a, /* VCVTSI642SSZrr */
+  0x12cb, /* VPCMPEQWZ128rm */
+  0x12cd, /* VPCMPEQWZ128rr */
 /* Table4657 */
-  0xcb7, /* VCVTTSS2SI64Zrm */
-  0xcb8, /* VCVTTSS2SI64Zrr */
+  0x129f, /* VPCMPEQDZ128rm */
+  0x12a3, /* VPCMPEQDZ128rr */
 /* Table4659 */
-  0xc8f, /* VCVTSS2SI64Zrm */
-  0xc90, /* VCVTSS2SI64Zrr */
+  0x10ed, /* VMOVPDI2DIZmr */
+  0x10ee, /* VMOVPDI2DIZrr */
 /* Table4661 */
-  0x106f, /* VMOVDQU64Z128rm */
-  0x1072, /* VMOVDQU64Z128rr */
+  0xff3, /* VMOVDQA32Z128mr */
+  0x0, /*  */
 /* Table4663 */
-  0xcbf, /* VCVTTSS2USI64Zrm */
-  0xcc0, /* VCVTTSS2USI64Zrr */
+  0x10df, /* VMOVNTDQZ128mr */
+  0x0, /*  */
 /* Table4665 */
-  0xc97, /* VCVTSS2USI64Zrm */
-  0xc98, /* VCVTSS2USI64Zrr */
+  0xc83, /* VCVTSI642SSZrm */
+  0xc84, /* VCVTSI642SSZrr */
 /* Table4667 */
-  0xcce, /* VCVTUSI642SSZrm */
-  0xccf, /* VCVTUSI642SSZrr */
+  0xcb1, /* VCVTTSS2SI64Zrm */
+  0xcb2, /* VCVTTSS2SI64Zrr */
 /* Table4669 */
-  0x1156, /* VMOVZPQILo2PQIZrm */
-  0x1157, /* VMOVZPQILo2PQIZrr */
+  0xc89, /* VCVTSS2SI64Zrm */
+  0xc8a, /* VCVTSS2SI64Zrr */
 /* Table4671 */
-  0x106d, /* VMOVDQU64Z128mr */
-  0x0, /*  */
+  0x1081, /* VMOVDQU64Z128rm */
+  0x1084, /* VMOVDQU64Z128rr */
 /* Table4673 */
-  0x10df, /* VMOVSDZrm */
-  0x10e0, /* VMOVSDZrr */
+  0xcb9, /* VCVTTSS2USI64Zrm */
+  0xcba, /* VCVTTSS2USI64Zrr */
 /* Table4675 */
-  0x10de, /* VMOVSDZmr */
-  0x10e1, /* VMOVSDZrr_REV */
+  0xc91, /* VCVTSS2USI64Zrm */
+  0xc92, /* VCVTSS2USI64Zrr */
 /* Table4677 */
-  0xc87, /* VCVTSI642SDZrm */
-  0xc88, /* VCVTSI642SDZrr */
+  0xcc8, /* VCVTUSI642SSZrm */
+  0xcc9, /* VCVTUSI642SSZrr */
 /* Table4679 */
-  0xcab, /* VCVTTSD2SI64Zrm */
-  0xcac, /* VCVTTSD2SI64Zrr */
+  0x1170, /* VMOVZPQILo2PQIZrm */
+  0x1171, /* VMOVZPQILo2PQIZrr */
 /* Table4681 */
-  0xc6b, /* VCVTSD2SI64Zrm */
-  0xc6c, /* VCVTSD2SI64Zrr */
+  0x107f, /* VMOVDQU64Z128mr */
+  0x0, /*  */
 /* Table4683 */
-  0x1721, /* VSQRTSDZm */
-  0x1723, /* VSQRTSDZr */
+  0x10f9, /* VMOVSDZrm */
+  0x10fa, /* VMOVSDZrr */
 /* Table4685 */
-  0xba2, /* VADDSDZrm */
-  0xba3, /* VADDSDZrr */
+  0x10f8, /* VMOVSDZmr */
+  0x10fb, /* VMOVSDZrr_REV */
 /* Table4687 */
-  0x1185, /* VMULSDZrm */
-  0x1186, /* VMULSDZrr */
+  0xc81, /* VCVTSI642SDZrm */
+  0xc82, /* VCVTSI642SDZrr */
 /* Table4689 */
-  0xc73, /* VCVTSD2SSZrm */
-  0xc74, /* VCVTSD2SSZrr */
+  0xca5, /* VCVTTSD2SI64Zrm */
+  0xca6, /* VCVTTSD2SI64Zrr */
 /* Table4691 */
-  0x174a, /* VSUBSDZrm */
-  0x174b, /* VSUBSDZrr */
+  0xc65, /* VCVTSD2SI64Zrm */
+  0xc66, /* VCVTSD2SI64Zrr */
 /* Table4693 */
-  0xf6c, /* VMINSDZrm */
-  0xf6d, /* VMINSDZrr */
+  0x17ab, /* VSQRTSDZm */
+  0x17ad, /* VSQRTSDZr */
 /* Table4695 */
-  0xcea, /* VDIVSDZrm */
-  0xceb, /* VDIVSDZrr */
+  0xb9c, /* VADDSDZrm */
+  0xb9d, /* VADDSDZrr */
 /* Table4697 */
-  0xf37, /* VMAXSDZrm */
-  0xf38, /* VMAXSDZrr */
+  0x119f, /* VMULSDZrm */
+  0x11a0, /* VMULSDZrr */
 /* Table4699 */
-  0x102d, /* VMOVDQU16Z128rm */
-  0x1030, /* VMOVDQU16Z128rr */
+  0xc6d, /* VCVTSD2SSZrm */
+  0xc6e, /* VCVTSD2SSZrr */
 /* Table4701 */
-  0xcb3, /* VCVTTSD2USI64Zrm */
-  0xcb4, /* VCVTTSD2USI64Zrr */
+  0x17d4, /* VSUBSDZrm */
+  0x17d5, /* VSUBSDZrr */
 /* Table4703 */
-  0xc77, /* VCVTSD2USI64Zrm */
-  0xc78, /* VCVTSD2USI64Zrr */
+  0xf7e, /* VMINSDZrm */
+  0xf7f, /* VMINSDZrr */
 /* Table4705 */
-  0xccc, /* VCVTUSI642SDZrm */
-  0xccd, /* VCVTUSI642SDZrr */
+  0xce4, /* VDIVSDZrm */
+  0xce5, /* VDIVSDZrr */
 /* Table4707 */
-  0x102b, /* VMOVDQU16Z128mr */
-  0x0, /*  */
+  0xf49, /* VMAXSDZrm */
+  0xf4a, /* VMAXSDZrr */
 /* Table4709 */
-  0xc19, /* VCMPSDZrm */
-  0xc1b, /* VCMPSDZrr */
+  0x103f, /* VMOVDQU16Z128rm */
+  0x1042, /* VMOVDQU16Z128rr */
 /* Table4711 */
-  0x110a, /* VMOVUPDZ128rm */
-  0x110d, /* VMOVUPDZ128rr */
+  0xcad, /* VCVTTSD2USI64Zrm */
+  0xcae, /* VCVTTSD2USI64Zrr */
 /* Table4713 */
-  0x1108, /* VMOVUPDZ128mr */
-  0x0, /*  */
+  0xc71, /* VCVTSD2USI64Zrm */
+  0xc72, /* VCVTSD2USI64Zrr */
 /* Table4715 */
-  0xf87, /* VMOVAPDZ128rm */
-  0xf8a, /* VMOVAPDZ128rr */
+  0xcc6, /* VCVTUSI642SDZrm */
+  0xcc7, /* VCVTUSI642SDZrr */
 /* Table4717 */
-  0xf85, /* VMOVAPDZ128mr */
+  0x103d, /* VMOVDQU16Z128mr */
   0x0, /*  */
 /* Table4719 */
-  0x175e, /* VUCOMISDZrm */
-  0x175f, /* VUCOMISDZrr */
+  0xc13, /* VCMPSDZrm */
+  0xc15, /* VCMPSDZrr */
 /* Table4721 */
-  0xc29, /* VCOMISDZrm */
-  0xc2a, /* VCOMISDZrr */
+  0x1124, /* VMOVUPDZ128rm */
+  0x1127, /* VMOVUPDZ128rr */
 /* Table4723 */
-  0x10dc, /* VMOVQI2PQIZrm */
-  0xf7c, /* VMOV64toPQIZrr */
+  0x1122, /* VMOVUPDZ128mr */
+  0x0, /*  */
 /* Table4725 */
-  0x1004, /* VMOVDQA64Z128rm */
-  0x1007, /* VMOVDQA64Z128rr */
+  0xf99, /* VMOVAPDZ128rm */
+  0xf9c, /* VMOVAPDZ128rr */
 /* Table4727 */
-  0x10e7, /* VMOVSDto64Zmr */
-  0x10da, /* VMOVPQIto64Zrr */
+  0xf97, /* VMOVAPDZ128mr */
+  0x0, /*  */
 /* Table4729 */
-  0x1002, /* VMOVDQA64Z128mr */
+  0x10e4, /* VMOVNTPDZ128mr */
   0x0, /*  */
 /* Table4731 */
-  0x10d9, /* VMOVPQIto64Zmr */
-  0x0, /*  */
+  0x17e8, /* VUCOMISDZrm */
+  0x17e9, /* VUCOMISDZrr */
 /* Table4733 */
-  0x113e, /* VMOVUPSZ256rm */
-  0x1141, /* VMOVUPSZ256rr */
+  0xc23, /* VCOMISDZrm */
+  0xc24, /* VCOMISDZrr */
 /* Table4735 */
-  0x113c, /* VMOVUPSZ256mr */
-  0x0, /*  */
+  0x10f6, /* VMOVQI2PQIZrm */
+  0xf8e, /* VMOV64toPQIZrr */
 /* Table4737 */
-  0xfbb, /* VMOVAPSZ256rm */
-  0xfbe, /* VMOVAPSZ256rr */
+  0x1016, /* VMOVDQA64Z128rm */
+  0x1019, /* VMOVDQA64Z128rr */
 /* Table4739 */
-  0xfb9, /* VMOVAPSZ256mr */
-  0x0, /*  */
+  0x1101, /* VMOVSDto64Zmr */
+  0x10f4, /* VMOVPQIto64Zrr */
 /* Table4741 */
-  0x1059, /* VMOVDQU32Z256rm */
-  0x105c, /* VMOVDQU32Z256rr */
+  0x1014, /* VMOVDQA64Z128mr */
+  0x0, /*  */
 /* Table4743 */
-  0x1057, /* VMOVDQU32Z256mr */
+  0x10f3, /* VMOVPQIto64Zmr */
   0x0, /*  */
 /* Table4745 */
-  0x109b, /* VMOVDQU8Z256rm */
-  0x109e, /* VMOVDQU8Z256rr */
+  0x1158, /* VMOVUPSZ256rm */
+  0x115b, /* VMOVUPSZ256rr */
 /* Table4747 */
-  0x1099, /* VMOVDQU8Z256mr */
+  0x1156, /* VMOVUPSZ256mr */
   0x0, /*  */
 /* Table4749 */
-  0xfee, /* VMOVDQA32Z256rm */
-  0xff1, /* VMOVDQA32Z256rr */
+  0xfcd, /* VMOVAPSZ256rm */
+  0xfd0, /* VMOVAPSZ256rr */
 /* Table4751 */
-  0xfec, /* VMOVDQA32Z256mr */
+  0xfcb, /* VMOVAPSZ256mr */
   0x0, /*  */
 /* Table4753 */
-  0x107a, /* VMOVDQU64Z256rm */
-  0x107d, /* VMOVDQU64Z256rr */
+  0x10ea, /* VMOVNTPSZ256mr */
+  0x0, /*  */
 /* Table4755 */
-  0x1078, /* VMOVDQU64Z256mr */
-  0x0, /*  */
+  0x106b, /* VMOVDQU32Z256rm */
+  0x106e, /* VMOVDQU32Z256rr */
 /* Table4757 */
-  0x1038, /* VMOVDQU16Z256rm */
-  0x103b, /* VMOVDQU16Z256rr */
+  0x1069, /* VMOVDQU32Z256mr */
+  0x0, /*  */
 /* Table4759 */
-  0x1036, /* VMOVDQU16Z256mr */
-  0x0, /*  */
+  0x10ad, /* VMOVDQU8Z256rm */
+  0x10b0, /* VMOVDQU8Z256rr */
 /* Table4761 */
-  0x1115, /* VMOVUPDZ256rm */
-  0x1118, /* VMOVUPDZ256rr */
+  0x10ab, /* VMOVDQU8Z256mr */
+  0x0, /*  */
 /* Table4763 */
-  0x1113, /* VMOVUPDZ256mr */
-  0x0, /*  */
+  0x12e7, /* VPCMPGTBZ256rm */
+  0x12e9, /* VPCMPGTBZ256rr */
 /* Table4765 */
-  0xf92, /* VMOVAPDZ256rm */
-  0xf95, /* VMOVAPDZ256rr */
+  0x1323, /* VPCMPGTWZ256rm */
+  0x1325, /* VPCMPGTWZ256rr */
 /* Table4767 */
-  0xf90, /* VMOVAPDZ256mr */
-  0x0, /*  */
+  0x12f9, /* VPCMPGTDZ256rm */
+  0x12fd, /* VPCMPGTDZ256rr */
 /* Table4769 */
-  0x100f, /* VMOVDQA64Z256rm */
-  0x1012, /* VMOVDQA64Z256rr */
+  0x1000, /* VMOVDQA32Z256rm */
+  0x1003, /* VMOVDQA32Z256rr */
 /* Table4771 */
-  0x100d, /* VMOVDQA64Z256mr */
-  0x0, /*  */
+  0x1293, /* VPCMPEQBZ256rm */
+  0x1295, /* VPCMPEQBZ256rr */
 /* Table4773 */
-  0x1149, /* VMOVUPSZrm */
-  0x114c, /* VMOVUPSZrr */
+  0x12cf, /* VPCMPEQWZ256rm */
+  0x12d1, /* VPCMPEQWZ256rr */
 /* Table4775 */
-  0x1147, /* VMOVUPSZmr */
-  0x0, /*  */
+  0x12a5, /* VPCMPEQDZ256rm */
+  0x12a9, /* VPCMPEQDZ256rr */
 /* Table4777 */
-  0x177a, /* VUNPCKLPSZrm */
-  0x177b, /* VUNPCKLPSZrr */
+  0xffe, /* VMOVDQA32Z256mr */
+  0x0, /*  */
 /* Table4779 */
-  0x176e, /* VUNPCKHPSZrm */
-  0x176f, /* VUNPCKHPSZrr */
+  0x10e0, /* VMOVNTDQZ256mr */
+  0x0, /*  */
 /* Table4781 */
-  0xfc6, /* VMOVAPSZrm */
-  0xfc9, /* VMOVAPSZrr */
+  0x108c, /* VMOVDQU64Z256rm */
+  0x108f, /* VMOVDQU64Z256rr */
 /* Table4783 */
-  0xfc4, /* VMOVAPSZmr */
+  0x108a, /* VMOVDQU64Z256mr */
   0x0, /*  */
 /* Table4785 */
-  0x10d1, /* VMOVNTPSZmr */
-  0x0, /*  */
+  0x104a, /* VMOVDQU16Z256rm */
+  0x104d, /* VMOVDQU16Z256rr */
 /* Table4787 */
-  0x171d, /* VSQRTPSZrm */
-  0x171e, /* VSQRTPSZrr */
+  0x1048, /* VMOVDQU16Z256mr */
+  0x0, /*  */
 /* Table4789 */
-  0xb97, /* VADDPSZrm */
-  0xb9d, /* VADDPSZrr */
+  0x112f, /* VMOVUPDZ256rm */
+  0x1132, /* VMOVUPDZ256rr */
 /* Table4791 */
-  0x117a, /* VMULPSZrm */
-  0x1180, /* VMULPSZrr */
+  0x112d, /* VMOVUPDZ256mr */
+  0x0, /*  */
 /* Table4793 */
-  0xc5e, /* VCVTPS2PDZrm */
-  0xc5f, /* VCVTPS2PDZrr */
+  0xfa4, /* VMOVAPDZ256rm */
+  0xfa7, /* VMOVAPDZ256rr */
 /* Table4795 */
-  0xc39, /* VCVTDQ2PSZrm */
-  0xc3a, /* VCVTDQ2PSZrr */
+  0xfa2, /* VMOVAPDZ256mr */
+  0x0, /*  */
 /* Table4797 */
-  0x173f, /* VSUBPSZrm */
-  0x1745, /* VSUBPSZrr */
+  0x10e5, /* VMOVNTPDZ256mr */
+  0x0, /*  */
 /* Table4799 */
-  0xf61, /* VMINPSZrm */
-  0xf67, /* VMINPSZrr */
+  0x1021, /* VMOVDQA64Z256rm */
+  0x1024, /* VMOVDQA64Z256rr */
 /* Table4801 */
-  0xcdf, /* VDIVPSZrm */
-  0xce5, /* VDIVPSZrr */
+  0x101f, /* VMOVDQA64Z256mr */
+  0x0, /*  */
 /* Table4803 */
-  0xf2c, /* VMAXPSZrm */
-  0xf32, /* VMAXPSZrr */
+  0x1163, /* VMOVUPSZrm */
+  0x1166, /* VMOVUPSZrr */
 /* Table4805 */
-  0xca9, /* VCVTTPS2UDQZrm */
-  0xcaa, /* VCVTTPS2UDQZrr */
+  0x1161, /* VMOVUPSZmr */
+  0x0, /*  */
 /* Table4807 */
-  0xc68, /* VCVTPS2UDQZrm */
-  0xc69, /* VCVTPS2UDQZrr */
+  0x1804, /* VUNPCKLPSZrm */
+  0x1805, /* VUNPCKLPSZrr */
 /* Table4809 */
-  0xc10, /* VCMPPSZrmi */
-  0xc12, /* VCMPPSZrri */
+  0x17f8, /* VUNPCKHPSZrm */
+  0x17f9, /* VUNPCKHPSZrr */
 /* Table4811 */
-  0x1711, /* VSHUFPSZrmi */
-  0x1712, /* VSHUFPSZrri */
+  0xfd8, /* VMOVAPSZrm */
+  0xfdb, /* VMOVAPSZrr */
 /* Table4813 */
-  0x10f3, /* VMOVSLDUPZrm */
-  0x10f4, /* VMOVSLDUPZrr */
+  0xfd6, /* VMOVAPSZmr */
+  0x0, /*  */
 /* Table4815 */
-  0x10ed, /* VMOVSHDUPZrm */
-  0x10ee, /* VMOVSHDUPZrr */
+  0x10eb, /* VMOVNTPSZmr */
+  0x0, /*  */
 /* Table4817 */
-  0xca5, /* VCVTTPS2DQZrm */
-  0xca6, /* VCVTTPS2DQZrr */
+  0x17a7, /* VSQRTPSZrm */
+  0x17a8, /* VSQRTPSZrr */
 /* Table4819 */
-  0x1064, /* VMOVDQU32Zrm */
-  0x1067, /* VMOVDQU32Zrr */
+  0xb91, /* VADDPSZrm */
+  0xb97, /* VADDPSZrr */
 /* Table4821 */
-  0xcc3, /* VCVTUDQ2PDZrm */
-  0xcc4, /* VCVTUDQ2PDZrr */
+  0x1194, /* VMULPSZrm */
+  0x119a, /* VMULPSZrr */
 /* Table4823 */
-  0x1062, /* VMOVDQU32Zmr */
-  0x0, /*  */
+  0xc58, /* VCVTPS2PDZrm */
+  0xc59, /* VCVTPS2PDZrr */
 /* Table4825 */
-  0xc33, /* VCVTDQ2PDZrm */
-  0xc34, /* VCVTDQ2PDZrr */
+  0xc33, /* VCVTDQ2PSZrm */
+  0xc34, /* VCVTDQ2PSZrr */
 /* Table4827 */
-  0x10a6, /* VMOVDQU8Zrm */
-  0x10a9, /* VMOVDQU8Zrr */
+  0x17c9, /* VSUBPSZrm */
+  0x17cf, /* VSUBPSZrr */
 /* Table4829 */
-  0xcc5, /* VCVTUDQ2PSZrm */
-  0xcc6, /* VCVTUDQ2PSZrr */
+  0xf73, /* VMINPSZrm */
+  0xf79, /* VMINPSZrr */
 /* Table4831 */
-  0x10a4, /* VMOVDQU8Zmr */
-  0x0, /*  */
+  0xcd9, /* VDIVPSZrm */
+  0xcdf, /* VDIVPSZrr */
 /* Table4833 */
-  0x1717, /* VSQRTPDZrm */
-  0x1718, /* VSQRTPDZrr */
+  0xf3e, /* VMAXPSZrm */
+  0xf44, /* VMAXPSZrr */
 /* Table4835 */
-  0xc57, /* VCVTPS2DQZrm */
-  0xc58, /* VCVTPS2DQZrr */
+  0xca3, /* VCVTTPS2UDQZrm */
+  0xca4, /* VCVTTPS2UDQZrr */
 /* Table4837 */
-  0x1685, /* VPUNPCKLDQZrm */
-  0x1686, /* VPUNPCKLDQZrr */
+  0xc62, /* VCVTPS2UDQZrm */
+  0xc63, /* VCVTPS2UDQZrr */
 /* Table4839 */
-  0x1295, /* VPCMPGTDZrm */
-  0x1296, /* VPCMPGTDZrr */
+  0xc0a, /* VCMPPSZrmi */
+  0xc0c, /* VCMPPSZrri */
 /* Table4841 */
-  0x1671, /* VPUNPCKHDQZrm */
-  0x1672, /* VPUNPCKHDQZrr */
+  0x179b, /* VSHUFPSZrmi */
+  0x179c, /* VSHUFPSZrri */
 /* Table4843 */
-  0xff9, /* VMOVDQA32Zrm */
-  0xffc, /* VMOVDQA32Zrr */
+  0x110d, /* VMOVSLDUPZrm */
+  0x110e, /* VMOVSLDUPZrr */
 /* Table4845 */
-  0x1591, /* VPSHUFDZmi */
-  0x1592, /* VPSHUFDZri */
+  0x1107, /* VMOVSHDUPZrm */
+  0x1108, /* VMOVSHDUPZrr */
 /* Table4847 */
+  0xc9f, /* VCVTTPS2DQZrm */
+  0xca0, /* VCVTTPS2DQZrr */
+/* Table4849 */
+  0x1076, /* VMOVDQU32Zrm */
+  0x1079, /* VMOVDQU32Zrr */
+/* Table4851 */
+  0xcbd, /* VCVTUDQ2PDZrm */
+  0xcbe, /* VCVTUDQ2PDZrr */
+/* Table4853 */
+  0x1074, /* VMOVDQU32Zmr */
   0x0, /*  */
-  0x0, /*  */
-  0x1602, /* VPSRLDZmi */
-  0x0, /*  */
-  0x15dc, /* VPSRADZmi */
-  0x0, /*  */
-  0x15ae, /* VPSLLDZmi */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1604, /* VPSRLDZri */
-  0x0, /*  */
-  0x15de, /* VPSRADZri */
-  0x0, /*  */
-  0x15b0, /* VPSLLDZri */
+/* Table4855 */
+  0xc2d, /* VCVTDQ2PDZrm */
+  0xc2e, /* VCVTDQ2PDZrr */
+/* Table4857 */
+  0x10b8, /* VMOVDQU8Zrm */
+  0x10bb, /* VMOVDQU8Zrr */
+/* Table4859 */
+  0xcbf, /* VCVTUDQ2PSZrm */
+  0xcc0, /* VCVTUDQ2PSZrr */
+/* Table4861 */
+  0x10b6, /* VMOVDQU8Zmr */
   0x0, /*  */
 /* Table4863 */
-  0x1279, /* VPCMPEQDZrm */
-  0x127a, /* VPCMPEQDZrr */
+  0x17a1, /* VSQRTPDZrm */
+  0x17a2, /* VSQRTPDZrr */
 /* Table4865 */
-  0xff7, /* VMOVDQA32Zmr */
-  0x0, /*  */
+  0xc51, /* VCVTPS2DQZrm */
+  0xc52, /* VCVTPS2DQZrr */
 /* Table4867 */
-  0x1606, /* VPSRLDZrm */
-  0x1608, /* VPSRLDZrr */
+  0x170f, /* VPUNPCKLDQZrm */
+  0x1710, /* VPUNPCKLDQZrr */
 /* Table4869 */
-  0x1203, /* VPANDDZrm */
-  0x1209, /* VPANDDZrr */
+  0x12eb, /* VPCMPGTBZrm */
+  0x12ed, /* VPCMPGTBZrr */
 /* Table4871 */
-  0x120c, /* VPANDNDZrm */
-  0x1212, /* VPANDNDZrr */
+  0x1327, /* VPCMPGTWZrm */
+  0x1329, /* VPCMPGTWZrr */
 /* Table4873 */
-  0x15e0, /* VPSRADZrm */
-  0x15e2, /* VPSRADZrr */
+  0x12ff, /* VPCMPGTDZrm */
+  0x1303, /* VPCMPGTDZrr */
 /* Table4875 */
-  0x10cb, /* VMOVNTDQZmr */
-  0x0, /*  */
+  0x16fb, /* VPUNPCKHDQZrm */
+  0x16fc, /* VPUNPCKHDQZrr */
 /* Table4877 */
-  0x153e, /* VPORDZrm */
-  0x1544, /* VPORDZrr */
+  0x100b, /* VMOVDQA32Zrm */
+  0x100e, /* VMOVDQA32Zrr */
 /* Table4879 */
-  0x1693, /* VPXORDZrm */
-  0x1699, /* VPXORDZrr */
+  0x161b, /* VPSHUFDZmi */
+  0x161c, /* VPSHUFDZri */
 /* Table4881 */
-  0x15b2, /* VPSLLDZrm */
-  0x15b4, /* VPSLLDZrr */
-/* Table4883 */
-  0x1633, /* VPSUBDZrm */
-  0x1639, /* VPSUBDZrr */
-/* Table4885 */
-  0x11d3, /* VPADDDZrm */
-  0x11d9, /* VPADDDZrr */
-/* Table4887 */
-  0xca1, /* VCVTTPD2UDQZrm */
-  0xca2, /* VCVTTPD2UDQZrr */
-/* Table4889 */
-  0xc4c, /* VCVTPD2UDQZrm */
-  0xc4d, /* VCVTPD2UDQZrr */
-/* Table4891 */
-  0x1085, /* VMOVDQU64Zrm */
-  0x1088, /* VMOVDQU64Zrr */
-/* Table4893 */
-  0x1083, /* VMOVDQU64Zmr */
   0x0, /*  */
-/* Table4895 */
-  0x1043, /* VMOVDQU16Zrm */
-  0x1046, /* VMOVDQU16Zrr */
+  0x0, /*  */
+  0x168c, /* VPSRLDZmi */
+  0x0, /*  */
+  0x1666, /* VPSRADZmi */
+  0x0, /*  */
+  0x1638, /* VPSLLDZmi */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x168e, /* VPSRLDZri */
+  0x0, /*  */
+  0x1668, /* VPSRADZri */
+  0x0, /*  */
+  0x163a, /* VPSLLDZri */
+  0x0, /*  */
 /* Table4897 */
-  0x1041, /* VMOVDQU16Zmr */
-  0x0, /*  */
+  0x1297, /* VPCMPEQBZrm */
+  0x1299, /* VPCMPEQBZrr */
 /* Table4899 */
-  0xc41, /* VCVTPD2DQZrm */
-  0xc42, /* VCVTPD2DQZrr */
+  0x12d3, /* VPCMPEQWZrm */
+  0x12d5, /* VPCMPEQWZrr */
 /* Table4901 */
-  0x1120, /* VMOVUPDZrm */
-  0x1123, /* VMOVUPDZrr */
+  0x12ab, /* VPCMPEQDZrm */
+  0x12af, /* VPCMPEQDZrr */
 /* Table4903 */
-  0x111e, /* VMOVUPDZmr */
+  0x1009, /* VMOVDQA32Zmr */
   0x0, /*  */
 /* Table4905 */
-  0xfd5, /* VMOVDDUPZrm */
-  0xfd6, /* VMOVDDUPZrr */
+  0x1690, /* VPSRLDZrm */
+  0x1692, /* VPSRLDZrr */
 /* Table4907 */
-  0x1774, /* VUNPCKLPDZrm */
-  0x1775, /* VUNPCKLPDZrr */
+  0x121d, /* VPANDDZrm */
+  0x1223, /* VPANDDZrr */
 /* Table4909 */
-  0x1768, /* VUNPCKHPDZrm */
-  0x1769, /* VUNPCKHPDZrr */
+  0x1226, /* VPANDNDZrm */
+  0x122c, /* VPANDNDZrr */
 /* Table4911 */
-  0xf9d, /* VMOVAPDZrm */
-  0xfa0, /* VMOVAPDZrr */
+  0x166a, /* VPSRADZrm */
+  0x166c, /* VPSRADZrr */
 /* Table4913 */
-  0xf9b, /* VMOVAPDZmr */
+  0x10e1, /* VMOVNTDQZmr */
   0x0, /*  */
 /* Table4915 */
-  0x10ce, /* VMOVNTPDZmr */
-  0x0, /*  */
+  0x15c8, /* VPORDZrm */
+  0x15ce, /* VPORDZrr */
 /* Table4917 */
-  0xb8a, /* VADDPDZrm */
-  0xb90, /* VADDPDZrr */
+  0x171d, /* VPXORDZrm */
+  0x1723, /* VPXORDZrr */
 /* Table4919 */
-  0x116d, /* VMULPDZrm */
-  0x1173, /* VMULPDZrr */
+  0x163c, /* VPSLLDZrm */
+  0x163e, /* VPSLLDZrr */
 /* Table4921 */
-  0xc48, /* VCVTPD2PSZrm */
-  0xc49, /* VCVTPD2PSZrr */
+  0x16bd, /* VPSUBDZrm */
+  0x16c3, /* VPSUBDZrr */
 /* Table4923 */
-  0x1732, /* VSUBPDZrm */
-  0x1738, /* VSUBPDZrr */
+  0x11ed, /* VPADDDZrm */
+  0x11f3, /* VPADDDZrr */
 /* Table4925 */
-  0xf54, /* VMINPDZrm */
-  0xf5a, /* VMINPDZrr */
+  0xc9b, /* VCVTTPD2UDQZrm */
+  0xc9c, /* VCVTTPD2UDQZrr */
 /* Table4927 */
-  0xcd2, /* VDIVPDZrm */
-  0xcd8, /* VDIVPDZrr */
+  0xc46, /* VCVTPD2UDQZrm */
+  0xc47, /* VCVTPD2UDQZrr */
 /* Table4929 */
-  0xf1f, /* VMAXPDZrm */
-  0xf25, /* VMAXPDZrr */
+  0x1097, /* VMOVDQU64Zrm */
+  0x109a, /* VMOVDQU64Zrr */
 /* Table4931 */
-  0x168b, /* VPUNPCKLQDQZrm */
-  0x168c, /* VPUNPCKLQDQZrr */
+  0x1095, /* VMOVDQU64Zmr */
+  0x0, /*  */
 /* Table4933 */
-  0x1677, /* VPUNPCKHQDQZrm */
-  0x1678, /* VPUNPCKHQDQZrr */
+  0x1055, /* VMOVDQU16Zrm */
+  0x1058, /* VMOVDQU16Zrr */
 /* Table4935 */
-  0x101a, /* VMOVDQA64Zrm */
-  0x101d, /* VMOVDQA64Zrr */
+  0x1053, /* VMOVDQU16Zmr */
+  0x0, /*  */
 /* Table4937 */
+  0xc3b, /* VCVTPD2DQZrm */
+  0xc3c, /* VCVTPD2DQZrr */
+/* Table4939 */
+  0x113a, /* VMOVUPDZrm */
+  0x113d, /* VMOVUPDZrr */
+/* Table4941 */
+  0x1138, /* VMOVUPDZmr */
   0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x15e7, /* VPSRAQZmi */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x15e9, /* VPSRAQZri */
-  0x0, /*  */
-  0x0, /*  */
+/* Table4943 */
+  0xfe7, /* VMOVDDUPZrm */
+  0xfe8, /* VMOVDDUPZrr */
+/* Table4945 */
+  0x17fe, /* VUNPCKLPDZrm */
+  0x17ff, /* VUNPCKLPDZrr */
+/* Table4947 */
+  0x17f2, /* VUNPCKHPDZrm */
+  0x17f3, /* VUNPCKHPDZrr */
+/* Table4949 */
+  0xfaf, /* VMOVAPDZrm */
+  0xfb2, /* VMOVAPDZrr */
+/* Table4951 */
+  0xfad, /* VMOVAPDZmr */
   0x0, /*  */
 /* Table4953 */
+  0x10e6, /* VMOVNTPDZmr */
   0x0, /*  */
-  0x0, /*  */
-  0x1610, /* VPSRLQZmi */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x15bc, /* VPSLLQZmi */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1612, /* VPSRLQZri */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x15be, /* VPSLLQZri */
-  0x0, /*  */
+/* Table4955 */
+  0xb84, /* VADDPDZrm */
+  0xb8a, /* VADDPDZrr */
+/* Table4957 */
+  0x1187, /* VMULPDZrm */
+  0x118d, /* VMULPDZrr */
+/* Table4959 */
+  0xc42, /* VCVTPD2PSZrm */
+  0xc43, /* VCVTPD2PSZrr */
+/* Table4961 */
+  0x17bc, /* VSUBPDZrm */
+  0x17c2, /* VSUBPDZrr */
+/* Table4963 */
+  0xf66, /* VMINPDZrm */
+  0xf6c, /* VMINPDZrr */
+/* Table4965 */
+  0xccc, /* VDIVPDZrm */
+  0xcd2, /* VDIVPDZrr */
+/* Table4967 */
+  0xf31, /* VMAXPDZrm */
+  0xf37, /* VMAXPDZrr */
 /* Table4969 */
-  0x1018, /* VMOVDQA64Zmr */
-  0x0, /*  */
+  0x1715, /* VPUNPCKLQDQZrm */
+  0x1716, /* VPUNPCKLQDQZrr */
 /* Table4971 */
-  0xc03, /* VCMPPDZrmi */
-  0xc05, /* VCMPPDZrri */
+  0x1701, /* VPUNPCKHQDQZrm */
+  0x1702, /* VPUNPCKHQDQZrr */
 /* Table4973 */
-  0x170b, /* VSHUFPDZrmi */
-  0x170c, /* VSHUFPDZrri */
+  0x102c, /* VMOVDQA64Zrm */
+  0x102f, /* VMOVDQA64Zrr */
 /* Table4975 */
-  0x1614, /* VPSRLQZrm */
-  0x1616, /* VPSRLQZrr */
-/* Table4977 */
-  0x11e0, /* VPADDQZrm */
-  0x11e6, /* VPADDQZrr */
-/* Table4979 */
-  0x1222, /* VPANDQZrm */
-  0x1228, /* VPANDQZrr */
-/* Table4981 */
-  0x1215, /* VPANDNQZrm */
-  0x121b, /* VPANDNQZrr */
-/* Table4983 */
-  0x15eb, /* VPSRAQZrm */
-  0x15ed, /* VPSRAQZrr */
-/* Table4985 */
-  0xc9e, /* VCVTTPD2DQZrm */
-  0xc9f, /* VCVTTPD2DQZrr */
-/* Table4987 */
-  0x1547, /* VPORQZrm */
-  0x154d, /* VPORQZrr */
-/* Table4989 */
-  0x169c, /* VPXORQZrm */
-  0x16a2, /* VPXORQZrr */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x1671, /* VPSRAQZmi */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x1673, /* VPSRAQZri */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
 /* Table4991 */
-  0x15c0, /* VPSLLQZrm */
-  0x15c2, /* VPSLLQZrr */
-/* Table4993 */
-  0x1533, /* VPMULUDQZrm */
-  0x1539, /* VPMULUDQZrr */
-/* Table4995 */
-  0x1640, /* VPSUBQZrm */
-  0x1646, /* VPSUBQZrr */
-/* Table4997 */
-  0x1134, /* VMOVUPSZ128rmk */
-  0x1138, /* VMOVUPSZ128rrk */
-/* Table4999 */
-  0x1132, /* VMOVUPSZ128mrk */
   0x0, /*  */
-/* Table5001 */
-  0xfb1, /* VMOVAPSZ128rmk */
-  0xfb5, /* VMOVAPSZ128rrk */
-/* Table5003 */
-  0xfaf, /* VMOVAPSZ128mrk */
   0x0, /*  */
-/* Table5005 */
+  0x169a, /* VPSRLQZmi */
   0x0, /*  */
-  0x10ff, /* VMOVSSZrrk */
+  0x0, /*  */
+  0x0, /*  */
+  0x1646, /* VPSLLQZmi */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x169c, /* VPSRLQZri */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x1648, /* VPSLLQZri */
+  0x0, /*  */
 /* Table5007 */
-  0x104f, /* VMOVDQU32Z128rmk */
-  0x1053, /* VMOVDQU32Z128rrk */
+  0x102a, /* VMOVDQA64Zmr */
+  0x0, /*  */
 /* Table5009 */
-  0x104d, /* VMOVDQU32Z128mrk */
-  0x0, /*  */
+  0xbfd, /* VCMPPDZrmi */
+  0xbff, /* VCMPPDZrri */
 /* Table5011 */
-  0x1091, /* VMOVDQU8Z128rmk */
-  0x1095, /* VMOVDQU8Z128rrk */
+  0x1795, /* VSHUFPDZrmi */
+  0x1796, /* VSHUFPDZrri */
 /* Table5013 */
-  0x108f, /* VMOVDQU8Z128mrk */
-  0x0, /*  */
+  0x169e, /* VPSRLQZrm */
+  0x16a0, /* VPSRLQZrr */
 /* Table5015 */
-  0xfe4, /* VMOVDQA32Z128rmk */
-  0xfe8, /* VMOVDQA32Z128rrk */
+  0x11fa, /* VPADDQZrm */
+  0x1200, /* VPADDQZrr */
 /* Table5017 */
-  0xfe2, /* VMOVDQA32Z128mrk */
-  0x0, /*  */
+  0x123c, /* VPANDQZrm */
+  0x1242, /* VPANDQZrr */
 /* Table5019 */
-  0x1070, /* VMOVDQU64Z128rmk */
-  0x1074, /* VMOVDQU64Z128rrk */
+  0x122f, /* VPANDNQZrm */
+  0x1235, /* VPANDNQZrr */
 /* Table5021 */
-  0x106e, /* VMOVDQU64Z128mrk */
-  0x0, /*  */
+  0x1675, /* VPSRAQZrm */
+  0x1677, /* VPSRAQZrr */
 /* Table5023 */
-  0x0, /*  */
-  0x10e2, /* VMOVSDZrrk */
+  0xc98, /* VCVTTPD2DQZrm */
+  0xc99, /* VCVTTPD2DQZrr */
 /* Table5025 */
-  0x102e, /* VMOVDQU16Z128rmk */
-  0x1032, /* VMOVDQU16Z128rrk */
+  0x15d1, /* VPORQZrm */
+  0x15d7, /* VPORQZrr */
 /* Table5027 */
-  0x102c, /* VMOVDQU16Z128mrk */
-  0x0, /*  */
+  0x1726, /* VPXORQZrm */
+  0x172c, /* VPXORQZrr */
 /* Table5029 */
-  0x110b, /* VMOVUPDZ128rmk */
-  0x110f, /* VMOVUPDZ128rrk */
+  0x164a, /* VPSLLQZrm */
+  0x164c, /* VPSLLQZrr */
 /* Table5031 */
-  0x1109, /* VMOVUPDZ128mrk */
-  0x0, /*  */
+  0x15bd, /* VPMULUDQZrm */
+  0x15c3, /* VPMULUDQZrr */
 /* Table5033 */
-  0xf88, /* VMOVAPDZ128rmk */
-  0xf8c, /* VMOVAPDZ128rrk */
+  0x16ca, /* VPSUBQZrm */
+  0x16d0, /* VPSUBQZrr */
 /* Table5035 */
-  0xf86, /* VMOVAPDZ128mrk */
-  0x0, /*  */
+  0x114e, /* VMOVUPSZ128rmk */
+  0x1152, /* VMOVUPSZ128rrk */
 /* Table5037 */
-  0x1005, /* VMOVDQA64Z128rmk */
-  0x1009, /* VMOVDQA64Z128rrk */
+  0x114c, /* VMOVUPSZ128mrk */
+  0x0, /*  */
 /* Table5039 */
-  0x1003, /* VMOVDQA64Z128mrk */
-  0x0, /*  */
+  0xfc3, /* VMOVAPSZ128rmk */
+  0xfc7, /* VMOVAPSZ128rrk */
 /* Table5041 */
-  0x113f, /* VMOVUPSZ256rmk */
-  0x1143, /* VMOVUPSZ256rrk */
-/* Table5043 */
-  0x113d, /* VMOVUPSZ256mrk */
+  0xfc1, /* VMOVAPSZ128mrk */
   0x0, /*  */
+/* Table5043 */
+  0x0, /*  */
+  0x1119, /* VMOVSSZrrk */
 /* Table5045 */
-  0xfbc, /* VMOVAPSZ256rmk */
-  0xfc0, /* VMOVAPSZ256rrk */
+  0x1061, /* VMOVDQU32Z128rmk */
+  0x1065, /* VMOVDQU32Z128rrk */
 /* Table5047 */
-  0xfba, /* VMOVAPSZ256mrk */
+  0x105f, /* VMOVDQU32Z128mrk */
   0x0, /*  */
 /* Table5049 */
-  0x105a, /* VMOVDQU32Z256rmk */
-  0x105e, /* VMOVDQU32Z256rrk */
+  0x10a3, /* VMOVDQU8Z128rmk */
+  0x10a7, /* VMOVDQU8Z128rrk */
 /* Table5051 */
-  0x1058, /* VMOVDQU32Z256mrk */
+  0x10a1, /* VMOVDQU8Z128mrk */
   0x0, /*  */
 /* Table5053 */
-  0x109c, /* VMOVDQU8Z256rmk */
-  0x10a0, /* VMOVDQU8Z256rrk */
+  0x12e4, /* VPCMPGTBZ128rmk */
+  0x12e6, /* VPCMPGTBZ128rrk */
 /* Table5055 */
-  0x109a, /* VMOVDQU8Z256mrk */
-  0x0, /*  */
+  0x1320, /* VPCMPGTWZ128rmk */
+  0x1322, /* VPCMPGTWZ128rrk */
 /* Table5057 */
-  0xfef, /* VMOVDQA32Z256rmk */
-  0xff3, /* VMOVDQA32Z256rrk */
+  0x12f6, /* VPCMPGTDZ128rmk */
+  0x12f8, /* VPCMPGTDZ128rrk */
 /* Table5059 */
-  0xfed, /* VMOVDQA32Z256mrk */
-  0x0, /*  */
+  0xff6, /* VMOVDQA32Z128rmk */
+  0xffa, /* VMOVDQA32Z128rrk */
 /* Table5061 */
-  0x107b, /* VMOVDQU64Z256rmk */
-  0x107f, /* VMOVDQU64Z256rrk */
+  0x1290, /* VPCMPEQBZ128rmk */
+  0x1292, /* VPCMPEQBZ128rrk */
 /* Table5063 */
-  0x1079, /* VMOVDQU64Z256mrk */
-  0x0, /*  */
+  0x12cc, /* VPCMPEQWZ128rmk */
+  0x12ce, /* VPCMPEQWZ128rrk */
 /* Table5065 */
-  0x1039, /* VMOVDQU16Z256rmk */
-  0x103d, /* VMOVDQU16Z256rrk */
+  0x12a2, /* VPCMPEQDZ128rmk */
+  0x12a4, /* VPCMPEQDZ128rrk */
 /* Table5067 */
-  0x1037, /* VMOVDQU16Z256mrk */
+  0xff4, /* VMOVDQA32Z128mrk */
   0x0, /*  */
 /* Table5069 */
-  0x1116, /* VMOVUPDZ256rmk */
-  0x111a, /* VMOVUPDZ256rrk */
+  0x1082, /* VMOVDQU64Z128rmk */
+  0x1086, /* VMOVDQU64Z128rrk */
 /* Table5071 */
-  0x1114, /* VMOVUPDZ256mrk */
+  0x1080, /* VMOVDQU64Z128mrk */
   0x0, /*  */
 /* Table5073 */
-  0xf93, /* VMOVAPDZ256rmk */
-  0xf97, /* VMOVAPDZ256rrk */
+  0x0, /*  */
+  0x10fc, /* VMOVSDZrrk */
 /* Table5075 */
-  0xf91, /* VMOVAPDZ256mrk */
-  0x0, /*  */
+  0x1040, /* VMOVDQU16Z128rmk */
+  0x1044, /* VMOVDQU16Z128rrk */
 /* Table5077 */
-  0x1010, /* VMOVDQA64Z256rmk */
-  0x1014, /* VMOVDQA64Z256rrk */
+  0x103e, /* VMOVDQU16Z128mrk */
+  0x0, /*  */
 /* Table5079 */
-  0x100e, /* VMOVDQA64Z256mrk */
-  0x0, /*  */
+  0x1125, /* VMOVUPDZ128rmk */
+  0x1129, /* VMOVUPDZ128rrk */
 /* Table5081 */
-  0x114a, /* VMOVUPSZrmk */
-  0x114e, /* VMOVUPSZrrk */
+  0x1123, /* VMOVUPDZ128mrk */
+  0x0, /*  */
 /* Table5083 */
-  0x1148, /* VMOVUPSZmrk */
-  0x0, /*  */
+  0xf9a, /* VMOVAPDZ128rmk */
+  0xf9e, /* VMOVAPDZ128rrk */
 /* Table5085 */
-  0xfc7, /* VMOVAPSZrmk */
-  0xfcb, /* VMOVAPSZrrk */
+  0xf98, /* VMOVAPDZ128mrk */
+  0x0, /*  */
 /* Table5087 */
-  0xfc5, /* VMOVAPSZmrk */
-  0x0, /*  */
+  0x1017, /* VMOVDQA64Z128rmk */
+  0x101b, /* VMOVDQA64Z128rrk */
 /* Table5089 */
-  0xb9b, /* VADDPSZrmk */
-  0xb9e, /* VADDPSZrrk */
+  0x1015, /* VMOVDQA64Z128mrk */
+  0x0, /*  */
 /* Table5091 */
-  0x117e, /* VMULPSZrmk */
-  0x1181, /* VMULPSZrrk */
+  0x1159, /* VMOVUPSZ256rmk */
+  0x115d, /* VMOVUPSZ256rrk */
 /* Table5093 */
-  0x1743, /* VSUBPSZrmk */
-  0x1746, /* VSUBPSZrrk */
+  0x1157, /* VMOVUPSZ256mrk */
+  0x0, /*  */
 /* Table5095 */
-  0xf65, /* VMINPSZrmk */
-  0xf68, /* VMINPSZrrk */
+  0xfce, /* VMOVAPSZ256rmk */
+  0xfd2, /* VMOVAPSZ256rrk */
 /* Table5097 */
-  0xce3, /* VDIVPSZrmk */
-  0xce6, /* VDIVPSZrrk */
+  0xfcc, /* VMOVAPSZ256mrk */
+  0x0, /*  */
 /* Table5099 */
-  0xf30, /* VMAXPSZrmk */
-  0xf33, /* VMAXPSZrrk */
+  0x106c, /* VMOVDQU32Z256rmk */
+  0x1070, /* VMOVDQU32Z256rrk */
 /* Table5101 */
-  0x1065, /* VMOVDQU32Zrmk */
-  0x1069, /* VMOVDQU32Zrrk */
+  0x106a, /* VMOVDQU32Z256mrk */
+  0x0, /*  */
 /* Table5103 */
-  0x1063, /* VMOVDQU32Zmrk */
-  0x0, /*  */
+  0x10ae, /* VMOVDQU8Z256rmk */
+  0x10b2, /* VMOVDQU8Z256rrk */
 /* Table5105 */
-  0x10a7, /* VMOVDQU8Zrmk */
-  0x10ab, /* VMOVDQU8Zrrk */
+  0x10ac, /* VMOVDQU8Z256mrk */
+  0x0, /*  */
 /* Table5107 */
-  0x10a5, /* VMOVDQU8Zmrk */
-  0x0, /*  */
+  0x12e8, /* VPCMPGTBZ256rmk */
+  0x12ea, /* VPCMPGTBZ256rrk */
 /* Table5109 */
-  0xffa, /* VMOVDQA32Zrmk */
-  0xffe, /* VMOVDQA32Zrrk */
+  0x1324, /* VPCMPGTWZ256rmk */
+  0x1326, /* VPCMPGTWZ256rrk */
 /* Table5111 */
+  0x12fc, /* VPCMPGTDZ256rmk */
+  0x12fe, /* VPCMPGTDZ256rrk */
+/* Table5113 */
+  0x1001, /* VMOVDQA32Z256rmk */
+  0x1005, /* VMOVDQA32Z256rrk */
+/* Table5115 */
+  0x1294, /* VPCMPEQBZ256rmk */
+  0x1296, /* VPCMPEQBZ256rrk */
+/* Table5117 */
+  0x12d0, /* VPCMPEQWZ256rmk */
+  0x12d2, /* VPCMPEQWZ256rrk */
+/* Table5119 */
+  0x12a8, /* VPCMPEQDZ256rmk */
+  0x12aa, /* VPCMPEQDZ256rrk */
+/* Table5121 */
+  0xfff, /* VMOVDQA32Z256mrk */
   0x0, /*  */
-  0x0, /*  */
-  0x1603, /* VPSRLDZmik */
-  0x0, /*  */
-  0x15dd, /* VPSRADZmik */
-  0x0, /*  */
-  0x15af, /* VPSLLDZmik */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1605, /* VPSRLDZrik */
-  0x0, /*  */
-  0x15df, /* VPSRADZrik */
-  0x0, /*  */
-  0x15b1, /* VPSLLDZrik */
+/* Table5123 */
+  0x108d, /* VMOVDQU64Z256rmk */
+  0x1091, /* VMOVDQU64Z256rrk */
+/* Table5125 */
+  0x108b, /* VMOVDQU64Z256mrk */
   0x0, /*  */
 /* Table5127 */
-  0xff8, /* VMOVDQA32Zmrk */
-  0x0, /*  */
+  0x104b, /* VMOVDQU16Z256rmk */
+  0x104f, /* VMOVDQU16Z256rrk */
 /* Table5129 */
-  0x1607, /* VPSRLDZrmk */
-  0x1609, /* VPSRLDZrrk */
+  0x1049, /* VMOVDQU16Z256mrk */
+  0x0, /*  */
 /* Table5131 */
-  0x1207, /* VPANDDZrmk */
-  0x120a, /* VPANDDZrrk */
+  0x1130, /* VMOVUPDZ256rmk */
+  0x1134, /* VMOVUPDZ256rrk */
 /* Table5133 */
-  0x1210, /* VPANDNDZrmk */
-  0x1213, /* VPANDNDZrrk */
+  0x112e, /* VMOVUPDZ256mrk */
+  0x0, /*  */
 /* Table5135 */
-  0x15e1, /* VPSRADZrmk */
-  0x15e3, /* VPSRADZrrk */
+  0xfa5, /* VMOVAPDZ256rmk */
+  0xfa9, /* VMOVAPDZ256rrk */
 /* Table5137 */
-  0x1542, /* VPORDZrmk */
-  0x1545, /* VPORDZrrk */
+  0xfa3, /* VMOVAPDZ256mrk */
+  0x0, /*  */
 /* Table5139 */
-  0x1697, /* VPXORDZrmk */
-  0x169a, /* VPXORDZrrk */
+  0x1022, /* VMOVDQA64Z256rmk */
+  0x1026, /* VMOVDQA64Z256rrk */
 /* Table5141 */
-  0x15b3, /* VPSLLDZrmk */
-  0x15b5, /* VPSLLDZrrk */
+  0x1020, /* VMOVDQA64Z256mrk */
+  0x0, /*  */
 /* Table5143 */
-  0x1637, /* VPSUBDZrmk */
-  0x163a, /* VPSUBDZrrk */
+  0x1164, /* VMOVUPSZrmk */
+  0x1168, /* VMOVUPSZrrk */
 /* Table5145 */
-  0x11d7, /* VPADDDZrmk */
-  0x11da, /* VPADDDZrrk */
+  0x1162, /* VMOVUPSZmrk */
+  0x0, /*  */
 /* Table5147 */
-  0x1086, /* VMOVDQU64Zrmk */
-  0x108a, /* VMOVDQU64Zrrk */
+  0xfd9, /* VMOVAPSZrmk */
+  0xfdd, /* VMOVAPSZrrk */
 /* Table5149 */
-  0x1084, /* VMOVDQU64Zmrk */
+  0xfd7, /* VMOVAPSZmrk */
   0x0, /*  */
 /* Table5151 */
-  0x1044, /* VMOVDQU16Zrmk */
-  0x1048, /* VMOVDQU16Zrrk */
+  0xb95, /* VADDPSZrmk */
+  0xb98, /* VADDPSZrrk */
 /* Table5153 */
-  0x1042, /* VMOVDQU16Zmrk */
-  0x0, /*  */
+  0x1198, /* VMULPSZrmk */
+  0x119b, /* VMULPSZrrk */
 /* Table5155 */
-  0x1121, /* VMOVUPDZrmk */
-  0x1125, /* VMOVUPDZrrk */
+  0x17cd, /* VSUBPSZrmk */
+  0x17d0, /* VSUBPSZrrk */
 /* Table5157 */
-  0x111f, /* VMOVUPDZmrk */
-  0x0, /*  */
+  0xf77, /* VMINPSZrmk */
+  0xf7a, /* VMINPSZrrk */
 /* Table5159 */
-  0xf9e, /* VMOVAPDZrmk */
-  0xfa2, /* VMOVAPDZrrk */
+  0xcdd, /* VDIVPSZrmk */
+  0xce0, /* VDIVPSZrrk */
 /* Table5161 */
-  0xf9c, /* VMOVAPDZmrk */
-  0x0, /*  */
+  0xf42, /* VMAXPSZrmk */
+  0xf45, /* VMAXPSZrrk */
 /* Table5163 */
-  0xb8e, /* VADDPDZrmk */
-  0xb91, /* VADDPDZrrk */
+  0x1077, /* VMOVDQU32Zrmk */
+  0x107b, /* VMOVDQU32Zrrk */
 /* Table5165 */
-  0x1171, /* VMULPDZrmk */
-  0x1174, /* VMULPDZrrk */
+  0x1075, /* VMOVDQU32Zmrk */
+  0x0, /*  */
 /* Table5167 */
-  0x1736, /* VSUBPDZrmk */
-  0x1739, /* VSUBPDZrrk */
+  0x10b9, /* VMOVDQU8Zrmk */
+  0x10bd, /* VMOVDQU8Zrrk */
 /* Table5169 */
-  0xf58, /* VMINPDZrmk */
-  0xf5b, /* VMINPDZrrk */
+  0x10b7, /* VMOVDQU8Zmrk */
+  0x0, /*  */
 /* Table5171 */
-  0xcd6, /* VDIVPDZrmk */
-  0xcd9, /* VDIVPDZrrk */
+  0x12ec, /* VPCMPGTBZrmk */
+  0x12ee, /* VPCMPGTBZrrk */
 /* Table5173 */
-  0xf23, /* VMAXPDZrmk */
-  0xf26, /* VMAXPDZrrk */
+  0x1328, /* VPCMPGTWZrmk */
+  0x132a, /* VPCMPGTWZrrk */
 /* Table5175 */
-  0x101b, /* VMOVDQA64Zrmk */
-  0x101f, /* VMOVDQA64Zrrk */
+  0x1302, /* VPCMPGTDZrmk */
+  0x1304, /* VPCMPGTDZrrk */
 /* Table5177 */
+  0x100c, /* VMOVDQA32Zrmk */
+  0x1010, /* VMOVDQA32Zrrk */
+/* Table5179 */
+  0x0, /*  */
+  0x0, /*  */
+  0x168d, /* VPSRLDZmik */
+  0x0, /*  */
+  0x1667, /* VPSRADZmik */
+  0x0, /*  */
+  0x1639, /* VPSLLDZmik */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+  0x168f, /* VPSRLDZrik */
   0x0, /*  */
-  0x15e8, /* VPSRAQZmik */
+  0x1669, /* VPSRADZrik */
   0x0, /*  */
+  0x163b, /* VPSLLDZrik */
   0x0, /*  */
+/* Table5195 */
+  0x1298, /* VPCMPEQBZrmk */
+  0x129a, /* VPCMPEQBZrrk */
+/* Table5197 */
+  0x12d4, /* VPCMPEQWZrmk */
+  0x12d6, /* VPCMPEQWZrrk */
+/* Table5199 */
+  0x12ae, /* VPCMPEQDZrmk */
+  0x12b0, /* VPCMPEQDZrrk */
+/* Table5201 */
+  0x100a, /* VMOVDQA32Zmrk */
   0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x15ea, /* VPSRAQZrik */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-/* Table5193 */
-  0x0, /*  */
-  0x0, /*  */
-  0x1611, /* VPSRLQZmik */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x15bd, /* VPSLLQZmik */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1613, /* VPSRLQZrik */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x15bf, /* VPSLLQZrik */
-  0x0, /*  */
+/* Table5203 */
+  0x1691, /* VPSRLDZrmk */
+  0x1693, /* VPSRLDZrrk */
+/* Table5205 */
+  0x1221, /* VPANDDZrmk */
+  0x1224, /* VPANDDZrrk */
+/* Table5207 */
+  0x122a, /* VPANDNDZrmk */
+  0x122d, /* VPANDNDZrrk */
 /* Table5209 */
-  0x1019, /* VMOVDQA64Zmrk */
-  0x0, /*  */
+  0x166b, /* VPSRADZrmk */
+  0x166d, /* VPSRADZrrk */
 /* Table5211 */
-  0x1615, /* VPSRLQZrmk */
-  0x1617, /* VPSRLQZrrk */
+  0x15cc, /* VPORDZrmk */
+  0x15cf, /* VPORDZrrk */
 /* Table5213 */
-  0x11e4, /* VPADDQZrmk */
-  0x11e7, /* VPADDQZrrk */
+  0x1721, /* VPXORDZrmk */
+  0x1724, /* VPXORDZrrk */
 /* Table5215 */
-  0x1226, /* VPANDQZrmk */
-  0x1229, /* VPANDQZrrk */
+  0x163d, /* VPSLLDZrmk */
+  0x163f, /* VPSLLDZrrk */
 /* Table5217 */
-  0x1219, /* VPANDNQZrmk */
-  0x121c, /* VPANDNQZrrk */
+  0x16c1, /* VPSUBDZrmk */
+  0x16c4, /* VPSUBDZrrk */
 /* Table5219 */
-  0x15ec, /* VPSRAQZrmk */
-  0x15ee, /* VPSRAQZrrk */
+  0x11f1, /* VPADDDZrmk */
+  0x11f4, /* VPADDDZrrk */
 /* Table5221 */
-  0x154b, /* VPORQZrmk */
-  0x154e, /* VPORQZrrk */
+  0x1098, /* VMOVDQU64Zrmk */
+  0x109c, /* VMOVDQU64Zrrk */
 /* Table5223 */
-  0x16a0, /* VPXORQZrmk */
-  0x16a3, /* VPXORQZrrk */
+  0x1096, /* VMOVDQU64Zmrk */
+  0x0, /*  */
 /* Table5225 */
-  0x15c1, /* VPSLLQZrmk */
-  0x15c3, /* VPSLLQZrrk */
+  0x1056, /* VMOVDQU16Zrmk */
+  0x105a, /* VMOVDQU16Zrrk */
 /* Table5227 */
-  0x1537, /* VPMULUDQZrmk */
-  0x153a, /* VPMULUDQZrrk */
+  0x1054, /* VMOVDQU16Zmrk */
+  0x0, /*  */
 /* Table5229 */
-  0x1644, /* VPSUBQZrmk */
-  0x1647, /* VPSUBQZrrk */
+  0x113b, /* VMOVUPDZrmk */
+  0x113f, /* VMOVUPDZrrk */
 /* Table5231 */
-  0xb98, /* VADDPSZrmb */
+  0x1139, /* VMOVUPDZmrk */
   0x0, /*  */
 /* Table5233 */
-  0x117b, /* VMULPSZrmb */
-  0x0, /*  */
+  0xfb0, /* VMOVAPDZrmk */
+  0xfb4, /* VMOVAPDZrrk */
 /* Table5235 */
+  0xfae, /* VMOVAPDZmrk */
   0x0, /*  */
-  0xc3b, /* VCVTDQ2PSZrrb */
 /* Table5237 */
-  0x1740, /* VSUBPSZrmb */
-  0x0, /*  */
+  0xb88, /* VADDPDZrmk */
+  0xb8b, /* VADDPDZrrk */
 /* Table5239 */
-  0xf62, /* VMINPSZrmb */
-  0x0, /*  */
+  0x118b, /* VMULPDZrmk */
+  0x118e, /* VMULPDZrrk */
 /* Table5241 */
-  0xce0, /* VDIVPSZrmb */
-  0x0, /*  */
+  0x17c0, /* VSUBPDZrmk */
+  0x17c3, /* VSUBPDZrrk */
 /* Table5243 */
-  0xf2d, /* VMAXPSZrmb */
-  0x0, /*  */
+  0xf6a, /* VMINPDZrmk */
+  0xf6d, /* VMINPDZrrk */
 /* Table5245 */
-  0x0, /*  */
-  0xc6a, /* VCVTPS2UDQZrrb */
+  0xcd0, /* VDIVPDZrmk */
+  0xcd3, /* VDIVPDZrrk */
 /* Table5247 */
-  0x0, /*  */
-  0xc14, /* VCMPPSZrrib */
+  0xf35, /* VMAXPDZrmk */
+  0xf38, /* VMAXPDZrrk */
 /* Table5249 */
-  0x0, /*  */
-  0xcc7, /* VCVTUDQ2PSZrrb */
+  0x102d, /* VMOVDQA64Zrmk */
+  0x1031, /* VMOVDQA64Zrrk */
 /* Table5251 */
   0x0, /*  */
-  0xc59, /* VCVTPS2DQZrrb */
-/* Table5253 */
-  0x1204, /* VPANDDZrmb */
   0x0, /*  */
-/* Table5255 */
-  0x120d, /* VPANDNDZrmb */
   0x0, /*  */
-/* Table5257 */
-  0x153f, /* VPORDZrmb */
   0x0, /*  */
-/* Table5259 */
-  0x1694, /* VPXORDZrmb */
+  0x1672, /* VPSRAQZmik */
   0x0, /*  */
-/* Table5261 */
-  0x1634, /* VPSUBDZrmb */
   0x0, /*  */
-/* Table5263 */
-  0x11d4, /* VPADDDZrmb */
   0x0, /*  */
-/* Table5265 */
   0x0, /*  */
-  0xc4e, /* VCVTPD2UDQZrrb */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x1674, /* VPSRAQZrik */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
 /* Table5267 */
   0x0, /*  */
-  0xc43, /* VCVTPD2DQZrrb */
-/* Table5269 */
-  0xb8b, /* VADDPDZrmb */
   0x0, /*  */
-/* Table5271 */
-  0x116e, /* VMULPDZrmb */
+  0x169b, /* VPSRLQZmik */
   0x0, /*  */
-/* Table5273 */
   0x0, /*  */
-  0xc4a, /* VCVTPD2PSZrrb */
-/* Table5275 */
-  0x1733, /* VSUBPDZrmb */
   0x0, /*  */
-/* Table5277 */
-  0xf55, /* VMINPDZrmb */
+  0x1647, /* VPSLLQZmik */
   0x0, /*  */
-/* Table5279 */
-  0xcd3, /* VDIVPDZrmb */
   0x0, /*  */
-/* Table5281 */
-  0xf20, /* VMAXPDZrmb */
+  0x0, /*  */
+  0x169d, /* VPSRLQZrik */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x1649, /* VPSLLQZrik */
   0x0, /*  */
 /* Table5283 */
+  0x102b, /* VMOVDQA64Zmrk */
   0x0, /*  */
-  0xc07, /* VCMPPDZrrib */
 /* Table5285 */
-  0x11e1, /* VPADDQZrmb */
-  0x0, /*  */
+  0x169f, /* VPSRLQZrmk */
+  0x16a1, /* VPSRLQZrrk */
 /* Table5287 */
-  0x1223, /* VPANDQZrmb */
-  0x0, /*  */
+  0x11fe, /* VPADDQZrmk */
+  0x1201, /* VPADDQZrrk */
 /* Table5289 */
-  0x1216, /* VPANDNQZrmb */
-  0x0, /*  */
+  0x1240, /* VPANDQZrmk */
+  0x1243, /* VPANDQZrrk */
 /* Table5291 */
-  0x1548, /* VPORQZrmb */
-  0x0, /*  */
+  0x1233, /* VPANDNQZrmk */
+  0x1236, /* VPANDNQZrrk */
 /* Table5293 */
-  0x169d, /* VPXORQZrmb */
-  0x0, /*  */
+  0x1676, /* VPSRAQZrmk */
+  0x1678, /* VPSRAQZrrk */
 /* Table5295 */
-  0x1534, /* VPMULUDQZrmb */
-  0x0, /*  */
+  0x15d5, /* VPORQZrmk */
+  0x15d8, /* VPORQZrrk */
 /* Table5297 */
-  0x1641, /* VPSUBQZrmb */
-  0x0, /*  */
+  0x172a, /* VPXORQZrmk */
+  0x172d, /* VPXORQZrrk */
 /* Table5299 */
-  0xb99, /* VADDPSZrmbk */
-  0x0, /*  */
+  0x164b, /* VPSLLQZrmk */
+  0x164d, /* VPSLLQZrrk */
 /* Table5301 */
-  0x117c, /* VMULPSZrmbk */
-  0x0, /*  */
+  0x15c1, /* VPMULUDQZrmk */
+  0x15c4, /* VPMULUDQZrrk */
 /* Table5303 */
-  0x1741, /* VSUBPSZrmbk */
-  0x0, /*  */
+  0x16ce, /* VPSUBQZrmk */
+  0x16d1, /* VPSUBQZrrk */
 /* Table5305 */
-  0xf63, /* VMINPSZrmbk */
+  0x12f4, /* VPCMPGTDZ128rmb */
   0x0, /*  */
 /* Table5307 */
-  0xce1, /* VDIVPSZrmbk */
+  0x12a0, /* VPCMPEQDZ128rmb */
   0x0, /*  */
 /* Table5309 */
-  0xf2e, /* VMAXPSZrmbk */
+  0x12fa, /* VPCMPGTDZ256rmb */
   0x0, /*  */
 /* Table5311 */
-  0x1205, /* VPANDDZrmbk */
+  0x12a6, /* VPCMPEQDZ256rmb */
   0x0, /*  */
 /* Table5313 */
-  0x120e, /* VPANDNDZrmbk */
+  0xb92, /* VADDPSZrmb */
   0x0, /*  */
 /* Table5315 */
-  0x1540, /* VPORDZrmbk */
+  0x1195, /* VMULPSZrmb */
   0x0, /*  */
 /* Table5317 */
-  0x1695, /* VPXORDZrmbk */
   0x0, /*  */
+  0xc35, /* VCVTDQ2PSZrrb */
 /* Table5319 */
-  0x1635, /* VPSUBDZrmbk */
+  0x17ca, /* VSUBPSZrmb */
   0x0, /*  */
 /* Table5321 */
-  0x11d5, /* VPADDDZrmbk */
+  0xf74, /* VMINPSZrmb */
   0x0, /*  */
 /* Table5323 */
-  0xb8c, /* VADDPDZrmbk */
+  0xcda, /* VDIVPSZrmb */
   0x0, /*  */
 /* Table5325 */
-  0x116f, /* VMULPDZrmbk */
+  0xf3f, /* VMAXPSZrmb */
   0x0, /*  */
 /* Table5327 */
-  0x1734, /* VSUBPDZrmbk */
   0x0, /*  */
+  0xc64, /* VCVTPS2UDQZrrb */
 /* Table5329 */
-  0xf56, /* VMINPDZrmbk */
   0x0, /*  */
+  0xc0e, /* VCMPPSZrrib */
 /* Table5331 */
-  0xcd4, /* VDIVPDZrmbk */
   0x0, /*  */
+  0xcc1, /* VCVTUDQ2PSZrrb */
 /* Table5333 */
-  0xf21, /* VMAXPDZrmbk */
   0x0, /*  */
+  0xc53, /* VCVTPS2DQZrrb */
 /* Table5335 */
-  0x11e2, /* VPADDQZrmbk */
+  0x1300, /* VPCMPGTDZrmb */
   0x0, /*  */
 /* Table5337 */
-  0x1224, /* VPANDQZrmbk */
+  0x12ac, /* VPCMPEQDZrmb */
   0x0, /*  */
 /* Table5339 */
-  0x1217, /* VPANDNQZrmbk */
+  0x121e, /* VPANDDZrmb */
   0x0, /*  */
 /* Table5341 */
-  0x1549, /* VPORQZrmbk */
+  0x1227, /* VPANDNDZrmb */
   0x0, /*  */
 /* Table5343 */
-  0x169e, /* VPXORQZrmbk */
+  0x15c9, /* VPORDZrmb */
   0x0, /*  */
 /* Table5345 */
-  0x1535, /* VPMULUDQZrmbk */
+  0x171e, /* VPXORDZrmb */
   0x0, /*  */
 /* Table5347 */
-  0x1642, /* VPSUBQZrmbk */
+  0x16be, /* VPSUBDZrmb */
   0x0, /*  */
 /* Table5349 */
-  0xb9a, /* VADDPSZrmbkz */
+  0x11ee, /* VPADDDZrmb */
   0x0, /*  */
 /* Table5351 */
-  0x117d, /* VMULPSZrmbkz */
   0x0, /*  */
+  0xc48, /* VCVTPD2UDQZrrb */
 /* Table5353 */
-  0x1742, /* VSUBPSZrmbkz */
   0x0, /*  */
+  0xc3d, /* VCVTPD2DQZrrb */
 /* Table5355 */
-  0xf64, /* VMINPSZrmbkz */
+  0xb85, /* VADDPDZrmb */
   0x0, /*  */
 /* Table5357 */
-  0xce2, /* VDIVPSZrmbkz */
+  0x1188, /* VMULPDZrmb */
   0x0, /*  */
 /* Table5359 */
-  0xf2f, /* VMAXPSZrmbkz */
   0x0, /*  */
+  0xc44, /* VCVTPD2PSZrrb */
 /* Table5361 */
-  0x1206, /* VPANDDZrmbkz */
+  0x17bd, /* VSUBPDZrmb */
   0x0, /*  */
 /* Table5363 */
-  0x120f, /* VPANDNDZrmbkz */
+  0xf67, /* VMINPDZrmb */
   0x0, /*  */
 /* Table5365 */
-  0x1541, /* VPORDZrmbkz */
+  0xccd, /* VDIVPDZrmb */
   0x0, /*  */
 /* Table5367 */
-  0x1696, /* VPXORDZrmbkz */
+  0xf32, /* VMAXPDZrmb */
   0x0, /*  */
 /* Table5369 */
-  0x1636, /* VPSUBDZrmbkz */
   0x0, /*  */
+  0xc01, /* VCMPPDZrrib */
 /* Table5371 */
-  0x11d6, /* VPADDDZrmbkz */
+  0x11fb, /* VPADDQZrmb */
   0x0, /*  */
 /* Table5373 */
-  0xb8d, /* VADDPDZrmbkz */
+  0x123d, /* VPANDQZrmb */
   0x0, /*  */
 /* Table5375 */
-  0x1170, /* VMULPDZrmbkz */
+  0x1230, /* VPANDNQZrmb */
   0x0, /*  */
 /* Table5377 */
-  0x1735, /* VSUBPDZrmbkz */
+  0x15d2, /* VPORQZrmb */
   0x0, /*  */
 /* Table5379 */
-  0xf57, /* VMINPDZrmbkz */
+  0x1727, /* VPXORQZrmb */
   0x0, /*  */
 /* Table5381 */
-  0xcd5, /* VDIVPDZrmbkz */
+  0x15be, /* VPMULUDQZrmb */
   0x0, /*  */
 /* Table5383 */
-  0xf22, /* VMAXPDZrmbkz */
+  0x16cb, /* VPSUBQZrmb */
   0x0, /*  */
 /* Table5385 */
-  0x11e3, /* VPADDQZrmbkz */
+  0x12f5, /* VPCMPGTDZ128rmbk */
   0x0, /*  */
 /* Table5387 */
-  0x1225, /* VPANDQZrmbkz */
+  0x12a1, /* VPCMPEQDZ128rmbk */
   0x0, /*  */
 /* Table5389 */
-  0x1218, /* VPANDNQZrmbkz */
+  0x12fb, /* VPCMPGTDZ256rmbk */
   0x0, /*  */
 /* Table5391 */
-  0x154a, /* VPORQZrmbkz */
+  0x12a7, /* VPCMPEQDZ256rmbk */
   0x0, /*  */
 /* Table5393 */
-  0x169f, /* VPXORQZrmbkz */
+  0xb93, /* VADDPSZrmbk */
   0x0, /*  */
 /* Table5395 */
-  0x1536, /* VPMULUDQZrmbkz */
+  0x1196, /* VMULPSZrmbk */
   0x0, /*  */
 /* Table5397 */
-  0x1643, /* VPSUBQZrmbkz */
+  0x17cb, /* VSUBPSZrmbk */
   0x0, /*  */
 /* Table5399 */
-  0x1135, /* VMOVUPSZ128rmkz */
-  0x113a, /* VMOVUPSZ128rrkz */
+  0xf75, /* VMINPSZrmbk */
+  0x0, /*  */
 /* Table5401 */
-  0xfb2, /* VMOVAPSZ128rmkz */
-  0xfb7, /* VMOVAPSZ128rrkz */
+  0xcdb, /* VDIVPSZrmbk */
+  0x0, /*  */
 /* Table5403 */
-  0x1050, /* VMOVDQU32Z128rmkz */
-  0x1055, /* VMOVDQU32Z128rrkz */
+  0xf40, /* VMAXPSZrmbk */
+  0x0, /*  */
 /* Table5405 */
-  0x1092, /* VMOVDQU8Z128rmkz */
-  0x1097, /* VMOVDQU8Z128rrkz */
+  0x1301, /* VPCMPGTDZrmbk */
+  0x0, /*  */
 /* Table5407 */
-  0xfe5, /* VMOVDQA32Z128rmkz */
-  0xfea, /* VMOVDQA32Z128rrkz */
+  0x12ad, /* VPCMPEQDZrmbk */
+  0x0, /*  */
 /* Table5409 */
-  0x1071, /* VMOVDQU64Z128rmkz */
-  0x1076, /* VMOVDQU64Z128rrkz */
+  0x121f, /* VPANDDZrmbk */
+  0x0, /*  */
 /* Table5411 */
-  0x102f, /* VMOVDQU16Z128rmkz */
-  0x1034, /* VMOVDQU16Z128rrkz */
+  0x1228, /* VPANDNDZrmbk */
+  0x0, /*  */
 /* Table5413 */
-  0x110c, /* VMOVUPDZ128rmkz */
-  0x1111, /* VMOVUPDZ128rrkz */
+  0x15ca, /* VPORDZrmbk */
+  0x0, /*  */
 /* Table5415 */
-  0xf89, /* VMOVAPDZ128rmkz */
-  0xf8e, /* VMOVAPDZ128rrkz */
+  0x171f, /* VPXORDZrmbk */
+  0x0, /*  */
 /* Table5417 */
-  0x1006, /* VMOVDQA64Z128rmkz */
-  0x100b, /* VMOVDQA64Z128rrkz */
+  0x16bf, /* VPSUBDZrmbk */
+  0x0, /*  */
 /* Table5419 */
-  0x1140, /* VMOVUPSZ256rmkz */
-  0x1145, /* VMOVUPSZ256rrkz */
+  0x11ef, /* VPADDDZrmbk */
+  0x0, /*  */
 /* Table5421 */
-  0xfbd, /* VMOVAPSZ256rmkz */
-  0xfc2, /* VMOVAPSZ256rrkz */
+  0xb86, /* VADDPDZrmbk */
+  0x0, /*  */
 /* Table5423 */
-  0x105b, /* VMOVDQU32Z256rmkz */
-  0x1060, /* VMOVDQU32Z256rrkz */
+  0x1189, /* VMULPDZrmbk */
+  0x0, /*  */
 /* Table5425 */
-  0x109d, /* VMOVDQU8Z256rmkz */
-  0x10a2, /* VMOVDQU8Z256rrkz */
+  0x17be, /* VSUBPDZrmbk */
+  0x0, /*  */
 /* Table5427 */
-  0xff0, /* VMOVDQA32Z256rmkz */
-  0xff5, /* VMOVDQA32Z256rrkz */
+  0xf68, /* VMINPDZrmbk */
+  0x0, /*  */
 /* Table5429 */
-  0x107c, /* VMOVDQU64Z256rmkz */
-  0x1081, /* VMOVDQU64Z256rrkz */
+  0xcce, /* VDIVPDZrmbk */
+  0x0, /*  */
 /* Table5431 */
-  0x103a, /* VMOVDQU16Z256rmkz */
-  0x103f, /* VMOVDQU16Z256rrkz */
+  0xf33, /* VMAXPDZrmbk */
+  0x0, /*  */
 /* Table5433 */
-  0x1117, /* VMOVUPDZ256rmkz */
-  0x111c, /* VMOVUPDZ256rrkz */
+  0x11fc, /* VPADDQZrmbk */
+  0x0, /*  */
 /* Table5435 */
-  0xf94, /* VMOVAPDZ256rmkz */
-  0xf99, /* VMOVAPDZ256rrkz */
+  0x123e, /* VPANDQZrmbk */
+  0x0, /*  */
 /* Table5437 */
-  0x1011, /* VMOVDQA64Z256rmkz */
-  0x1016, /* VMOVDQA64Z256rrkz */
+  0x1231, /* VPANDNQZrmbk */
+  0x0, /*  */
 /* Table5439 */
-  0x114b, /* VMOVUPSZrmkz */
-  0x1150, /* VMOVUPSZrrkz */
+  0x15d3, /* VPORQZrmbk */
+  0x0, /*  */
 /* Table5441 */
-  0xfc8, /* VMOVAPSZrmkz */
-  0xfcd, /* VMOVAPSZrrkz */
+  0x1728, /* VPXORQZrmbk */
+  0x0, /*  */
 /* Table5443 */
-  0xb9c, /* VADDPSZrmkz */
-  0xb9f, /* VADDPSZrrkz */
+  0x15bf, /* VPMULUDQZrmbk */
+  0x0, /*  */
 /* Table5445 */
-  0x117f, /* VMULPSZrmkz */
-  0x1182, /* VMULPSZrrkz */
+  0x16cc, /* VPSUBQZrmbk */
+  0x0, /*  */
 /* Table5447 */
-  0x1744, /* VSUBPSZrmkz */
-  0x1747, /* VSUBPSZrrkz */
+  0xb94, /* VADDPSZrmbkz */
+  0x0, /*  */
 /* Table5449 */
-  0xf66, /* VMINPSZrmkz */
-  0xf69, /* VMINPSZrrkz */
+  0x1197, /* VMULPSZrmbkz */
+  0x0, /*  */
 /* Table5451 */
-  0xce4, /* VDIVPSZrmkz */
-  0xce7, /* VDIVPSZrrkz */
+  0x17cc, /* VSUBPSZrmbkz */
+  0x0, /*  */
 /* Table5453 */
-  0xf31, /* VMAXPSZrmkz */
-  0xf34, /* VMAXPSZrrkz */
+  0xf76, /* VMINPSZrmbkz */
+  0x0, /*  */
 /* Table5455 */
-  0x1066, /* VMOVDQU32Zrmkz */
-  0x106b, /* VMOVDQU32Zrrkz */
+  0xcdc, /* VDIVPSZrmbkz */
+  0x0, /*  */
 /* Table5457 */
-  0x10a8, /* VMOVDQU8Zrmkz */
-  0x10ad, /* VMOVDQU8Zrrkz */
+  0xf41, /* VMAXPSZrmbkz */
+  0x0, /*  */
 /* Table5459 */
-  0xffb, /* VMOVDQA32Zrmkz */
-  0x1000, /* VMOVDQA32Zrrkz */
+  0x1220, /* VPANDDZrmbkz */
+  0x0, /*  */
 /* Table5461 */
-  0x1208, /* VPANDDZrmkz */
-  0x120b, /* VPANDDZrrkz */
+  0x1229, /* VPANDNDZrmbkz */
+  0x0, /*  */
 /* Table5463 */
-  0x1211, /* VPANDNDZrmkz */
-  0x1214, /* VPANDNDZrrkz */
+  0x15cb, /* VPORDZrmbkz */
+  0x0, /*  */
 /* Table5465 */
-  0x1543, /* VPORDZrmkz */
-  0x1546, /* VPORDZrrkz */
+  0x1720, /* VPXORDZrmbkz */
+  0x0, /*  */
 /* Table5467 */
-  0x1698, /* VPXORDZrmkz */
-  0x169b, /* VPXORDZrrkz */
+  0x16c0, /* VPSUBDZrmbkz */
+  0x0, /*  */
 /* Table5469 */
-  0x1638, /* VPSUBDZrmkz */
-  0x163b, /* VPSUBDZrrkz */
+  0x11f0, /* VPADDDZrmbkz */
+  0x0, /*  */
 /* Table5471 */
-  0x11d8, /* VPADDDZrmkz */
-  0x11db, /* VPADDDZrrkz */
+  0xb87, /* VADDPDZrmbkz */
+  0x0, /*  */
 /* Table5473 */
-  0x1087, /* VMOVDQU64Zrmkz */
-  0x108c, /* VMOVDQU64Zrrkz */
+  0x118a, /* VMULPDZrmbkz */
+  0x0, /*  */
 /* Table5475 */
-  0x1045, /* VMOVDQU16Zrmkz */
-  0x104a, /* VMOVDQU16Zrrkz */
+  0x17bf, /* VSUBPDZrmbkz */
+  0x0, /*  */
 /* Table5477 */
-  0x1122, /* VMOVUPDZrmkz */
-  0x1127, /* VMOVUPDZrrkz */
+  0xf69, /* VMINPDZrmbkz */
+  0x0, /*  */
 /* Table5479 */
-  0xf9f, /* VMOVAPDZrmkz */
-  0xfa4, /* VMOVAPDZrrkz */
+  0xccf, /* VDIVPDZrmbkz */
+  0x0, /*  */
 /* Table5481 */
-  0xb8f, /* VADDPDZrmkz */
-  0xb92, /* VADDPDZrrkz */
+  0xf34, /* VMAXPDZrmbkz */
+  0x0, /*  */
 /* Table5483 */
-  0x1172, /* VMULPDZrmkz */
-  0x1175, /* VMULPDZrrkz */
+  0x11fd, /* VPADDQZrmbkz */
+  0x0, /*  */
 /* Table5485 */
-  0x1737, /* VSUBPDZrmkz */
-  0x173a, /* VSUBPDZrrkz */
+  0x123f, /* VPANDQZrmbkz */
+  0x0, /*  */
 /* Table5487 */
-  0xf59, /* VMINPDZrmkz */
-  0xf5c, /* VMINPDZrrkz */
+  0x1232, /* VPANDNQZrmbkz */
+  0x0, /*  */
 /* Table5489 */
-  0xcd7, /* VDIVPDZrmkz */
-  0xcda, /* VDIVPDZrrkz */
+  0x15d4, /* VPORQZrmbkz */
+  0x0, /*  */
 /* Table5491 */
-  0xf24, /* VMAXPDZrmkz */
-  0xf27, /* VMAXPDZrrkz */
+  0x1729, /* VPXORQZrmbkz */
+  0x0, /*  */
 /* Table5493 */
-  0x101c, /* VMOVDQA64Zrmkz */
-  0x1021, /* VMOVDQA64Zrrkz */
+  0x15c0, /* VPMULUDQZrmbkz */
+  0x0, /*  */
 /* Table5495 */
-  0x11e5, /* VPADDQZrmkz */
-  0x11e8, /* VPADDQZrrkz */
+  0x16cd, /* VPSUBQZrmbkz */
+  0x0, /*  */
 /* Table5497 */
-  0x1227, /* VPANDQZrmkz */
-  0x122a, /* VPANDQZrrkz */
+  0x114f, /* VMOVUPSZ128rmkz */
+  0x1154, /* VMOVUPSZ128rrkz */
 /* Table5499 */
-  0x121a, /* VPANDNQZrmkz */
-  0x121d, /* VPANDNQZrrkz */
+  0xfc4, /* VMOVAPSZ128rmkz */
+  0xfc9, /* VMOVAPSZ128rrkz */
 /* Table5501 */
-  0x154c, /* VPORQZrmkz */
-  0x154f, /* VPORQZrrkz */
+  0x1062, /* VMOVDQU32Z128rmkz */
+  0x1067, /* VMOVDQU32Z128rrkz */
 /* Table5503 */
-  0x16a1, /* VPXORQZrmkz */
-  0x16a4, /* VPXORQZrrkz */
+  0x10a4, /* VMOVDQU8Z128rmkz */
+  0x10a9, /* VMOVDQU8Z128rrkz */
 /* Table5505 */
-  0x1538, /* VPMULUDQZrmkz */
-  0x153b, /* VPMULUDQZrrkz */
+  0xff7, /* VMOVDQA32Z128rmkz */
+  0xffc, /* VMOVDQA32Z128rrkz */
 /* Table5507 */
-  0x1645, /* VPSUBQZrmkz */
-  0x1648, /* VPSUBQZrrkz */
+  0x1083, /* VMOVDQU64Z128rmkz */
+  0x1088, /* VMOVDQU64Z128rrkz */
 /* Table5509 */
-  0x5e2, /* MMX_PSHUFBrm64 */
-  0x5e3, /* MMX_PSHUFBrr64 */
+  0x1041, /* VMOVDQU16Z128rmkz */
+  0x1046, /* VMOVDQU16Z128rrkz */
 /* Table5511 */
-  0x5bb, /* MMX_PHADDWrm64 */
-  0x5bc, /* MMX_PHADDWrr64 */
+  0x1126, /* VMOVUPDZ128rmkz */
+  0x112b, /* VMOVUPDZ128rrkz */
 /* Table5513 */
-  0x5bd, /* MMX_PHADDrm64 */
-  0x5be, /* MMX_PHADDrr64 */
+  0xf9b, /* VMOVAPDZ128rmkz */
+  0xfa0, /* VMOVAPDZ128rrkz */
 /* Table5515 */
-  0x5b9, /* MMX_PHADDSWrm64 */
-  0x5ba, /* MMX_PHADDSWrr64 */
+  0x1018, /* VMOVDQA64Z128rmkz */
+  0x101d, /* VMOVDQA64Z128rrkz */
 /* Table5517 */
-  0x5c7, /* MMX_PMADDUBSWrm64 */
-  0x5c8, /* MMX_PMADDUBSWrr64 */
+  0x115a, /* VMOVUPSZ256rmkz */
+  0x115f, /* VMOVUPSZ256rrkz */
 /* Table5519 */
-  0x5c3, /* MMX_PHSUBWrm64 */
-  0x5c4, /* MMX_PHSUBWrr64 */
+  0xfcf, /* VMOVAPSZ256rmkz */
+  0xfd4, /* VMOVAPSZ256rrkz */
 /* Table5521 */
-  0x5bf, /* MMX_PHSUBDrm64 */
-  0x5c0, /* MMX_PHSUBDrr64 */
+  0x106d, /* VMOVDQU32Z256rmkz */
+  0x1072, /* VMOVDQU32Z256rrkz */
 /* Table5523 */
-  0x5c1, /* MMX_PHSUBSWrm64 */
-  0x5c2, /* MMX_PHSUBSWrr64 */
+  0x10af, /* VMOVDQU8Z256rmkz */
+  0x10b4, /* VMOVDQU8Z256rrkz */
 /* Table5525 */
-  0x5e6, /* MMX_PSIGNBrm64 */
-  0x5e7, /* MMX_PSIGNBrr64 */
+  0x1002, /* VMOVDQA32Z256rmkz */
+  0x1007, /* VMOVDQA32Z256rrkz */
 /* Table5527 */
-  0x5ea, /* MMX_PSIGNWrm64 */
-  0x5eb, /* MMX_PSIGNWrr64 */
+  0x108e, /* VMOVDQU64Z256rmkz */
+  0x1093, /* VMOVDQU64Z256rrkz */
 /* Table5529 */
-  0x5e8, /* MMX_PSIGNDrm64 */
-  0x5e9, /* MMX_PSIGNDrr64 */
+  0x104c, /* VMOVDQU16Z256rmkz */
+  0x1051, /* VMOVDQU16Z256rrkz */
 /* Table5531 */
-  0x5d4, /* MMX_PMULHRSWrm64 */
-  0x5d5, /* MMX_PMULHRSWrr64 */
+  0x1131, /* VMOVUPDZ256rmkz */
+  0x1136, /* VMOVUPDZ256rrkz */
 /* Table5533 */
-  0x586, /* MMX_PABSBrm64 */
-  0x587, /* MMX_PABSBrr64 */
+  0xfa6, /* VMOVAPDZ256rmkz */
+  0xfab, /* VMOVAPDZ256rrkz */
 /* Table5535 */
-  0x58a, /* MMX_PABSWrm64 */
-  0x58b, /* MMX_PABSWrr64 */
+  0x1023, /* VMOVDQA64Z256rmkz */
+  0x1028, /* VMOVDQA64Z256rrkz */
 /* Table5537 */
-  0x588, /* MMX_PABSDrm64 */
-  0x589, /* MMX_PABSDrr64 */
+  0x1165, /* VMOVUPSZrmkz */
+  0x116a, /* VMOVUPSZrrkz */
 /* Table5539 */
-  0xa2e, /* SHA1NEXTErm */
-  0xa2f, /* SHA1NEXTErr */
+  0xfda, /* VMOVAPSZrmkz */
+  0xfdf, /* VMOVAPSZrrkz */
 /* Table5541 */
-  0xa2a, /* SHA1MSG1rm */
-  0xa2b, /* SHA1MSG1rr */
+  0xb96, /* VADDPSZrmkz */
+  0xb99, /* VADDPSZrrkz */
 /* Table5543 */
-  0xa2c, /* SHA1MSG2rm */
-  0xa2d, /* SHA1MSG2rr */
+  0x1199, /* VMULPSZrmkz */
+  0x119c, /* VMULPSZrrkz */
 /* Table5545 */
-  0xa36, /* SHA256RNDS2rm */
-  0xa37, /* SHA256RNDS2rr */
+  0x17ce, /* VSUBPSZrmkz */
+  0x17d1, /* VSUBPSZrrkz */
 /* Table5547 */
-  0xa32, /* SHA256MSG1rm */
-  0xa33, /* SHA256MSG1rr */
+  0xf78, /* VMINPSZrmkz */
+  0xf7b, /* VMINPSZrrkz */
 /* Table5549 */
-  0xa34, /* SHA256MSG2rm */
-  0xa35, /* SHA256MSG2rr */
+  0xcde, /* VDIVPSZrmkz */
+  0xce1, /* VDIVPSZrrkz */
 /* Table5551 */
-  0x67e, /* MOVBE32rm */
-  0x0, /*  */
+  0xf43, /* VMAXPSZrmkz */
+  0xf46, /* VMAXPSZrrkz */
 /* Table5553 */
-  0x67d, /* MOVBE32mr */
-  0x0, /*  */
+  0x1078, /* VMOVDQU32Zrmkz */
+  0x107d, /* VMOVDQU32Zrrkz */
 /* Table5555 */
-  0x883, /* PSHUFBrm */
-  0x884, /* PSHUFBrr */
+  0x10ba, /* VMOVDQU8Zrmkz */
+  0x10bf, /* VMOVDQU8Zrrkz */
 /* Table5557 */
-  0x7fe, /* PHADDWrm */
-  0x7ff, /* PHADDWrr */
+  0x100d, /* VMOVDQA32Zrmkz */
+  0x1012, /* VMOVDQA32Zrrkz */
 /* Table5559 */
-  0x7fa, /* PHADDDrm */
-  0x7fb, /* PHADDDrr */
+  0x1222, /* VPANDDZrmkz */
+  0x1225, /* VPANDDZrrkz */
 /* Table5561 */
-  0x7fc, /* PHADDSWrm128 */
-  0x7fd, /* PHADDSWrr128 */
+  0x122b, /* VPANDNDZrmkz */
+  0x122e, /* VPANDNDZrrkz */
 /* Table5563 */
-  0x814, /* PMADDUBSWrm128 */
-  0x815, /* PMADDUBSWrr128 */
+  0x15cd, /* VPORDZrmkz */
+  0x15d0, /* VPORDZrrkz */
 /* Table5565 */
-  0x806, /* PHSUBWrm */
-  0x807, /* PHSUBWrr */
+  0x1722, /* VPXORDZrmkz */
+  0x1725, /* VPXORDZrrkz */
 /* Table5567 */
-  0x802, /* PHSUBDrm */
-  0x803, /* PHSUBDrr */
+  0x16c2, /* VPSUBDZrmkz */
+  0x16c5, /* VPSUBDZrrkz */
 /* Table5569 */
-  0x804, /* PHSUBSWrm128 */
-  0x805, /* PHSUBSWrr128 */
+  0x11f2, /* VPADDDZrmkz */
+  0x11f5, /* VPADDDZrrkz */
 /* Table5571 */
-  0x88b, /* PSIGNBrm */
-  0x88c, /* PSIGNBrr */
+  0x1099, /* VMOVDQU64Zrmkz */
+  0x109e, /* VMOVDQU64Zrrkz */
 /* Table5573 */
-  0x88f, /* PSIGNWrm */
-  0x890, /* PSIGNWrr */
+  0x1057, /* VMOVDQU16Zrmkz */
+  0x105c, /* VMOVDQU16Zrrkz */
 /* Table5575 */
-  0x88d, /* PSIGNDrm */
-  0x88e, /* PSIGNDrr */
+  0x113c, /* VMOVUPDZrmkz */
+  0x1141, /* VMOVUPDZrrkz */
 /* Table5577 */
-  0x84b, /* PMULHRSWrm128 */
-  0x84c, /* PMULHRSWrr128 */
+  0xfb1, /* VMOVAPDZrmkz */
+  0xfb6, /* VMOVAPDZrrkz */
 /* Table5579 */
-  0x79d, /* PBLENDVBrm0 */
-  0x79e, /* PBLENDVBrr0 */
+  0xb89, /* VADDPDZrmkz */
+  0xb8c, /* VADDPDZrrkz */
 /* Table5581 */
-  0x101, /* BLENDVPSrm0 */
-  0x102, /* BLENDVPSrr0 */
+  0x118c, /* VMULPDZrmkz */
+  0x118f, /* VMULPDZrrkz */
 /* Table5583 */
-  0xff, /* BLENDVPDrm0 */
-  0x100, /* BLENDVPDrr0 */
+  0x17c1, /* VSUBPDZrmkz */
+  0x17c4, /* VSUBPDZrrkz */
 /* Table5585 */
-  0x8bd, /* PTESTrm */
-  0x8be, /* PTESTrr */
+  0xf6b, /* VMINPDZrmkz */
+  0xf6e, /* VMINPDZrrkz */
 /* Table5587 */
-  0x772, /* PABSBrm128 */
-  0x773, /* PABSBrr128 */
+  0xcd1, /* VDIVPDZrmkz */
+  0xcd4, /* VDIVPDZrrkz */
 /* Table5589 */
-  0x776, /* PABSWrm128 */
-  0x777, /* PABSWrr128 */
+  0xf36, /* VMAXPDZrmkz */
+  0xf39, /* VMAXPDZrrkz */
 /* Table5591 */
-  0x774, /* PABSDrm128 */
-  0x775, /* PABSDrr128 */
+  0x102e, /* VMOVDQA64Zrmkz */
+  0x1033, /* VMOVDQA64Zrrkz */
 /* Table5593 */
-  0x835, /* PMOVSXBWrm */
-  0x836, /* PMOVSXBWrr */
+  0x11ff, /* VPADDQZrmkz */
+  0x1202, /* VPADDQZrrkz */
 /* Table5595 */
-  0x831, /* PMOVSXBDrm */
-  0x832, /* PMOVSXBDrr */
+  0x1241, /* VPANDQZrmkz */
+  0x1244, /* VPANDQZrrkz */
 /* Table5597 */
-  0x833, /* PMOVSXBQrm */
-  0x834, /* PMOVSXBQrr */
+  0x1234, /* VPANDNQZrmkz */
+  0x1237, /* VPANDNQZrrkz */
 /* Table5599 */
-  0x839, /* PMOVSXWDrm */
-  0x83a, /* PMOVSXWDrr */
+  0x15d6, /* VPORQZrmkz */
+  0x15d9, /* VPORQZrrkz */
 /* Table5601 */
-  0x83b, /* PMOVSXWQrm */
-  0x83c, /* PMOVSXWQrr */
+  0x172b, /* VPXORQZrmkz */
+  0x172e, /* VPXORQZrrkz */
 /* Table5603 */
-  0x837, /* PMOVSXDQrm */
-  0x838, /* PMOVSXDQrr */
+  0x15c2, /* VPMULUDQZrmkz */
+  0x15c5, /* VPMULUDQZrrkz */
 /* Table5605 */
-  0x849, /* PMULDQrm */
-  0x84a, /* PMULDQrr */
+  0x16cf, /* VPSUBQZrmkz */
+  0x16d2, /* VPSUBQZrrkz */
 /* Table5607 */
-  0x7a7, /* PCMPEQQrm */
-  0x7a8, /* PCMPEQQrr */
+  0x5dd, /* MMX_PSHUFBrm64 */
+  0x5de, /* MMX_PSHUFBrr64 */
 /* Table5609 */
-  0x69b, /* MOVNTDQArm */
-  0x0, /*  */
+  0x5b6, /* MMX_PHADDWrm64 */
+  0x5b7, /* MMX_PHADDWrr64 */
 /* Table5611 */
-  0x77c, /* PACKUSDWrm */
-  0x77d, /* PACKUSDWrr */
+  0x5b8, /* MMX_PHADDrm64 */
+  0x5b9, /* MMX_PHADDrr64 */
 /* Table5613 */
-  0x841, /* PMOVZXBWrm */
-  0x842, /* PMOVZXBWrr */
+  0x5b4, /* MMX_PHADDSWrm64 */
+  0x5b5, /* MMX_PHADDSWrr64 */
 /* Table5615 */
-  0x83d, /* PMOVZXBDrm */
-  0x83e, /* PMOVZXBDrr */
+  0x5c2, /* MMX_PMADDUBSWrm64 */
+  0x5c3, /* MMX_PMADDUBSWrr64 */
 /* Table5617 */
-  0x83f, /* PMOVZXBQrm */
-  0x840, /* PMOVZXBQrr */
+  0x5be, /* MMX_PHSUBWrm64 */
+  0x5bf, /* MMX_PHSUBWrr64 */
 /* Table5619 */
-  0x845, /* PMOVZXWDrm */
-  0x846, /* PMOVZXWDrr */
+  0x5ba, /* MMX_PHSUBDrm64 */
+  0x5bb, /* MMX_PHSUBDrr64 */
 /* Table5621 */
-  0x847, /* PMOVZXWQrm */
-  0x848, /* PMOVZXWQrr */
+  0x5bc, /* MMX_PHSUBSWrm64 */
+  0x5bd, /* MMX_PHSUBSWrr64 */
 /* Table5623 */
-  0x843, /* PMOVZXDQrm */
-  0x844, /* PMOVZXDQrr */
+  0x5e1, /* MMX_PSIGNBrm64 */
+  0x5e2, /* MMX_PSIGNBrr64 */
 /* Table5625 */
-  0x7b7, /* PCMPGTQrm */
-  0x7b8, /* PCMPGTQrr */
+  0x5e5, /* MMX_PSIGNWrm64 */
+  0x5e6, /* MMX_PSIGNWrr64 */
 /* Table5627 */
-  0x824, /* PMINSBrm */
-  0x825, /* PMINSBrr */
+  0x5e3, /* MMX_PSIGNDrm64 */
+  0x5e4, /* MMX_PSIGNDrr64 */
 /* Table5629 */
-  0x826, /* PMINSDrm */
-  0x827, /* PMINSDrr */
+  0x5cf, /* MMX_PMULHRSWrm64 */
+  0x5d0, /* MMX_PMULHRSWrr64 */
 /* Table5631 */
-  0x82e, /* PMINUWrm */
-  0x82f, /* PMINUWrr */
+  0x581, /* MMX_PABSBrm64 */
+  0x582, /* MMX_PABSBrr64 */
 /* Table5633 */
-  0x82c, /* PMINUDrm */
-  0x82d, /* PMINUDrr */
+  0x585, /* MMX_PABSWrm64 */
+  0x586, /* MMX_PABSWrr64 */
 /* Table5635 */
-  0x818, /* PMAXSBrm */
-  0x819, /* PMAXSBrr */
+  0x583, /* MMX_PABSDrm64 */
+  0x584, /* MMX_PABSDrr64 */
 /* Table5637 */
-  0x81a, /* PMAXSDrm */
-  0x81b, /* PMAXSDrr */
+  0xa29, /* SHA1NEXTErm */
+  0xa2a, /* SHA1NEXTErr */
 /* Table5639 */
-  0x822, /* PMAXUWrm */
-  0x823, /* PMAXUWrr */
+  0xa25, /* SHA1MSG1rm */
+  0xa26, /* SHA1MSG1rr */
 /* Table5641 */
-  0x820, /* PMAXUDrm */
-  0x821, /* PMAXUDrr */
+  0xa27, /* SHA1MSG2rm */
+  0xa28, /* SHA1MSG2rr */
 /* Table5643 */
-  0x853, /* PMULLDrm */
-  0x854, /* PMULLDrr */
+  0xa31, /* SHA256RNDS2rm */
+  0xa32, /* SHA256RNDS2rr */
 /* Table5645 */
-  0x800, /* PHMINPOSUWrm128 */
-  0x801, /* PHMINPOSUWrr128 */
+  0xa2d, /* SHA256MSG1rm */
+  0xa2e, /* SHA256MSG1rr */
 /* Table5647 */
-  0x39f, /* INVEPT32 */
-  0x0, /*  */
+  0xa2f, /* SHA256MSG2rm */
+  0xa30, /* SHA256MSG2rr */
 /* Table5649 */
-  0x3a6, /* INVVPID32 */
+  0x679, /* MOVBE32rm */
   0x0, /*  */
 /* Table5651 */
-  0x3a4, /* INVPCID32 */
+  0x678, /* MOVBE32mr */
   0x0, /*  */
 /* Table5653 */
+  0x87e, /* PSHUFBrm */
+  0x87f, /* PSHUFBrr */
+/* Table5655 */
+  0x7f9, /* PHADDWrm */
+  0x7fa, /* PHADDWrr */
+/* Table5657 */
+  0x7f5, /* PHADDDrm */
+  0x7f6, /* PHADDDrr */
+/* Table5659 */
+  0x7f7, /* PHADDSWrm128 */
+  0x7f8, /* PHADDSWrr128 */
+/* Table5661 */
+  0x80f, /* PMADDUBSWrm128 */
+  0x810, /* PMADDUBSWrr128 */
+/* Table5663 */
+  0x801, /* PHSUBWrm */
+  0x802, /* PHSUBWrr */
+/* Table5665 */
+  0x7fd, /* PHSUBDrm */
+  0x7fe, /* PHSUBDrr */
+/* Table5667 */
+  0x7ff, /* PHSUBSWrm128 */
+  0x800, /* PHSUBSWrr128 */
+/* Table5669 */
+  0x886, /* PSIGNBrm */
+  0x887, /* PSIGNBrr */
+/* Table5671 */
+  0x88a, /* PSIGNWrm */
+  0x88b, /* PSIGNWrr */
+/* Table5673 */
+  0x888, /* PSIGNDrm */
+  0x889, /* PSIGNDrr */
+/* Table5675 */
+  0x846, /* PMULHRSWrm128 */
+  0x847, /* PMULHRSWrr128 */
+/* Table5677 */
+  0x798, /* PBLENDVBrm0 */
+  0x799, /* PBLENDVBrr0 */
+/* Table5679 */
+  0x101, /* BLENDVPSrm0 */
+  0x102, /* BLENDVPSrr0 */
+/* Table5681 */
+  0xff, /* BLENDVPDrm0 */
+  0x100, /* BLENDVPDrr0 */
+/* Table5683 */
+  0x8b8, /* PTESTrm */
+  0x8b9, /* PTESTrr */
+/* Table5685 */
+  0x76d, /* PABSBrm128 */
+  0x76e, /* PABSBrr128 */
+/* Table5687 */
+  0x771, /* PABSWrm128 */
+  0x772, /* PABSWrr128 */
+/* Table5689 */
+  0x76f, /* PABSDrm128 */
+  0x770, /* PABSDrr128 */
+/* Table5691 */
+  0x830, /* PMOVSXBWrm */
+  0x831, /* PMOVSXBWrr */
+/* Table5693 */
+  0x82c, /* PMOVSXBDrm */
+  0x82d, /* PMOVSXBDrr */
+/* Table5695 */
+  0x82e, /* PMOVSXBQrm */
+  0x82f, /* PMOVSXBQrr */
+/* Table5697 */
+  0x834, /* PMOVSXWDrm */
+  0x835, /* PMOVSXWDrr */
+/* Table5699 */
+  0x836, /* PMOVSXWQrm */
+  0x837, /* PMOVSXWQrr */
+/* Table5701 */
+  0x832, /* PMOVSXDQrm */
+  0x833, /* PMOVSXDQrr */
+/* Table5703 */
+  0x844, /* PMULDQrm */
+  0x845, /* PMULDQrr */
+/* Table5705 */
+  0x7a2, /* PCMPEQQrm */
+  0x7a3, /* PCMPEQQrr */
+/* Table5707 */
+  0x696, /* MOVNTDQArm */
+  0x0, /*  */
+/* Table5709 */
+  0x777, /* PACKUSDWrm */
+  0x778, /* PACKUSDWrr */
+/* Table5711 */
+  0x83c, /* PMOVZXBWrm */
+  0x83d, /* PMOVZXBWrr */
+/* Table5713 */
+  0x838, /* PMOVZXBDrm */
+  0x839, /* PMOVZXBDrr */
+/* Table5715 */
+  0x83a, /* PMOVZXBQrm */
+  0x83b, /* PMOVZXBQrr */
+/* Table5717 */
+  0x840, /* PMOVZXWDrm */
+  0x841, /* PMOVZXWDrr */
+/* Table5719 */
+  0x842, /* PMOVZXWQrm */
+  0x843, /* PMOVZXWQrr */
+/* Table5721 */
+  0x83e, /* PMOVZXDQrm */
+  0x83f, /* PMOVZXDQrr */
+/* Table5723 */
+  0x7b2, /* PCMPGTQrm */
+  0x7b3, /* PCMPGTQrr */
+/* Table5725 */
+  0x81f, /* PMINSBrm */
+  0x820, /* PMINSBrr */
+/* Table5727 */
+  0x821, /* PMINSDrm */
+  0x822, /* PMINSDrr */
+/* Table5729 */
+  0x829, /* PMINUWrm */
+  0x82a, /* PMINUWrr */
+/* Table5731 */
+  0x827, /* PMINUDrm */
+  0x828, /* PMINUDrr */
+/* Table5733 */
+  0x813, /* PMAXSBrm */
+  0x814, /* PMAXSBrr */
+/* Table5735 */
+  0x815, /* PMAXSDrm */
+  0x816, /* PMAXSDrr */
+/* Table5737 */
+  0x81d, /* PMAXUWrm */
+  0x81e, /* PMAXUWrr */
+/* Table5739 */
+  0x81b, /* PMAXUDrm */
+  0x81c, /* PMAXUDrr */
+/* Table5741 */
+  0x84e, /* PMULLDrm */
+  0x84f, /* PMULLDrr */
+/* Table5743 */
+  0x7fb, /* PHMINPOSUWrm128 */
+  0x7fc, /* PHMINPOSUWrr128 */
+/* Table5745 */
+  0x39a, /* INVEPT32 */
+  0x0, /*  */
+/* Table5747 */
+  0x3a1, /* INVVPID32 */
+  0x0, /*  */
+/* Table5749 */
+  0x39f, /* INVPCID32 */
+  0x0, /*  */
+/* Table5751 */
   0xa7, /* AESIMCrm */
   0xa8, /* AESIMCrr */
-/* Table5655 */
+/* Table5753 */
   0xa5, /* AESENCrm */
   0xa6, /* AESENCrr */
-/* Table5657 */
+/* Table5755 */
   0xa3, /* AESENCLASTrm */
   0xa4, /* AESENCLASTrr */
-/* Table5659 */
+/* Table5757 */
   0xa1, /* AESDECrm */
   0xa2, /* AESDECrr */
-/* Table5661 */
+/* Table5759 */
   0x9f, /* AESDECLASTrm */
   0xa0, /* AESDECLASTrr */
-/* Table5663 */
-  0x67c, /* MOVBE16rm */
+/* Table5761 */
+  0x677, /* MOVBE16rm */
   0x0, /*  */
-/* Table5665 */
-  0x67b, /* MOVBE16mr */
+/* Table5763 */
+  0x676, /* MOVBE16mr */
   0x0, /*  */
-/* Table5667 */
+/* Table5765 */
   0x42, /* ADCX32rm */
   0x43, /* ADCX32rr */
-/* Table5669 */
+/* Table5767 */
   0x255, /* CRC32r32m8 */
   0x258, /* CRC32r32r8 */
-/* Table5671 */
+/* Table5769 */
   0x254, /* CRC32r32m32 */
   0x257, /* CRC32r32r32 */
-/* Table5673 */
+/* Table5771 */
   0x9b, /* ADOX32rm */
   0x9c, /* ADOX32rr */
-/* Table5675 */
+/* Table5773 */
   0x253, /* CRC32r32m16 */
   0x256, /* CRC32r32r16 */
-/* Table5677 */
-  0x680, /* MOVBE64rm */
+/* Table5775 */
+  0x67b, /* MOVBE64rm */
   0x0, /*  */
-/* Table5679 */
-  0x67f, /* MOVBE64mr */
+/* Table5777 */
+  0x67a, /* MOVBE64mr */
   0x0, /*  */
-/* Table5681 */
-  0x3a0, /* INVEPT64 */
+/* Table5779 */
+  0x39b, /* INVEPT64 */
   0x0, /*  */
-/* Table5683 */
-  0x3a7, /* INVVPID64 */
+/* Table5781 */
+  0x3a2, /* INVVPID64 */
   0x0, /*  */
-/* Table5685 */
-  0x3a5, /* INVPCID64 */
+/* Table5783 */
+  0x3a0, /* INVPCID64 */
   0x0, /*  */
-/* Table5687 */
+/* Table5785 */
   0x9d, /* ADOX64rm */
   0x9e, /* ADOX64rr */
-/* Table5689 */
+/* Table5787 */
   0x25a, /* CRC32r64m8 */
   0x25c, /* CRC32r64r8 */
-/* Table5691 */
+/* Table5789 */
   0x259, /* CRC32r64m64 */
   0x25b, /* CRC32r64r64 */
-/* Table5693 */
+/* Table5791 */
   0x44, /* ADCX64rm */
   0x45, /* ADCX64rr */
-/* Table5695 */
+/* Table5793 */
   0xce, /* ANDN32rm */
   0xcf, /* ANDN32rr */
-/* Table5697 */
+/* Table5795 */
   0x0, /*  */
   0x113, /* BLSR32rm */
   0x10f, /* BLSMSK32rm */
@@ -55224,337 +56376,337 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table5713 */
+/* Table5811 */
   0x157, /* BZHI32rm */
   0x158, /* BZHI32rr */
-/* Table5715 */
+/* Table5813 */
   0xdf, /* BEXTR32rm */
   0xe0, /* BEXTR32rr */
-/* Table5717 */
-  0x7c7, /* PEXT32rm */
-  0x7c8, /* PEXT32rr */
-/* Table5719 */
-  0x9ce, /* SARX32rm */
-  0x9cf, /* SARX32rr */
-/* Table5721 */
-  0x7c3, /* PDEP32rm */
-  0x7c4, /* PDEP32rr */
-/* Table5723 */
-  0x6f8, /* MULX32rm */
-  0x6f9, /* MULX32rr */
-/* Table5725 */
-  0xa84, /* SHRX32rm */
-  0xa85, /* SHRX32rr */
-/* Table5727 */
-  0x158d, /* VPSHUFBrm */
-  0x158e, /* VPSHUFBrr */
-/* Table5729 */
-  0x137e, /* VPHADDWrm */
-  0x137f, /* VPHADDWrr */
-/* Table5731 */
-  0x1366, /* VPHADDDrm */
-  0x1367, /* VPHADDDrr */
-/* Table5733 */
-  0x1368, /* VPHADDSWrm128 */
-  0x136a, /* VPHADDSWrr128 */
-/* Table5735 */
-  0x13c6, /* VPMADDUBSWrm128 */
-  0x13c8, /* VPMADDUBSWrr128 */
-/* Table5737 */
-  0x1392, /* VPHSUBWrm */
-  0x1393, /* VPHSUBWrr */
-/* Table5739 */
-  0x1388, /* VPHSUBDrm */
-  0x1389, /* VPHSUBDrr */
-/* Table5741 */
-  0x138a, /* VPHSUBSWrm128 */
-  0x138c, /* VPHSUBSWrr128 */
-/* Table5743 */
-  0x159f, /* VPSIGNBrm */
-  0x15a0, /* VPSIGNBrr */
-/* Table5745 */
-  0x15a7, /* VPSIGNWrm */
-  0x15a8, /* VPSIGNWrr */
-/* Table5747 */
-  0x15a3, /* VPSIGNDrm */
-  0x15a4, /* VPSIGNDrr */
-/* Table5749 */
-  0x1514, /* VPMULHRSWrm128 */
-  0x1516, /* VPMULHRSWrr128 */
-/* Table5751 */
-  0x131d, /* VPERMILPSrm */
-  0x131e, /* VPERMILPSrr */
-/* Table5753 */
-  0x1313, /* VPERMILPDrm */
-  0x1314, /* VPERMILPDrr */
-/* Table5755 */
-  0x175c, /* VTESTPSrm */
-  0x175d, /* VTESTPSrr */
-/* Table5757 */
-  0x1758, /* VTESTPDrm */
-  0x1759, /* VTESTPDrr */
-/* Table5759 */
-  0xc53, /* VCVTPH2PSrm */
-  0xc54, /* VCVTPH2PSrr */
-/* Table5761 */
-  0x1669, /* VPTESTrm */
-  0x166a, /* VPTESTrr */
-/* Table5763 */
-  0xbfd, /* VBROADCASTSSrm */
-  0xbfe, /* VBROADCASTSSrr */
-/* Table5765 */
-  0x119f, /* VPABSBrm128 */
-  0x11a1, /* VPABSBrr128 */
-/* Table5767 */
-  0x11b9, /* VPABSWrm128 */
-  0x11bb, /* VPABSWrr128 */
-/* Table5769 */
-  0x11ac, /* VPABSDrm128 */
-  0x11ae, /* VPABSDrr128 */
-/* Table5771 */
-  0x1498, /* VPMOVSXBWrm */
-  0x1499, /* VPMOVSXBWrr */
-/* Table5773 */
-  0x148a, /* VPMOVSXBDrm */
-  0x148b, /* VPMOVSXBDrr */
-/* Table5775 */
-  0x1494, /* VPMOVSXBQrm */
-  0x1495, /* VPMOVSXBQrr */
-/* Table5777 */
-  0x14ac, /* VPMOVSXWDrm */
-  0x14ad, /* VPMOVSXWDrr */
-/* Table5779 */
-  0x14b6, /* VPMOVSXWQrm */
-  0x14b7, /* VPMOVSXWQrr */
-/* Table5781 */
-  0x14a2, /* VPMOVSXDQrm */
-  0x14a3, /* VPMOVSXDQrr */
-/* Table5783 */
-  0x1512, /* VPMULDQrm */
-  0x1513, /* VPMULDQrr */
-/* Table5785 */
-  0x1281, /* VPCMPEQQrm */
-  0x1282, /* VPCMPEQQrr */
-/* Table5787 */
-  0x10c9, /* VMOVNTDQArm */
-  0x0, /*  */
-/* Table5789 */
-  0x11c7, /* VPACKUSDWrm */
-  0x11c8, /* VPACKUSDWrr */
-/* Table5791 */
-  0xf10, /* VMASKMOVPSrm */
-  0x0, /*  */
-/* Table5793 */
-  0xf0c, /* VMASKMOVPDrm */
-  0x0, /*  */
-/* Table5795 */
-  0xf0f, /* VMASKMOVPSmr */
-  0x0, /*  */
-/* Table5797 */
-  0xf0b, /* VMASKMOVPDmr */
-  0x0, /*  */
-/* Table5799 */
-  0x14e7, /* VPMOVZXBWrm */
-  0x14e8, /* VPMOVZXBWrr */
-/* Table5801 */
-  0x14d9, /* VPMOVZXBDrm */
-  0x14da, /* VPMOVZXBDrr */
-/* Table5803 */
-  0x14e3, /* VPMOVZXBQrm */
-  0x14e4, /* VPMOVZXBQrr */
-/* Table5805 */
-  0x14fb, /* VPMOVZXWDrm */
-  0x14fc, /* VPMOVZXWDrr */
-/* Table5807 */
-  0x1505, /* VPMOVZXWQrm */
-  0x1506, /* VPMOVZXWQrr */
-/* Table5809 */
-  0x14f1, /* VPMOVZXDQrm */
-  0x14f2, /* VPMOVZXDQrr */
-/* Table5811 */
-  0x129d, /* VPCMPGTQrm */
-  0x129e, /* VPCMPGTQrr */
-/* Table5813 */
-  0x1414, /* VPMINSBrm */
-  0x1415, /* VPMINSBrr */
 /* Table5815 */
-  0x1421, /* VPMINSDrm */
-  0x1422, /* VPMINSDrr */
+  0x7c2, /* PEXT32rm */
+  0x7c3, /* PEXT32rr */
 /* Table5817 */
-  0x144c, /* VPMINUWrm */
-  0x144d, /* VPMINUWrr */
+  0x9c9, /* SARX32rm */
+  0x9ca, /* SARX32rr */
 /* Table5819 */
-  0x143f, /* VPMINUDrm */
-  0x1440, /* VPMINUDrr */
+  0x7be, /* PDEP32rm */
+  0x7bf, /* PDEP32rr */
 /* Table5821 */
-  0x13d8, /* VPMAXSBrm */
-  0x13d9, /* VPMAXSBrr */
+  0x6f3, /* MULX32rm */
+  0x6f4, /* MULX32rr */
 /* Table5823 */
-  0x13e5, /* VPMAXSDrm */
-  0x13e6, /* VPMAXSDrr */
+  0xa7f, /* SHRX32rm */
+  0xa80, /* SHRX32rr */
 /* Table5825 */
-  0x1410, /* VPMAXUWrm */
-  0x1411, /* VPMAXUWrr */
+  0x1617, /* VPSHUFBrm */
+  0x1618, /* VPSHUFBrr */
 /* Table5827 */
-  0x1403, /* VPMAXUDrm */
-  0x1404, /* VPMAXUDrr */
+  0x1408, /* VPHADDWrm */
+  0x1409, /* VPHADDWrr */
 /* Table5829 */
-  0x152b, /* VPMULLDrm */
-  0x152c, /* VPMULLDrr */
+  0x13f0, /* VPHADDDrm */
+  0x13f1, /* VPHADDDrr */
 /* Table5831 */
-  0x1380, /* VPHMINPOSUWrm128 */
-  0x1381, /* VPHMINPOSUWrr128 */
+  0x13f2, /* VPHADDSWrm128 */
+  0x13f4, /* VPHADDSWrr128 */
 /* Table5833 */
-  0x161f, /* VPSRLVDrm */
-  0x1620, /* VPSRLVDrr */
+  0x1450, /* VPMADDUBSWrm128 */
+  0x1452, /* VPMADDUBSWrr128 */
 /* Table5835 */
-  0x15f3, /* VPSRAVDrm */
-  0x15f4, /* VPSRAVDrr */
+  0x141c, /* VPHSUBWrm */
+  0x141d, /* VPHSUBWrr */
 /* Table5837 */
-  0x15cb, /* VPSLLVDrm */
-  0x15cc, /* VPSLLVDrr */
+  0x1412, /* VPHSUBDrm */
+  0x1413, /* VPHSUBDrr */
 /* Table5839 */
-  0x1253, /* VPBROADCASTDrm */
-  0x1254, /* VPBROADCASTDrr */
+  0x1414, /* VPHSUBSWrm128 */
+  0x1416, /* VPHSUBSWrr128 */
 /* Table5841 */
-  0x125f, /* VPBROADCASTQrm */
-  0x1260, /* VPBROADCASTQrr */
+  0x1629, /* VPSIGNBrm */
+  0x162a, /* VPSIGNBrr */
 /* Table5843 */
-  0x1249, /* VPBROADCASTBrm */
-  0x124a, /* VPBROADCASTBrr */
+  0x1631, /* VPSIGNWrm */
+  0x1632, /* VPSIGNWrr */
 /* Table5845 */
-  0x1263, /* VPBROADCASTWrm */
-  0x1264, /* VPBROADCASTWrr */
+  0x162d, /* VPSIGNDrm */
+  0x162e, /* VPSIGNDrr */
 /* Table5847 */
-  0x13d1, /* VPMASKMOVDrm */
-  0x0, /*  */
+  0x159e, /* VPMULHRSWrm128 */
+  0x15a0, /* VPMULHRSWrr128 */
 /* Table5849 */
-  0x13d0, /* VPMASKMOVDmr */
-  0x0, /*  */
+  0x13a7, /* VPERMILPSrm */
+  0x13a8, /* VPERMILPSrr */
 /* Table5851 */
-  0x1352, /* VPGATHERDDrm */
-  0x0, /*  */
+  0x139d, /* VPERMILPDrm */
+  0x139e, /* VPERMILPDrr */
 /* Table5853 */
-  0x1358, /* VPGATHERQDrm */
-  0x0, /*  */
+  0x17e6, /* VTESTPSrm */
+  0x17e7, /* VTESTPSrr */
 /* Table5855 */
-  0xed5, /* VGATHERDPSrm */
-  0x0, /*  */
+  0x17e2, /* VTESTPDrm */
+  0x17e3, /* VTESTPDrr */
 /* Table5857 */
-  0xee3, /* VGATHERQPSrm */
-  0x0, /*  */
+  0xc4d, /* VCVTPH2PSrm */
+  0xc4e, /* VCVTPH2PSrr */
 /* Table5859 */
-  0xd86, /* VFMADDSUBPSr132m */
-  0xd88, /* VFMADDSUBPSr132r */
+  0x16f3, /* VPTESTrm */
+  0x16f4, /* VPTESTrr */
 /* Table5861 */
-  0xdc2, /* VFMSUBADDPSr132m */
-  0xdc4, /* VFMSUBADDPSr132r */
+  0xbf7, /* VBROADCASTSSrm */
+  0xbf8, /* VBROADCASTSSrr */
 /* Table5863 */
-  0xd36, /* VFMADDPSr132m */
-  0xd38, /* VFMADDPSr132r */
+  0x11b9, /* VPABSBrm128 */
+  0x11bb, /* VPABSBrr128 */
 /* Table5865 */
-  0xd5a, /* VFMADDSSr132m */
-  0xd5b, /* VFMADDSSr132r */
+  0x11d3, /* VPABSWrm128 */
+  0x11d5, /* VPABSWrr128 */
 /* Table5867 */
-  0xdea, /* VFMSUBPSr132m */
-  0xdec, /* VFMSUBPSr132r */
+  0x11c6, /* VPABSDrm128 */
+  0x11c8, /* VPABSDrr128 */
 /* Table5869 */
-  0xe0e, /* VFMSUBSSr132m */
-  0xe0f, /* VFMSUBSSr132r */
+  0x1522, /* VPMOVSXBWrm */
+  0x1523, /* VPMOVSXBWrr */
 /* Table5871 */
-  0xe3a, /* VFNMADDPSr132m */
-  0xe3c, /* VFNMADDPSr132r */
+  0x1514, /* VPMOVSXBDrm */
+  0x1515, /* VPMOVSXBDrr */
 /* Table5873 */
-  0xe5e, /* VFNMADDSSr132m */
-  0xe5f, /* VFNMADDSSr132r */
+  0x151e, /* VPMOVSXBQrm */
+  0x151f, /* VPMOVSXBQrr */
 /* Table5875 */
-  0xe8a, /* VFNMSUBPSr132m */
-  0xe8c, /* VFNMSUBPSr132r */
+  0x1536, /* VPMOVSXWDrm */
+  0x1537, /* VPMOVSXWDrr */
 /* Table5877 */
-  0xeae, /* VFNMSUBSSr132m */
-  0xeaf, /* VFNMSUBSSr132r */
+  0x1540, /* VPMOVSXWQrm */
+  0x1541, /* VPMOVSXWQrr */
 /* Table5879 */
-  0xd8a, /* VFMADDSUBPSr213m */
-  0xd8c, /* VFMADDSUBPSr213r */
+  0x152c, /* VPMOVSXDQrm */
+  0x152d, /* VPMOVSXDQrr */
 /* Table5881 */
-  0xdc6, /* VFMSUBADDPSr213m */
-  0xdc8, /* VFMSUBADDPSr213r */
+  0x159c, /* VPMULDQrm */
+  0x159d, /* VPMULDQrr */
 /* Table5883 */
-  0xd3a, /* VFMADDPSr213m */
-  0xd3c, /* VFMADDPSr213r */
+  0x12c7, /* VPCMPEQQrm */
+  0x12c8, /* VPCMPEQQrr */
 /* Table5885 */
-  0xd5c, /* VFMADDSSr213m */
-  0xd5d, /* VFMADDSSr213r */
+  0x10dd, /* VMOVNTDQArm */
+  0x0, /*  */
 /* Table5887 */
-  0xdee, /* VFMSUBPSr213m */
-  0xdf0, /* VFMSUBPSr213r */
+  0x11e1, /* VPACKUSDWrm */
+  0x11e2, /* VPACKUSDWrr */
 /* Table5889 */
-  0xe10, /* VFMSUBSSr213m */
-  0xe11, /* VFMSUBSSr213r */
+  0xf22, /* VMASKMOVPSrm */
+  0x0, /*  */
 /* Table5891 */
-  0xe3e, /* VFNMADDPSr213m */
-  0xe40, /* VFNMADDPSr213r */
+  0xf1e, /* VMASKMOVPDrm */
+  0x0, /*  */
 /* Table5893 */
-  0xe60, /* VFNMADDSSr213m */
-  0xe61, /* VFNMADDSSr213r */
+  0xf21, /* VMASKMOVPSmr */
+  0x0, /*  */
 /* Table5895 */
-  0xe8e, /* VFNMSUBPSr213m */
-  0xe90, /* VFNMSUBPSr213r */
+  0xf1d, /* VMASKMOVPDmr */
+  0x0, /*  */
 /* Table5897 */
-  0xeb0, /* VFNMSUBSSr213m */
-  0xeb1, /* VFNMSUBSSr213r */
+  0x1571, /* VPMOVZXBWrm */
+  0x1572, /* VPMOVZXBWrr */
 /* Table5899 */
-  0xd8e, /* VFMADDSUBPSr231m */
-  0xd90, /* VFMADDSUBPSr231r */
+  0x1563, /* VPMOVZXBDrm */
+  0x1564, /* VPMOVZXBDrr */
 /* Table5901 */
-  0xdca, /* VFMSUBADDPSr231m */
-  0xdcc, /* VFMSUBADDPSr231r */
+  0x156d, /* VPMOVZXBQrm */
+  0x156e, /* VPMOVZXBQrr */
 /* Table5903 */
-  0xd3e, /* VFMADDPSr231m */
-  0xd40, /* VFMADDPSr231r */
+  0x1585, /* VPMOVZXWDrm */
+  0x1586, /* VPMOVZXWDrr */
 /* Table5905 */
-  0xd5e, /* VFMADDSSr231m */
-  0xd5f, /* VFMADDSSr231r */
+  0x158f, /* VPMOVZXWQrm */
+  0x1590, /* VPMOVZXWQrr */
 /* Table5907 */
-  0xdf2, /* VFMSUBPSr231m */
-  0xdf4, /* VFMSUBPSr231r */
+  0x157b, /* VPMOVZXDQrm */
+  0x157c, /* VPMOVZXDQrr */
 /* Table5909 */
-  0xe12, /* VFMSUBSSr231m */
-  0xe13, /* VFMSUBSSr231r */
+  0x131b, /* VPCMPGTQrm */
+  0x131c, /* VPCMPGTQrr */
 /* Table5911 */
-  0xe42, /* VFNMADDPSr231m */
-  0xe44, /* VFNMADDPSr231r */
+  0x149e, /* VPMINSBrm */
+  0x149f, /* VPMINSBrr */
 /* Table5913 */
-  0xe62, /* VFNMADDSSr231m */
-  0xe63, /* VFNMADDSSr231r */
+  0x14ab, /* VPMINSDrm */
+  0x14ac, /* VPMINSDrr */
 /* Table5915 */
-  0xe92, /* VFNMSUBPSr231m */
-  0xe94, /* VFNMSUBPSr231r */
+  0x14d6, /* VPMINUWrm */
+  0x14d7, /* VPMINUWrr */
 /* Table5917 */
-  0xeb2, /* VFNMSUBSSr231m */
-  0xeb3, /* VFNMSUBSSr231r */
+  0x14c9, /* VPMINUDrm */
+  0x14ca, /* VPMINUDrr */
 /* Table5919 */
-  0xbbe, /* VAESIMCrm */
-  0xbbf, /* VAESIMCrr */
+  0x1462, /* VPMAXSBrm */
+  0x1463, /* VPMAXSBrr */
 /* Table5921 */
-  0xbbc, /* VAESENCrm */
-  0xbbd, /* VAESENCrr */
+  0x146f, /* VPMAXSDrm */
+  0x1470, /* VPMAXSDrr */
 /* Table5923 */
-  0xbba, /* VAESENCLASTrm */
-  0xbbb, /* VAESENCLASTrr */
+  0x149a, /* VPMAXUWrm */
+  0x149b, /* VPMAXUWrr */
 /* Table5925 */
-  0xbb8, /* VAESDECrm */
-  0xbb9, /* VAESDECrr */
+  0x148d, /* VPMAXUDrm */
+  0x148e, /* VPMAXUDrr */
 /* Table5927 */
-  0xbb6, /* VAESDECLASTrm */
-  0xbb7, /* VAESDECLASTrr */
+  0x15b5, /* VPMULLDrm */
+  0x15b6, /* VPMULLDrr */
 /* Table5929 */
-  0xa5c, /* SHLX32rm */
-  0xa5d, /* SHLX32rr */
+  0x140a, /* VPHMINPOSUWrm128 */
+  0x140b, /* VPHMINPOSUWrr128 */
 /* Table5931 */
+  0x16a9, /* VPSRLVDrm */
+  0x16aa, /* VPSRLVDrr */
+/* Table5933 */
+  0x167d, /* VPSRAVDrm */
+  0x167e, /* VPSRAVDrr */
+/* Table5935 */
+  0x1655, /* VPSLLVDrm */
+  0x1656, /* VPSLLVDrr */
+/* Table5937 */
+  0x126d, /* VPBROADCASTDrm */
+  0x126e, /* VPBROADCASTDrr */
+/* Table5939 */
+  0x1279, /* VPBROADCASTQrm */
+  0x127a, /* VPBROADCASTQrr */
+/* Table5941 */
+  0x1263, /* VPBROADCASTBrm */
+  0x1264, /* VPBROADCASTBrr */
+/* Table5943 */
+  0x127d, /* VPBROADCASTWrm */
+  0x127e, /* VPBROADCASTWrr */
+/* Table5945 */
+  0x145b, /* VPMASKMOVDrm */
+  0x0, /*  */
+/* Table5947 */
+  0x145a, /* VPMASKMOVDmr */
+  0x0, /*  */
+/* Table5949 */
+  0x13dc, /* VPGATHERDDrm */
+  0x0, /*  */
+/* Table5951 */
+  0x13e2, /* VPGATHERQDrm */
+  0x0, /*  */
+/* Table5953 */
+  0xee7, /* VGATHERDPSrm */
+  0x0, /*  */
+/* Table5955 */
+  0xef5, /* VGATHERQPSrm */
+  0x0, /*  */
+/* Table5957 */
+  0xd88, /* VFMADDSUBPSr132m */
+  0xd8a, /* VFMADDSUBPSr132r */
+/* Table5959 */
+  0xdcc, /* VFMSUBADDPSr132m */
+  0xdce, /* VFMSUBADDPSr132r */
+/* Table5961 */
+  0xd34, /* VFMADDPSr132m */
+  0xd36, /* VFMADDPSr132r */
+/* Table5963 */
+  0xd58, /* VFMADDSSr132m */
+  0xd59, /* VFMADDSSr132r */
+/* Table5965 */
+  0xdf4, /* VFMSUBPSr132m */
+  0xdf6, /* VFMSUBPSr132r */
+/* Table5967 */
+  0xe18, /* VFMSUBSSr132m */
+  0xe19, /* VFMSUBSSr132r */
+/* Table5969 */
+  0xe48, /* VFNMADDPSr132m */
+  0xe4a, /* VFNMADDPSr132r */
+/* Table5971 */
+  0xe6c, /* VFNMADDSSr132m */
+  0xe6d, /* VFNMADDSSr132r */
+/* Table5973 */
+  0xe9c, /* VFNMSUBPSr132m */
+  0xe9e, /* VFNMSUBPSr132r */
+/* Table5975 */
+  0xec0, /* VFNMSUBSSr132m */
+  0xec1, /* VFNMSUBSSr132r */
+/* Table5977 */
+  0xd8c, /* VFMADDSUBPSr213m */
+  0xd8e, /* VFMADDSUBPSr213r */
+/* Table5979 */
+  0xdd0, /* VFMSUBADDPSr213m */
+  0xdd2, /* VFMSUBADDPSr213r */
+/* Table5981 */
+  0xd38, /* VFMADDPSr213m */
+  0xd3a, /* VFMADDPSr213r */
+/* Table5983 */
+  0xd5a, /* VFMADDSSr213m */
+  0xd5b, /* VFMADDSSr213r */
+/* Table5985 */
+  0xdf8, /* VFMSUBPSr213m */
+  0xdfa, /* VFMSUBPSr213r */
+/* Table5987 */
+  0xe1a, /* VFMSUBSSr213m */
+  0xe1b, /* VFMSUBSSr213r */
+/* Table5989 */
+  0xe4c, /* VFNMADDPSr213m */
+  0xe4e, /* VFNMADDPSr213r */
+/* Table5991 */
+  0xe6e, /* VFNMADDSSr213m */
+  0xe6f, /* VFNMADDSSr213r */
+/* Table5993 */
+  0xea0, /* VFNMSUBPSr213m */
+  0xea2, /* VFNMSUBPSr213r */
+/* Table5995 */
+  0xec2, /* VFNMSUBSSr213m */
+  0xec3, /* VFNMSUBSSr213r */
+/* Table5997 */
+  0xd90, /* VFMADDSUBPSr231m */
+  0xd92, /* VFMADDSUBPSr231r */
+/* Table5999 */
+  0xdd4, /* VFMSUBADDPSr231m */
+  0xdd6, /* VFMSUBADDPSr231r */
+/* Table6001 */
+  0xd3c, /* VFMADDPSr231m */
+  0xd3e, /* VFMADDPSr231r */
+/* Table6003 */
+  0xd5c, /* VFMADDSSr231m */
+  0xd5d, /* VFMADDSSr231r */
+/* Table6005 */
+  0xdfc, /* VFMSUBPSr231m */
+  0xdfe, /* VFMSUBPSr231r */
+/* Table6007 */
+  0xe1c, /* VFMSUBSSr231m */
+  0xe1d, /* VFMSUBSSr231r */
+/* Table6009 */
+  0xe50, /* VFNMADDPSr231m */
+  0xe52, /* VFNMADDPSr231r */
+/* Table6011 */
+  0xe70, /* VFNMADDSSr231m */
+  0xe71, /* VFNMADDSSr231r */
+/* Table6013 */
+  0xea4, /* VFNMSUBPSr231m */
+  0xea6, /* VFNMSUBPSr231r */
+/* Table6015 */
+  0xec4, /* VFNMSUBSSr231m */
+  0xec5, /* VFNMSUBSSr231r */
+/* Table6017 */
+  0xbb8, /* VAESIMCrm */
+  0xbb9, /* VAESIMCrr */
+/* Table6019 */
+  0xbb6, /* VAESENCrm */
+  0xbb7, /* VAESENCrr */
+/* Table6021 */
+  0xbb4, /* VAESENCLASTrm */
+  0xbb5, /* VAESENCLASTrr */
+/* Table6023 */
+  0xbb2, /* VAESDECrm */
+  0xbb3, /* VAESDECrr */
+/* Table6025 */
+  0xbb0, /* VAESDECLASTrm */
+  0xbb1, /* VAESDECLASTrr */
+/* Table6027 */
+  0xa57, /* SHLX32rm */
+  0xa58, /* SHLX32rr */
+/* Table6029 */
   0xd0, /* ANDN64rm */
   0xd1, /* ANDN64rr */
-/* Table5933 */
+/* Table6031 */
   0x0, /*  */
   0x115, /* BLSR64rm */
   0x111, /* BLSMSK64rm */
@@ -55571,2216 +56723,2360 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table5949 */
+/* Table6047 */
   0x159, /* BZHI64rm */
   0x15a, /* BZHI64rr */
-/* Table5951 */
+/* Table6049 */
   0xe1, /* BEXTR64rm */
   0xe2, /* BEXTR64rr */
-/* Table5953 */
-  0x7c9, /* PEXT64rm */
-  0x7ca, /* PEXT64rr */
-/* Table5955 */
-  0x9d0, /* SARX64rm */
-  0x9d1, /* SARX64rr */
-/* Table5957 */
-  0x7c5, /* PDEP64rm */
-  0x7c6, /* PDEP64rr */
-/* Table5959 */
-  0x6fa, /* MULX64rm */
-  0x6fb, /* MULX64rr */
-/* Table5961 */
-  0xa86, /* SHRX64rm */
-  0xa87, /* SHRX64rr */
-/* Table5963 */
-  0x1625, /* VPSRLVQrm */
-  0x1626, /* VPSRLVQrr */
-/* Table5965 */
-  0x15d1, /* VPSLLVQrm */
-  0x15d2, /* VPSLLVQrr */
-/* Table5967 */
-  0x13d5, /* VPMASKMOVQrm */
-  0x0, /*  */
-/* Table5969 */
-  0x13d4, /* VPMASKMOVQmr */
-  0x0, /*  */
-/* Table5971 */
-  0x1355, /* VPGATHERDQrm */
-  0x0, /*  */
-/* Table5973 */
-  0x135b, /* VPGATHERQQrm */
-  0x0, /*  */
-/* Table5975 */
-  0xed2, /* VGATHERDPDrm */
-  0x0, /*  */
-/* Table5977 */
-  0xee0, /* VGATHERQPDrm */
-  0x0, /*  */
-/* Table5979 */
-  0xd72, /* VFMADDSUBPDr132m */
-  0xd74, /* VFMADDSUBPDr132r */
-/* Table5981 */
-  0xdae, /* VFMSUBADDPDr132m */
-  0xdb0, /* VFMSUBADDPDr132r */
-/* Table5983 */
-  0xd22, /* VFMADDPDr132m */
-  0xd24, /* VFMADDPDr132r */
-/* Table5985 */
-  0xd4b, /* VFMADDSDr132m */
-  0xd4c, /* VFMADDSDr132r */
-/* Table5987 */
-  0xdd6, /* VFMSUBPDr132m */
-  0xdd8, /* VFMSUBPDr132r */
-/* Table5989 */
-  0xdff, /* VFMSUBSDr132m */
-  0xe00, /* VFMSUBSDr132r */
-/* Table5991 */
-  0xe26, /* VFNMADDPDr132m */
-  0xe28, /* VFNMADDPDr132r */
-/* Table5993 */
-  0xe4f, /* VFNMADDSDr132m */
-  0xe50, /* VFNMADDSDr132r */
-/* Table5995 */
-  0xe76, /* VFNMSUBPDr132m */
-  0xe78, /* VFNMSUBPDr132r */
-/* Table5997 */
-  0xe9f, /* VFNMSUBSDr132m */
-  0xea0, /* VFNMSUBSDr132r */
-/* Table5999 */
-  0xd76, /* VFMADDSUBPDr213m */
-  0xd78, /* VFMADDSUBPDr213r */
-/* Table6001 */
-  0xdb2, /* VFMSUBADDPDr213m */
-  0xdb4, /* VFMSUBADDPDr213r */
-/* Table6003 */
-  0xd26, /* VFMADDPDr213m */
-  0xd28, /* VFMADDPDr213r */
-/* Table6005 */
-  0xd4d, /* VFMADDSDr213m */
-  0xd4e, /* VFMADDSDr213r */
-/* Table6007 */
-  0xdda, /* VFMSUBPDr213m */
-  0xddc, /* VFMSUBPDr213r */
-/* Table6009 */
-  0xe01, /* VFMSUBSDr213m */
-  0xe02, /* VFMSUBSDr213r */
-/* Table6011 */
-  0xe2a, /* VFNMADDPDr213m */
-  0xe2c, /* VFNMADDPDr213r */
-/* Table6013 */
-  0xe51, /* VFNMADDSDr213m */
-  0xe52, /* VFNMADDSDr213r */
-/* Table6015 */
-  0xe7a, /* VFNMSUBPDr213m */
-  0xe7c, /* VFNMSUBPDr213r */
-/* Table6017 */
-  0xea1, /* VFNMSUBSDr213m */
-  0xea2, /* VFNMSUBSDr213r */
-/* Table6019 */
-  0xd7a, /* VFMADDSUBPDr231m */
-  0xd7c, /* VFMADDSUBPDr231r */
-/* Table6021 */
-  0xdb6, /* VFMSUBADDPDr231m */
-  0xdb8, /* VFMSUBADDPDr231r */
-/* Table6023 */
-  0xd2a, /* VFMADDPDr231m */
-  0xd2c, /* VFMADDPDr231r */
-/* Table6025 */
-  0xd4f, /* VFMADDSDr231m */
-  0xd50, /* VFMADDSDr231r */
-/* Table6027 */
-  0xdde, /* VFMSUBPDr231m */
-  0xde0, /* VFMSUBPDr231r */
-/* Table6029 */
-  0xe03, /* VFMSUBSDr231m */
-  0xe04, /* VFMSUBSDr231r */
-/* Table6031 */
-  0xe2e, /* VFNMADDPDr231m */
-  0xe30, /* VFNMADDPDr231r */
-/* Table6033 */
-  0xe53, /* VFNMADDSDr231m */
-  0xe54, /* VFNMADDSDr231r */
-/* Table6035 */
-  0xe7e, /* VFNMSUBPDr231m */
-  0xe80, /* VFNMSUBPDr231r */
-/* Table6037 */
-  0xea3, /* VFNMSUBSDr231m */
-  0xea4, /* VFNMSUBSDr231r */
-/* Table6039 */
-  0xa5e, /* SHLX64rm */
-  0xa5f, /* SHLX64rr */
-/* Table6041 */
-  0x158b, /* VPSHUFBYrm */
-  0x158c, /* VPSHUFBYrr */
-/* Table6043 */
-  0x137c, /* VPHADDWYrm */
-  0x137d, /* VPHADDWYrr */
-/* Table6045 */
-  0x1364, /* VPHADDDYrm */
-  0x1365, /* VPHADDDYrr */
-/* Table6047 */
-  0x1369, /* VPHADDSWrm256 */
-  0x136b, /* VPHADDSWrr256 */
-/* Table6049 */
-  0x13c7, /* VPMADDUBSWrm256 */
-  0x13c9, /* VPMADDUBSWrr256 */
 /* Table6051 */
-  0x1390, /* VPHSUBWYrm */
-  0x1391, /* VPHSUBWYrr */
+  0x7c4, /* PEXT64rm */
+  0x7c5, /* PEXT64rr */
 /* Table6053 */
-  0x1386, /* VPHSUBDYrm */
-  0x1387, /* VPHSUBDYrr */
+  0x9cb, /* SARX64rm */
+  0x9cc, /* SARX64rr */
 /* Table6055 */
-  0x138b, /* VPHSUBSWrm256 */
-  0x138d, /* VPHSUBSWrr256 */
+  0x7c0, /* PDEP64rm */
+  0x7c1, /* PDEP64rr */
 /* Table6057 */
-  0x159d, /* VPSIGNBYrm */
-  0x159e, /* VPSIGNBYrr */
+  0x6f5, /* MULX64rm */
+  0x6f6, /* MULX64rr */
 /* Table6059 */
-  0x15a5, /* VPSIGNWYrm */
-  0x15a6, /* VPSIGNWYrr */
+  0xa81, /* SHRX64rm */
+  0xa82, /* SHRX64rr */
 /* Table6061 */
-  0x15a1, /* VPSIGNDYrm */
-  0x15a2, /* VPSIGNDYrr */
+  0x16af, /* VPSRLVQrm */
+  0x16b0, /* VPSRLVQrr */
 /* Table6063 */
-  0x1515, /* VPMULHRSWrm256 */
-  0x1517, /* VPMULHRSWrr256 */
+  0x165b, /* VPSLLVQrm */
+  0x165c, /* VPSLLVQrr */
 /* Table6065 */
-  0x1317, /* VPERMILPSYrm */
-  0x1318, /* VPERMILPSYrr */
+  0x145f, /* VPMASKMOVQrm */
+  0x0, /*  */
 /* Table6067 */
-  0x130d, /* VPERMILPDYrm */
-  0x130e, /* VPERMILPDYrr */
+  0x145e, /* VPMASKMOVQmr */
+  0x0, /*  */
 /* Table6069 */
-  0x175a, /* VTESTPSYrm */
-  0x175b, /* VTESTPSYrr */
+  0x13df, /* VPGATHERDQrm */
+  0x0, /*  */
 /* Table6071 */
-  0x1756, /* VTESTPDYrm */
-  0x1757, /* VTESTPDYrr */
+  0x13e5, /* VPGATHERQQrm */
+  0x0, /*  */
 /* Table6073 */
-  0xc4f, /* VCVTPH2PSYrm */
-  0xc50, /* VCVTPH2PSYrr */
+  0xee4, /* VGATHERDPDrm */
+  0x0, /*  */
 /* Table6075 */
-  0x1325, /* VPERMPSYrm */
-  0x1326, /* VPERMPSYrr */
+  0xef2, /* VGATHERQPDrm */
+  0x0, /*  */
 /* Table6077 */
-  0x1667, /* VPTESTYrm */
-  0x1668, /* VPTESTYrr */
+  0xd74, /* VFMADDSUBPDr132m */
+  0xd76, /* VFMADDSUBPDr132r */
 /* Table6079 */
-  0xbf9, /* VBROADCASTSSYrm */
-  0xbfa, /* VBROADCASTSSYrr */
+  0xdb8, /* VFMSUBADDPDr132m */
+  0xdba, /* VFMSUBADDPDr132r */
 /* Table6081 */
-  0xbf5, /* VBROADCASTSDYrm */
-  0xbf6, /* VBROADCASTSDYrr */
+  0xd20, /* VFMADDPDr132m */
+  0xd22, /* VFMADDPDr132r */
 /* Table6083 */
-  0xbef, /* VBROADCASTF128 */
-  0x0, /*  */
+  0xd49, /* VFMADDSDr132m */
+  0xd4a, /* VFMADDSDr132r */
 /* Table6085 */
-  0x11a0, /* VPABSBrm256 */
-  0x11a2, /* VPABSBrr256 */
+  0xde0, /* VFMSUBPDr132m */
+  0xde2, /* VFMSUBPDr132r */
 /* Table6087 */
-  0x11ba, /* VPABSWrm256 */
-  0x11bc, /* VPABSWrr256 */
+  0xe09, /* VFMSUBSDr132m */
+  0xe0a, /* VFMSUBSDr132r */
 /* Table6089 */
-  0x11ad, /* VPABSDrm256 */
-  0x11af, /* VPABSDrr256 */
+  0xe34, /* VFNMADDPDr132m */
+  0xe36, /* VFNMADDPDr132r */
 /* Table6091 */
-  0x1496, /* VPMOVSXBWYrm */
-  0x1497, /* VPMOVSXBWYrr */
+  0xe5d, /* VFNMADDSDr132m */
+  0xe5e, /* VFNMADDSDr132r */
 /* Table6093 */
-  0x1482, /* VPMOVSXBDYrm */
-  0x1483, /* VPMOVSXBDYrr */
+  0xe88, /* VFNMSUBPDr132m */
+  0xe8a, /* VFNMSUBPDr132r */
 /* Table6095 */
-  0x148c, /* VPMOVSXBQYrm */
-  0x148d, /* VPMOVSXBQYrr */
+  0xeb1, /* VFNMSUBSDr132m */
+  0xeb2, /* VFNMSUBSDr132r */
 /* Table6097 */
-  0x14a4, /* VPMOVSXWDYrm */
-  0x14a5, /* VPMOVSXWDYrr */
+  0xd78, /* VFMADDSUBPDr213m */
+  0xd7a, /* VFMADDSUBPDr213r */
 /* Table6099 */
-  0x14ae, /* VPMOVSXWQYrm */
-  0x14af, /* VPMOVSXWQYrr */
+  0xdbc, /* VFMSUBADDPDr213m */
+  0xdbe, /* VFMSUBADDPDr213r */
 /* Table6101 */
-  0x149a, /* VPMOVSXDQYrm */
-  0x149b, /* VPMOVSXDQYrr */
+  0xd24, /* VFMADDPDr213m */
+  0xd26, /* VFMADDPDr213r */
 /* Table6103 */
-  0x1507, /* VPMULDQYrm */
-  0x1508, /* VPMULDQYrr */
+  0xd4b, /* VFMADDSDr213m */
+  0xd4c, /* VFMADDSDr213r */
 /* Table6105 */
-  0x127d, /* VPCMPEQQYrm */
-  0x127e, /* VPCMPEQQYrr */
+  0xde4, /* VFMSUBPDr213m */
+  0xde6, /* VFMSUBPDr213r */
 /* Table6107 */
-  0x10c7, /* VMOVNTDQAYrm */
-  0x0, /*  */
+  0xe0b, /* VFMSUBSDr213m */
+  0xe0c, /* VFMSUBSDr213r */
 /* Table6109 */
-  0x11c5, /* VPACKUSDWYrm */
-  0x11c6, /* VPACKUSDWYrr */
+  0xe38, /* VFNMADDPDr213m */
+  0xe3a, /* VFNMADDPDr213r */
 /* Table6111 */
-  0xf0e, /* VMASKMOVPSYrm */
-  0x0, /*  */
+  0xe5f, /* VFNMADDSDr213m */
+  0xe60, /* VFNMADDSDr213r */
 /* Table6113 */
-  0xf0a, /* VMASKMOVPDYrm */
-  0x0, /*  */
+  0xe8c, /* VFNMSUBPDr213m */
+  0xe8e, /* VFNMSUBPDr213r */
 /* Table6115 */
-  0xf0d, /* VMASKMOVPSYmr */
-  0x0, /*  */
+  0xeb3, /* VFNMSUBSDr213m */
+  0xeb4, /* VFNMSUBSDr213r */
 /* Table6117 */
-  0xf09, /* VMASKMOVPDYmr */
-  0x0, /*  */
+  0xd7c, /* VFMADDSUBPDr231m */
+  0xd7e, /* VFMADDSUBPDr231r */
 /* Table6119 */
-  0x14e5, /* VPMOVZXBWYrm */
-  0x14e6, /* VPMOVZXBWYrr */
+  0xdc0, /* VFMSUBADDPDr231m */
+  0xdc2, /* VFMSUBADDPDr231r */
 /* Table6121 */
-  0x14d1, /* VPMOVZXBDYrm */
-  0x14d2, /* VPMOVZXBDYrr */
+  0xd28, /* VFMADDPDr231m */
+  0xd2a, /* VFMADDPDr231r */
 /* Table6123 */
-  0x14db, /* VPMOVZXBQYrm */
-  0x14dc, /* VPMOVZXBQYrr */
+  0xd4d, /* VFMADDSDr231m */
+  0xd4e, /* VFMADDSDr231r */
 /* Table6125 */
-  0x14f3, /* VPMOVZXWDYrm */
-  0x14f4, /* VPMOVZXWDYrr */
+  0xde8, /* VFMSUBPDr231m */
+  0xdea, /* VFMSUBPDr231r */
 /* Table6127 */
-  0x14fd, /* VPMOVZXWQYrm */
-  0x14fe, /* VPMOVZXWQYrr */
+  0xe0d, /* VFMSUBSDr231m */
+  0xe0e, /* VFMSUBSDr231r */
 /* Table6129 */
-  0x14e9, /* VPMOVZXDQYrm */
-  0x14ea, /* VPMOVZXDQYrr */
+  0xe3c, /* VFNMADDPDr231m */
+  0xe3e, /* VFNMADDPDr231r */
 /* Table6131 */
-  0x12e3, /* VPERMDYrm */
-  0x12e4, /* VPERMDYrr */
+  0xe61, /* VFNMADDSDr231m */
+  0xe62, /* VFNMADDSDr231r */
 /* Table6133 */
-  0x1299, /* VPCMPGTQYrm */
-  0x129a, /* VPCMPGTQYrr */
+  0xe90, /* VFNMSUBPDr231m */
+  0xe92, /* VFNMSUBPDr231r */
 /* Table6135 */
-  0x1412, /* VPMINSBYrm */
-  0x1413, /* VPMINSBYrr */
+  0xeb5, /* VFNMSUBSDr231m */
+  0xeb6, /* VFNMSUBSDr231r */
 /* Table6137 */
-  0x1416, /* VPMINSDYrm */
-  0x1417, /* VPMINSDYrr */
+  0xa59, /* SHLX64rm */
+  0xa5a, /* SHLX64rr */
 /* Table6139 */
-  0x144a, /* VPMINUWYrm */
-  0x144b, /* VPMINUWYrr */
+  0x1615, /* VPSHUFBYrm */
+  0x1616, /* VPSHUFBYrr */
 /* Table6141 */
-  0x1434, /* VPMINUDYrm */
-  0x1435, /* VPMINUDYrr */
+  0x1406, /* VPHADDWYrm */
+  0x1407, /* VPHADDWYrr */
 /* Table6143 */
-  0x13d6, /* VPMAXSBYrm */
-  0x13d7, /* VPMAXSBYrr */
+  0x13ee, /* VPHADDDYrm */
+  0x13ef, /* VPHADDDYrr */
 /* Table6145 */
-  0x13da, /* VPMAXSDYrm */
-  0x13db, /* VPMAXSDYrr */
+  0x13f3, /* VPHADDSWrm256 */
+  0x13f5, /* VPHADDSWrr256 */
 /* Table6147 */
-  0x140e, /* VPMAXUWYrm */
-  0x140f, /* VPMAXUWYrr */
+  0x1451, /* VPMADDUBSWrm256 */
+  0x1453, /* VPMADDUBSWrr256 */
 /* Table6149 */
-  0x13f8, /* VPMAXUDYrm */
-  0x13f9, /* VPMAXUDYrr */
+  0x141a, /* VPHSUBWYrm */
+  0x141b, /* VPHSUBWYrr */
 /* Table6151 */
-  0x1520, /* VPMULLDYrm */
-  0x1521, /* VPMULLDYrr */
+  0x1410, /* VPHSUBDYrm */
+  0x1411, /* VPHSUBDYrr */
 /* Table6153 */
-  0x161b, /* VPSRLVDYrm */
-  0x161c, /* VPSRLVDYrr */
+  0x1415, /* VPHSUBSWrm256 */
+  0x1417, /* VPHSUBSWrr256 */
 /* Table6155 */
-  0x15ef, /* VPSRAVDYrm */
-  0x15f0, /* VPSRAVDYrr */
+  0x1627, /* VPSIGNBYrm */
+  0x1628, /* VPSIGNBYrr */
 /* Table6157 */
-  0x15c7, /* VPSLLVDYrm */
-  0x15c8, /* VPSLLVDYrr */
+  0x162f, /* VPSIGNWYrm */
+  0x1630, /* VPSIGNWYrr */
 /* Table6159 */
-  0x124b, /* VPBROADCASTDYrm */
-  0x124c, /* VPBROADCASTDYrr */
+  0x162b, /* VPSIGNDYrm */
+  0x162c, /* VPSIGNDYrr */
 /* Table6161 */
-  0x1257, /* VPBROADCASTQYrm */
-  0x1258, /* VPBROADCASTQYrr */
+  0x159f, /* VPMULHRSWrm256 */
+  0x15a1, /* VPMULHRSWrr256 */
 /* Table6163 */
-  0xbf0, /* VBROADCASTI128 */
-  0x0, /*  */
+  0x13a1, /* VPERMILPSYrm */
+  0x13a2, /* VPERMILPSYrr */
 /* Table6165 */
-  0x1247, /* VPBROADCASTBYrm */
-  0x1248, /* VPBROADCASTBYrr */
+  0x1397, /* VPERMILPDYrm */
+  0x1398, /* VPERMILPDYrr */
 /* Table6167 */
-  0x1261, /* VPBROADCASTWYrm */
-  0x1262, /* VPBROADCASTWYrr */
+  0x17e4, /* VTESTPSYrm */
+  0x17e5, /* VTESTPSYrr */
 /* Table6169 */
-  0x13cf, /* VPMASKMOVDYrm */
-  0x0, /*  */
+  0x17e0, /* VTESTPDYrm */
+  0x17e1, /* VTESTPDYrr */
 /* Table6171 */
-  0x13ce, /* VPMASKMOVDYmr */
-  0x0, /*  */
+  0xc49, /* VCVTPH2PSYrm */
+  0xc4a, /* VCVTPH2PSYrr */
 /* Table6173 */
-  0x1350, /* VPGATHERDDYrm */
-  0x0, /*  */
+  0x13af, /* VPERMPSYrm */
+  0x13b0, /* VPERMPSYrr */
 /* Table6175 */
-  0x1356, /* VPGATHERQDYrm */
-  0x0, /*  */
+  0x16f1, /* VPTESTYrm */
+  0x16f2, /* VPTESTYrr */
 /* Table6177 */
-  0xed3, /* VGATHERDPSYrm */
-  0x0, /*  */
+  0xbf3, /* VBROADCASTSSYrm */
+  0xbf4, /* VBROADCASTSSYrr */
 /* Table6179 */
-  0xee1, /* VGATHERQPSYrm */
-  0x0, /*  */
+  0xbef, /* VBROADCASTSDYrm */
+  0xbf0, /* VBROADCASTSDYrr */
 /* Table6181 */
-  0xd87, /* VFMADDSUBPSr132mY */
-  0xd89, /* VFMADDSUBPSr132rY */
+  0xbe9, /* VBROADCASTF128 */
+  0x0, /*  */
 /* Table6183 */
-  0xdc3, /* VFMSUBADDPSr132mY */
-  0xdc5, /* VFMSUBADDPSr132rY */
+  0x11ba, /* VPABSBrm256 */
+  0x11bc, /* VPABSBrr256 */
 /* Table6185 */
-  0xd37, /* VFMADDPSr132mY */
-  0xd39, /* VFMADDPSr132rY */
+  0x11d4, /* VPABSWrm256 */
+  0x11d6, /* VPABSWrr256 */
 /* Table6187 */
-  0xdeb, /* VFMSUBPSr132mY */
-  0xded, /* VFMSUBPSr132rY */
+  0x11c7, /* VPABSDrm256 */
+  0x11c9, /* VPABSDrr256 */
 /* Table6189 */
-  0xe3b, /* VFNMADDPSr132mY */
-  0xe3d, /* VFNMADDPSr132rY */
+  0x1520, /* VPMOVSXBWYrm */
+  0x1521, /* VPMOVSXBWYrr */
 /* Table6191 */
-  0xe8b, /* VFNMSUBPSr132mY */
-  0xe8d, /* VFNMSUBPSr132rY */
+  0x150c, /* VPMOVSXBDYrm */
+  0x150d, /* VPMOVSXBDYrr */
 /* Table6193 */
-  0xd8b, /* VFMADDSUBPSr213mY */
-  0xd8d, /* VFMADDSUBPSr213rY */
+  0x1516, /* VPMOVSXBQYrm */
+  0x1517, /* VPMOVSXBQYrr */
 /* Table6195 */
-  0xdc7, /* VFMSUBADDPSr213mY */
-  0xdc9, /* VFMSUBADDPSr213rY */
+  0x152e, /* VPMOVSXWDYrm */
+  0x152f, /* VPMOVSXWDYrr */
 /* Table6197 */
-  0xd3b, /* VFMADDPSr213mY */
-  0xd3d, /* VFMADDPSr213rY */
+  0x1538, /* VPMOVSXWQYrm */
+  0x1539, /* VPMOVSXWQYrr */
 /* Table6199 */
-  0xdef, /* VFMSUBPSr213mY */
-  0xdf1, /* VFMSUBPSr213rY */
+  0x1524, /* VPMOVSXDQYrm */
+  0x1525, /* VPMOVSXDQYrr */
 /* Table6201 */
-  0xe3f, /* VFNMADDPSr213mY */
-  0xe41, /* VFNMADDPSr213rY */
+  0x1591, /* VPMULDQYrm */
+  0x1592, /* VPMULDQYrr */
 /* Table6203 */
-  0xe8f, /* VFNMSUBPSr213mY */
-  0xe91, /* VFNMSUBPSr213rY */
+  0x12b3, /* VPCMPEQQYrm */
+  0x12b4, /* VPCMPEQQYrr */
 /* Table6205 */
-  0xd8f, /* VFMADDSUBPSr231mY */
-  0xd91, /* VFMADDSUBPSr231rY */
+  0x10d9, /* VMOVNTDQAYrm */
+  0x0, /*  */
 /* Table6207 */
-  0xdcb, /* VFMSUBADDPSr231mY */
-  0xdcd, /* VFMSUBADDPSr231rY */
+  0x11df, /* VPACKUSDWYrm */
+  0x11e0, /* VPACKUSDWYrr */
 /* Table6209 */
-  0xd3f, /* VFMADDPSr231mY */
-  0xd41, /* VFMADDPSr231rY */
+  0xf20, /* VMASKMOVPSYrm */
+  0x0, /*  */
 /* Table6211 */
-  0xdf3, /* VFMSUBPSr231mY */
-  0xdf5, /* VFMSUBPSr231rY */
+  0xf1c, /* VMASKMOVPDYrm */
+  0x0, /*  */
 /* Table6213 */
-  0xe43, /* VFNMADDPSr231mY */
-  0xe45, /* VFNMADDPSr231rY */
+  0xf1f, /* VMASKMOVPSYmr */
+  0x0, /*  */
 /* Table6215 */
-  0xe93, /* VFNMSUBPSr231mY */
-  0xe95, /* VFNMSUBPSr231rY */
+  0xf1b, /* VMASKMOVPDYmr */
+  0x0, /*  */
 /* Table6217 */
-  0x1621, /* VPSRLVQYrm */
-  0x1622, /* VPSRLVQYrr */
+  0x156f, /* VPMOVZXBWYrm */
+  0x1570, /* VPMOVZXBWYrr */
 /* Table6219 */
-  0x15cd, /* VPSLLVQYrm */
-  0x15ce, /* VPSLLVQYrr */
+  0x155b, /* VPMOVZXBDYrm */
+  0x155c, /* VPMOVZXBDYrr */
 /* Table6221 */
-  0x13d3, /* VPMASKMOVQYrm */
-  0x0, /*  */
+  0x1565, /* VPMOVZXBQYrm */
+  0x1566, /* VPMOVZXBQYrr */
 /* Table6223 */
-  0x13d2, /* VPMASKMOVQYmr */
-  0x0, /*  */
+  0x157d, /* VPMOVZXWDYrm */
+  0x157e, /* VPMOVZXWDYrr */
 /* Table6225 */
-  0x1353, /* VPGATHERDQYrm */
-  0x0, /*  */
+  0x1587, /* VPMOVZXWQYrm */
+  0x1588, /* VPMOVZXWQYrr */
 /* Table6227 */
-  0x1359, /* VPGATHERQQYrm */
-  0x0, /*  */
+  0x1573, /* VPMOVZXDQYrm */
+  0x1574, /* VPMOVZXDQYrr */
 /* Table6229 */
-  0xed0, /* VGATHERDPDYrm */
-  0x0, /*  */
+  0x136d, /* VPERMDYrm */
+  0x136e, /* VPERMDYrr */
 /* Table6231 */
-  0xede, /* VGATHERQPDYrm */
-  0x0, /*  */
+  0x1307, /* VPCMPGTQYrm */
+  0x1308, /* VPCMPGTQYrr */
 /* Table6233 */
-  0xd73, /* VFMADDSUBPDr132mY */
-  0xd75, /* VFMADDSUBPDr132rY */
+  0x149c, /* VPMINSBYrm */
+  0x149d, /* VPMINSBYrr */
 /* Table6235 */
-  0xdaf, /* VFMSUBADDPDr132mY */
-  0xdb1, /* VFMSUBADDPDr132rY */
+  0x14a0, /* VPMINSDYrm */
+  0x14a1, /* VPMINSDYrr */
 /* Table6237 */
-  0xd23, /* VFMADDPDr132mY */
-  0xd25, /* VFMADDPDr132rY */
+  0x14d4, /* VPMINUWYrm */
+  0x14d5, /* VPMINUWYrr */
 /* Table6239 */
-  0xdd7, /* VFMSUBPDr132mY */
-  0xdd9, /* VFMSUBPDr132rY */
+  0x14be, /* VPMINUDYrm */
+  0x14bf, /* VPMINUDYrr */
 /* Table6241 */
-  0xe27, /* VFNMADDPDr132mY */
-  0xe29, /* VFNMADDPDr132rY */
+  0x1460, /* VPMAXSBYrm */
+  0x1461, /* VPMAXSBYrr */
 /* Table6243 */
-  0xe77, /* VFNMSUBPDr132mY */
-  0xe79, /* VFNMSUBPDr132rY */
+  0x1464, /* VPMAXSDYrm */
+  0x1465, /* VPMAXSDYrr */
 /* Table6245 */
-  0xd77, /* VFMADDSUBPDr213mY */
-  0xd79, /* VFMADDSUBPDr213rY */
+  0x1498, /* VPMAXUWYrm */
+  0x1499, /* VPMAXUWYrr */
 /* Table6247 */
-  0xdb3, /* VFMSUBADDPDr213mY */
-  0xdb5, /* VFMSUBADDPDr213rY */
+  0x1482, /* VPMAXUDYrm */
+  0x1483, /* VPMAXUDYrr */
 /* Table6249 */
-  0xd27, /* VFMADDPDr213mY */
-  0xd29, /* VFMADDPDr213rY */
+  0x15aa, /* VPMULLDYrm */
+  0x15ab, /* VPMULLDYrr */
 /* Table6251 */
-  0xddb, /* VFMSUBPDr213mY */
-  0xddd, /* VFMSUBPDr213rY */
+  0x16a5, /* VPSRLVDYrm */
+  0x16a6, /* VPSRLVDYrr */
 /* Table6253 */
-  0xe2b, /* VFNMADDPDr213mY */
-  0xe2d, /* VFNMADDPDr213rY */
+  0x1679, /* VPSRAVDYrm */
+  0x167a, /* VPSRAVDYrr */
 /* Table6255 */
-  0xe7b, /* VFNMSUBPDr213mY */
-  0xe7d, /* VFNMSUBPDr213rY */
+  0x1651, /* VPSLLVDYrm */
+  0x1652, /* VPSLLVDYrr */
 /* Table6257 */
-  0xd7b, /* VFMADDSUBPDr231mY */
-  0xd7d, /* VFMADDSUBPDr231rY */
+  0x1265, /* VPBROADCASTDYrm */
+  0x1266, /* VPBROADCASTDYrr */
 /* Table6259 */
-  0xdb7, /* VFMSUBADDPDr231mY */
-  0xdb9, /* VFMSUBADDPDr231rY */
+  0x1271, /* VPBROADCASTQYrm */
+  0x1272, /* VPBROADCASTQYrr */
 /* Table6261 */
-  0xd2b, /* VFMADDPDr231mY */
-  0xd2d, /* VFMADDPDr231rY */
+  0xbea, /* VBROADCASTI128 */
+  0x0, /*  */
 /* Table6263 */
-  0xddf, /* VFMSUBPDr231mY */
-  0xde1, /* VFMSUBPDr231rY */
+  0x1261, /* VPBROADCASTBYrm */
+  0x1262, /* VPBROADCASTBYrr */
 /* Table6265 */
-  0xe2f, /* VFNMADDPDr231mY */
-  0xe31, /* VFNMADDPDr231rY */
+  0x127b, /* VPBROADCASTWYrm */
+  0x127c, /* VPBROADCASTWYrr */
 /* Table6267 */
-  0xe7f, /* VFNMSUBPDr231mY */
-  0xe81, /* VFNMSUBPDr231rY */
+  0x1459, /* VPMASKMOVDYrm */
+  0x0, /*  */
 /* Table6269 */
-  0x16af, /* VRCP14SSrm */
-  0x16b0, /* VRCP14SSrr */
+  0x1458, /* VPMASKMOVDYmr */
+  0x0, /*  */
 /* Table6271 */
-  0x16e4, /* VRSQRT14SSrm */
-  0x16e5, /* VRSQRT14SSrr */
+  0x13da, /* VPGATHERDDYrm */
+  0x0, /*  */
 /* Table6273 */
-  0xd58, /* VFMADDSSZm */
-  0xd59, /* VFMADDSSZr */
+  0x13e0, /* VPGATHERQDYrm */
+  0x0, /*  */
 /* Table6275 */
-  0xe0c, /* VFMSUBSSZm */
-  0xe0d, /* VFMSUBSSZr */
+  0xee5, /* VGATHERDPSYrm */
+  0x0, /*  */
 /* Table6277 */
-  0xe5c, /* VFNMADDSSZm */
-  0xe5d, /* VFNMADDSSZr */
+  0xef3, /* VGATHERQPSYrm */
+  0x0, /*  */
 /* Table6279 */
-  0xeac, /* VFNMSUBSSZm */
-  0xead, /* VFNMSUBSSZr */
+  0xd89, /* VFMADDSUBPSr132mY */
+  0xd8b, /* VFMADDSUBPSr132rY */
 /* Table6281 */
-  0x16ba, /* VRCP28SSrm */
-  0x16bb, /* VRCP28SSrr */
+  0xdcd, /* VFMSUBADDPSr132mY */
+  0xdcf, /* VFMSUBADDPSr132rY */
 /* Table6283 */
-  0x16ef, /* VRSQRT28SSrm */
-  0x16f0, /* VRSQRT28SSrr */
+  0xd35, /* VFMADDPSr132mY */
+  0xd37, /* VFMADDPSr132rY */
 /* Table6285 */
-  0x16ad, /* VRCP14SDrm */
-  0x16ae, /* VRCP14SDrr */
+  0xdf5, /* VFMSUBPSr132mY */
+  0xdf7, /* VFMSUBPSr132rY */
 /* Table6287 */
-  0x16e2, /* VRSQRT14SDrm */
-  0x16e3, /* VRSQRT14SDrr */
+  0xe49, /* VFNMADDPSr132mY */
+  0xe4b, /* VFNMADDPSr132rY */
 /* Table6289 */
-  0xd49, /* VFMADDSDZm */
-  0xd4a, /* VFMADDSDZr */
+  0xe9d, /* VFNMSUBPSr132mY */
+  0xe9f, /* VFNMSUBPSr132rY */
 /* Table6291 */
-  0xdfd, /* VFMSUBSDZm */
-  0xdfe, /* VFMSUBSDZr */
+  0xd8d, /* VFMADDSUBPSr213mY */
+  0xd8f, /* VFMADDSUBPSr213rY */
 /* Table6293 */
-  0xe4d, /* VFNMADDSDZm */
-  0xe4e, /* VFNMADDSDZr */
+  0xdd1, /* VFMSUBADDPSr213mY */
+  0xdd3, /* VFMSUBADDPSr213rY */
 /* Table6295 */
-  0xe9d, /* VFNMSUBSDZm */
-  0xe9e, /* VFNMSUBSDZr */
+  0xd39, /* VFMADDPSr213mY */
+  0xd3b, /* VFMADDPSr213rY */
 /* Table6297 */
-  0x16b7, /* VRCP28SDrm */
-  0x16b8, /* VRCP28SDrr */
+  0xdf9, /* VFMSUBPSr213mY */
+  0xdfb, /* VFMSUBPSr213rY */
 /* Table6299 */
-  0x16ec, /* VRSQRT28SDrm */
-  0x16ed, /* VRSQRT28SDrr */
+  0xe4d, /* VFNMADDPSr213mY */
+  0xe4f, /* VFNMADDPSr213rY */
 /* Table6301 */
-  0x14b8, /* VPMOVUSDBmr */
-  0x14ba, /* VPMOVUSDBrr */
+  0xea1, /* VFNMSUBPSr213mY */
+  0xea3, /* VFNMSUBPSr213rY */
 /* Table6303 */
-  0x14c2, /* VPMOVUSQBmr */
-  0x14c4, /* VPMOVUSQBrr */
+  0xd91, /* VFMADDSUBPSr231mY */
+  0xd93, /* VFMADDSUBPSr231rY */
 /* Table6305 */
-  0x14bd, /* VPMOVUSDWmr */
-  0x14bf, /* VPMOVUSDWrr */
+  0xdd5, /* VFMSUBADDPSr231mY */
+  0xdd7, /* VFMSUBADDPSr231rY */
 /* Table6307 */
-  0x14cc, /* VPMOVUSQWmr */
-  0x14ce, /* VPMOVUSQWrr */
+  0xd3d, /* VFMADDPSr231mY */
+  0xd3f, /* VFMADDPSr231rY */
 /* Table6309 */
-  0x14c7, /* VPMOVUSQDmr */
-  0x14c9, /* VPMOVUSQDrr */
+  0xdfd, /* VFMSUBPSr231mY */
+  0xdff, /* VFMSUBPSr231rY */
 /* Table6311 */
-  0x1469, /* VPMOVSDBmr */
-  0x146b, /* VPMOVSDBrr */
+  0xe51, /* VFNMADDPSr231mY */
+  0xe53, /* VFNMADDPSr231rY */
 /* Table6313 */
-  0x1473, /* VPMOVSQBmr */
-  0x1475, /* VPMOVSQBrr */
+  0xea5, /* VFNMSUBPSr231mY */
+  0xea7, /* VFNMSUBPSr231rY */
 /* Table6315 */
-  0x146e, /* VPMOVSDWmr */
-  0x1470, /* VPMOVSDWrr */
+  0x16ab, /* VPSRLVQYrm */
+  0x16ac, /* VPSRLVQYrr */
 /* Table6317 */
-  0x147d, /* VPMOVSQWmr */
-  0x147f, /* VPMOVSQWrr */
+  0x1657, /* VPSLLVQYrm */
+  0x1658, /* VPSLLVQYrr */
 /* Table6319 */
-  0x1478, /* VPMOVSQDmr */
-  0x147a, /* VPMOVSQDrr */
+  0x145d, /* VPMASKMOVQYrm */
+  0x0, /*  */
 /* Table6321 */
-  0x1663, /* VPTESTNMDZrm */
-  0x1664, /* VPTESTNMDZrr */
+  0x145c, /* VPMASKMOVQYmr */
+  0x0, /*  */
 /* Table6323 */
-  0x144e, /* VPMOVDBmr */
-  0x1450, /* VPMOVDBrr */
+  0x13dd, /* VPGATHERDQYrm */
+  0x0, /*  */
 /* Table6325 */
-  0x145a, /* VPMOVQBmr */
-  0x145c, /* VPMOVQBrr */
+  0x13e3, /* VPGATHERQQYrm */
+  0x0, /*  */
 /* Table6327 */
-  0x1453, /* VPMOVDWmr */
-  0x1455, /* VPMOVDWrr */
+  0xee2, /* VGATHERDPDYrm */
+  0x0, /*  */
 /* Table6329 */
-  0x1464, /* VPMOVQWmr */
-  0x1466, /* VPMOVQWrr */
+  0xef0, /* VGATHERQPDYrm */
+  0x0, /*  */
 /* Table6331 */
-  0x145f, /* VPMOVQDmr */
-  0x1461, /* VPMOVQDrr */
+  0xd75, /* VFMADDSUBPDr132mY */
+  0xd77, /* VFMADDSUBPDr132rY */
 /* Table6333 */
-  0x0, /*  */
-  0x1256, /* VPBROADCASTMW2Drr */
+  0xdb9, /* VFMSUBADDPDr132mY */
+  0xdbb, /* VFMSUBADDPDr132rY */
 /* Table6335 */
-  0xc51, /* VCVTPH2PSZrm */
-  0xc52, /* VCVTPH2PSZrr */
+  0xd21, /* VFMADDPDr132mY */
+  0xd23, /* VFMADDPDr132rY */
 /* Table6337 */
-  0x1327, /* VPERMPSZrm */
-  0x1328, /* VPERMPSZrr */
+  0xde1, /* VFMSUBPDr132mY */
+  0xde3, /* VFMSUBPDr132rY */
 /* Table6339 */
-  0xbfb, /* VBROADCASTSSZrm */
-  0xbfc, /* VBROADCASTSSZrr */
+  0xe35, /* VFNMADDPDr132mY */
+  0xe37, /* VFNMADDPDr132rY */
 /* Table6341 */
-  0x11a3, /* VPABSDZrm */
-  0x11a9, /* VPABSDZrr */
+  0xe89, /* VFNMSUBPDr132mY */
+  0xe8b, /* VFNMSUBPDr132rY */
 /* Table6343 */
-  0x1484, /* VPMOVSXBDZrm */
-  0x1487, /* VPMOVSXBDZrr */
+  0xd79, /* VFMADDSUBPDr213mY */
+  0xd7b, /* VFMADDSUBPDr213rY */
 /* Table6345 */
-  0x148e, /* VPMOVSXBQZrm */
-  0x1491, /* VPMOVSXBQZrr */
+  0xdbd, /* VFMSUBADDPDr213mY */
+  0xdbf, /* VFMSUBADDPDr213rY */
 /* Table6347 */
-  0x14a6, /* VPMOVSXWDZrm */
-  0x14a9, /* VPMOVSXWDZrr */
+  0xd25, /* VFMADDPDr213mY */
+  0xd27, /* VFMADDPDr213rY */
 /* Table6349 */
-  0x14b0, /* VPMOVSXWQZrm */
-  0x14b3, /* VPMOVSXWQZrr */
+  0xde5, /* VFMSUBPDr213mY */
+  0xde7, /* VFMSUBPDr213rY */
 /* Table6351 */
-  0x149c, /* VPMOVSXDQZrm */
-  0x149f, /* VPMOVSXDQZrr */
+  0xe39, /* VFNMADDPDr213mY */
+  0xe3b, /* VFNMADDPDr213rY */
 /* Table6353 */
-  0x165f, /* VPTESTMDZrm */
-  0x1660, /* VPTESTMDZrr */
+  0xe8d, /* VFNMSUBPDr213mY */
+  0xe8f, /* VFNMSUBPDr213rY */
 /* Table6355 */
-  0x10c8, /* VMOVNTDQAZrm */
-  0x0, /*  */
+  0xd7d, /* VFMADDSUBPDr231mY */
+  0xd7f, /* VFMADDSUBPDr231rY */
 /* Table6357 */
-  0x14d3, /* VPMOVZXBDZrm */
-  0x14d6, /* VPMOVZXBDZrr */
+  0xdc1, /* VFMSUBADDPDr231mY */
+  0xdc3, /* VFMSUBADDPDr231rY */
 /* Table6359 */
-  0x14dd, /* VPMOVZXBQZrm */
-  0x14e0, /* VPMOVZXBQZrr */
+  0xd29, /* VFMADDPDr231mY */
+  0xd2b, /* VFMADDPDr231rY */
 /* Table6361 */
-  0x14f5, /* VPMOVZXWDZrm */
-  0x14f8, /* VPMOVZXWDZrr */
+  0xde9, /* VFMSUBPDr231mY */
+  0xdeb, /* VFMSUBPDr231rY */
 /* Table6363 */
-  0x14ff, /* VPMOVZXWQZrm */
-  0x1502, /* VPMOVZXWQZrr */
+  0xe3d, /* VFNMADDPDr231mY */
+  0xe3f, /* VFNMADDPDr231rY */
 /* Table6365 */
-  0x14eb, /* VPMOVZXDQZrm */
-  0x14ee, /* VPMOVZXDQZrr */
+  0xe91, /* VFNMSUBPDr231mY */
+  0xe93, /* VFNMSUBPDr231rY */
 /* Table6367 */
-  0x12e5, /* VPERMDZrm */
-  0x12e6, /* VPERMDZrr */
+  0x10da, /* VMOVNTDQAZ128rm */
+  0x0, /*  */
 /* Table6369 */
-  0x1418, /* VPMINSDZrm */
-  0x141e, /* VPMINSDZrr */
+  0x1739, /* VRCP14SSrm */
+  0x173a, /* VRCP14SSrr */
 /* Table6371 */
-  0x1436, /* VPMINUDZrm */
-  0x143c, /* VPMINUDZrr */
+  0x176e, /* VRSQRT14SSrm */
+  0x176f, /* VRSQRT14SSrr */
 /* Table6373 */
-  0x13dc, /* VPMAXSDZrm */
-  0x13e2, /* VPMAXSDZrr */
+  0xd56, /* VFMADDSSZm */
+  0xd57, /* VFMADDSSZr */
 /* Table6375 */
-  0x13fa, /* VPMAXUDZrm */
-  0x1400, /* VPMAXUDZrr */
+  0xe16, /* VFMSUBSSZm */
+  0xe17, /* VFMSUBSSZr */
 /* Table6377 */
-  0x1522, /* VPMULLDZrm */
-  0x1528, /* VPMULLDZrr */
+  0xe6a, /* VFNMADDSSZm */
+  0xe6b, /* VFNMADDSSZr */
 /* Table6379 */
-  0x139c, /* VPLZCNTDrm */
-  0x13a2, /* VPLZCNTDrr */
+  0xebe, /* VFNMSUBSSZm */
+  0xebf, /* VFNMSUBSSZr */
 /* Table6381 */
-  0x161d, /* VPSRLVDZrm */
-  0x161e, /* VPSRLVDZrr */
+  0x1744, /* VRCP28SSrm */
+  0x1745, /* VRCP28SSrr */
 /* Table6383 */
-  0x15f1, /* VPSRAVDZrm */
-  0x15f2, /* VPSRAVDZrr */
+  0x1779, /* VRSQRT28SSrm */
+  0x177a, /* VRSQRT28SSrr */
 /* Table6385 */
-  0x15c9, /* VPSLLVDZrm */
-  0x15ca, /* VPSLLVDZrr */
+  0x12b5, /* VPCMPEQQZ128rm */
+  0x12b9, /* VPCMPEQQZ128rr */
 /* Table6387 */
-  0x16ab, /* VRCP14PSZm */
-  0x16ac, /* VRCP14PSZr */
+  0x1309, /* VPCMPGTQZ128rm */
+  0x130d, /* VPCMPGTQZ128rr */
 /* Table6389 */
-  0x16e0, /* VRSQRT14PSZm */
-  0x16e1, /* VRSQRT14PSZr */
+  0x1737, /* VRCP14SDrm */
+  0x1738, /* VRCP14SDrr */
 /* Table6391 */
-  0x124f, /* VPBROADCASTDZrm */
-  0x1250, /* VPBROADCASTDZrr */
+  0x176c, /* VRSQRT14SDrm */
+  0x176d, /* VRSQRT14SDrr */
 /* Table6393 */
-  0xbf2, /* VBROADCASTI32X4rm */
-  0x0, /*  */
+  0xd47, /* VFMADDSDZm */
+  0xd48, /* VFMADDSDZr */
 /* Table6395 */
-  0x12e7, /* VPERMI2Drm */
-  0x12ea, /* VPERMI2Drr */
+  0xe07, /* VFMSUBSDZm */
+  0xe08, /* VFMSUBSDZr */
 /* Table6397 */
-  0x12f3, /* VPERMI2PSrm */
-  0x12f6, /* VPERMI2PSrr */
+  0xe5b, /* VFNMADDSDZm */
+  0xe5c, /* VFNMADDSDZr */
 /* Table6399 */
-  0x0, /*  */
-  0x1252, /* VPBROADCASTDrZrr */
+  0xeaf, /* VFNMSUBSDZm */
+  0xeb0, /* VFNMSUBSDZr */
 /* Table6401 */
-  0x132f, /* VPERMT2Drm */
-  0x1332, /* VPERMT2Drr */
+  0x1741, /* VRCP28SDrm */
+  0x1742, /* VRCP28SDrr */
 /* Table6403 */
-  0x133b, /* VPERMT2PSrm */
-  0x133e, /* VPERMT2PSrr */
+  0x1776, /* VRSQRT28SDrm */
+  0x1777, /* VRSQRT28SDrr */
 /* Table6405 */
-  0xd62, /* VFMADDSUB132PSZm */
+  0x10db, /* VMOVNTDQAZ256rm */
   0x0, /*  */
 /* Table6407 */
-  0xd9e, /* VFMSUBADD132PSZm */
-  0x0, /*  */
+  0x12bb, /* VPCMPEQQZ256rm */
+  0x12bf, /* VPCMPEQQZ256rr */
 /* Table6409 */
-  0xd12, /* VFMADD132PSZm */
-  0x0, /*  */
+  0x130f, /* VPCMPGTQZ256rm */
+  0x1313, /* VPCMPGTQZ256rr */
 /* Table6411 */
-  0xd94, /* VFMSUB132PSZm */
-  0x0, /*  */
+  0x1542, /* VPMOVUSDBmr */
+  0x1544, /* VPMOVUSDBrr */
 /* Table6413 */
-  0xe16, /* VFNMADD132PSZm */
-  0x0, /*  */
+  0x154c, /* VPMOVUSQBmr */
+  0x154e, /* VPMOVUSQBrr */
 /* Table6415 */
-  0xe66, /* VFNMSUB132PSZm */
-  0x0, /*  */
+  0x1547, /* VPMOVUSDWmr */
+  0x1549, /* VPMOVUSDWrr */
 /* Table6417 */
+  0x1556, /* VPMOVUSQWmr */
+  0x1558, /* VPMOVUSQWrr */
+/* Table6419 */
+  0x1551, /* VPMOVUSQDmr */
+  0x1553, /* VPMOVUSQDrr */
+/* Table6421 */
+  0x14f3, /* VPMOVSDBmr */
+  0x14f5, /* VPMOVSDBrr */
+/* Table6423 */
+  0x14fd, /* VPMOVSQBmr */
+  0x14ff, /* VPMOVSQBrr */
+/* Table6425 */
+  0x14f8, /* VPMOVSDWmr */
+  0x14fa, /* VPMOVSDWrr */
+/* Table6427 */
+  0x1507, /* VPMOVSQWmr */
+  0x1509, /* VPMOVSQWrr */
+/* Table6429 */
+  0x1502, /* VPMOVSQDmr */
+  0x1504, /* VPMOVSQDrr */
+/* Table6431 */
+  0x16ed, /* VPTESTNMDZrm */
+  0x16ee, /* VPTESTNMDZrr */
+/* Table6433 */
+  0x14d8, /* VPMOVDBmr */
+  0x14da, /* VPMOVDBrr */
+/* Table6435 */
+  0x14e4, /* VPMOVQBmr */
+  0x14e6, /* VPMOVQBrr */
+/* Table6437 */
+  0x14dd, /* VPMOVDWmr */
+  0x14df, /* VPMOVDWrr */
+/* Table6439 */
+  0x14ee, /* VPMOVQWmr */
+  0x14f0, /* VPMOVQWrr */
+/* Table6441 */
+  0x14e9, /* VPMOVQDmr */
+  0x14eb, /* VPMOVQDrr */
+/* Table6443 */
+  0x0, /*  */
+  0x1270, /* VPBROADCASTMW2Drr */
+/* Table6445 */
+  0xc4b, /* VCVTPH2PSZrm */
+  0xc4c, /* VCVTPH2PSZrr */
+/* Table6447 */
+  0x13b1, /* VPERMPSZrm */
+  0x13b2, /* VPERMPSZrr */
+/* Table6449 */
+  0xbf5, /* VBROADCASTSSZrm */
+  0xbf6, /* VBROADCASTSSZrr */
+/* Table6451 */
+  0x11bd, /* VPABSDZrm */
+  0x11c3, /* VPABSDZrr */
+/* Table6453 */
+  0x150e, /* VPMOVSXBDZrm */
+  0x1511, /* VPMOVSXBDZrr */
+/* Table6455 */
+  0x1518, /* VPMOVSXBQZrm */
+  0x151b, /* VPMOVSXBQZrr */
+/* Table6457 */
+  0x1530, /* VPMOVSXWDZrm */
+  0x1533, /* VPMOVSXWDZrr */
+/* Table6459 */
+  0x153a, /* VPMOVSXWQZrm */
+  0x153d, /* VPMOVSXWQZrr */
+/* Table6461 */
+  0x1526, /* VPMOVSXDQZrm */
+  0x1529, /* VPMOVSXDQZrr */
+/* Table6463 */
+  0x16e9, /* VPTESTMDZrm */
+  0x16ea, /* VPTESTMDZrr */
+/* Table6465 */
+  0x10dc, /* VMOVNTDQAZrm */
+  0x0, /*  */
+/* Table6467 */
+  0x155d, /* VPMOVZXBDZrm */
+  0x1560, /* VPMOVZXBDZrr */
+/* Table6469 */
+  0x1567, /* VPMOVZXBQZrm */
+  0x156a, /* VPMOVZXBQZrr */
+/* Table6471 */
+  0x157f, /* VPMOVZXWDZrm */
+  0x1582, /* VPMOVZXWDZrr */
+/* Table6473 */
+  0x1589, /* VPMOVZXWQZrm */
+  0x158c, /* VPMOVZXWQZrr */
+/* Table6475 */
+  0x1575, /* VPMOVZXDQZrm */
+  0x1578, /* VPMOVZXDQZrr */
+/* Table6477 */
+  0x136f, /* VPERMDZrm */
+  0x1370, /* VPERMDZrr */
+/* Table6479 */
+  0x14a2, /* VPMINSDZrm */
+  0x14a8, /* VPMINSDZrr */
+/* Table6481 */
+  0x14c0, /* VPMINUDZrm */
+  0x14c6, /* VPMINUDZrr */
+/* Table6483 */
+  0x1466, /* VPMAXSDZrm */
+  0x146c, /* VPMAXSDZrr */
+/* Table6485 */
+  0x1484, /* VPMAXUDZrm */
+  0x148a, /* VPMAXUDZrr */
+/* Table6487 */
+  0x15ac, /* VPMULLDZrm */
+  0x15b2, /* VPMULLDZrr */
+/* Table6489 */
+  0x1426, /* VPLZCNTDrm */
+  0x142c, /* VPLZCNTDrr */
+/* Table6491 */
+  0x16a7, /* VPSRLVDZrm */
+  0x16a8, /* VPSRLVDZrr */
+/* Table6493 */
+  0x167b, /* VPSRAVDZrm */
+  0x167c, /* VPSRAVDZrr */
+/* Table6495 */
+  0x1653, /* VPSLLVDZrm */
+  0x1654, /* VPSLLVDZrr */
+/* Table6497 */
+  0x1735, /* VRCP14PSZm */
+  0x1736, /* VRCP14PSZr */
+/* Table6499 */
+  0x176a, /* VRSQRT14PSZm */
+  0x176b, /* VRSQRT14PSZr */
+/* Table6501 */
+  0x1269, /* VPBROADCASTDZrm */
+  0x126a, /* VPBROADCASTDZrr */
+/* Table6503 */
+  0xbec, /* VBROADCASTI32X4rm */
+  0x0, /*  */
+/* Table6505 */
+  0x1371, /* VPERMI2Drm */
+  0x1374, /* VPERMI2Drr */
+/* Table6507 */
+  0x137d, /* VPERMI2PSrm */
+  0x1380, /* VPERMI2PSrr */
+/* Table6509 */
+  0x0, /*  */
+  0x126c, /* VPBROADCASTDrZrr */
+/* Table6511 */
+  0x13b9, /* VPERMT2Drm */
+  0x13bc, /* VPERMT2Drr */
+/* Table6513 */
+  0x13c5, /* VPERMT2PSrm */
+  0x13c8, /* VPERMT2PSrr */
+/* Table6515 */
+  0xd60, /* VFMADDSUB132PSZm */
+  0x0, /*  */
+/* Table6517 */
+  0xda4, /* VFMSUBADD132PSZm */
+  0x0, /*  */
+/* Table6519 */
+  0xd0c, /* VFMADD132PSZm */
+  0x0, /*  */
+/* Table6521 */
+  0xd96, /* VFMSUB132PSZm */
+  0x0, /*  */
+/* Table6523 */
+  0xe20, /* VFNMADD132PSZm */
+  0x0, /*  */
+/* Table6525 */
+  0xe74, /* VFNMSUB132PSZm */
+  0x0, /*  */
+/* Table6527 */
   0xd67, /* VFMADDSUB213PSZm */
   0xd69, /* VFMADDSUB213PSZr */
-/* Table6419 */
-  0xda3, /* VFMSUBADD213PSZm */
-  0xda5, /* VFMSUBADD213PSZr */
-/* Table6421 */
-  0xd17, /* VFMADD213PSZm */
-  0xd19, /* VFMADD213PSZr */
-/* Table6423 */
-  0xd99, /* VFMSUB213PSZm */
-  0xd9b, /* VFMSUB213PSZr */
-/* Table6425 */
-  0xe1b, /* VFNMADD213PSZm */
-  0xe1d, /* VFNMADD213PSZr */
-/* Table6427 */
-  0xe6b, /* VFNMSUB213PSZm */
-  0xe6d, /* VFNMSUB213PSZr */
-/* Table6429 */
-  0x12cd, /* VPCONFLICTDrm */
-  0x12d3, /* VPCONFLICTDrr */
-/* Table6431 */
-  0x16b4, /* VRCP28PSZm */
-  0x16b5, /* VRCP28PSZr */
-/* Table6433 */
-  0x16e9, /* VRSQRT28PSZm */
-  0x16ea, /* VRSQRT28PSZr */
-/* Table6435 */
-  0x1665, /* VPTESTNMQZrm */
-  0x1666, /* VPTESTNMQZrr */
-/* Table6437 */
-  0x0, /*  */
-  0x1255, /* VPBROADCASTMB2Qrr */
-/* Table6439 */
-  0x1323, /* VPERMPDZrm */
-  0x1324, /* VPERMPDZrr */
-/* Table6441 */
-  0xbf7, /* VBROADCASTSDZrm */
-  0xbf8, /* VBROADCASTSDZrr */
-/* Table6443 */
-  0x11b0, /* VPABSQZrm */
-  0x11b6, /* VPABSQZrr */
-/* Table6445 */
-  0x1661, /* VPTESTMQZrm */
-  0x1662, /* VPTESTMQZrr */
-/* Table6447 */
-  0x1509, /* VPMULDQZrm */
-  0x150f, /* VPMULDQZrr */
-/* Table6449 */
-  0x127f, /* VPCMPEQQZrm */
-  0x1280, /* VPCMPEQQZrr */
-/* Table6451 */
-  0x132d, /* VPERMQZrm */
-  0x132e, /* VPERMQZrr */
-/* Table6453 */
-  0x129b, /* VPCMPGTQZrm */
-  0x129c, /* VPCMPGTQZrr */
-/* Table6455 */
-  0x1423, /* VPMINSQZrm */
-  0x1429, /* VPMINSQZrr */
-/* Table6457 */
-  0x1441, /* VPMINUQZrm */
-  0x1447, /* VPMINUQZrr */
-/* Table6459 */
-  0x13e7, /* VPMAXSQZrm */
-  0x13ed, /* VPMAXSQZrr */
-/* Table6461 */
-  0x1405, /* VPMAXUQZrm */
-  0x140b, /* VPMAXUQZrr */
-/* Table6463 */
-  0x13a5, /* VPLZCNTQrm */
-  0x13ab, /* VPLZCNTQrr */
-/* Table6465 */
-  0x1623, /* VPSRLVQZrm */
-  0x1624, /* VPSRLVQZrr */
-/* Table6467 */
-  0x15f5, /* VPSRAVQZrm */
-  0x15f6, /* VPSRAVQZrr */
-/* Table6469 */
-  0x15cf, /* VPSLLVQZrm */
-  0x15d0, /* VPSLLVQZrr */
-/* Table6471 */
-  0x16a9, /* VRCP14PDZm */
-  0x16aa, /* VRCP14PDZr */
-/* Table6473 */
-  0x16de, /* VRSQRT14PDZm */
-  0x16df, /* VRSQRT14PDZr */
-/* Table6475 */
-  0x125b, /* VPBROADCASTQZrm */
-  0x125c, /* VPBROADCASTQZrr */
-/* Table6477 */
-  0xbf4, /* VBROADCASTI64X4rm */
-  0x0, /*  */
-/* Table6479 */
-  0x12f9, /* VPERMI2Qrm */
-  0x12fc, /* VPERMI2Qrr */
-/* Table6481 */
-  0x12ed, /* VPERMI2PDrm */
-  0x12f0, /* VPERMI2PDrr */
-/* Table6483 */
-  0x0, /*  */
-  0x125e, /* VPBROADCASTQrZrr */
-/* Table6485 */
-  0x1341, /* VPERMT2Qrm */
-  0x1344, /* VPERMT2Qrr */
-/* Table6487 */
-  0x1335, /* VPERMT2PDrm */
-  0x1338, /* VPERMT2PDrr */
-/* Table6489 */
-  0xd60, /* VFMADDSUB132PDZm */
-  0x0, /*  */
-/* Table6491 */
-  0xd9c, /* VFMSUBADD132PDZm */
-  0x0, /*  */
-/* Table6493 */
-  0xd10, /* VFMADD132PDZm */
-  0x0, /*  */
-/* Table6495 */
-  0xd92, /* VFMSUB132PDZm */
-  0x0, /*  */
-/* Table6497 */
-  0xe14, /* VFNMADD132PDZm */
-  0x0, /*  */
-/* Table6499 */
-  0xe64, /* VFNMSUB132PDZm */
-  0x0, /*  */
-/* Table6501 */
-  0xd64, /* VFMADDSUB213PDZm */
-  0xd66, /* VFMADDSUB213PDZr */
-/* Table6503 */
-  0xda0, /* VFMSUBADD213PDZm */
-  0xda2, /* VFMSUBADD213PDZr */
-/* Table6505 */
-  0xd14, /* VFMADD213PDZm */
-  0xd16, /* VFMADD213PDZr */
-/* Table6507 */
-  0xd96, /* VFMSUB213PDZm */
-  0xd98, /* VFMSUB213PDZr */
-/* Table6509 */
-  0xe18, /* VFNMADD213PDZm */
-  0xe1a, /* VFNMADD213PDZr */
-/* Table6511 */
-  0xe68, /* VFNMSUB213PDZm */
-  0xe6a, /* VFNMSUB213PDZr */
-/* Table6513 */
-  0x12d6, /* VPCONFLICTQrm */
-  0x12dc, /* VPCONFLICTQrr */
-/* Table6515 */
-  0x16b1, /* VRCP28PDZm */
-  0x16b2, /* VRCP28PDZr */
-/* Table6517 */
-  0x16e6, /* VRSQRT28PDZm */
-  0x16e7, /* VRSQRT28PDZr */
-/* Table6519 */
-  0x14b9, /* VPMOVUSDBmrk */
-  0x14bb, /* VPMOVUSDBrrk */
-/* Table6521 */
-  0x14c3, /* VPMOVUSQBmrk */
-  0x14c5, /* VPMOVUSQBrrk */
-/* Table6523 */
-  0x14be, /* VPMOVUSDWmrk */
-  0x14c0, /* VPMOVUSDWrrk */
-/* Table6525 */
-  0x14cd, /* VPMOVUSQWmrk */
-  0x14cf, /* VPMOVUSQWrrk */
-/* Table6527 */
-  0x14c8, /* VPMOVUSQDmrk */
-  0x14ca, /* VPMOVUSQDrrk */
 /* Table6529 */
-  0x146a, /* VPMOVSDBmrk */
-  0x146c, /* VPMOVSDBrrk */
+  0xdab, /* VFMSUBADD213PSZm */
+  0xdad, /* VFMSUBADD213PSZr */
 /* Table6531 */
-  0x1474, /* VPMOVSQBmrk */
-  0x1476, /* VPMOVSQBrrk */
+  0xd13, /* VFMADD213PSZm */
+  0xd15, /* VFMADD213PSZr */
 /* Table6533 */
-  0x146f, /* VPMOVSDWmrk */
-  0x1471, /* VPMOVSDWrrk */
+  0xd9d, /* VFMSUB213PSZm */
+  0xd9f, /* VFMSUB213PSZr */
 /* Table6535 */
-  0x147e, /* VPMOVSQWmrk */
-  0x1480, /* VPMOVSQWrrk */
+  0xe27, /* VFNMADD213PSZm */
+  0xe29, /* VFNMADD213PSZr */
 /* Table6537 */
-  0x1479, /* VPMOVSQDmrk */
-  0x147b, /* VPMOVSQDrrk */
+  0xe7b, /* VFNMSUB213PSZm */
+  0xe7d, /* VFNMSUB213PSZr */
 /* Table6539 */
-  0x144f, /* VPMOVDBmrk */
-  0x1451, /* VPMOVDBrrk */
+  0x1357, /* VPCONFLICTDrm */
+  0x135d, /* VPCONFLICTDrr */
 /* Table6541 */
-  0x145b, /* VPMOVQBmrk */
-  0x145d, /* VPMOVQBrrk */
+  0x173e, /* VRCP28PSZm */
+  0x173f, /* VRCP28PSZr */
 /* Table6543 */
-  0x1454, /* VPMOVDWmrk */
-  0x1456, /* VPMOVDWrrk */
+  0x1773, /* VRSQRT28PSZm */
+  0x1774, /* VRSQRT28PSZr */
 /* Table6545 */
-  0x1465, /* VPMOVQWmrk */
-  0x1467, /* VPMOVQWrrk */
+  0x16ef, /* VPTESTNMQZrm */
+  0x16f0, /* VPTESTNMQZrr */
 /* Table6547 */
-  0x1460, /* VPMOVQDmrk */
-  0x1462, /* VPMOVQDrrk */
+  0x0, /*  */
+  0x126f, /* VPBROADCASTMB2Qrr */
 /* Table6549 */
-  0x11a7, /* VPABSDZrmk */
-  0x11aa, /* VPABSDZrrk */
+  0x13ad, /* VPERMPDZrm */
+  0x13ae, /* VPERMPDZrr */
 /* Table6551 */
-  0x1485, /* VPMOVSXBDZrmk */
-  0x1488, /* VPMOVSXBDZrrk */
+  0xbf1, /* VBROADCASTSDZrm */
+  0xbf2, /* VBROADCASTSDZrr */
 /* Table6553 */
-  0x148f, /* VPMOVSXBQZrmk */
-  0x1492, /* VPMOVSXBQZrrk */
+  0x11ca, /* VPABSQZrm */
+  0x11d0, /* VPABSQZrr */
 /* Table6555 */
-  0x14a7, /* VPMOVSXWDZrmk */
-  0x14aa, /* VPMOVSXWDZrrk */
+  0x16eb, /* VPTESTMQZrm */
+  0x16ec, /* VPTESTMQZrr */
 /* Table6557 */
-  0x14b1, /* VPMOVSXWQZrmk */
-  0x14b4, /* VPMOVSXWQZrrk */
+  0x1593, /* VPMULDQZrm */
+  0x1599, /* VPMULDQZrr */
 /* Table6559 */
-  0x149d, /* VPMOVSXDQZrmk */
-  0x14a0, /* VPMOVSXDQZrrk */
+  0x12c1, /* VPCMPEQQZrm */
+  0x12c5, /* VPCMPEQQZrr */
 /* Table6561 */
-  0x14d4, /* VPMOVZXBDZrmk */
-  0x14d7, /* VPMOVZXBDZrrk */
+  0x13b7, /* VPERMQZrm */
+  0x13b8, /* VPERMQZrr */
 /* Table6563 */
-  0x14de, /* VPMOVZXBQZrmk */
-  0x14e1, /* VPMOVZXBQZrrk */
+  0x1315, /* VPCMPGTQZrm */
+  0x1319, /* VPCMPGTQZrr */
 /* Table6565 */
-  0x14f6, /* VPMOVZXWDZrmk */
-  0x14f9, /* VPMOVZXWDZrrk */
+  0x14ad, /* VPMINSQZrm */
+  0x14b3, /* VPMINSQZrr */
 /* Table6567 */
-  0x1500, /* VPMOVZXWQZrmk */
-  0x1503, /* VPMOVZXWQZrrk */
+  0x14cb, /* VPMINUQZrm */
+  0x14d1, /* VPMINUQZrr */
 /* Table6569 */
-  0x14ec, /* VPMOVZXDQZrmk */
-  0x14ef, /* VPMOVZXDQZrrk */
+  0x1471, /* VPMAXSQZrm */
+  0x1477, /* VPMAXSQZrr */
 /* Table6571 */
-  0x141c, /* VPMINSDZrmk */
-  0x141f, /* VPMINSDZrrk */
+  0x148f, /* VPMAXUQZrm */
+  0x1495, /* VPMAXUQZrr */
 /* Table6573 */
-  0x143a, /* VPMINUDZrmk */
-  0x143d, /* VPMINUDZrrk */
+  0x142f, /* VPLZCNTQrm */
+  0x1435, /* VPLZCNTQrr */
 /* Table6575 */
-  0x13e0, /* VPMAXSDZrmk */
-  0x13e3, /* VPMAXSDZrrk */
+  0x16ad, /* VPSRLVQZrm */
+  0x16ae, /* VPSRLVQZrr */
 /* Table6577 */
-  0x13fe, /* VPMAXUDZrmk */
-  0x1401, /* VPMAXUDZrrk */
+  0x167f, /* VPSRAVQZrm */
+  0x1680, /* VPSRAVQZrr */
 /* Table6579 */
-  0x1526, /* VPMULLDZrmk */
-  0x1529, /* VPMULLDZrrk */
+  0x1659, /* VPSLLVQZrm */
+  0x165a, /* VPSLLVQZrr */
 /* Table6581 */
-  0x13a0, /* VPLZCNTDrmk */
-  0x13a3, /* VPLZCNTDrrk */
+  0x1733, /* VRCP14PDZm */
+  0x1734, /* VRCP14PDZr */
 /* Table6583 */
-  0x123b, /* VPBLENDMDZrm */
-  0x123c, /* VPBLENDMDZrr */
+  0x1768, /* VRSQRT14PDZm */
+  0x1769, /* VRSQRT14PDZr */
 /* Table6585 */
-  0xbdd, /* VBLENDMPSZrm */
-  0xbde, /* VBLENDMPSZrr */
+  0x1275, /* VPBROADCASTQZrm */
+  0x1276, /* VPBROADCASTQZrr */
 /* Table6587 */
-  0x12e8, /* VPERMI2Drmk */
-  0x12eb, /* VPERMI2Drrk */
+  0xbee, /* VBROADCASTI64X4rm */
+  0x0, /*  */
 /* Table6589 */
-  0x12f4, /* VPERMI2PSrmk */
-  0x12f7, /* VPERMI2PSrrk */
+  0x1383, /* VPERMI2Qrm */
+  0x1386, /* VPERMI2Qrr */
 /* Table6591 */
-  0x1330, /* VPERMT2Drmk */
-  0x1333, /* VPERMT2Drrk */
+  0x1377, /* VPERMI2PDrm */
+  0x137a, /* VPERMI2PDrr */
 /* Table6593 */
-  0x133c, /* VPERMT2PSrmk */
-  0x133f, /* VPERMT2PSrrk */
+  0x0, /*  */
+  0x1278, /* VPBROADCASTQrZrr */
 /* Table6595 */
-  0x1351, /* VPGATHERDDZrm */
-  0x0, /*  */
+  0x13cb, /* VPERMT2Qrm */
+  0x13ce, /* VPERMT2Qrr */
 /* Table6597 */
-  0x1357, /* VPGATHERQDZrm */
-  0x0, /*  */
+  0x13bf, /* VPERMT2PDrm */
+  0x13c2, /* VPERMT2PDrr */
 /* Table6599 */
-  0xed4, /* VGATHERDPSZrm */
+  0xd5e, /* VFMADDSUB132PDZm */
   0x0, /*  */
 /* Table6601 */
-  0xee2, /* VGATHERQPSZrm */
+  0xda2, /* VFMSUBADD132PDZm */
   0x0, /*  */
 /* Table6603 */
-  0x156f, /* VPSCATTERDDZmr */
+  0xd0a, /* VFMADD132PDZm */
   0x0, /*  */
 /* Table6605 */
-  0x1571, /* VPSCATTERQDZmr */
+  0xd94, /* VFMSUB132PDZm */
   0x0, /*  */
 /* Table6607 */
-  0x16fe, /* VSCATTERDPSZmr */
+  0xe1e, /* VFNMADD132PDZm */
   0x0, /*  */
 /* Table6609 */
-  0x1708, /* VSCATTERQPSZmr */
+  0xe72, /* VFNMSUB132PDZm */
   0x0, /*  */
 /* Table6611 */
-  0x12d1, /* VPCONFLICTDrmk */
-  0x12d4, /* VPCONFLICTDrrk */
+  0xd62, /* VFMADDSUB213PDZm */
+  0xd64, /* VFMADDSUB213PDZr */
 /* Table6613 */
-  0x0, /*  */
-  0xed7, /* VGATHERPF0DPSm */
-  0xedb, /* VGATHERPF1DPSm */
-  0x0, /*  */
-  0x0, /*  */
-  0x1700, /* VSCATTERPF0DPSm */
-  0x1704, /* VSCATTERPF1DPSm */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
+  0xda6, /* VFMSUBADD213PDZm */
+  0xda8, /* VFMSUBADD213PDZr */
+/* Table6615 */
+  0xd0e, /* VFMADD213PDZm */
+  0xd10, /* VFMADD213PDZr */
+/* Table6617 */
+  0xd98, /* VFMSUB213PDZm */
+  0xd9a, /* VFMSUB213PDZr */
+/* Table6619 */
+  0xe22, /* VFNMADD213PDZm */
+  0xe24, /* VFNMADD213PDZr */
+/* Table6621 */
+  0xe76, /* VFNMSUB213PDZm */
+  0xe78, /* VFNMSUB213PDZr */
+/* Table6623 */
+  0x1360, /* VPCONFLICTQrm */
+  0x1366, /* VPCONFLICTQrr */
+/* Table6625 */
+  0x173b, /* VRCP28PDZm */
+  0x173c, /* VRCP28PDZr */
+/* Table6627 */
+  0x1770, /* VRSQRT28PDZm */
+  0x1771, /* VRSQRT28PDZr */
 /* Table6629 */
-  0x0, /*  */
-  0xed9, /* VGATHERPF0QPSm */
-  0xedd, /* VGATHERPF1QPSm */
-  0x0, /*  */
-  0x0, /*  */
-  0x1702, /* VSCATTERPF0QPSm */
-  0x1706, /* VSCATTERPF1QPSm */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
+  0x12b8, /* VPCMPEQQZ128rmk */
+  0x12ba, /* VPCMPEQQZ128rrk */
+/* Table6631 */
+  0x130c, /* VPCMPGTQZ128rmk */
+  0x130e, /* VPCMPGTQZ128rrk */
+/* Table6633 */
+  0x12be, /* VPCMPEQQZ256rmk */
+  0x12c0, /* VPCMPEQQZ256rrk */
+/* Table6635 */
+  0x1312, /* VPCMPGTQZ256rmk */
+  0x1314, /* VPCMPGTQZ256rrk */
+/* Table6637 */
+  0x1543, /* VPMOVUSDBmrk */
+  0x1545, /* VPMOVUSDBrrk */
+/* Table6639 */
+  0x154d, /* VPMOVUSQBmrk */
+  0x154f, /* VPMOVUSQBrrk */
+/* Table6641 */
+  0x1548, /* VPMOVUSDWmrk */
+  0x154a, /* VPMOVUSDWrrk */
+/* Table6643 */
+  0x1557, /* VPMOVUSQWmrk */
+  0x1559, /* VPMOVUSQWrrk */
 /* Table6645 */
-  0x11b4, /* VPABSQZrmk */
-  0x11b7, /* VPABSQZrrk */
+  0x1552, /* VPMOVUSQDmrk */
+  0x1554, /* VPMOVUSQDrrk */
 /* Table6647 */
-  0x150d, /* VPMULDQZrmk */
-  0x1510, /* VPMULDQZrrk */
+  0x14f4, /* VPMOVSDBmrk */
+  0x14f6, /* VPMOVSDBrrk */
 /* Table6649 */
-  0x1427, /* VPMINSQZrmk */
-  0x142a, /* VPMINSQZrrk */
+  0x14fe, /* VPMOVSQBmrk */
+  0x1500, /* VPMOVSQBrrk */
 /* Table6651 */
-  0x1445, /* VPMINUQZrmk */
-  0x1448, /* VPMINUQZrrk */
+  0x14f9, /* VPMOVSDWmrk */
+  0x14fb, /* VPMOVSDWrrk */
 /* Table6653 */
-  0x13eb, /* VPMAXSQZrmk */
-  0x13ee, /* VPMAXSQZrrk */
+  0x1508, /* VPMOVSQWmrk */
+  0x150a, /* VPMOVSQWrrk */
 /* Table6655 */
-  0x1409, /* VPMAXUQZrmk */
-  0x140c, /* VPMAXUQZrrk */
+  0x1503, /* VPMOVSQDmrk */
+  0x1505, /* VPMOVSQDrrk */
 /* Table6657 */
-  0x13a9, /* VPLZCNTQrmk */
-  0x13ac, /* VPLZCNTQrrk */
+  0x14d9, /* VPMOVDBmrk */
+  0x14db, /* VPMOVDBrrk */
 /* Table6659 */
-  0x123d, /* VPBLENDMQZrm */
-  0x123e, /* VPBLENDMQZrr */
+  0x14e5, /* VPMOVQBmrk */
+  0x14e7, /* VPMOVQBrrk */
 /* Table6661 */
-  0xbdb, /* VBLENDMPDZrm */
-  0xbdc, /* VBLENDMPDZrr */
+  0x14de, /* VPMOVDWmrk */
+  0x14e0, /* VPMOVDWrrk */
 /* Table6663 */
-  0x12fa, /* VPERMI2Qrmk */
-  0x12fd, /* VPERMI2Qrrk */
+  0x14ef, /* VPMOVQWmrk */
+  0x14f1, /* VPMOVQWrrk */
 /* Table6665 */
-  0x12ee, /* VPERMI2PDrmk */
-  0x12f1, /* VPERMI2PDrrk */
+  0x14ea, /* VPMOVQDmrk */
+  0x14ec, /* VPMOVQDrrk */
 /* Table6667 */
-  0x1342, /* VPERMT2Qrmk */
-  0x1345, /* VPERMT2Qrrk */
+  0x11c1, /* VPABSDZrmk */
+  0x11c4, /* VPABSDZrrk */
 /* Table6669 */
-  0x1336, /* VPERMT2PDrmk */
-  0x1339, /* VPERMT2PDrrk */
+  0x150f, /* VPMOVSXBDZrmk */
+  0x1512, /* VPMOVSXBDZrrk */
 /* Table6671 */
-  0x1354, /* VPGATHERDQZrm */
-  0x0, /*  */
+  0x1519, /* VPMOVSXBQZrmk */
+  0x151c, /* VPMOVSXBQZrrk */
 /* Table6673 */
-  0x135a, /* VPGATHERQQZrm */
-  0x0, /*  */
+  0x1531, /* VPMOVSXWDZrmk */
+  0x1534, /* VPMOVSXWDZrrk */
 /* Table6675 */
-  0xed1, /* VGATHERDPDZrm */
-  0x0, /*  */
+  0x153b, /* VPMOVSXWQZrmk */
+  0x153e, /* VPMOVSXWQZrrk */
 /* Table6677 */
-  0xedf, /* VGATHERQPDZrm */
-  0x0, /*  */
+  0x1527, /* VPMOVSXDQZrmk */
+  0x152a, /* VPMOVSXDQZrrk */
 /* Table6679 */
-  0x1570, /* VPSCATTERDQZmr */
-  0x0, /*  */
+  0x155e, /* VPMOVZXBDZrmk */
+  0x1561, /* VPMOVZXBDZrrk */
 /* Table6681 */
-  0x1572, /* VPSCATTERQQZmr */
-  0x0, /*  */
+  0x1568, /* VPMOVZXBQZrmk */
+  0x156b, /* VPMOVZXBQZrrk */
 /* Table6683 */
-  0x16fd, /* VSCATTERDPDZmr */
-  0x0, /*  */
+  0x1580, /* VPMOVZXWDZrmk */
+  0x1583, /* VPMOVZXWDZrrk */
 /* Table6685 */
-  0x1707, /* VSCATTERQPDZmr */
-  0x0, /*  */
+  0x158a, /* VPMOVZXWQZrmk */
+  0x158d, /* VPMOVZXWQZrrk */
 /* Table6687 */
-  0x12da, /* VPCONFLICTQrmk */
-  0x12dd, /* VPCONFLICTQrrk */
+  0x1576, /* VPMOVZXDQZrmk */
+  0x1579, /* VPMOVZXDQZrrk */
 /* Table6689 */
-  0x0, /*  */
-  0xed6, /* VGATHERPF0DPDm */
-  0xeda, /* VGATHERPF1DPDm */
-  0x0, /*  */
-  0x0, /*  */
-  0x16ff, /* VSCATTERPF0DPDm */
-  0x1703, /* VSCATTERPF1DPDm */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
+  0x14a6, /* VPMINSDZrmk */
+  0x14a9, /* VPMINSDZrrk */
+/* Table6691 */
+  0x14c4, /* VPMINUDZrmk */
+  0x14c7, /* VPMINUDZrrk */
+/* Table6693 */
+  0x146a, /* VPMAXSDZrmk */
+  0x146d, /* VPMAXSDZrrk */
+/* Table6695 */
+  0x1488, /* VPMAXUDZrmk */
+  0x148b, /* VPMAXUDZrrk */
+/* Table6697 */
+  0x15b0, /* VPMULLDZrmk */
+  0x15b3, /* VPMULLDZrrk */
+/* Table6699 */
+  0x142a, /* VPLZCNTDrmk */
+  0x142d, /* VPLZCNTDrrk */
+/* Table6701 */
+  0x1255, /* VPBLENDMDZrm */
+  0x1256, /* VPBLENDMDZrr */
+/* Table6703 */
+  0xbd7, /* VBLENDMPSZrm */
+  0xbd8, /* VBLENDMPSZrr */
 /* Table6705 */
+  0x1372, /* VPERMI2Drmk */
+  0x1375, /* VPERMI2Drrk */
+/* Table6707 */
+  0x137e, /* VPERMI2PSrmk */
+  0x1381, /* VPERMI2PSrrk */
+/* Table6709 */
+  0x13ba, /* VPERMT2Drmk */
+  0x13bd, /* VPERMT2Drrk */
+/* Table6711 */
+  0x13c6, /* VPERMT2PSrmk */
+  0x13c9, /* VPERMT2PSrrk */
+/* Table6713 */
+  0x13db, /* VPGATHERDDZrm */
   0x0, /*  */
-  0xed8, /* VGATHERPF0QPDm */
-  0xedc, /* VGATHERPF1QPDm */
+/* Table6715 */
+  0x13e1, /* VPGATHERQDZrm */
   0x0, /*  */
+/* Table6717 */
+  0xee6, /* VGATHERDPSZrm */
   0x0, /*  */
-  0x1701, /* VSCATTERPF0QPDm */
-  0x1705, /* VSCATTERPF1QPDm */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
+/* Table6719 */
+  0xef4, /* VGATHERQPSZrm */
   0x0, /*  */
 /* Table6721 */
+  0x15f9, /* VPSCATTERDDZmr */
   0x0, /*  */
-  0x16bc, /* VRCP28SSrrb */
 /* Table6723 */
+  0x15fb, /* VPSCATTERQDZmr */
   0x0, /*  */
-  0x16f1, /* VRSQRT28SSrrb */
 /* Table6725 */
+  0x1788, /* VSCATTERDPSZmr */
   0x0, /*  */
-  0x16b9, /* VRCP28SDrrb */
 /* Table6727 */
+  0x1792, /* VSCATTERQPSZmr */
   0x0, /*  */
-  0x16ee, /* VRSQRT28SDrrb */
 /* Table6729 */
-  0x11a4, /* VPABSDZrmb */
   0x0, /*  */
+  0xd6a, /* VFMADDSUB213PSZrk */
 /* Table6731 */
-  0x1419, /* VPMINSDZrmb */
   0x0, /*  */
+  0xdae, /* VFMSUBADD213PSZrk */
 /* Table6733 */
-  0x1437, /* VPMINUDZrmb */
   0x0, /*  */
+  0xd16, /* VFMADD213PSZrk */
 /* Table6735 */
-  0x13dd, /* VPMAXSDZrmb */
   0x0, /*  */
+  0xda0, /* VFMSUB213PSZrk */
 /* Table6737 */
-  0x13fb, /* VPMAXUDZrmb */
   0x0, /*  */
+  0xe2a, /* VFNMADD213PSZrk */
 /* Table6739 */
-  0x1523, /* VPMULLDZrmb */
   0x0, /*  */
+  0xe7e, /* VFNMSUB213PSZrk */
 /* Table6741 */
-  0x139d, /* VPLZCNTDrmb */
-  0x0, /*  */
+  0x135b, /* VPCONFLICTDrmk */
+  0x135e, /* VPCONFLICTDrrk */
 /* Table6743 */
-  0xd63, /* VFMADDSUB132PSZmb */
   0x0, /*  */
-/* Table6745 */
-  0xd9f, /* VFMSUBADD132PSZmb */
+  0xee9, /* VGATHERPF0DPSm */
+  0xeed, /* VGATHERPF1DPSm */
   0x0, /*  */
-/* Table6747 */
-  0xd13, /* VFMADD132PSZmb */
   0x0, /*  */
-/* Table6749 */
-  0xd95, /* VFMSUB132PSZmb */
+  0x178a, /* VSCATTERPF0DPSm */
+  0x178e, /* VSCATTERPF1DPSm */
   0x0, /*  */
-/* Table6751 */
-  0xe17, /* VFNMADD132PSZmb */
   0x0, /*  */
-/* Table6753 */
-  0xe67, /* VFNMSUB132PSZmb */
   0x0, /*  */
-/* Table6755 */
-  0xd68, /* VFMADDSUB213PSZmb */
   0x0, /*  */
-/* Table6757 */
-  0xda4, /* VFMSUBADD213PSZmb */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
   0x0, /*  */
 /* Table6759 */
-  0xd18, /* VFMADD213PSZmb */
   0x0, /*  */
-/* Table6761 */
-  0xd9a, /* VFMSUB213PSZmb */
+  0xeeb, /* VGATHERPF0QPSm */
+  0xeef, /* VGATHERPF1QPSm */
   0x0, /*  */
-/* Table6763 */
-  0xe1c, /* VFNMADD213PSZmb */
   0x0, /*  */
-/* Table6765 */
-  0xe6c, /* VFNMSUB213PSZmb */
+  0x178c, /* VSCATTERPF0QPSm */
+  0x1790, /* VSCATTERPF1QPSm */
   0x0, /*  */
-/* Table6767 */
-  0x12ce, /* VPCONFLICTDrmb */
   0x0, /*  */
-/* Table6769 */
   0x0, /*  */
-  0x16b6, /* VRCP28PSZrb */
-/* Table6771 */
   0x0, /*  */
-  0x16eb, /* VRSQRT28PSZrb */
-/* Table6773 */
-  0x11b1, /* VPABSQZrmb */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
   0x0, /*  */
 /* Table6775 */
-  0x150a, /* VPMULDQZrmb */
-  0x0, /*  */
+  0x11ce, /* VPABSQZrmk */
+  0x11d1, /* VPABSQZrrk */
 /* Table6777 */
-  0x1424, /* VPMINSQZrmb */
-  0x0, /*  */
+  0x1597, /* VPMULDQZrmk */
+  0x159a, /* VPMULDQZrrk */
 /* Table6779 */
-  0x1442, /* VPMINUQZrmb */
-  0x0, /*  */
+  0x12c4, /* VPCMPEQQZrmk */
+  0x12c6, /* VPCMPEQQZrrk */
 /* Table6781 */
-  0x13e8, /* VPMAXSQZrmb */
-  0x0, /*  */
+  0x1318, /* VPCMPGTQZrmk */
+  0x131a, /* VPCMPGTQZrrk */
 /* Table6783 */
-  0x1406, /* VPMAXUQZrmb */
-  0x0, /*  */
+  0x14b1, /* VPMINSQZrmk */
+  0x14b4, /* VPMINSQZrrk */
 /* Table6785 */
-  0x13a6, /* VPLZCNTQrmb */
-  0x0, /*  */
+  0x14cf, /* VPMINUQZrmk */
+  0x14d2, /* VPMINUQZrrk */
 /* Table6787 */
-  0xd61, /* VFMADDSUB132PDZmb */
-  0x0, /*  */
+  0x1475, /* VPMAXSQZrmk */
+  0x1478, /* VPMAXSQZrrk */
 /* Table6789 */
-  0xd9d, /* VFMSUBADD132PDZmb */
-  0x0, /*  */
+  0x1493, /* VPMAXUQZrmk */
+  0x1496, /* VPMAXUQZrrk */
 /* Table6791 */
-  0xd11, /* VFMADD132PDZmb */
-  0x0, /*  */
+  0x1433, /* VPLZCNTQrmk */
+  0x1436, /* VPLZCNTQrrk */
 /* Table6793 */
-  0xd93, /* VFMSUB132PDZmb */
-  0x0, /*  */
+  0x1257, /* VPBLENDMQZrm */
+  0x1258, /* VPBLENDMQZrr */
 /* Table6795 */
-  0xe15, /* VFNMADD132PDZmb */
-  0x0, /*  */
+  0xbd5, /* VBLENDMPDZrm */
+  0xbd6, /* VBLENDMPDZrr */
 /* Table6797 */
-  0xe65, /* VFNMSUB132PDZmb */
-  0x0, /*  */
+  0x1384, /* VPERMI2Qrmk */
+  0x1387, /* VPERMI2Qrrk */
 /* Table6799 */
-  0xd65, /* VFMADDSUB213PDZmb */
-  0x0, /*  */
+  0x1378, /* VPERMI2PDrmk */
+  0x137b, /* VPERMI2PDrrk */
 /* Table6801 */
-  0xda1, /* VFMSUBADD213PDZmb */
-  0x0, /*  */
+  0x13cc, /* VPERMT2Qrmk */
+  0x13cf, /* VPERMT2Qrrk */
 /* Table6803 */
-  0xd15, /* VFMADD213PDZmb */
-  0x0, /*  */
+  0x13c0, /* VPERMT2PDrmk */
+  0x13c3, /* VPERMT2PDrrk */
 /* Table6805 */
-  0xd97, /* VFMSUB213PDZmb */
+  0x13de, /* VPGATHERDQZrm */
   0x0, /*  */
 /* Table6807 */
-  0xe19, /* VFNMADD213PDZmb */
+  0x13e4, /* VPGATHERQQZrm */
   0x0, /*  */
 /* Table6809 */
-  0xe69, /* VFNMSUB213PDZmb */
+  0xee3, /* VGATHERDPDZrm */
   0x0, /*  */
 /* Table6811 */
-  0x12d7, /* VPCONFLICTQrmb */
+  0xef1, /* VGATHERQPDZrm */
   0x0, /*  */
 /* Table6813 */
+  0x15fa, /* VPSCATTERDQZmr */
   0x0, /*  */
-  0x16b3, /* VRCP28PDZrb */
 /* Table6815 */
+  0x15fc, /* VPSCATTERQQZmr */
   0x0, /*  */
-  0x16e8, /* VRSQRT28PDZrb */
 /* Table6817 */
-  0x11a5, /* VPABSDZrmbk */
+  0x1787, /* VSCATTERDPDZmr */
   0x0, /*  */
 /* Table6819 */
-  0x141a, /* VPMINSDZrmbk */
+  0x1791, /* VSCATTERQPDZmr */
   0x0, /*  */
 /* Table6821 */
-  0x1438, /* VPMINUDZrmbk */
   0x0, /*  */
+  0xd65, /* VFMADDSUB213PDZrk */
 /* Table6823 */
-  0x13de, /* VPMAXSDZrmbk */
   0x0, /*  */
+  0xda9, /* VFMSUBADD213PDZrk */
 /* Table6825 */
-  0x13fc, /* VPMAXUDZrmbk */
   0x0, /*  */
+  0xd11, /* VFMADD213PDZrk */
 /* Table6827 */
-  0x1524, /* VPMULLDZrmbk */
   0x0, /*  */
+  0xd9b, /* VFMSUB213PDZrk */
 /* Table6829 */
-  0x139e, /* VPLZCNTDrmbk */
   0x0, /*  */
+  0xe25, /* VFNMADD213PDZrk */
 /* Table6831 */
-  0x12cf, /* VPCONFLICTDrmbk */
   0x0, /*  */
+  0xe79, /* VFNMSUB213PDZrk */
 /* Table6833 */
-  0x11b2, /* VPABSQZrmbk */
-  0x0, /*  */
+  0x1364, /* VPCONFLICTQrmk */
+  0x1367, /* VPCONFLICTQrrk */
 /* Table6835 */
-  0x150b, /* VPMULDQZrmbk */
   0x0, /*  */
-/* Table6837 */
-  0x1425, /* VPMINSQZrmbk */
+  0xee8, /* VGATHERPF0DPDm */
+  0xeec, /* VGATHERPF1DPDm */
   0x0, /*  */
-/* Table6839 */
-  0x1443, /* VPMINUQZrmbk */
   0x0, /*  */
-/* Table6841 */
-  0x13e9, /* VPMAXSQZrmbk */
+  0x1789, /* VSCATTERPF0DPDm */
+  0x178d, /* VSCATTERPF1DPDm */
   0x0, /*  */
-/* Table6843 */
-  0x1407, /* VPMAXUQZrmbk */
   0x0, /*  */
-/* Table6845 */
-  0x13a7, /* VPLZCNTQrmbk */
   0x0, /*  */
-/* Table6847 */
-  0x12d8, /* VPCONFLICTQrmbk */
   0x0, /*  */
-/* Table6849 */
-  0x11a6, /* VPABSDZrmbkz */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
   0x0, /*  */
 /* Table6851 */
-  0x141b, /* VPMINSDZrmbkz */
   0x0, /*  */
-/* Table6853 */
-  0x1439, /* VPMINUDZrmbkz */
+  0xeea, /* VGATHERPF0QPDm */
+  0xeee, /* VGATHERPF1QPDm */
   0x0, /*  */
-/* Table6855 */
-  0x13df, /* VPMAXSDZrmbkz */
   0x0, /*  */
-/* Table6857 */
-  0x13fd, /* VPMAXUDZrmbkz */
+  0x178b, /* VSCATTERPF0QPDm */
+  0x178f, /* VSCATTERPF1QPDm */
   0x0, /*  */
-/* Table6859 */
-  0x1525, /* VPMULLDZrmbkz */
   0x0, /*  */
-/* Table6861 */
-  0x139f, /* VPLZCNTDrmbkz */
   0x0, /*  */
-/* Table6863 */
-  0x12d0, /* VPCONFLICTDrmbkz */
   0x0, /*  */
-/* Table6865 */
-  0x11b3, /* VPABSQZrmbkz */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
   0x0, /*  */
 /* Table6867 */
-  0x150c, /* VPMULDQZrmbkz */
   0x0, /*  */
+  0x1746, /* VRCP28SSrrb */
 /* Table6869 */
-  0x1426, /* VPMINSQZrmbkz */
   0x0, /*  */
+  0x177b, /* VRSQRT28SSrrb */
 /* Table6871 */
-  0x1444, /* VPMINUQZrmbkz */
+  0x12b6, /* VPCMPEQQZ128rmb */
   0x0, /*  */
 /* Table6873 */
-  0x13ea, /* VPMAXSQZrmbkz */
+  0x130a, /* VPCMPGTQZ128rmb */
   0x0, /*  */
 /* Table6875 */
-  0x1408, /* VPMAXUQZrmbkz */
   0x0, /*  */
+  0x1743, /* VRCP28SDrrb */
 /* Table6877 */
-  0x13a8, /* VPLZCNTQrmbkz */
   0x0, /*  */
+  0x1778, /* VRSQRT28SDrrb */
 /* Table6879 */
-  0x12d9, /* VPCONFLICTQrmbkz */
+  0x12bc, /* VPCMPEQQZ256rmb */
   0x0, /*  */
 /* Table6881 */
+  0x1310, /* VPCMPGTQZ256rmb */
   0x0, /*  */
-  0x14bc, /* VPMOVUSDBrrkz */
 /* Table6883 */
+  0x11be, /* VPABSDZrmb */
   0x0, /*  */
-  0x14c6, /* VPMOVUSQBrrkz */
 /* Table6885 */
+  0x14a3, /* VPMINSDZrmb */
   0x0, /*  */
-  0x14c1, /* VPMOVUSDWrrkz */
 /* Table6887 */
+  0x14c1, /* VPMINUDZrmb */
   0x0, /*  */
-  0x14d0, /* VPMOVUSQWrrkz */
 /* Table6889 */
+  0x1467, /* VPMAXSDZrmb */
   0x0, /*  */
-  0x14cb, /* VPMOVUSQDrrkz */
 /* Table6891 */
+  0x1485, /* VPMAXUDZrmb */
   0x0, /*  */
-  0x146d, /* VPMOVSDBrrkz */
 /* Table6893 */
+  0x15ad, /* VPMULLDZrmb */
   0x0, /*  */
-  0x1477, /* VPMOVSQBrrkz */
 /* Table6895 */
+  0x1427, /* VPLZCNTDrmb */
   0x0, /*  */
-  0x1472, /* VPMOVSDWrrkz */
 /* Table6897 */
+  0xd61, /* VFMADDSUB132PSZmb */
   0x0, /*  */
-  0x1481, /* VPMOVSQWrrkz */
 /* Table6899 */
+  0xda5, /* VFMSUBADD132PSZmb */
   0x0, /*  */
-  0x147c, /* VPMOVSQDrrkz */
 /* Table6901 */
+  0xd0d, /* VFMADD132PSZmb */
   0x0, /*  */
-  0x1452, /* VPMOVDBrrkz */
 /* Table6903 */
+  0xd97, /* VFMSUB132PSZmb */
   0x0, /*  */
-  0x145e, /* VPMOVQBrrkz */
 /* Table6905 */
+  0xe21, /* VFNMADD132PSZmb */
   0x0, /*  */
-  0x1457, /* VPMOVDWrrkz */
 /* Table6907 */
+  0xe75, /* VFNMSUB132PSZmb */
   0x0, /*  */
-  0x1468, /* VPMOVQWrrkz */
 /* Table6909 */
+  0xd68, /* VFMADDSUB213PSZmb */
   0x0, /*  */
-  0x1463, /* VPMOVQDrrkz */
 /* Table6911 */
-  0x11a8, /* VPABSDZrmkz */
-  0x11ab, /* VPABSDZrrkz */
+  0xdac, /* VFMSUBADD213PSZmb */
+  0x0, /*  */
 /* Table6913 */
-  0x1486, /* VPMOVSXBDZrmkz */
-  0x1489, /* VPMOVSXBDZrrkz */
+  0xd14, /* VFMADD213PSZmb */
+  0x0, /*  */
 /* Table6915 */
-  0x1490, /* VPMOVSXBQZrmkz */
-  0x1493, /* VPMOVSXBQZrrkz */
+  0xd9e, /* VFMSUB213PSZmb */
+  0x0, /*  */
 /* Table6917 */
-  0x14a8, /* VPMOVSXWDZrmkz */
-  0x14ab, /* VPMOVSXWDZrrkz */
+  0xe28, /* VFNMADD213PSZmb */
+  0x0, /*  */
 /* Table6919 */
-  0x14b2, /* VPMOVSXWQZrmkz */
-  0x14b5, /* VPMOVSXWQZrrkz */
+  0xe7c, /* VFNMSUB213PSZmb */
+  0x0, /*  */
 /* Table6921 */
-  0x149e, /* VPMOVSXDQZrmkz */
-  0x14a1, /* VPMOVSXDQZrrkz */
+  0x1358, /* VPCONFLICTDrmb */
+  0x0, /*  */
 /* Table6923 */
-  0x14d5, /* VPMOVZXBDZrmkz */
-  0x14d8, /* VPMOVZXBDZrrkz */
+  0x0, /*  */
+  0x1740, /* VRCP28PSZrb */
 /* Table6925 */
-  0x14df, /* VPMOVZXBQZrmkz */
-  0x14e2, /* VPMOVZXBQZrrkz */
+  0x0, /*  */
+  0x1775, /* VRSQRT28PSZrb */
 /* Table6927 */
-  0x14f7, /* VPMOVZXWDZrmkz */
-  0x14fa, /* VPMOVZXWDZrrkz */
+  0x11cb, /* VPABSQZrmb */
+  0x0, /*  */
 /* Table6929 */
-  0x1501, /* VPMOVZXWQZrmkz */
-  0x1504, /* VPMOVZXWQZrrkz */
+  0x1594, /* VPMULDQZrmb */
+  0x0, /*  */
 /* Table6931 */
-  0x14ed, /* VPMOVZXDQZrmkz */
-  0x14f0, /* VPMOVZXDQZrrkz */
+  0x12c2, /* VPCMPEQQZrmb */
+  0x0, /*  */
 /* Table6933 */
-  0x141d, /* VPMINSDZrmkz */
-  0x1420, /* VPMINSDZrrkz */
+  0x1316, /* VPCMPGTQZrmb */
+  0x0, /*  */
 /* Table6935 */
-  0x143b, /* VPMINUDZrmkz */
-  0x143e, /* VPMINUDZrrkz */
+  0x14ae, /* VPMINSQZrmb */
+  0x0, /*  */
 /* Table6937 */
-  0x13e1, /* VPMAXSDZrmkz */
-  0x13e4, /* VPMAXSDZrrkz */
+  0x14cc, /* VPMINUQZrmb */
+  0x0, /*  */
 /* Table6939 */
-  0x13ff, /* VPMAXUDZrmkz */
-  0x1402, /* VPMAXUDZrrkz */
+  0x1472, /* VPMAXSQZrmb */
+  0x0, /*  */
 /* Table6941 */
-  0x1527, /* VPMULLDZrmkz */
-  0x152a, /* VPMULLDZrrkz */
+  0x1490, /* VPMAXUQZrmb */
+  0x0, /*  */
 /* Table6943 */
-  0x13a1, /* VPLZCNTDrmkz */
-  0x13a4, /* VPLZCNTDrrkz */
+  0x1430, /* VPLZCNTQrmb */
+  0x0, /*  */
 /* Table6945 */
-  0x124d, /* VPBROADCASTDZkrm */
-  0x124e, /* VPBROADCASTDZkrr */
+  0xd5f, /* VFMADDSUB132PDZmb */
+  0x0, /*  */
 /* Table6947 */
-  0xbf1, /* VBROADCASTI32X4krm */
+  0xda3, /* VFMSUBADD132PDZmb */
   0x0, /*  */
 /* Table6949 */
-  0x12e9, /* VPERMI2Drmkz */
-  0x12ec, /* VPERMI2Drrkz */
-/* Table6951 */
-  0x12f5, /* VPERMI2PSrmkz */
-  0x12f8, /* VPERMI2PSrrkz */
-/* Table6953 */
+  0xd0b, /* VFMADD132PDZmb */
   0x0, /*  */
-  0x1251, /* VPBROADCASTDrZkrr */
+/* Table6951 */
+  0xd95, /* VFMSUB132PDZmb */
+  0x0, /*  */
+/* Table6953 */
+  0xe1f, /* VFNMADD132PDZmb */
+  0x0, /*  */
 /* Table6955 */
-  0x1331, /* VPERMT2Drmkz */
-  0x1334, /* VPERMT2Drrkz */
+  0xe73, /* VFNMSUB132PDZmb */
+  0x0, /*  */
 /* Table6957 */
-  0x133d, /* VPERMT2PSrmkz */
-  0x1340, /* VPERMT2PSrrkz */
+  0xd63, /* VFMADDSUB213PDZmb */
+  0x0, /*  */
 /* Table6959 */
-  0x12d2, /* VPCONFLICTDrmkz */
-  0x12d5, /* VPCONFLICTDrrkz */
+  0xda7, /* VFMSUBADD213PDZmb */
+  0x0, /*  */
 /* Table6961 */
-  0x11b5, /* VPABSQZrmkz */
-  0x11b8, /* VPABSQZrrkz */
+  0xd0f, /* VFMADD213PDZmb */
+  0x0, /*  */
 /* Table6963 */
-  0x150e, /* VPMULDQZrmkz */
-  0x1511, /* VPMULDQZrrkz */
+  0xd99, /* VFMSUB213PDZmb */
+  0x0, /*  */
 /* Table6965 */
-  0x1428, /* VPMINSQZrmkz */
-  0x142b, /* VPMINSQZrrkz */
+  0xe23, /* VFNMADD213PDZmb */
+  0x0, /*  */
 /* Table6967 */
-  0x1446, /* VPMINUQZrmkz */
-  0x1449, /* VPMINUQZrrkz */
+  0xe77, /* VFNMSUB213PDZmb */
+  0x0, /*  */
 /* Table6969 */
-  0x13ec, /* VPMAXSQZrmkz */
-  0x13ef, /* VPMAXSQZrrkz */
+  0x1361, /* VPCONFLICTQrmb */
+  0x0, /*  */
 /* Table6971 */
-  0x140a, /* VPMAXUQZrmkz */
-  0x140d, /* VPMAXUQZrrkz */
+  0x0, /*  */
+  0x173d, /* VRCP28PDZrb */
 /* Table6973 */
-  0x13aa, /* VPLZCNTQrmkz */
-  0x13ad, /* VPLZCNTQrrkz */
+  0x0, /*  */
+  0x1772, /* VRSQRT28PDZrb */
 /* Table6975 */
-  0x1259, /* VPBROADCASTQZkrm */
-  0x125a, /* VPBROADCASTQZkrr */
+  0x12b7, /* VPCMPEQQZ128rmbk */
+  0x0, /*  */
 /* Table6977 */
-  0xbf3, /* VBROADCASTI64X4krm */
+  0x130b, /* VPCMPGTQZ128rmbk */
   0x0, /*  */
 /* Table6979 */
-  0x12fb, /* VPERMI2Qrmkz */
-  0x12fe, /* VPERMI2Qrrkz */
-/* Table6981 */
-  0x12ef, /* VPERMI2PDrmkz */
-  0x12f2, /* VPERMI2PDrrkz */
-/* Table6983 */
+  0x12bd, /* VPCMPEQQZ256rmbk */
   0x0, /*  */
-  0x125d, /* VPBROADCASTQrZkrr */
+/* Table6981 */
+  0x1311, /* VPCMPGTQZ256rmbk */
+  0x0, /*  */
+/* Table6983 */
+  0x11bf, /* VPABSDZrmbk */
+  0x0, /*  */
 /* Table6985 */
-  0x1343, /* VPERMT2Qrmkz */
-  0x1346, /* VPERMT2Qrrkz */
+  0x14a4, /* VPMINSDZrmbk */
+  0x0, /*  */
 /* Table6987 */
-  0x1337, /* VPERMT2PDrmkz */
-  0x133a, /* VPERMT2PDrrkz */
+  0x14c2, /* VPMINUDZrmbk */
+  0x0, /*  */
 /* Table6989 */
-  0x12db, /* VPCONFLICTQrmkz */
-  0x12de, /* VPCONFLICTQrrkz */
+  0x1468, /* VPMAXSDZrmbk */
+  0x0, /*  */
 /* Table6991 */
-  0x5a2, /* MMX_PALIGNR64irm */
-  0x5a3, /* MMX_PALIGNR64irr */
+  0x1486, /* VPMAXUDZrmbk */
+  0x0, /*  */
 /* Table6993 */
-  0xa30, /* SHA1RNDS4rmi */
-  0xa31, /* SHA1RNDS4rri */
+  0x15ae, /* VPMULLDZrmbk */
+  0x0, /*  */
 /* Table6995 */
-  0x98b, /* ROUNDPSm */
-  0x98c, /* ROUNDPSr */
+  0x1428, /* VPLZCNTDrmbk */
+  0x0, /*  */
 /* Table6997 */
-  0x989, /* ROUNDPDm */
-  0x98a, /* ROUNDPDr */
+  0x1359, /* VPCONFLICTDrmbk */
+  0x0, /*  */
 /* Table6999 */
-  0x990, /* ROUNDSSm */
-  0x991, /* ROUNDSSr */
+  0x11cc, /* VPABSQZrmbk */
+  0x0, /*  */
 /* Table7001 */
-  0x98d, /* ROUNDSDm */
-  0x98e, /* ROUNDSDr */
+  0x1595, /* VPMULDQZrmbk */
+  0x0, /*  */
 /* Table7003 */
+  0x12c3, /* VPCMPEQQZrmbk */
+  0x0, /*  */
+/* Table7005 */
+  0x1317, /* VPCMPGTQZrmbk */
+  0x0, /*  */
+/* Table7007 */
+  0x14af, /* VPMINSQZrmbk */
+  0x0, /*  */
+/* Table7009 */
+  0x14cd, /* VPMINUQZrmbk */
+  0x0, /*  */
+/* Table7011 */
+  0x1473, /* VPMAXSQZrmbk */
+  0x0, /*  */
+/* Table7013 */
+  0x1491, /* VPMAXUQZrmbk */
+  0x0, /*  */
+/* Table7015 */
+  0x1431, /* VPLZCNTQrmbk */
+  0x0, /*  */
+/* Table7017 */
+  0x1362, /* VPCONFLICTQrmbk */
+  0x0, /*  */
+/* Table7019 */
+  0x11c0, /* VPABSDZrmbkz */
+  0x0, /*  */
+/* Table7021 */
+  0x14a5, /* VPMINSDZrmbkz */
+  0x0, /*  */
+/* Table7023 */
+  0x14c3, /* VPMINUDZrmbkz */
+  0x0, /*  */
+/* Table7025 */
+  0x1469, /* VPMAXSDZrmbkz */
+  0x0, /*  */
+/* Table7027 */
+  0x1487, /* VPMAXUDZrmbkz */
+  0x0, /*  */
+/* Table7029 */
+  0x15af, /* VPMULLDZrmbkz */
+  0x0, /*  */
+/* Table7031 */
+  0x1429, /* VPLZCNTDrmbkz */
+  0x0, /*  */
+/* Table7033 */
+  0x135a, /* VPCONFLICTDrmbkz */
+  0x0, /*  */
+/* Table7035 */
+  0x11cd, /* VPABSQZrmbkz */
+  0x0, /*  */
+/* Table7037 */
+  0x1596, /* VPMULDQZrmbkz */
+  0x0, /*  */
+/* Table7039 */
+  0x14b0, /* VPMINSQZrmbkz */
+  0x0, /*  */
+/* Table7041 */
+  0x14ce, /* VPMINUQZrmbkz */
+  0x0, /*  */
+/* Table7043 */
+  0x1474, /* VPMAXSQZrmbkz */
+  0x0, /*  */
+/* Table7045 */
+  0x1492, /* VPMAXUQZrmbkz */
+  0x0, /*  */
+/* Table7047 */
+  0x1432, /* VPLZCNTQrmbkz */
+  0x0, /*  */
+/* Table7049 */
+  0x1363, /* VPCONFLICTQrmbkz */
+  0x0, /*  */
+/* Table7051 */
+  0x0, /*  */
+  0x1546, /* VPMOVUSDBrrkz */
+/* Table7053 */
+  0x0, /*  */
+  0x1550, /* VPMOVUSQBrrkz */
+/* Table7055 */
+  0x0, /*  */
+  0x154b, /* VPMOVUSDWrrkz */
+/* Table7057 */
+  0x0, /*  */
+  0x155a, /* VPMOVUSQWrrkz */
+/* Table7059 */
+  0x0, /*  */
+  0x1555, /* VPMOVUSQDrrkz */
+/* Table7061 */
+  0x0, /*  */
+  0x14f7, /* VPMOVSDBrrkz */
+/* Table7063 */
+  0x0, /*  */
+  0x1501, /* VPMOVSQBrrkz */
+/* Table7065 */
+  0x0, /*  */
+  0x14fc, /* VPMOVSDWrrkz */
+/* Table7067 */
+  0x0, /*  */
+  0x150b, /* VPMOVSQWrrkz */
+/* Table7069 */
+  0x0, /*  */
+  0x1506, /* VPMOVSQDrrkz */
+/* Table7071 */
+  0x0, /*  */
+  0x14dc, /* VPMOVDBrrkz */
+/* Table7073 */
+  0x0, /*  */
+  0x14e8, /* VPMOVQBrrkz */
+/* Table7075 */
+  0x0, /*  */
+  0x14e1, /* VPMOVDWrrkz */
+/* Table7077 */
+  0x0, /*  */
+  0x14f2, /* VPMOVQWrrkz */
+/* Table7079 */
+  0x0, /*  */
+  0x14ed, /* VPMOVQDrrkz */
+/* Table7081 */
+  0x11c2, /* VPABSDZrmkz */
+  0x11c5, /* VPABSDZrrkz */
+/* Table7083 */
+  0x1510, /* VPMOVSXBDZrmkz */
+  0x1513, /* VPMOVSXBDZrrkz */
+/* Table7085 */
+  0x151a, /* VPMOVSXBQZrmkz */
+  0x151d, /* VPMOVSXBQZrrkz */
+/* Table7087 */
+  0x1532, /* VPMOVSXWDZrmkz */
+  0x1535, /* VPMOVSXWDZrrkz */
+/* Table7089 */
+  0x153c, /* VPMOVSXWQZrmkz */
+  0x153f, /* VPMOVSXWQZrrkz */
+/* Table7091 */
+  0x1528, /* VPMOVSXDQZrmkz */
+  0x152b, /* VPMOVSXDQZrrkz */
+/* Table7093 */
+  0x155f, /* VPMOVZXBDZrmkz */
+  0x1562, /* VPMOVZXBDZrrkz */
+/* Table7095 */
+  0x1569, /* VPMOVZXBQZrmkz */
+  0x156c, /* VPMOVZXBQZrrkz */
+/* Table7097 */
+  0x1581, /* VPMOVZXWDZrmkz */
+  0x1584, /* VPMOVZXWDZrrkz */
+/* Table7099 */
+  0x158b, /* VPMOVZXWQZrmkz */
+  0x158e, /* VPMOVZXWQZrrkz */
+/* Table7101 */
+  0x1577, /* VPMOVZXDQZrmkz */
+  0x157a, /* VPMOVZXDQZrrkz */
+/* Table7103 */
+  0x14a7, /* VPMINSDZrmkz */
+  0x14aa, /* VPMINSDZrrkz */
+/* Table7105 */
+  0x14c5, /* VPMINUDZrmkz */
+  0x14c8, /* VPMINUDZrrkz */
+/* Table7107 */
+  0x146b, /* VPMAXSDZrmkz */
+  0x146e, /* VPMAXSDZrrkz */
+/* Table7109 */
+  0x1489, /* VPMAXUDZrmkz */
+  0x148c, /* VPMAXUDZrrkz */
+/* Table7111 */
+  0x15b1, /* VPMULLDZrmkz */
+  0x15b4, /* VPMULLDZrrkz */
+/* Table7113 */
+  0x142b, /* VPLZCNTDrmkz */
+  0x142e, /* VPLZCNTDrrkz */
+/* Table7115 */
+  0x1267, /* VPBROADCASTDZkrm */
+  0x1268, /* VPBROADCASTDZkrr */
+/* Table7117 */
+  0xbeb, /* VBROADCASTI32X4krm */
+  0x0, /*  */
+/* Table7119 */
+  0x1373, /* VPERMI2Drmkz */
+  0x1376, /* VPERMI2Drrkz */
+/* Table7121 */
+  0x137f, /* VPERMI2PSrmkz */
+  0x1382, /* VPERMI2PSrrkz */
+/* Table7123 */
+  0x0, /*  */
+  0x126b, /* VPBROADCASTDrZkrr */
+/* Table7125 */
+  0x13bb, /* VPERMT2Drmkz */
+  0x13be, /* VPERMT2Drrkz */
+/* Table7127 */
+  0x13c7, /* VPERMT2PSrmkz */
+  0x13ca, /* VPERMT2PSrrkz */
+/* Table7129 */
+  0x0, /*  */
+  0xd6b, /* VFMADDSUB213PSZrkz */
+/* Table7131 */
+  0x0, /*  */
+  0xdaf, /* VFMSUBADD213PSZrkz */
+/* Table7133 */
+  0x0, /*  */
+  0xd17, /* VFMADD213PSZrkz */
+/* Table7135 */
+  0x0, /*  */
+  0xda1, /* VFMSUB213PSZrkz */
+/* Table7137 */
+  0x0, /*  */
+  0xe2b, /* VFNMADD213PSZrkz */
+/* Table7139 */
+  0x0, /*  */
+  0xe7f, /* VFNMSUB213PSZrkz */
+/* Table7141 */
+  0x135c, /* VPCONFLICTDrmkz */
+  0x135f, /* VPCONFLICTDrrkz */
+/* Table7143 */
+  0x11cf, /* VPABSQZrmkz */
+  0x11d2, /* VPABSQZrrkz */
+/* Table7145 */
+  0x1598, /* VPMULDQZrmkz */
+  0x159b, /* VPMULDQZrrkz */
+/* Table7147 */
+  0x14b2, /* VPMINSQZrmkz */
+  0x14b5, /* VPMINSQZrrkz */
+/* Table7149 */
+  0x14d0, /* VPMINUQZrmkz */
+  0x14d3, /* VPMINUQZrrkz */
+/* Table7151 */
+  0x1476, /* VPMAXSQZrmkz */
+  0x1479, /* VPMAXSQZrrkz */
+/* Table7153 */
+  0x1494, /* VPMAXUQZrmkz */
+  0x1497, /* VPMAXUQZrrkz */
+/* Table7155 */
+  0x1434, /* VPLZCNTQrmkz */
+  0x1437, /* VPLZCNTQrrkz */
+/* Table7157 */
+  0x1273, /* VPBROADCASTQZkrm */
+  0x1274, /* VPBROADCASTQZkrr */
+/* Table7159 */
+  0xbed, /* VBROADCASTI64X4krm */
+  0x0, /*  */
+/* Table7161 */
+  0x1385, /* VPERMI2Qrmkz */
+  0x1388, /* VPERMI2Qrrkz */
+/* Table7163 */
+  0x1379, /* VPERMI2PDrmkz */
+  0x137c, /* VPERMI2PDrrkz */
+/* Table7165 */
+  0x0, /*  */
+  0x1277, /* VPBROADCASTQrZkrr */
+/* Table7167 */
+  0x13cd, /* VPERMT2Qrmkz */
+  0x13d0, /* VPERMT2Qrrkz */
+/* Table7169 */
+  0x13c1, /* VPERMT2PDrmkz */
+  0x13c4, /* VPERMT2PDrrkz */
+/* Table7171 */
+  0x0, /*  */
+  0xd66, /* VFMADDSUB213PDZrkz */
+/* Table7173 */
+  0x0, /*  */
+  0xdaa, /* VFMSUBADD213PDZrkz */
+/* Table7175 */
+  0x0, /*  */
+  0xd12, /* VFMADD213PDZrkz */
+/* Table7177 */
+  0x0, /*  */
+  0xd9c, /* VFMSUB213PDZrkz */
+/* Table7179 */
+  0x0, /*  */
+  0xe26, /* VFNMADD213PDZrkz */
+/* Table7181 */
+  0x0, /*  */
+  0xe7a, /* VFNMSUB213PDZrkz */
+/* Table7183 */
+  0x1365, /* VPCONFLICTQrmkz */
+  0x1368, /* VPCONFLICTQrrkz */
+/* Table7185 */
+  0x59d, /* MMX_PALIGNR64irm */
+  0x59e, /* MMX_PALIGNR64irr */
+/* Table7187 */
+  0xa2b, /* SHA1RNDS4rmi */
+  0xa2c, /* SHA1RNDS4rri */
+/* Table7189 */
+  0x986, /* ROUNDPSm */
+  0x987, /* ROUNDPSr */
+/* Table7191 */
+  0x984, /* ROUNDPDm */
+  0x985, /* ROUNDPDr */
+/* Table7193 */
+  0x98b, /* ROUNDSSm */
+  0x98c, /* ROUNDSSr */
+/* Table7195 */
+  0x988, /* ROUNDSDm */
+  0x989, /* ROUNDSDr */
+/* Table7197 */
   0xfd, /* BLENDPSrmi */
   0xfe, /* BLENDPSrri */
-/* Table7005 */
+/* Table7199 */
   0xfb, /* BLENDPDrmi */
   0xfc, /* BLENDPDrri */
-/* Table7007 */
-  0x79f, /* PBLENDWrmi */
-  0x7a0, /* PBLENDWrri */
-/* Table7009 */
-  0x790, /* PALIGNR128rm */
-  0x791, /* PALIGNR128rr */
-/* Table7011 */
-  0x7cb, /* PEXTRBmr */
-  0x7cc, /* PEXTRBrr */
-/* Table7013 */
-  0x7d1, /* PEXTRWmr */
-  0x7d3, /* PEXTRWrr_REV */
-/* Table7015 */
-  0x7cd, /* PEXTRDmr */
-  0x7ce, /* PEXTRDrr */
-/* Table7017 */
-  0x2e8, /* EXTRACTPSmr */
-  0x2e9, /* EXTRACTPSrr */
-/* Table7019 */
-  0x80c, /* PINSRBrm */
-  0x80d, /* PINSRBrr */
-/* Table7021 */
-  0x394, /* INSERTPSrm */
-  0x395, /* INSERTPSrr */
-/* Table7023 */
-  0x80e, /* PINSRDrm */
-  0x80f, /* PINSRDrr */
-/* Table7025 */
-  0x2da, /* DPPSrmi */
-  0x2db, /* DPPSrri */
-/* Table7027 */
-  0x2d8, /* DPPDrmi */
-  0x2d9, /* DPPDrri */
-/* Table7029 */
-  0x6e2, /* MPSADBWrmi */
-  0x6e3, /* MPSADBWrri */
-/* Table7031 */
-  0x7a1, /* PCLMULQDQrm */
-  0x7a2, /* PCLMULQDQrr */
-/* Table7033 */
-  0x7b1, /* PCMPESTRM128rm */
-  0x7b2, /* PCMPESTRM128rr */
-/* Table7035 */
-  0x7ad, /* PCMPESTRIrm */
-  0x7ae, /* PCMPESTRIrr */
-/* Table7037 */
-  0x7c1, /* PCMPISTRM128rm */
-  0x7c2, /* PCMPISTRM128rr */
-/* Table7039 */
-  0x7bd, /* PCMPISTRIrm */
-  0x7be, /* PCMPISTRIrr */
-/* Table7041 */
+/* Table7201 */
+  0x79a, /* PBLENDWrmi */
+  0x79b, /* PBLENDWrri */
+/* Table7203 */
+  0x78b, /* PALIGNR128rm */
+  0x78c, /* PALIGNR128rr */
+/* Table7205 */
+  0x7c6, /* PEXTRBmr */
+  0x7c7, /* PEXTRBrr */
+/* Table7207 */
+  0x7cc, /* PEXTRWmr */
+  0x7ce, /* PEXTRWrr_REV */
+/* Table7209 */
+  0x7c8, /* PEXTRDmr */
+  0x7c9, /* PEXTRDrr */
+/* Table7211 */
+  0x2e5, /* EXTRACTPSmr */
+  0x2e6, /* EXTRACTPSrr */
+/* Table7213 */
+  0x807, /* PINSRBrm */
+  0x808, /* PINSRBrr */
+/* Table7215 */
+  0x38f, /* INSERTPSrm */
+  0x390, /* INSERTPSrr */
+/* Table7217 */
+  0x809, /* PINSRDrm */
+  0x80a, /* PINSRDrr */
+/* Table7219 */
+  0x2d9, /* DPPSrmi */
+  0x2da, /* DPPSrri */
+/* Table7221 */
+  0x2d7, /* DPPDrmi */
+  0x2d8, /* DPPDrri */
+/* Table7223 */
+  0x6dd, /* MPSADBWrmi */
+  0x6de, /* MPSADBWrri */
+/* Table7225 */
+  0x79c, /* PCLMULQDQrm */
+  0x79d, /* PCLMULQDQrr */
+/* Table7227 */
+  0x7ac, /* PCMPESTRM128rm */
+  0x7ad, /* PCMPESTRM128rr */
+/* Table7229 */
+  0x7a8, /* PCMPESTRIrm */
+  0x7a9, /* PCMPESTRIrr */
+/* Table7231 */
+  0x7bc, /* PCMPISTRM128rm */
+  0x7bd, /* PCMPISTRM128rr */
+/* Table7233 */
+  0x7b8, /* PCMPISTRIrm */
+  0x7b9, /* PCMPISTRIrr */
+/* Table7235 */
   0xa9, /* AESKEYGENASSIST128rm */
   0xaa, /* AESKEYGENASSIST128rr */
-/* Table7043 */
-  0x7cf, /* PEXTRQmr */
-  0x7d0, /* PEXTRQrr */
-/* Table7045 */
-  0x810, /* PINSRQrm */
-  0x811, /* PINSRQrr */
-/* Table7047 */
-  0x985, /* RORX32mi */
-  0x986, /* RORX32ri */
-/* Table7049 */
-  0x1239, /* VPBLENDDrmi */
-  0x123a, /* VPBLENDDrri */
-/* Table7051 */
-  0x131b, /* VPERMILPSmi */
-  0x131c, /* VPERMILPSri */
-/* Table7053 */
-  0x1311, /* VPERMILPDmi */
-  0x1312, /* VPERMILPDri */
-/* Table7055 */
-  0x16d2, /* VROUNDPSm */
-  0x16d3, /* VROUNDPSr */
-/* Table7057 */
-  0x16d0, /* VROUNDPDm */
-  0x16d1, /* VROUNDPDr */
-/* Table7059 */
-  0x16d7, /* VROUNDSSm */
-  0x16d8, /* VROUNDSSr */
-/* Table7061 */
-  0x16d4, /* VROUNDSDm */
-  0x16d5, /* VROUNDSDr */
-/* Table7063 */
-  0xbe5, /* VBLENDPSrmi */
-  0xbe6, /* VBLENDPSrri */
-/* Table7065 */
-  0xbe1, /* VBLENDPDrmi */
-  0xbe2, /* VBLENDPDrri */
-/* Table7067 */
-  0x1245, /* VPBLENDWrmi */
-  0x1246, /* VPBLENDWrri */
-/* Table7069 */
-  0x11ff, /* VPALIGNR128rm */
-  0x1200, /* VPALIGNR128rr */
-/* Table7071 */
-  0x1347, /* VPEXTRBmr */
-  0x1348, /* VPEXTRBrr */
-/* Table7073 */
-  0x134d, /* VPEXTRWmr */
-  0x134f, /* VPEXTRWrr_REV */
-/* Table7075 */
-  0x1349, /* VPEXTRDmr */
-  0x134a, /* VPEXTRDrr */
-/* Table7077 */
-  0xd0c, /* VEXTRACTPSmr */
-  0xd0d, /* VEXTRACTPSrr */
-/* Table7079 */
-  0xc66, /* VCVTPS2PHmr */
-  0xc67, /* VCVTPS2PHrr */
-/* Table7081 */
-  0x1394, /* VPINSRBrm */
-  0x1395, /* VPINSRBrr */
-/* Table7083 */
-  0xf00, /* VINSERTPSrm */
-  0xf01, /* VINSERTPSrr */
-/* Table7085 */
-  0x1396, /* VPINSRDrm */
-  0x1397, /* VPINSRDrr */
-/* Table7087 */
-  0xcfa, /* VDPPSrmi */
-  0xcfb, /* VDPPSrri */
-/* Table7089 */
-  0xcf6, /* VDPPDrmi */
-  0xcf7, /* VDPPDrri */
-/* Table7091 */
-  0x115e, /* VMPSADBWrmi */
-  0x115f, /* VMPSADBWrri */
-/* Table7093 */
-  0x1265, /* VPCLMULQDQrm */
-  0x1266, /* VPCLMULQDQrr */
-/* Table7095 */
-  0x1305, /* VPERMIL2PSmr */
-  0x1309, /* VPERMIL2PSrr */
-/* Table7097 */
-  0x12ff, /* VPERMIL2PDmr */
-  0x1303, /* VPERMIL2PDrr */
-/* Table7099 */
-  0xbed, /* VBLENDVPSrm */
-  0xbee, /* VBLENDVPSrr */
-/* Table7101 */
-  0xbe9, /* VBLENDVPDrm */
-  0xbea, /* VBLENDVPDrr */
-/* Table7103 */
-  0x1241, /* VPBLENDVBrm */
-  0x1242, /* VPBLENDVBrr */
-/* Table7105 */
-  0xd7e, /* VFMADDSUBPS4mr */
-  0xd85, /* VFMADDSUBPS4rr_REV */
-/* Table7107 */
-  0xd6a, /* VFMADDSUBPD4mr */
-  0xd71, /* VFMADDSUBPD4rr_REV */
-/* Table7109 */
-  0xdba, /* VFMSUBADDPS4mr */
-  0xdc1, /* VFMSUBADDPS4rr_REV */
-/* Table7111 */
-  0xda6, /* VFMSUBADDPD4mr */
-  0xdad, /* VFMSUBADDPD4rr_REV */
-/* Table7113 */
-  0x128d, /* VPCMPESTRM128rm */
-  0x128e, /* VPCMPESTRM128rr */
-/* Table7115 */
-  0x1289, /* VPCMPESTRIrm */
-  0x128a, /* VPCMPESTRIrr */
-/* Table7117 */
-  0x12a9, /* VPCMPISTRM128rm */
-  0x12aa, /* VPCMPISTRM128rr */
-/* Table7119 */
-  0x12a5, /* VPCMPISTRIrm */
-  0x12a6, /* VPCMPISTRIrr */
-/* Table7121 */
-  0xd2e, /* VFMADDPS4mr */
-  0xd35, /* VFMADDPS4rr_REV */
-/* Table7123 */
-  0xd1a, /* VFMADDPD4mr */
-  0xd21, /* VFMADDPD4rr_REV */
-/* Table7125 */
-  0xd51, /* VFMADDSS4mr */
-  0xd57, /* VFMADDSS4rr_REV */
-/* Table7127 */
-  0xd42, /* VFMADDSD4mr */
-  0xd48, /* VFMADDSD4rr_REV */
-/* Table7129 */
-  0xde2, /* VFMSUBPS4mr */
-  0xde9, /* VFMSUBPS4rr_REV */
-/* Table7131 */
-  0xdce, /* VFMSUBPD4mr */
-  0xdd5, /* VFMSUBPD4rr_REV */
-/* Table7133 */
-  0xe05, /* VFMSUBSS4mr */
-  0xe0b, /* VFMSUBSS4rr_REV */
-/* Table7135 */
-  0xdf6, /* VFMSUBSD4mr */
-  0xdfc, /* VFMSUBSD4rr_REV */
-/* Table7137 */
-  0xe32, /* VFNMADDPS4mr */
-  0xe39, /* VFNMADDPS4rr_REV */
-/* Table7139 */
-  0xe1e, /* VFNMADDPD4mr */
-  0xe25, /* VFNMADDPD4rr_REV */
-/* Table7141 */
-  0xe55, /* VFNMADDSS4mr */
-  0xe5b, /* VFNMADDSS4rr_REV */
-/* Table7143 */
-  0xe46, /* VFNMADDSD4mr */
-  0xe4c, /* VFNMADDSD4rr_REV */
-/* Table7145 */
-  0xe82, /* VFNMSUBPS4mr */
-  0xe89, /* VFNMSUBPS4rr_REV */
-/* Table7147 */
-  0xe6e, /* VFNMSUBPD4mr */
-  0xe75, /* VFNMSUBPD4rr_REV */
-/* Table7149 */
-  0xea5, /* VFNMSUBSS4mr */
-  0xeab, /* VFNMSUBSS4rr_REV */
-/* Table7151 */
-  0xe96, /* VFNMSUBSD4mr */
-  0xe9c, /* VFNMSUBSD4rr_REV */
-/* Table7153 */
-  0xbc0, /* VAESKEYGENASSIST128rm */
-  0xbc1, /* VAESKEYGENASSIST128rr */
-/* Table7155 */
-  0x987, /* RORX64mi */
-  0x988, /* RORX64ri */
-/* Table7157 */
-  0x134b, /* VPEXTRQmr */
-  0x134c, /* VPEXTRQrr */
-/* Table7159 */
-  0x1398, /* VPINSRQrm */
-  0x1399, /* VPINSRQrr */
-/* Table7161 */
-  0x0, /*  */
-  0x495, /* KSHIFTRWri */
-/* Table7163 */
-  0x0, /*  */
-  0x494, /* KSHIFTLWri */
-/* Table7165 */
-  0x1307, /* VPERMIL2PSrm */
-  0x1309, /* VPERMIL2PSrr */
-/* Table7167 */
-  0x1301, /* VPERMIL2PDrm */
-  0x1303, /* VPERMIL2PDrr */
-/* Table7169 */
-  0xd80, /* VFMADDSUBPS4rm */
-  0xd82, /* VFMADDSUBPS4rr */
-/* Table7171 */
-  0xd6c, /* VFMADDSUBPD4rm */
-  0xd6e, /* VFMADDSUBPD4rr */
-/* Table7173 */
-  0xdbc, /* VFMSUBADDPS4rm */
-  0xdbe, /* VFMSUBADDPS4rr */
-/* Table7175 */
-  0xda8, /* VFMSUBADDPD4rm */
-  0xdaa, /* VFMSUBADDPD4rr */
-/* Table7177 */
-  0xd30, /* VFMADDPS4rm */
-  0xd32, /* VFMADDPS4rr */
-/* Table7179 */
-  0xd1c, /* VFMADDPD4rm */
-  0xd1e, /* VFMADDPD4rr */
-/* Table7181 */
-  0xd53, /* VFMADDSS4rm */
-  0xd55, /* VFMADDSS4rr */
-/* Table7183 */
-  0xd44, /* VFMADDSD4rm */
-  0xd46, /* VFMADDSD4rr */
-/* Table7185 */
-  0xde4, /* VFMSUBPS4rm */
-  0xde6, /* VFMSUBPS4rr */
-/* Table7187 */
-  0xdd0, /* VFMSUBPD4rm */
-  0xdd2, /* VFMSUBPD4rr */
-/* Table7189 */
-  0xe07, /* VFMSUBSS4rm */
-  0xe09, /* VFMSUBSS4rr */
-/* Table7191 */
-  0xdf8, /* VFMSUBSD4rm */
-  0xdfa, /* VFMSUBSD4rr */
-/* Table7193 */
-  0xe34, /* VFNMADDPS4rm */
-  0xe36, /* VFNMADDPS4rr */
-/* Table7195 */
-  0xe20, /* VFNMADDPD4rm */
-  0xe22, /* VFNMADDPD4rr */
-/* Table7197 */
-  0xe57, /* VFNMADDSS4rm */
-  0xe59, /* VFNMADDSS4rr */
-/* Table7199 */
-  0xe48, /* VFNMADDSD4rm */
-  0xe4a, /* VFNMADDSD4rr */
-/* Table7201 */
-  0xe84, /* VFNMSUBPS4rm */
-  0xe86, /* VFNMSUBPS4rr */
-/* Table7203 */
-  0xe70, /* VFNMSUBPD4rm */
-  0xe72, /* VFNMSUBPD4rr */
-/* Table7205 */
-  0xea7, /* VFNMSUBSS4rm */
-  0xea9, /* VFNMSUBSS4rr */
-/* Table7207 */
-  0xe98, /* VFNMSUBSD4rm */
-  0xe9a, /* VFNMSUBSD4rr */
-/* Table7209 */
-  0x1237, /* VPBLENDDYrmi */
-  0x1238, /* VPBLENDDYrri */
-/* Table7211 */
-  0x1315, /* VPERMILPSYmi */
-  0x1316, /* VPERMILPSYri */
-/* Table7213 */
-  0x130b, /* VPERMILPDYmi */
-  0x130c, /* VPERMILPDYri */
-/* Table7215 */
-  0x12df, /* VPERM2F128rm */
-  0x12e0, /* VPERM2F128rr */
-/* Table7217 */
-  0x16dc, /* VROUNDYPSm */
-  0x16dd, /* VROUNDYPSr */
-/* Table7219 */
-  0x16da, /* VROUNDYPDm */
-  0x16db, /* VROUNDYPDr */
-/* Table7221 */
-  0xbe3, /* VBLENDPSYrmi */
-  0xbe4, /* VBLENDPSYrri */
-/* Table7223 */
-  0xbdf, /* VBLENDPDYrmi */
-  0xbe0, /* VBLENDPDYrri */
-/* Table7225 */
-  0x1243, /* VPBLENDWYrmi */
-  0x1244, /* VPBLENDWYrri */
-/* Table7227 */
-  0x1201, /* VPALIGNR256rm */
-  0x1202, /* VPALIGNR256rr */
-/* Table7229 */
-  0xef4, /* VINSERTF128rm */
-  0xef5, /* VINSERTF128rr */
-/* Table7231 */
-  0xd00, /* VEXTRACTF128mr */
-  0xd01, /* VEXTRACTF128rr */
-/* Table7233 */
-  0xc62, /* VCVTPS2PHYmr */
-  0xc63, /* VCVTPS2PHYrr */
-/* Table7235 */
-  0xefa, /* VINSERTI128rm */
-  0xefb, /* VINSERTI128rr */
 /* Table7237 */
-  0xd06, /* VEXTRACTI128mr */
-  0xd07, /* VEXTRACTI128rr */
+  0x7ca, /* PEXTRQmr */
+  0x7cb, /* PEXTRQrr */
 /* Table7239 */
-  0xcf8, /* VDPPSYrmi */
-  0xcf9, /* VDPPSYrri */
+  0x80b, /* PINSRQrm */
+  0x80c, /* PINSRQrr */
 /* Table7241 */
-  0x115c, /* VMPSADBWYrmi */
-  0x115d, /* VMPSADBWYrri */
+  0x980, /* RORX32mi */
+  0x981, /* RORX32ri */
 /* Table7243 */
-  0x12e1, /* VPERM2I128rm */
-  0x12e2, /* VPERM2I128rr */
+  0x1253, /* VPBLENDDrmi */
+  0x1254, /* VPBLENDDrri */
 /* Table7245 */
-  0x1306, /* VPERMIL2PSmrY */
-  0x130a, /* VPERMIL2PSrrY */
+  0x13a5, /* VPERMILPSmi */
+  0x13a6, /* VPERMILPSri */
 /* Table7247 */
-  0x1300, /* VPERMIL2PDmrY */
-  0x1304, /* VPERMIL2PDrrY */
+  0x139b, /* VPERMILPDmi */
+  0x139c, /* VPERMILPDri */
 /* Table7249 */
-  0xbeb, /* VBLENDVPSYrm */
-  0xbec, /* VBLENDVPSYrr */
+  0x175c, /* VROUNDPSm */
+  0x175d, /* VROUNDPSr */
 /* Table7251 */
-  0xbe7, /* VBLENDVPDYrm */
-  0xbe8, /* VBLENDVPDYrr */
+  0x175a, /* VROUNDPDm */
+  0x175b, /* VROUNDPDr */
 /* Table7253 */
-  0x123f, /* VPBLENDVBYrm */
-  0x1240, /* VPBLENDVBYrr */
+  0x1761, /* VROUNDSSm */
+  0x1762, /* VROUNDSSr */
 /* Table7255 */
-  0xd7f, /* VFMADDSUBPS4mrY */
-  0xd84, /* VFMADDSUBPS4rrY_REV */
+  0x175e, /* VROUNDSDm */
+  0x175f, /* VROUNDSDr */
 /* Table7257 */
-  0xd6b, /* VFMADDSUBPD4mrY */
-  0xd70, /* VFMADDSUBPD4rrY_REV */
+  0xbdf, /* VBLENDPSrmi */
+  0xbe0, /* VBLENDPSrri */
 /* Table7259 */
-  0xdbb, /* VFMSUBADDPS4mrY */
-  0xdc0, /* VFMSUBADDPS4rrY_REV */
+  0xbdb, /* VBLENDPDrmi */
+  0xbdc, /* VBLENDPDrri */
 /* Table7261 */
-  0xda7, /* VFMSUBADDPD4mrY */
-  0xdac, /* VFMSUBADDPD4rrY_REV */
+  0x125f, /* VPBLENDWrmi */
+  0x1260, /* VPBLENDWrri */
 /* Table7263 */
-  0xd2f, /* VFMADDPS4mrY */
-  0xd34, /* VFMADDPS4rrY_REV */
+  0x1219, /* VPALIGNR128rm */
+  0x121a, /* VPALIGNR128rr */
 /* Table7265 */
-  0xd1b, /* VFMADDPD4mrY */
-  0xd20, /* VFMADDPD4rrY_REV */
+  0x13d1, /* VPEXTRBmr */
+  0x13d2, /* VPEXTRBrr */
 /* Table7267 */
-  0xde3, /* VFMSUBPS4mrY */
-  0xde8, /* VFMSUBPS4rrY_REV */
+  0x13d7, /* VPEXTRWmr */
+  0x13d9, /* VPEXTRWrr_REV */
 /* Table7269 */
-  0xdcf, /* VFMSUBPD4mrY */
-  0xdd4, /* VFMSUBPD4rrY_REV */
+  0x13d3, /* VPEXTRDmr */
+  0x13d4, /* VPEXTRDrr */
 /* Table7271 */
-  0xe33, /* VFNMADDPS4mrY */
-  0xe38, /* VFNMADDPS4rrY_REV */
+  0xd06, /* VEXTRACTPSmr */
+  0xd07, /* VEXTRACTPSrr */
 /* Table7273 */
-  0xe1f, /* VFNMADDPD4mrY */
-  0xe24, /* VFNMADDPD4rrY_REV */
+  0xc60, /* VCVTPS2PHmr */
+  0xc61, /* VCVTPS2PHrr */
 /* Table7275 */
-  0xe83, /* VFNMSUBPS4mrY */
-  0xe88, /* VFNMSUBPS4rrY_REV */
+  0x141e, /* VPINSRBrm */
+  0x141f, /* VPINSRBrr */
 /* Table7277 */
-  0xe6f, /* VFNMSUBPD4mrY */
-  0xe74, /* VFNMSUBPD4rrY_REV */
+  0xf12, /* VINSERTPSrm */
+  0xf13, /* VINSERTPSrr */
 /* Table7279 */
-  0x1329, /* VPERMQYmi */
-  0x132a, /* VPERMQYri */
+  0x1420, /* VPINSRDrm */
+  0x1421, /* VPINSRDrr */
 /* Table7281 */
-  0x131f, /* VPERMPDYmi */
-  0x1320, /* VPERMPDYri */
+  0xcf4, /* VDPPSrmi */
+  0xcf5, /* VDPPSrri */
 /* Table7283 */
-  0x1308, /* VPERMIL2PSrmY */
-  0x130a, /* VPERMIL2PSrrY */
+  0xcf0, /* VDPPDrmi */
+  0xcf1, /* VDPPDrri */
 /* Table7285 */
-  0x1302, /* VPERMIL2PDrmY */
-  0x1304, /* VPERMIL2PDrrY */
+  0x1178, /* VMPSADBWrmi */
+  0x1179, /* VMPSADBWrri */
 /* Table7287 */
-  0xd81, /* VFMADDSUBPS4rmY */
-  0xd83, /* VFMADDSUBPS4rrY */
+  0x127f, /* VPCLMULQDQrm */
+  0x1280, /* VPCLMULQDQrr */
 /* Table7289 */
-  0xd6d, /* VFMADDSUBPD4rmY */
-  0xd6f, /* VFMADDSUBPD4rrY */
+  0x138f, /* VPERMIL2PSmr */
+  0x1393, /* VPERMIL2PSrr */
 /* Table7291 */
-  0xdbd, /* VFMSUBADDPS4rmY */
-  0xdbf, /* VFMSUBADDPS4rrY */
+  0x1389, /* VPERMIL2PDmr */
+  0x138d, /* VPERMIL2PDrr */
 /* Table7293 */
-  0xda9, /* VFMSUBADDPD4rmY */
-  0xdab, /* VFMSUBADDPD4rrY */
+  0xbe7, /* VBLENDVPSrm */
+  0xbe8, /* VBLENDVPSrr */
 /* Table7295 */
-  0xd31, /* VFMADDPS4rmY */
-  0xd33, /* VFMADDPS4rrY */
+  0xbe3, /* VBLENDVPDrm */
+  0xbe4, /* VBLENDVPDrr */
 /* Table7297 */
-  0xd1d, /* VFMADDPD4rmY */
-  0xd1f, /* VFMADDPD4rrY */
+  0x125b, /* VPBLENDVBrm */
+  0x125c, /* VPBLENDVBrr */
 /* Table7299 */
-  0xde5, /* VFMSUBPS4rmY */
-  0xde7, /* VFMSUBPS4rrY */
+  0xd80, /* VFMADDSUBPS4mr */
+  0xd87, /* VFMADDSUBPS4rr_REV */
 /* Table7301 */
-  0xdd1, /* VFMSUBPD4rmY */
-  0xdd3, /* VFMSUBPD4rrY */
+  0xd6c, /* VFMADDSUBPD4mr */
+  0xd73, /* VFMADDSUBPD4rr_REV */
 /* Table7303 */
-  0xe35, /* VFNMADDPS4rmY */
-  0xe37, /* VFNMADDPS4rrY */
+  0xdc4, /* VFMSUBADDPS4mr */
+  0xdcb, /* VFMSUBADDPS4rr_REV */
 /* Table7305 */
-  0xe21, /* VFNMADDPD4rmY */
-  0xe23, /* VFNMADDPD4rrY */
+  0xdb0, /* VFMSUBADDPD4mr */
+  0xdb7, /* VFMSUBADDPD4rr_REV */
 /* Table7307 */
-  0xe85, /* VFNMSUBPS4rmY */
-  0xe87, /* VFNMSUBPS4rrY */
+  0x12df, /* VPCMPESTRM128rm */
+  0x12e0, /* VPCMPESTRM128rr */
 /* Table7309 */
-  0xe71, /* VFNMSUBPD4rmY */
-  0xe73, /* VFNMSUBPD4rrY */
+  0x12db, /* VPCMPESTRIrm */
+  0x12dc, /* VPCMPESTRIrr */
 /* Table7311 */
-  0x16ce, /* VRNDSCALESSm */
-  0x16cf, /* VRNDSCALESSr */
+  0x1333, /* VPCMPISTRM128rm */
+  0x1334, /* VPCMPISTRM128rr */
 /* Table7313 */
-  0x16cc, /* VRNDSCALESDm */
-  0x16cd, /* VRNDSCALESDr */
+  0x132f, /* VPCMPISTRIrm */
+  0x1330, /* VPCMPISTRIrr */
 /* Table7315 */
-  0xd0e, /* VEXTRACTPSzmr */
-  0xd0f, /* VEXTRACTPSzrr */
+  0xd2c, /* VFMADDPS4mr */
+  0xd33, /* VFMADDPS4rr_REV */
 /* Table7317 */
-  0xf02, /* VINSERTPSzrm */
-  0xf03, /* VINSERTPSzrr */
+  0xd18, /* VFMADDPD4mr */
+  0xd1f, /* VFMADDPD4rr_REV */
 /* Table7319 */
-  0xbc2, /* VALIGNDrmi */
-  0xbc3, /* VALIGNDrri */
+  0xd4f, /* VFMADDSS4mr */
+  0xd55, /* VFMADDSS4rr_REV */
 /* Table7321 */
-  0x1319, /* VPERMILPSZmi */
-  0x131a, /* VPERMILPSZri */
+  0xd40, /* VFMADDSD4mr */
+  0xd46, /* VFMADDSD4rr_REV */
 /* Table7323 */
-  0x16ca, /* VRNDSCALEPSZm */
-  0x16cb, /* VRNDSCALEPSZr */
+  0xdec, /* VFMSUBPS4mr */
+  0xdf3, /* VFMSUBPS4rr_REV */
 /* Table7325 */
-  0xef6, /* VINSERTF32x4rm */
-  0xef7, /* VINSERTF32x4rr */
+  0xdd8, /* VFMSUBPD4mr */
+  0xddf, /* VFMSUBPD4rr_REV */
 /* Table7327 */
-  0xd02, /* VEXTRACTF32x4mr */
-  0xd03, /* VEXTRACTF32x4rr */
+  0xe0f, /* VFMSUBSS4mr */
+  0xe15, /* VFMSUBSS4rr_REV */
 /* Table7329 */
-  0xc64, /* VCVTPS2PHZmr */
-  0xc65, /* VCVTPS2PHZrr */
+  0xe00, /* VFMSUBSD4mr */
+  0xe06, /* VFMSUBSD4rr_REV */
 /* Table7331 */
-  0x12b1, /* VPCMPUDZrmi */
-  0x12b4, /* VPCMPUDZrri */
+  0xe40, /* VFNMADDPS4mr */
+  0xe47, /* VFNMADDPS4rr_REV */
 /* Table7333 */
-  0x126d, /* VPCMPDZrmi */
-  0x1270, /* VPCMPDZrri */
+  0xe2c, /* VFNMADDPD4mr */
+  0xe33, /* VFNMADDPD4rr_REV */
 /* Table7335 */
-  0xefc, /* VINSERTI32x4rm */
-  0xefd, /* VINSERTI32x4rr */
+  0xe63, /* VFNMADDSS4mr */
+  0xe69, /* VFNMADDSS4rr_REV */
 /* Table7337 */
-  0xd08, /* VEXTRACTI32x4mr */
-  0xd09, /* VEXTRACTI32x4rr */
+  0xe54, /* VFNMADDSD4mr */
+  0xe5a, /* VFNMADDSD4rr_REV */
 /* Table7339 */
-  0x132b, /* VPERMQZmi */
-  0x132c, /* VPERMQZri */
+  0xe94, /* VFNMSUBPS4mr */
+  0xe9b, /* VFNMSUBPS4rr_REV */
 /* Table7341 */
-  0x1321, /* VPERMPDZmi */
-  0x1322, /* VPERMPDZri */
+  0xe80, /* VFNMSUBPD4mr */
+  0xe87, /* VFNMSUBPD4rr_REV */
 /* Table7343 */
-  0xbc6, /* VALIGNQrmi */
-  0xbc7, /* VALIGNQrri */
+  0xeb7, /* VFNMSUBSS4mr */
+  0xebd, /* VFNMSUBSS4rr_REV */
 /* Table7345 */
-  0x130f, /* VPERMILPDZmi */
-  0x1310, /* VPERMILPDZri */
+  0xea8, /* VFNMSUBSD4mr */
+  0xeae, /* VFNMSUBSD4rr_REV */
 /* Table7347 */
-  0x16c8, /* VRNDSCALEPDZm */
-  0x16c9, /* VRNDSCALEPDZr */
+  0xbba, /* VAESKEYGENASSIST128rm */
+  0xbbb, /* VAESKEYGENASSIST128rr */
 /* Table7349 */
-  0xef8, /* VINSERTF64x4rm */
-  0xef9, /* VINSERTF64x4rr */
+  0x982, /* RORX64mi */
+  0x983, /* RORX64ri */
 /* Table7351 */
-  0xd04, /* VEXTRACTF64x4mr */
-  0xd05, /* VEXTRACTF64x4rr */
+  0x13d5, /* VPEXTRQmr */
+  0x13d6, /* VPEXTRQrr */
 /* Table7353 */
-  0x12b7, /* VPCMPUQZrmi */
-  0x12ba, /* VPCMPUQZrri */
+  0x1422, /* VPINSRQrm */
+  0x1423, /* VPINSRQrr */
 /* Table7355 */
-  0x12ab, /* VPCMPQZrmi */
-  0x12ae, /* VPCMPQZrri */
+  0x0, /*  */
+  0x490, /* KSHIFTRWri */
 /* Table7357 */
-  0xefe, /* VINSERTI64x4rm */
-  0xeff, /* VINSERTI64x4rr */
+  0x0, /*  */
+  0x48f, /* KSHIFTLWri */
 /* Table7359 */
-  0xd0a, /* VEXTRACTI64x4mr */
-  0xd0b, /* VEXTRACTI64x4rr */
+  0x1391, /* VPERMIL2PSrm */
+  0x1393, /* VPERMIL2PSrr */
 /* Table7361 */
-  0x0, /*  */
-  0xbc4, /* VALIGNDrrik */
+  0x138b, /* VPERMIL2PDrm */
+  0x138d, /* VPERMIL2PDrr */
 /* Table7363 */
-  0x0, /*  */
-  0xbc8, /* VALIGNQrrik */
+  0xd82, /* VFMADDSUBPS4rm */
+  0xd84, /* VFMADDSUBPS4rr */
 /* Table7365 */
-  0x0, /*  */
-  0xbc5, /* VALIGNDrrikz */
+  0xd6e, /* VFMADDSUBPD4rm */
+  0xd70, /* VFMADDSUBPD4rr */
 /* Table7367 */
-  0x0, /*  */
-  0xbc9, /* VALIGNQrrikz */
+  0xdc6, /* VFMSUBADDPS4rm */
+  0xdc8, /* VFMSUBADDPS4rr */
 /* Table7369 */
-  0x13bc, /* VPMACSSWWrm */
-  0x13bd, /* VPMACSSWWrr */
+  0xdb2, /* VFMSUBADDPD4rm */
+  0xdb4, /* VFMSUBADDPD4rr */
 /* Table7371 */
-  0x13ba, /* VPMACSSWDrm */
-  0x13bb, /* VPMACSSWDrr */
+  0xd2e, /* VFMADDPS4rm */
+  0xd30, /* VFMADDPS4rr */
 /* Table7373 */
-  0x13b8, /* VPMACSSDQLrm */
-  0x13b9, /* VPMACSSDQLrr */
+  0xd1a, /* VFMADDPD4rm */
+  0xd1c, /* VFMADDPD4rr */
 /* Table7375 */
-  0x13b4, /* VPMACSSDDrm */
-  0x13b5, /* VPMACSSDDrr */
+  0xd51, /* VFMADDSS4rm */
+  0xd53, /* VFMADDSS4rr */
 /* Table7377 */
-  0x13b6, /* VPMACSSDQHrm */
-  0x13b7, /* VPMACSSDQHrr */
+  0xd42, /* VFMADDSD4rm */
+  0xd44, /* VFMADDSD4rr */
 /* Table7379 */
-  0x13c0, /* VPMACSWWrm */
-  0x13c1, /* VPMACSWWrr */
+  0xdee, /* VFMSUBPS4rm */
+  0xdf0, /* VFMSUBPS4rr */
 /* Table7381 */
-  0x13be, /* VPMACSWDrm */
-  0x13bf, /* VPMACSWDrr */
+  0xdda, /* VFMSUBPD4rm */
+  0xddc, /* VFMSUBPD4rr */
 /* Table7383 */
-  0x13b2, /* VPMACSDQLrm */
-  0x13b3, /* VPMACSDQLrr */
+  0xe11, /* VFMSUBSS4rm */
+  0xe13, /* VFMSUBSS4rr */
 /* Table7385 */
-  0x13ae, /* VPMACSDDrm */
-  0x13af, /* VPMACSDDrr */
+  0xe02, /* VFMSUBSD4rm */
+  0xe04, /* VFMSUBSD4rr */
 /* Table7387 */
-  0x13b0, /* VPMACSDQHrm */
-  0x13b1, /* VPMACSDQHrr */
+  0xe42, /* VFNMADDPS4rm */
+  0xe44, /* VFNMADDPS4rr */
 /* Table7389 */
-  0x1267, /* VPCMOVmr */
-  0x126b, /* VPCMOVrr */
+  0xe2e, /* VFNMADDPD4rm */
+  0xe30, /* VFNMADDPD4rr */
 /* Table7391 */
-  0x1554, /* VPPERMmr */
-  0x1556, /* VPPERMrr */
+  0xe65, /* VFNMADDSS4rm */
+  0xe67, /* VFNMADDSS4rr */
 /* Table7393 */
-  0x13c2, /* VPMADCSSWDrm */
-  0x13c3, /* VPMADCSSWDrr */
+  0xe56, /* VFNMADDSD4rm */
+  0xe58, /* VFNMADDSD4rr */
 /* Table7395 */
-  0x13c4, /* VPMADCSWDrm */
-  0x13c5, /* VPMADCSWDrr */
+  0xe96, /* VFNMSUBPS4rm */
+  0xe98, /* VFNMSUBPS4rr */
 /* Table7397 */
-  0x1557, /* VPROTBmi */
-  0x1559, /* VPROTBri */
+  0xe82, /* VFNMSUBPD4rm */
+  0xe84, /* VFNMSUBPD4rr */
 /* Table7399 */
-  0x1566, /* VPROTWmi */
-  0x1568, /* VPROTWri */
+  0xeb9, /* VFNMSUBSS4rm */
+  0xebb, /* VFNMSUBSS4rr */
 /* Table7401 */
-  0x155c, /* VPROTDmi */
-  0x155e, /* VPROTDri */
+  0xeaa, /* VFNMSUBSD4rm */
+  0xeac, /* VFNMSUBSD4rr */
 /* Table7403 */
-  0x1561, /* VPROTQmi */
-  0x1563, /* VPROTQri */
+  0x1251, /* VPBLENDDYrmi */
+  0x1252, /* VPBLENDDYrri */
 /* Table7405 */
-  0x12bd, /* VPCOMBmi */
-  0x12be, /* VPCOMBri */
+  0x139f, /* VPERMILPSYmi */
+  0x13a0, /* VPERMILPSYri */
 /* Table7407 */
-  0x12cb, /* VPCOMWmi */
-  0x12cc, /* VPCOMWri */
+  0x1395, /* VPERMILPDYmi */
+  0x1396, /* VPERMILPDYri */
 /* Table7409 */
-  0x12bf, /* VPCOMDmi */
-  0x12c0, /* VPCOMDri */
+  0x1369, /* VPERM2F128rm */
+  0x136a, /* VPERM2F128rr */
 /* Table7411 */
-  0x12c1, /* VPCOMQmi */
-  0x12c2, /* VPCOMQri */
+  0x1766, /* VROUNDYPSm */
+  0x1767, /* VROUNDYPSr */
 /* Table7413 */
-  0x12c3, /* VPCOMUBmi */
-  0x12c4, /* VPCOMUBri */
+  0x1764, /* VROUNDYPDm */
+  0x1765, /* VROUNDYPDr */
 /* Table7415 */
-  0x12c9, /* VPCOMUWmi */
-  0x12ca, /* VPCOMUWri */
+  0xbdd, /* VBLENDPSYrmi */
+  0xbde, /* VBLENDPSYrri */
 /* Table7417 */
-  0x12c5, /* VPCOMUDmi */
-  0x12c6, /* VPCOMUDri */
+  0xbd9, /* VBLENDPDYrmi */
+  0xbda, /* VBLENDPDYrri */
 /* Table7419 */
-  0x12c7, /* VPCOMUQmi */
-  0x12c8, /* VPCOMUQri */
+  0x125d, /* VPBLENDWYrmi */
+  0x125e, /* VPBLENDWYrri */
 /* Table7421 */
-  0x1269, /* VPCMOVrm */
-  0x126b, /* VPCMOVrr */
+  0x121b, /* VPALIGNR256rm */
+  0x121c, /* VPALIGNR256rr */
 /* Table7423 */
-  0x1555, /* VPPERMrm */
-  0x1556, /* VPPERMrr */
+  0xf06, /* VINSERTF128rm */
+  0xf07, /* VINSERTF128rr */
 /* Table7425 */
-  0x1268, /* VPCMOVmrY */
-  0x126c, /* VPCMOVrrY */
+  0xcfa, /* VEXTRACTF128mr */
+  0xcfb, /* VEXTRACTF128rr */
 /* Table7427 */
-  0x126a, /* VPCMOVrmY */
-  0x126c, /* VPCMOVrrY */
+  0xc5c, /* VCVTPS2PHYmr */
+  0xc5d, /* VCVTPS2PHYrr */
 /* Table7429 */
+  0xf0c, /* VINSERTI128rm */
+  0xf0d, /* VINSERTI128rr */
+/* Table7431 */
+  0xd00, /* VEXTRACTI128mr */
+  0xd01, /* VEXTRACTI128rr */
+/* Table7433 */
+  0xcf2, /* VDPPSYrmi */
+  0xcf3, /* VDPPSYrri */
+/* Table7435 */
+  0x1176, /* VMPSADBWYrmi */
+  0x1177, /* VMPSADBWYrri */
+/* Table7437 */
+  0x136b, /* VPERM2I128rm */
+  0x136c, /* VPERM2I128rr */
+/* Table7439 */
+  0x1390, /* VPERMIL2PSmrY */
+  0x1394, /* VPERMIL2PSrrY */
+/* Table7441 */
+  0x138a, /* VPERMIL2PDmrY */
+  0x138e, /* VPERMIL2PDrrY */
+/* Table7443 */
+  0xbe5, /* VBLENDVPSYrm */
+  0xbe6, /* VBLENDVPSYrr */
+/* Table7445 */
+  0xbe1, /* VBLENDVPDYrm */
+  0xbe2, /* VBLENDVPDYrr */
+/* Table7447 */
+  0x1259, /* VPBLENDVBYrm */
+  0x125a, /* VPBLENDVBYrr */
+/* Table7449 */
+  0xd81, /* VFMADDSUBPS4mrY */
+  0xd86, /* VFMADDSUBPS4rrY_REV */
+/* Table7451 */
+  0xd6d, /* VFMADDSUBPD4mrY */
+  0xd72, /* VFMADDSUBPD4rrY_REV */
+/* Table7453 */
+  0xdc5, /* VFMSUBADDPS4mrY */
+  0xdca, /* VFMSUBADDPS4rrY_REV */
+/* Table7455 */
+  0xdb1, /* VFMSUBADDPD4mrY */
+  0xdb6, /* VFMSUBADDPD4rrY_REV */
+/* Table7457 */
+  0xd2d, /* VFMADDPS4mrY */
+  0xd32, /* VFMADDPS4rrY_REV */
+/* Table7459 */
+  0xd19, /* VFMADDPD4mrY */
+  0xd1e, /* VFMADDPD4rrY_REV */
+/* Table7461 */
+  0xded, /* VFMSUBPS4mrY */
+  0xdf2, /* VFMSUBPS4rrY_REV */
+/* Table7463 */
+  0xdd9, /* VFMSUBPD4mrY */
+  0xdde, /* VFMSUBPD4rrY_REV */
+/* Table7465 */
+  0xe41, /* VFNMADDPS4mrY */
+  0xe46, /* VFNMADDPS4rrY_REV */
+/* Table7467 */
+  0xe2d, /* VFNMADDPD4mrY */
+  0xe32, /* VFNMADDPD4rrY_REV */
+/* Table7469 */
+  0xe95, /* VFNMSUBPS4mrY */
+  0xe9a, /* VFNMSUBPS4rrY_REV */
+/* Table7471 */
+  0xe81, /* VFNMSUBPD4mrY */
+  0xe86, /* VFNMSUBPD4rrY_REV */
+/* Table7473 */
+  0x13b3, /* VPERMQYmi */
+  0x13b4, /* VPERMQYri */
+/* Table7475 */
+  0x13a9, /* VPERMPDYmi */
+  0x13aa, /* VPERMPDYri */
+/* Table7477 */
+  0x1392, /* VPERMIL2PSrmY */
+  0x1394, /* VPERMIL2PSrrY */
+/* Table7479 */
+  0x138c, /* VPERMIL2PDrmY */
+  0x138e, /* VPERMIL2PDrrY */
+/* Table7481 */
+  0xd83, /* VFMADDSUBPS4rmY */
+  0xd85, /* VFMADDSUBPS4rrY */
+/* Table7483 */
+  0xd6f, /* VFMADDSUBPD4rmY */
+  0xd71, /* VFMADDSUBPD4rrY */
+/* Table7485 */
+  0xdc7, /* VFMSUBADDPS4rmY */
+  0xdc9, /* VFMSUBADDPS4rrY */
+/* Table7487 */
+  0xdb3, /* VFMSUBADDPD4rmY */
+  0xdb5, /* VFMSUBADDPD4rrY */
+/* Table7489 */
+  0xd2f, /* VFMADDPS4rmY */
+  0xd31, /* VFMADDPS4rrY */
+/* Table7491 */
+  0xd1b, /* VFMADDPD4rmY */
+  0xd1d, /* VFMADDPD4rrY */
+/* Table7493 */
+  0xdef, /* VFMSUBPS4rmY */
+  0xdf1, /* VFMSUBPS4rrY */
+/* Table7495 */
+  0xddb, /* VFMSUBPD4rmY */
+  0xddd, /* VFMSUBPD4rrY */
+/* Table7497 */
+  0xe43, /* VFNMADDPS4rmY */
+  0xe45, /* VFNMADDPS4rrY */
+/* Table7499 */
+  0xe2f, /* VFNMADDPD4rmY */
+  0xe31, /* VFNMADDPD4rrY */
+/* Table7501 */
+  0xe97, /* VFNMSUBPS4rmY */
+  0xe99, /* VFNMSUBPS4rrY */
+/* Table7503 */
+  0xe83, /* VFNMSUBPD4rmY */
+  0xe85, /* VFNMSUBPD4rrY */
+/* Table7505 */
+  0x1758, /* VRNDSCALESSm */
+  0x1759, /* VRNDSCALESSr */
+/* Table7507 */
+  0x1756, /* VRNDSCALESDm */
+  0x1757, /* VRNDSCALESDr */
+/* Table7509 */
+  0xd08, /* VEXTRACTPSzmr */
+  0xd09, /* VEXTRACTPSzrr */
+/* Table7511 */
+  0xf14, /* VINSERTPSzrm */
+  0xf15, /* VINSERTPSzrr */
+/* Table7513 */
+  0xbbc, /* VALIGNDrmi */
+  0xbbd, /* VALIGNDrri */
+/* Table7515 */
+  0x13a3, /* VPERMILPSZmi */
+  0x13a4, /* VPERMILPSZri */
+/* Table7517 */
+  0x1754, /* VRNDSCALEPSZm */
+  0x1755, /* VRNDSCALEPSZr */
+/* Table7519 */
+  0xf08, /* VINSERTF32x4rm */
+  0xf09, /* VINSERTF32x4rr */
+/* Table7521 */
+  0xcfc, /* VEXTRACTF32x4mr */
+  0xcfd, /* VEXTRACTF32x4rr */
+/* Table7523 */
+  0xc5e, /* VCVTPS2PHZmr */
+  0xc5f, /* VCVTPS2PHZrr */
+/* Table7525 */
+  0x133b, /* VPCMPUDZrmi */
+  0x133e, /* VPCMPUDZrri */
+/* Table7527 */
+  0x1287, /* VPCMPDZrmi */
+  0x128a, /* VPCMPDZrri */
+/* Table7529 */
+  0xf0e, /* VINSERTI32x4rm */
+  0xf0f, /* VINSERTI32x4rr */
+/* Table7531 */
+  0xd02, /* VEXTRACTI32x4mr */
+  0xd03, /* VEXTRACTI32x4rr */
+/* Table7533 */
+  0x13b5, /* VPERMQZmi */
+  0x13b6, /* VPERMQZri */
+/* Table7535 */
+  0x13ab, /* VPERMPDZmi */
+  0x13ac, /* VPERMPDZri */
+/* Table7537 */
+  0xbc0, /* VALIGNQrmi */
+  0xbc1, /* VALIGNQrri */
+/* Table7539 */
+  0x1399, /* VPERMILPDZmi */
+  0x139a, /* VPERMILPDZri */
+/* Table7541 */
+  0x1752, /* VRNDSCALEPDZm */
+  0x1753, /* VRNDSCALEPDZr */
+/* Table7543 */
+  0xf0a, /* VINSERTF64x4rm */
+  0xf0b, /* VINSERTF64x4rr */
+/* Table7545 */
+  0xcfe, /* VEXTRACTF64x4mr */
+  0xcff, /* VEXTRACTF64x4rr */
+/* Table7547 */
+  0x1341, /* VPCMPUQZrmi */
+  0x1344, /* VPCMPUQZrri */
+/* Table7549 */
+  0x1335, /* VPCMPQZrmi */
+  0x1338, /* VPCMPQZrri */
+/* Table7551 */
+  0xf10, /* VINSERTI64x4rm */
+  0xf11, /* VINSERTI64x4rr */
+/* Table7553 */
+  0xd04, /* VEXTRACTI64x4mr */
+  0xd05, /* VEXTRACTI64x4rr */
+/* Table7555 */
+  0x0, /*  */
+  0xbbe, /* VALIGNDrrik */
+/* Table7557 */
+  0x0, /*  */
+  0xbc2, /* VALIGNQrrik */
+/* Table7559 */
+  0x0, /*  */
+  0xbbf, /* VALIGNDrrikz */
+/* Table7561 */
+  0x0, /*  */
+  0xbc3, /* VALIGNQrrikz */
+/* Table7563 */
+  0x1446, /* VPMACSSWWrm */
+  0x1447, /* VPMACSSWWrr */
+/* Table7565 */
+  0x1444, /* VPMACSSWDrm */
+  0x1445, /* VPMACSSWDrr */
+/* Table7567 */
+  0x1442, /* VPMACSSDQLrm */
+  0x1443, /* VPMACSSDQLrr */
+/* Table7569 */
+  0x143e, /* VPMACSSDDrm */
+  0x143f, /* VPMACSSDDrr */
+/* Table7571 */
+  0x1440, /* VPMACSSDQHrm */
+  0x1441, /* VPMACSSDQHrr */
+/* Table7573 */
+  0x144a, /* VPMACSWWrm */
+  0x144b, /* VPMACSWWrr */
+/* Table7575 */
+  0x1448, /* VPMACSWDrm */
+  0x1449, /* VPMACSWDrr */
+/* Table7577 */
+  0x143c, /* VPMACSDQLrm */
+  0x143d, /* VPMACSDQLrr */
+/* Table7579 */
+  0x1438, /* VPMACSDDrm */
+  0x1439, /* VPMACSDDrr */
+/* Table7581 */
+  0x143a, /* VPMACSDQHrm */
+  0x143b, /* VPMACSDQHrr */
+/* Table7583 */
+  0x1281, /* VPCMOVmr */
+  0x1285, /* VPCMOVrr */
+/* Table7585 */
+  0x15de, /* VPPERMmr */
+  0x15e0, /* VPPERMrr */
+/* Table7587 */
+  0x144c, /* VPMADCSSWDrm */
+  0x144d, /* VPMADCSSWDrr */
+/* Table7589 */
+  0x144e, /* VPMADCSWDrm */
+  0x144f, /* VPMADCSWDrr */
+/* Table7591 */
+  0x15e1, /* VPROTBmi */
+  0x15e3, /* VPROTBri */
+/* Table7593 */
+  0x15f0, /* VPROTWmi */
+  0x15f2, /* VPROTWri */
+/* Table7595 */
+  0x15e6, /* VPROTDmi */
+  0x15e8, /* VPROTDri */
+/* Table7597 */
+  0x15eb, /* VPROTQmi */
+  0x15ed, /* VPROTQri */
+/* Table7599 */
+  0x1347, /* VPCOMBmi */
+  0x1348, /* VPCOMBri */
+/* Table7601 */
+  0x1355, /* VPCOMWmi */
+  0x1356, /* VPCOMWri */
+/* Table7603 */
+  0x1349, /* VPCOMDmi */
+  0x134a, /* VPCOMDri */
+/* Table7605 */
+  0x134b, /* VPCOMQmi */
+  0x134c, /* VPCOMQri */
+/* Table7607 */
+  0x134d, /* VPCOMUBmi */
+  0x134e, /* VPCOMUBri */
+/* Table7609 */
+  0x1353, /* VPCOMUWmi */
+  0x1354, /* VPCOMUWri */
+/* Table7611 */
+  0x134f, /* VPCOMUDmi */
+  0x1350, /* VPCOMUDri */
+/* Table7613 */
+  0x1351, /* VPCOMUQmi */
+  0x1352, /* VPCOMUQri */
+/* Table7615 */
+  0x1283, /* VPCMOVrm */
+  0x1285, /* VPCMOVrr */
+/* Table7617 */
+  0x15df, /* VPPERMrm */
+  0x15e0, /* VPPERMrr */
+/* Table7619 */
+  0x1282, /* VPCMOVmrY */
+  0x1286, /* VPCMOVrrY */
+/* Table7621 */
+  0x1284, /* VPCMOVrmY */
+  0x1286, /* VPCMOVrrY */
+/* Table7623 */
   0x0, /*  */
   0xe7, /* BLCFILL32rm */
   0x103, /* BLSFILL32rm */
   0xf7, /* BLCS32rm */
-  0xb6b, /* TZMSK32rm */
+  0xb65, /* TZMSK32rm */
   0xef, /* BLCIC32rm */
   0x10b, /* BLSIC32rm */
-  0xb2d, /* T1MSKC32rm */
+  0xb27, /* T1MSKC32rm */
   0x0, /*  */
   0xe8, /* BLCFILL32rr */
   0x104, /* BLSFILL32rr */
   0xf8, /* BLCS32rr */
-  0xb6c, /* TZMSK32rr */
+  0xb66, /* TZMSK32rr */
   0xf0, /* BLCIC32rr */
   0x10c, /* BLSIC32rr */
-  0xb2e, /* T1MSKC32rr */
-/* Table7445 */
+  0xb28, /* T1MSKC32rr */
+/* Table7639 */
   0x0, /*  */
   0xf3, /* BLCMSK32rm */
   0x0, /*  */
@@ -57797,117 +59093,117 @@
   0x0, /*  */
   0xec, /* BLCI32rr */
   0x0, /*  */
-/* Table7461 */
-  0xeb8, /* VFRCZPSrm */
-  0xeba, /* VFRCZPSrr */
-/* Table7463 */
-  0xeb4, /* VFRCZPDrm */
-  0xeb6, /* VFRCZPDrr */
-/* Table7465 */
-  0xebe, /* VFRCZSSrm */
-  0xebf, /* VFRCZSSrr */
-/* Table7467 */
-  0xebc, /* VFRCZSDrm */
-  0xebd, /* VFRCZSDrr */
-/* Table7469 */
-  0x1558, /* VPROTBmr */
-  0x155b, /* VPROTBrr */
-/* Table7471 */
-  0x1567, /* VPROTWmr */
-  0x156a, /* VPROTWrr */
-/* Table7473 */
-  0x155d, /* VPROTDmr */
-  0x1560, /* VPROTDrr */
-/* Table7475 */
-  0x1562, /* VPROTQmr */
-  0x1565, /* VPROTQrr */
-/* Table7477 */
-  0x157f, /* VPSHLBmr */
-  0x1581, /* VPSHLBrr */
-/* Table7479 */
-  0x1588, /* VPSHLWmr */
-  0x158a, /* VPSHLWrr */
-/* Table7481 */
-  0x1582, /* VPSHLDmr */
-  0x1584, /* VPSHLDrr */
-/* Table7483 */
-  0x1585, /* VPSHLQmr */
-  0x1587, /* VPSHLQrr */
-/* Table7485 */
-  0x1573, /* VPSHABmr */
-  0x1575, /* VPSHABrr */
-/* Table7487 */
-  0x157c, /* VPSHAWmr */
-  0x157e, /* VPSHAWrr */
-/* Table7489 */
-  0x1576, /* VPSHADmr */
-  0x1578, /* VPSHADrr */
-/* Table7491 */
-  0x1579, /* VPSHAQmr */
-  0x157b, /* VPSHAQrr */
-/* Table7493 */
-  0x1360, /* VPHADDBWrm */
-  0x1361, /* VPHADDBWrr */
-/* Table7495 */
-  0x135c, /* VPHADDBDrm */
-  0x135d, /* VPHADDBDrr */
-/* Table7497 */
-  0x135e, /* VPHADDBQrm */
-  0x135f, /* VPHADDBQrr */
-/* Table7499 */
-  0x1378, /* VPHADDWDrm */
-  0x1379, /* VPHADDWDrr */
-/* Table7501 */
-  0x137a, /* VPHADDWQrm */
-  0x137b, /* VPHADDWQrr */
-/* Table7503 */
-  0x1362, /* VPHADDDQrm */
-  0x1363, /* VPHADDDQrr */
-/* Table7505 */
-  0x1370, /* VPHADDUBWrm */
-  0x1371, /* VPHADDUBWrr */
-/* Table7507 */
-  0x136c, /* VPHADDUBDrm */
-  0x136d, /* VPHADDUBDrr */
-/* Table7509 */
-  0x136e, /* VPHADDUBQrm */
-  0x136f, /* VPHADDUBQrr */
-/* Table7511 */
-  0x1374, /* VPHADDUWDrm */
-  0x1375, /* VPHADDUWDrr */
-/* Table7513 */
-  0x1376, /* VPHADDUWQrm */
-  0x1377, /* VPHADDUWQrr */
-/* Table7515 */
-  0x1372, /* VPHADDUDQrm */
-  0x1373, /* VPHADDUDQrr */
-/* Table7517 */
-  0x1382, /* VPHSUBBWrm */
-  0x1383, /* VPHSUBBWrr */
-/* Table7519 */
-  0x138e, /* VPHSUBWDrm */
-  0x138f, /* VPHSUBWDrr */
-/* Table7521 */
-  0x1384, /* VPHSUBDQrm */
-  0x1385, /* VPHSUBDQrr */
-/* Table7523 */
+/* Table7655 */
+  0xeca, /* VFRCZPSrm */
+  0xecc, /* VFRCZPSrr */
+/* Table7657 */
+  0xec6, /* VFRCZPDrm */
+  0xec8, /* VFRCZPDrr */
+/* Table7659 */
+  0xed0, /* VFRCZSSrm */
+  0xed1, /* VFRCZSSrr */
+/* Table7661 */
+  0xece, /* VFRCZSDrm */
+  0xecf, /* VFRCZSDrr */
+/* Table7663 */
+  0x15e2, /* VPROTBmr */
+  0x15e5, /* VPROTBrr */
+/* Table7665 */
+  0x15f1, /* VPROTWmr */
+  0x15f4, /* VPROTWrr */
+/* Table7667 */
+  0x15e7, /* VPROTDmr */
+  0x15ea, /* VPROTDrr */
+/* Table7669 */
+  0x15ec, /* VPROTQmr */
+  0x15ef, /* VPROTQrr */
+/* Table7671 */
+  0x1609, /* VPSHLBmr */
+  0x160b, /* VPSHLBrr */
+/* Table7673 */
+  0x1612, /* VPSHLWmr */
+  0x1614, /* VPSHLWrr */
+/* Table7675 */
+  0x160c, /* VPSHLDmr */
+  0x160e, /* VPSHLDrr */
+/* Table7677 */
+  0x160f, /* VPSHLQmr */
+  0x1611, /* VPSHLQrr */
+/* Table7679 */
+  0x15fd, /* VPSHABmr */
+  0x15ff, /* VPSHABrr */
+/* Table7681 */
+  0x1606, /* VPSHAWmr */
+  0x1608, /* VPSHAWrr */
+/* Table7683 */
+  0x1600, /* VPSHADmr */
+  0x1602, /* VPSHADrr */
+/* Table7685 */
+  0x1603, /* VPSHAQmr */
+  0x1605, /* VPSHAQrr */
+/* Table7687 */
+  0x13ea, /* VPHADDBWrm */
+  0x13eb, /* VPHADDBWrr */
+/* Table7689 */
+  0x13e6, /* VPHADDBDrm */
+  0x13e7, /* VPHADDBDrr */
+/* Table7691 */
+  0x13e8, /* VPHADDBQrm */
+  0x13e9, /* VPHADDBQrr */
+/* Table7693 */
+  0x1402, /* VPHADDWDrm */
+  0x1403, /* VPHADDWDrr */
+/* Table7695 */
+  0x1404, /* VPHADDWQrm */
+  0x1405, /* VPHADDWQrr */
+/* Table7697 */
+  0x13ec, /* VPHADDDQrm */
+  0x13ed, /* VPHADDDQrr */
+/* Table7699 */
+  0x13fa, /* VPHADDUBWrm */
+  0x13fb, /* VPHADDUBWrr */
+/* Table7701 */
+  0x13f6, /* VPHADDUBDrm */
+  0x13f7, /* VPHADDUBDrr */
+/* Table7703 */
+  0x13f8, /* VPHADDUBQrm */
+  0x13f9, /* VPHADDUBQrr */
+/* Table7705 */
+  0x13fe, /* VPHADDUWDrm */
+  0x13ff, /* VPHADDUWDrr */
+/* Table7707 */
+  0x1400, /* VPHADDUWQrm */
+  0x1401, /* VPHADDUWQrr */
+/* Table7709 */
+  0x13fc, /* VPHADDUDQrm */
+  0x13fd, /* VPHADDUDQrr */
+/* Table7711 */
+  0x140c, /* VPHSUBBWrm */
+  0x140d, /* VPHSUBBWrr */
+/* Table7713 */
+  0x1418, /* VPHSUBWDrm */
+  0x1419, /* VPHSUBWDrr */
+/* Table7715 */
+  0x140e, /* VPHSUBDQrm */
+  0x140f, /* VPHSUBDQrr */
+/* Table7717 */
   0x0, /*  */
   0xe9, /* BLCFILL64rm */
   0x105, /* BLSFILL64rm */
   0xf9, /* BLCS64rm */
-  0xb6d, /* TZMSK64rm */
+  0xb67, /* TZMSK64rm */
   0xf1, /* BLCIC64rm */
   0x10d, /* BLSIC64rm */
-  0xb2f, /* T1MSKC64rm */
+  0xb29, /* T1MSKC64rm */
   0x0, /*  */
   0xea, /* BLCFILL64rr */
   0x106, /* BLSFILL64rr */
   0xfa, /* BLCS64rr */
-  0xb6e, /* TZMSK64rr */
+  0xb68, /* TZMSK64rr */
   0xf2, /* BLCIC64rr */
   0x10e, /* BLSIC64rr */
-  0xb30, /* T1MSKC64rr */
-/* Table7539 */
+  0xb2a, /* T1MSKC64rr */
+/* Table7733 */
   0x0, /*  */
   0xf5, /* BLCMSK64rm */
   0x0, /*  */
@@ -57924,56 +59220,56 @@
   0x0, /*  */
   0xee, /* BLCI64rr */
   0x0, /*  */
-/* Table7555 */
-  0x155a, /* VPROTBrm */
-  0x155b, /* VPROTBrr */
-/* Table7557 */
-  0x1569, /* VPROTWrm */
-  0x156a, /* VPROTWrr */
-/* Table7559 */
-  0x155f, /* VPROTDrm */
-  0x1560, /* VPROTDrr */
-/* Table7561 */
-  0x1564, /* VPROTQrm */
-  0x1565, /* VPROTQrr */
-/* Table7563 */
-  0x1580, /* VPSHLBrm */
-  0x1581, /* VPSHLBrr */
-/* Table7565 */
-  0x1589, /* VPSHLWrm */
-  0x158a, /* VPSHLWrr */
-/* Table7567 */
-  0x1583, /* VPSHLDrm */
-  0x1584, /* VPSHLDrr */
-/* Table7569 */
-  0x1586, /* VPSHLQrm */
-  0x1587, /* VPSHLQrr */
-/* Table7571 */
-  0x1574, /* VPSHABrm */
-  0x1575, /* VPSHABrr */
-/* Table7573 */
-  0x157d, /* VPSHAWrm */
-  0x157e, /* VPSHAWrr */
-/* Table7575 */
-  0x1577, /* VPSHADrm */
-  0x1578, /* VPSHADrr */
-/* Table7577 */
-  0x157a, /* VPSHAQrm */
-  0x157b, /* VPSHAQrr */
-/* Table7579 */
-  0xeb9, /* VFRCZPSrmY */
-  0xebb, /* VFRCZPSrrY */
-/* Table7581 */
-  0xeb5, /* VFRCZPDrmY */
-  0xeb7, /* VFRCZPDrrY */
-/* Table7583 */
+/* Table7749 */
+  0x15e4, /* VPROTBrm */
+  0x15e5, /* VPROTBrr */
+/* Table7751 */
+  0x15f3, /* VPROTWrm */
+  0x15f4, /* VPROTWrr */
+/* Table7753 */
+  0x15e9, /* VPROTDrm */
+  0x15ea, /* VPROTDrr */
+/* Table7755 */
+  0x15ee, /* VPROTQrm */
+  0x15ef, /* VPROTQrr */
+/* Table7757 */
+  0x160a, /* VPSHLBrm */
+  0x160b, /* VPSHLBrr */
+/* Table7759 */
+  0x1613, /* VPSHLWrm */
+  0x1614, /* VPSHLWrr */
+/* Table7761 */
+  0x160d, /* VPSHLDrm */
+  0x160e, /* VPSHLDrr */
+/* Table7763 */
+  0x1610, /* VPSHLQrm */
+  0x1611, /* VPSHLQrr */
+/* Table7765 */
+  0x15fe, /* VPSHABrm */
+  0x15ff, /* VPSHABrr */
+/* Table7767 */
+  0x1607, /* VPSHAWrm */
+  0x1608, /* VPSHAWrr */
+/* Table7769 */
+  0x1601, /* VPSHADrm */
+  0x1602, /* VPSHADrr */
+/* Table7771 */
+  0x1604, /* VPSHAQrm */
+  0x1605, /* VPSHAQrr */
+/* Table7773 */
+  0xecb, /* VFRCZPSrmY */
+  0xecd, /* VFRCZPSrrY */
+/* Table7775 */
+  0xec7, /* VFRCZPDrmY */
+  0xec9, /* VFRCZPDrrY */
+/* Table7777 */
   0xe3, /* BEXTRI32mi */
   0xe4, /* BEXTRI32ri */
-/* Table7585 */
+/* Table7779 */
   0xe5, /* BEXTRI64mi */
   0xe6, /* BEXTRI64ri */
-/* Table7587 */
-  0x87b, /* PREFETCH */
+/* Table7781 */
+  0x876, /* PREFETCH */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -57989,80 +59285,80 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table7603 */
-  0x2ff, /* FEMMS */
-/* Table7604 */
-  0x80a, /* PI2FWrm */
-  0x80b, /* PI2FWrr */
-/* Table7606 */
-  0x808, /* PI2FDrm */
-  0x809, /* PI2FDrr */
-/* Table7608 */
-  0x7d6, /* PF2IWrm */
-  0x7d7, /* PF2IWrr */
-/* Table7610 */
-  0x7d4, /* PF2IDrm */
-  0x7d5, /* PF2IDrr */
-/* Table7612 */
-  0x7e8, /* PFNACCrm */
-  0x7e9, /* PFNACCrr */
-/* Table7614 */
-  0x7ea, /* PFPNACCrm */
-  0x7eb, /* PFPNACCrr */
-/* Table7616 */
-  0x7de, /* PFCMPGErm */
-  0x7df, /* PFCMPGErr */
-/* Table7618 */
-  0x7e4, /* PFMINrm */
-  0x7e5, /* PFMINrr */
-/* Table7620 */
-  0x7f0, /* PFRCPrm */
-  0x7f1, /* PFRCPrr */
-/* Table7622 */
-  0x7f4, /* PFRSQRTrm */
-  0x7f5, /* PFRSQRTrr */
-/* Table7624 */
-  0x7f8, /* PFSUBrm */
-  0x7f9, /* PFSUBrr */
-/* Table7626 */
-  0x7da, /* PFADDrm */
-  0x7db, /* PFADDrr */
-/* Table7628 */
-  0x7e0, /* PFCMPGTrm */
-  0x7e1, /* PFCMPGTrr */
-/* Table7630 */
-  0x7e2, /* PFMAXrm */
-  0x7e3, /* PFMAXrr */
-/* Table7632 */
-  0x7ec, /* PFRCPIT1rm */
-  0x7ed, /* PFRCPIT1rr */
-/* Table7634 */
-  0x7f2, /* PFRSQIT1rm */
-  0x7f3, /* PFRSQIT1rr */
-/* Table7636 */
-  0x7f6, /* PFSUBRrm */
-  0x7f7, /* PFSUBRrr */
-/* Table7638 */
-  0x7d8, /* PFACCrm */
-  0x7d9, /* PFACCrr */
-/* Table7640 */
-  0x7dc, /* PFCMPEQrm */
-  0x7dd, /* PFCMPEQrr */
-/* Table7642 */
-  0x7e6, /* PFMULrm */
-  0x7e7, /* PFMULrr */
-/* Table7644 */
-  0x7ee, /* PFRCPIT2rm */
-  0x7ef, /* PFRCPIT2rr */
-/* Table7646 */
-  0x84d, /* PMULHRWrm */
-  0x84e, /* PMULHRWrr */
-/* Table7648 */
-  0x8bb, /* PSWAPDrm */
-  0x8bc, /* PSWAPDrr */
-/* Table7650 */
-  0x799, /* PAVGUSBrm */
-  0x79a, /* PAVGUSBrr */
+/* Table7797 */
+  0x2fc, /* FEMMS */
+/* Table7798 */
+  0x805, /* PI2FWrm */
+  0x806, /* PI2FWrr */
+/* Table7800 */
+  0x803, /* PI2FDrm */
+  0x804, /* PI2FDrr */
+/* Table7802 */
+  0x7d1, /* PF2IWrm */
+  0x7d2, /* PF2IWrr */
+/* Table7804 */
+  0x7cf, /* PF2IDrm */
+  0x7d0, /* PF2IDrr */
+/* Table7806 */
+  0x7e3, /* PFNACCrm */
+  0x7e4, /* PFNACCrr */
+/* Table7808 */
+  0x7e5, /* PFPNACCrm */
+  0x7e6, /* PFPNACCrr */
+/* Table7810 */
+  0x7d9, /* PFCMPGErm */
+  0x7da, /* PFCMPGErr */
+/* Table7812 */
+  0x7df, /* PFMINrm */
+  0x7e0, /* PFMINrr */
+/* Table7814 */
+  0x7eb, /* PFRCPrm */
+  0x7ec, /* PFRCPrr */
+/* Table7816 */
+  0x7ef, /* PFRSQRTrm */
+  0x7f0, /* PFRSQRTrr */
+/* Table7818 */
+  0x7f3, /* PFSUBrm */
+  0x7f4, /* PFSUBrr */
+/* Table7820 */
+  0x7d5, /* PFADDrm */
+  0x7d6, /* PFADDrr */
+/* Table7822 */
+  0x7db, /* PFCMPGTrm */
+  0x7dc, /* PFCMPGTrr */
+/* Table7824 */
+  0x7dd, /* PFMAXrm */
+  0x7de, /* PFMAXrr */
+/* Table7826 */
+  0x7e7, /* PFRCPIT1rm */
+  0x7e8, /* PFRCPIT1rr */
+/* Table7828 */
+  0x7ed, /* PFRSQIT1rm */
+  0x7ee, /* PFRSQIT1rr */
+/* Table7830 */
+  0x7f1, /* PFSUBRrm */
+  0x7f2, /* PFSUBRrr */
+/* Table7832 */
+  0x7d3, /* PFACCrm */
+  0x7d4, /* PFACCrr */
+/* Table7834 */
+  0x7d7, /* PFCMPEQrm */
+  0x7d8, /* PFCMPEQrr */
+/* Table7836 */
+  0x7e1, /* PFMULrm */
+  0x7e2, /* PFMULrr */
+/* Table7838 */
+  0x7e9, /* PFRCPIT2rm */
+  0x7ea, /* PFRCPIT2rr */
+/* Table7840 */
+  0x848, /* PMULHRWrm */
+  0x849, /* PMULHRWrr */
+/* Table7842 */
+  0x8b6, /* PSWAPDrm */
+  0x8b7, /* PSWAPDrr */
+/* Table7844 */
+  0x794, /* PAVGUSBrm */
+  0x795, /* PAVGUSBrr */
   0x0
 };
 
@@ -58261,329 +59557,329 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    100 /* Table100 */
+    96 /* Table96 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    101 /* Table101 */
+    97 /* Table97 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    102 /* Table102 */
+    98 /* Table98 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -58593,762 +59889,762 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    109 /* Table109 */
+    103 /* Table103 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    112 /* Table112 */
+    106 /* Table106 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    217 /* Table217 */
+    211 /* Table211 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    221 /* Table221 */
+    215 /* Table215 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    243 /* Table243 */
+    237 /* Table237 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    281 /* Table281 */
+    275 /* Table275 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    297 /* Table297 */
+    291 /* Table291 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    375 /* Table375 */
+    369 /* Table369 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    448 /* Table448 */
+    442 /* Table442 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    449 /* Table449 */
+    443 /* Table443 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    450 /* Table450 */
+    444 /* Table444 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    447 /* Table447 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    454 /* Table454 */
+    448 /* Table448 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    471 /* Table471 */
+    465 /* Table465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    519 /* Table519 */
+    513 /* Table513 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    934 /* Table934 */
+    928 /* Table928 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    939 /* Table939 */
+    933 /* Table933 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    969 /* Table969 */
+    963 /* Table963 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1007 /* Table1007 */
+    1001 /* Table1001 */
    }
   }
  }
@@ -59546,9 +60842,9 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -59558,37 +60854,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -59598,37 +60894,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -59638,37 +60934,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -59718,7 +61014,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -59758,82 +61054,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -59856,19 +61152,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -59878,132 +61174,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1026 /* Table1026 */
+    1020 /* Table1020 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -60013,377 +61309,377 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1028 /* Table1028 */
+    1022 /* Table1022 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1030 /* Table1030 */
+    1024 /* Table1024 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1047 /* Table1047 */
+    1041 /* Table1041 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1048 /* Table1048 */
+    1042 /* Table1042 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1050 /* Table1050 */
+    1044 /* Table1044 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1052 /* Table1052 */
+    1046 /* Table1046 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    281 /* Table281 */
+    275 /* Table275 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1053 /* Table1053 */
+    1047 /* Table1047 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1054 /* Table1054 */
+    1048 /* Table1048 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    375 /* Table375 */
+    369 /* Table369 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    449 /* Table449 */
+    443 /* Table443 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    450 /* Table450 */
+    444 /* Table444 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -60393,27 +61689,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    454 /* Table454 */
+    448 /* Table448 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    471 /* Table471 */
+    465 /* Table465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -60428,212 +61724,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1056 /* Table1056 */
+    1050 /* Table1050 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1057 /* Table1057 */
+    1051 /* Table1051 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    969 /* Table969 */
+    963 /* Table963 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1058 /* Table1058 */
+    1052 /* Table1052 */
    }
   }
  }
@@ -60648,7 +61944,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1074 /* Table1074 */
+    1068 /* Table1068 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -60658,7 +61954,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1076 /* Table1076 */
+    1070 /* Table1070 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -60668,17 +61964,17 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1078 /* Table1078 */
+    1072 /* Table1072 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1079 /* Table1079 */
+    1073 /* Table1073 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1080 /* Table1080 */
+    1074 /* Table1074 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
@@ -60688,7 +61984,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1081 /* Table1081 */
+    1075 /* Table1075 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -60698,7 +61994,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1083 /* Table1083 */
+    1077 /* Table1077 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -60708,12 +62004,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1085 /* Table1085 */
+    1079 /* Table1079 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1086 /* Table1086 */
+    1080 /* Table1080 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -60728,7 +62024,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1087 /* Table1087 */
+    1081 /* Table1081 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -60738,7 +62034,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1089 /* Table1089 */
+    1083 /* Table1083 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -60748,17 +62044,17 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1091 /* Table1091 */
+    1085 /* Table1085 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1092 /* Table1092 */
+    1086 /* Table1086 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1093 /* Table1093 */
+    1087 /* Table1087 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
@@ -60768,7 +62064,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1094 /* Table1094 */
+    1088 /* Table1088 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -60778,7 +62074,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1096 /* Table1096 */
+    1090 /* Table1090 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -60788,17 +62084,17 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1098 /* Table1098 */
+    1092 /* Table1092 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1099 /* Table1099 */
+    1093 /* Table1093 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1100 /* Table1100 */
+    1094 /* Table1094 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
@@ -60808,7 +62104,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1101 /* Table1101 */
+    1095 /* Table1095 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -60818,7 +62114,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1103 /* Table1103 */
+    1097 /* Table1097 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -60828,332 +62124,332 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1105 /* Table1105 */
+    1099 /* Table1099 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1106 /* Table1106 */
+    1100 /* Table1100 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1108 /* Table1108 */
+    1102 /* Table1102 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1110 /* Table1110 */
+    1104 /* Table1104 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1111 /* Table1111 */
+    1105 /* Table1105 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1113 /* Table1113 */
+    1107 /* Table1107 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1115 /* Table1115 */
+    1109 /* Table1109 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1116 /* Table1116 */
+    1110 /* Table1110 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1118 /* Table1118 */
+    1112 /* Table1112 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1120 /* Table1120 */
+    1114 /* Table1114 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1121 /* Table1121 */
+    1115 /* Table1115 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1121 /* Table1121 */
+    1115 /* Table1115 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1121 /* Table1121 */
+    1115 /* Table1115 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1121 /* Table1121 */
+    1115 /* Table1115 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1121 /* Table1121 */
+    1115 /* Table1115 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1121 /* Table1121 */
+    1115 /* Table1115 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1121 /* Table1121 */
+    1115 /* Table1115 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1121 /* Table1121 */
+    1115 /* Table1115 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1122 /* Table1122 */
+    1116 /* Table1116 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1122 /* Table1122 */
+    1116 /* Table1116 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1122 /* Table1122 */
+    1116 /* Table1116 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1122 /* Table1122 */
+    1116 /* Table1116 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1122 /* Table1122 */
+    1116 /* Table1116 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1122 /* Table1122 */
+    1116 /* Table1116 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1122 /* Table1122 */
+    1116 /* Table1116 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1122 /* Table1122 */
+    1116 /* Table1116 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1125 /* Table1125 */
+    1119 /* Table1119 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1126 /* Table1126 */
+    1120 /* Table1120 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1127 /* Table1127 */
+    1121 /* Table1121 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -61163,762 +62459,762 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1129 /* Table1129 */
+    1123 /* Table1123 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1130 /* Table1130 */
+    1124 /* Table1124 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1132 /* Table1132 */
+    1126 /* Table1126 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1133 /* Table1133 */
+    1127 /* Table1127 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1135 /* Table1135 */
+    1129 /* Table1129 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1136 /* Table1136 */
+    1130 /* Table1130 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1137 /* Table1137 */
+    1131 /* Table1131 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1153 /* Table1153 */
+    1147 /* Table1147 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1169 /* Table1169 */
+    1163 /* Table1163 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1171 /* Table1171 */
+    1165 /* Table1165 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1173 /* Table1173 */
+    1167 /* Table1167 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1175 /* Table1175 */
+    1169 /* Table1169 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1177 /* Table1177 */
+    1171 /* Table1171 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1179 /* Table1179 */
+    1173 /* Table1173 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1181 /* Table1181 */
+    1175 /* Table1175 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1183 /* Table1183 */
+    1177 /* Table1177 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1200 /* Table1200 */
+    1194 /* Table1194 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1201 /* Table1201 */
+    1195 /* Table1195 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1202 /* Table1202 */
+    1196 /* Table1196 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1203 /* Table1203 */
+    1197 /* Table1197 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1204 /* Table1204 */
+    1198 /* Table1198 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1206 /* Table1206 */
+    1200 /* Table1200 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1208 /* Table1208 */
+    1202 /* Table1202 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1230 /* Table1230 */
+    1224 /* Table1224 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1232 /* Table1232 */
+    1226 /* Table1226 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1234 /* Table1234 */
+    1228 /* Table1228 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1236 /* Table1236 */
+    1230 /* Table1230 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    448 /* Table448 */
+    442 /* Table442 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    447 /* Table447 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1327 /* Table1327 */
+    1321 /* Table1321 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    519 /* Table519 */
+    513 /* Table513 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    934 /* Table934 */
+    928 /* Table928 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1343 /* Table1343 */
+    1337 /* Table1337 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1344 /* Table1344 */
+    1338 /* Table1338 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1345 /* Table1345 */
+    1339 /* Table1339 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1346 /* Table1346 */
+    1340 /* Table1340 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1348 /* Table1348 */
+    1342 /* Table1342 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1350 /* Table1350 */
+    1344 /* Table1344 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1366 /* Table1366 */
+    1360 /* Table1360 */
    }
   }
  }
@@ -62116,329 +63412,329 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    100 /* Table100 */
+    96 /* Table96 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    101 /* Table101 */
+    97 /* Table97 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    102 /* Table102 */
+    98 /* Table98 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -62448,762 +63744,762 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    109 /* Table109 */
+    103 /* Table103 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    112 /* Table112 */
+    106 /* Table106 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    217 /* Table217 */
+    211 /* Table211 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    221 /* Table221 */
+    215 /* Table215 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    243 /* Table243 */
+    237 /* Table237 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    281 /* Table281 */
+    275 /* Table275 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    297 /* Table297 */
+    291 /* Table291 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    375 /* Table375 */
+    369 /* Table369 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    448 /* Table448 */
+    442 /* Table442 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    449 /* Table449 */
+    443 /* Table443 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    450 /* Table450 */
+    444 /* Table444 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    447 /* Table447 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    454 /* Table454 */
+    448 /* Table448 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    471 /* Table471 */
+    465 /* Table465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    519 /* Table519 */
+    513 /* Table513 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1382 /* Table1382 */
+    1376 /* Table1376 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    939 /* Table939 */
+    933 /* Table933 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    969 /* Table969 */
+    963 /* Table963 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1007 /* Table1007 */
+    1001 /* Table1001 */
    }
   }
  }
@@ -63401,329 +64697,329 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    100 /* Table100 */
+    96 /* Table96 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    101 /* Table101 */
+    97 /* Table97 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    102 /* Table102 */
+    98 /* Table98 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -63733,762 +65029,762 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    109 /* Table109 */
+    103 /* Table103 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    112 /* Table112 */
+    106 /* Table106 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    217 /* Table217 */
+    211 /* Table211 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    221 /* Table221 */
+    215 /* Table215 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    243 /* Table243 */
+    237 /* Table237 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    281 /* Table281 */
+    275 /* Table275 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    297 /* Table297 */
+    291 /* Table291 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    375 /* Table375 */
+    369 /* Table369 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    448 /* Table448 */
+    442 /* Table442 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    449 /* Table449 */
+    443 /* Table443 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    450 /* Table450 */
+    444 /* Table444 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    447 /* Table447 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    454 /* Table454 */
+    448 /* Table448 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    471 /* Table471 */
+    465 /* Table465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    519 /* Table519 */
+    513 /* Table513 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    934 /* Table934 */
+    928 /* Table928 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    939 /* Table939 */
+    933 /* Table933 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    969 /* Table969 */
+    963 /* Table963 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1007 /* Table1007 */
+    1001 /* Table1001 */
    }
   }
  }
@@ -64686,329 +65982,329 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    100 /* Table100 */
+    96 /* Table96 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    101 /* Table101 */
+    97 /* Table97 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    102 /* Table102 */
+    98 /* Table98 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -65018,762 +66314,762 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    109 /* Table109 */
+    103 /* Table103 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    112 /* Table112 */
+    106 /* Table106 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    217 /* Table217 */
+    211 /* Table211 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    221 /* Table221 */
+    215 /* Table215 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1383 /* Table1383 */
+    1377 /* Table1377 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    243 /* Table243 */
+    237 /* Table237 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    281 /* Table281 */
+    275 /* Table275 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    297 /* Table297 */
+    291 /* Table291 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    375 /* Table375 */
+    369 /* Table369 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    448 /* Table448 */
+    442 /* Table442 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    449 /* Table449 */
+    443 /* Table443 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    450 /* Table450 */
+    444 /* Table444 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    447 /* Table447 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    454 /* Table454 */
+    448 /* Table448 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    471 /* Table471 */
+    465 /* Table465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    519 /* Table519 */
+    513 /* Table513 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    934 /* Table934 */
+    928 /* Table928 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    939 /* Table939 */
+    933 /* Table933 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    969 /* Table969 */
+    963 /* Table963 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1007 /* Table1007 */
+    1001 /* Table1001 */
    }
   }
  }
@@ -65788,7 +67084,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1384 /* Table1384 */
+    1378 /* Table1378 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -65798,7 +67094,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1386 /* Table1386 */
+    1380 /* Table1380 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -65808,7 +67104,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1388 /* Table1388 */
+    1382 /* Table1382 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -65828,7 +67124,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1389 /* Table1389 */
+    1383 /* Table1383 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -65838,7 +67134,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1391 /* Table1391 */
+    1385 /* Table1385 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -65848,7 +67144,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1393 /* Table1393 */
+    1387 /* Table1387 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -65868,7 +67164,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1394 /* Table1394 */
+    1388 /* Table1388 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -65878,7 +67174,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1396 /* Table1396 */
+    1390 /* Table1390 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -65888,7 +67184,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1398 /* Table1398 */
+    1392 /* Table1392 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -65908,7 +67204,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1399 /* Table1399 */
+    1393 /* Table1393 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -65918,7 +67214,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1401 /* Table1401 */
+    1395 /* Table1395 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -65928,7 +67224,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1403 /* Table1403 */
+    1397 /* Table1397 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -65948,7 +67244,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1404 /* Table1404 */
+    1398 /* Table1398 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -65958,7 +67254,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1406 /* Table1406 */
+    1400 /* Table1400 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -65968,12 +67264,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1408 /* Table1408 */
+    1402 /* Table1402 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -65983,37 +67279,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1409 /* Table1409 */
+    1403 /* Table1403 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1411 /* Table1411 */
+    1405 /* Table1405 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1413 /* Table1413 */
+    1407 /* Table1407 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -66023,37 +67319,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1414 /* Table1414 */
+    1408 /* Table1408 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1416 /* Table1416 */
+    1410 /* Table1410 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1418 /* Table1418 */
+    1412 /* Table1412 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -66063,37 +67359,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1419 /* Table1419 */
+    1413 /* Table1413 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1421 /* Table1421 */
+    1415 /* Table1415 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1423 /* Table1423 */
+    1417 /* Table1417 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -66143,7 +67439,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -66183,82 +67479,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -66278,22 +67574,22 @@
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1424 /* Table1424 */
+    1418 /* Table1418 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -66303,132 +67599,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1026 /* Table1026 */
+    1020 /* Table1020 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1426 /* Table1426 */
+    1420 /* Table1420 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1428 /* Table1428 */
+    1422 /* Table1422 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1430 /* Table1430 */
+    1424 /* Table1424 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -66438,377 +67734,377 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1446 /* Table1446 */
+    1440 /* Table1440 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1462 /* Table1462 */
+    1456 /* Table1456 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1464 /* Table1464 */
+    1458 /* Table1458 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1466 /* Table1466 */
+    1460 /* Table1460 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1468 /* Table1468 */
+    1462 /* Table1462 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1470 /* Table1470 */
+    1464 /* Table1464 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1472 /* Table1472 */
+    1466 /* Table1466 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1474 /* Table1474 */
+    1468 /* Table1468 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1030 /* Table1030 */
+    1024 /* Table1024 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1477 /* Table1477 */
+    1471 /* Table1471 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1478 /* Table1478 */
+    1472 /* Table1472 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1047 /* Table1047 */
+    1041 /* Table1041 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1048 /* Table1048 */
+    1042 /* Table1042 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1479 /* Table1479 */
+    1473 /* Table1473 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1480 /* Table1480 */
+    1474 /* Table1474 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1481 /* Table1481 */
+    1475 /* Table1475 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1482 /* Table1482 */
+    1476 /* Table1476 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1483 /* Table1483 */
+    1477 /* Table1477 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1484 /* Table1484 */
+    1478 /* Table1478 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1485 /* Table1485 */
+    1479 /* Table1479 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1486 /* Table1486 */
+    1480 /* Table1480 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1488 /* Table1488 */
+    1482 /* Table1482 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1053 /* Table1053 */
+    1047 /* Table1047 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1054 /* Table1054 */
+    1048 /* Table1048 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1576 /* Table1576 */
+    1570 /* Table1570 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1577 /* Table1577 */
+    1571 /* Table1571 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -66818,27 +68114,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1578 /* Table1578 */
+    1572 /* Table1572 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1579 /* Table1579 */
+    1573 /* Table1573 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1595 /* Table1595 */
+    1589 /* Table1589 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -66853,212 +68149,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1056 /* Table1056 */
+    1050 /* Table1050 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1057 /* Table1057 */
+    1051 /* Table1051 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1611 /* Table1611 */
+    1605 /* Table1605 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1627 /* Table1627 */
+    1621 /* Table1621 */
    }
   }
  }
@@ -67073,7 +68369,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1074 /* Table1074 */
+    1068 /* Table1068 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -67083,7 +68379,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1076 /* Table1076 */
+    1070 /* Table1070 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -67093,7 +68389,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1078 /* Table1078 */
+    1072 /* Table1072 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -67113,7 +68409,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1081 /* Table1081 */
+    1075 /* Table1075 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -67123,7 +68419,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1083 /* Table1083 */
+    1077 /* Table1077 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -67133,7 +68429,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1085 /* Table1085 */
+    1079 /* Table1079 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -67153,7 +68449,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1087 /* Table1087 */
+    1081 /* Table1081 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -67163,7 +68459,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1089 /* Table1089 */
+    1083 /* Table1083 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -67173,7 +68469,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1091 /* Table1091 */
+    1085 /* Table1085 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -67193,7 +68489,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1094 /* Table1094 */
+    1088 /* Table1088 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -67203,7 +68499,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1096 /* Table1096 */
+    1090 /* Table1090 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -67213,7 +68509,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1098 /* Table1098 */
+    1092 /* Table1092 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -67233,7 +68529,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1101 /* Table1101 */
+    1095 /* Table1095 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -67243,7 +68539,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1103 /* Table1103 */
+    1097 /* Table1097 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -67253,12 +68549,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1105 /* Table1105 */
+    1099 /* Table1099 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -67268,37 +68564,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1106 /* Table1106 */
+    1100 /* Table1100 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1108 /* Table1108 */
+    1102 /* Table1102 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1110 /* Table1110 */
+    1104 /* Table1104 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -67308,37 +68604,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1111 /* Table1111 */
+    1105 /* Table1105 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1113 /* Table1113 */
+    1107 /* Table1107 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1115 /* Table1115 */
+    1109 /* Table1109 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -67348,37 +68644,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1116 /* Table1116 */
+    1110 /* Table1110 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1118 /* Table1118 */
+    1112 /* Table1112 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1120 /* Table1120 */
+    1114 /* Table1114 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -67428,7 +68724,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -67468,82 +68764,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -67566,19 +68862,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -67588,132 +68884,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1643 /* Table1643 */
+    1637 /* Table1637 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1130 /* Table1130 */
+    1124 /* Table1124 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1133 /* Table1133 */
+    1127 /* Table1127 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1135 /* Table1135 */
+    1129 /* Table1129 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1136 /* Table1136 */
+    1130 /* Table1130 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1137 /* Table1137 */
+    1131 /* Table1131 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -67723,377 +69019,377 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1153 /* Table1153 */
+    1147 /* Table1147 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1169 /* Table1169 */
+    1163 /* Table1163 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1171 /* Table1171 */
+    1165 /* Table1165 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1173 /* Table1173 */
+    1167 /* Table1167 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1175 /* Table1175 */
+    1169 /* Table1169 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1177 /* Table1177 */
+    1171 /* Table1171 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1179 /* Table1179 */
+    1173 /* Table1173 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1181 /* Table1181 */
+    1175 /* Table1175 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1183 /* Table1183 */
+    1177 /* Table1177 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1200 /* Table1200 */
+    1194 /* Table1194 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1201 /* Table1201 */
+    1195 /* Table1195 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1202 /* Table1202 */
+    1196 /* Table1196 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1203 /* Table1203 */
+    1197 /* Table1197 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1204 /* Table1204 */
+    1198 /* Table1198 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1644 /* Table1644 */
+    1638 /* Table1638 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1645 /* Table1645 */
+    1639 /* Table1639 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1208 /* Table1208 */
+    1202 /* Table1202 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1230 /* Table1230 */
+    1224 /* Table1224 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1232 /* Table1232 */
+    1226 /* Table1226 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1234 /* Table1234 */
+    1228 /* Table1228 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1236 /* Table1236 */
+    1230 /* Table1230 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -68103,27 +69399,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1327 /* Table1327 */
+    1321 /* Table1321 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -68138,212 +69434,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1056 /* Table1056 */
+    1050 /* Table1050 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1343 /* Table1343 */
+    1337 /* Table1337 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1344 /* Table1344 */
+    1338 /* Table1338 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1345 /* Table1345 */
+    1339 /* Table1339 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1346 /* Table1346 */
+    1340 /* Table1340 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1348 /* Table1348 */
+    1342 /* Table1342 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1350 /* Table1350 */
+    1344 /* Table1344 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1646 /* Table1646 */
+    1640 /* Table1640 */
    }
   }
  }
@@ -68541,9 +69837,9 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -68553,37 +69849,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -68593,37 +69889,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -68633,37 +69929,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -68713,7 +70009,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -68753,82 +70049,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -68851,19 +70147,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -68873,132 +70169,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1026 /* Table1026 */
+    1020 /* Table1020 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -69008,377 +70304,377 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1028 /* Table1028 */
+    1022 /* Table1022 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1030 /* Table1030 */
+    1024 /* Table1024 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1047 /* Table1047 */
+    1041 /* Table1041 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1048 /* Table1048 */
+    1042 /* Table1042 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1050 /* Table1050 */
+    1044 /* Table1044 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1052 /* Table1052 */
+    1046 /* Table1046 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    281 /* Table281 */
+    275 /* Table275 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1053 /* Table1053 */
+    1047 /* Table1047 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1054 /* Table1054 */
+    1048 /* Table1048 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    375 /* Table375 */
+    369 /* Table369 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    449 /* Table449 */
+    443 /* Table443 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    450 /* Table450 */
+    444 /* Table444 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -69388,27 +70684,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    454 /* Table454 */
+    448 /* Table448 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    471 /* Table471 */
+    465 /* Table465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -69423,212 +70719,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1057 /* Table1057 */
+    1051 /* Table1051 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    969 /* Table969 */
+    963 /* Table963 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1058 /* Table1058 */
+    1052 /* Table1052 */
    }
   }
  }
@@ -69826,9 +71122,9 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -69838,37 +71134,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -69878,37 +71174,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -69918,37 +71214,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -69998,7 +71294,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -70038,82 +71334,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -70136,19 +71432,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -70158,132 +71454,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1026 /* Table1026 */
+    1020 /* Table1020 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -70293,377 +71589,377 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1028 /* Table1028 */
+    1022 /* Table1022 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1030 /* Table1030 */
+    1024 /* Table1024 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1047 /* Table1047 */
+    1041 /* Table1041 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1048 /* Table1048 */
+    1042 /* Table1042 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1050 /* Table1050 */
+    1044 /* Table1044 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1052 /* Table1052 */
+    1046 /* Table1046 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    281 /* Table281 */
+    275 /* Table275 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1053 /* Table1053 */
+    1047 /* Table1047 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1054 /* Table1054 */
+    1048 /* Table1048 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    375 /* Table375 */
+    369 /* Table369 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    449 /* Table449 */
+    443 /* Table443 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    450 /* Table450 */
+    444 /* Table444 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -70673,27 +71969,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    454 /* Table454 */
+    448 /* Table448 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    471 /* Table471 */
+    465 /* Table465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -70708,212 +72004,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1056 /* Table1056 */
+    1050 /* Table1050 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1057 /* Table1057 */
+    1051 /* Table1051 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    969 /* Table969 */
+    963 /* Table963 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1058 /* Table1058 */
+    1052 /* Table1052 */
    }
   }
  }
@@ -71111,9 +72407,9 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -71123,37 +72419,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -71163,37 +72459,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -71203,37 +72499,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -71283,7 +72579,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -71323,82 +72619,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -71421,19 +72717,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -71443,132 +72739,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1026 /* Table1026 */
+    1020 /* Table1020 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -71578,377 +72874,377 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1028 /* Table1028 */
+    1022 /* Table1022 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1030 /* Table1030 */
+    1024 /* Table1024 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1383 /* Table1383 */
+    1377 /* Table1377 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1047 /* Table1047 */
+    1041 /* Table1041 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1048 /* Table1048 */
+    1042 /* Table1042 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1050 /* Table1050 */
+    1044 /* Table1044 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1052 /* Table1052 */
+    1046 /* Table1046 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    281 /* Table281 */
+    275 /* Table275 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1053 /* Table1053 */
+    1047 /* Table1047 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1054 /* Table1054 */
+    1048 /* Table1048 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    375 /* Table375 */
+    369 /* Table369 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    449 /* Table449 */
+    443 /* Table443 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    450 /* Table450 */
+    444 /* Table444 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -71958,27 +73254,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    454 /* Table454 */
+    448 /* Table448 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    471 /* Table471 */
+    465 /* Table465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -71993,212 +73289,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1056 /* Table1056 */
+    1050 /* Table1050 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1057 /* Table1057 */
+    1051 /* Table1051 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    969 /* Table969 */
+    963 /* Table963 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1058 /* Table1058 */
+    1052 /* Table1052 */
    }
   }
  }
@@ -72213,7 +73509,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1384 /* Table1384 */
+    1378 /* Table1378 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -72223,7 +73519,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1386 /* Table1386 */
+    1380 /* Table1380 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -72233,7 +73529,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1388 /* Table1388 */
+    1382 /* Table1382 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -72253,7 +73549,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1389 /* Table1389 */
+    1383 /* Table1383 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -72263,7 +73559,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1391 /* Table1391 */
+    1385 /* Table1385 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -72273,7 +73569,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1393 /* Table1393 */
+    1387 /* Table1387 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -72293,7 +73589,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1394 /* Table1394 */
+    1388 /* Table1388 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -72303,7 +73599,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1396 /* Table1396 */
+    1390 /* Table1390 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -72313,7 +73609,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1398 /* Table1398 */
+    1392 /* Table1392 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -72333,7 +73629,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1399 /* Table1399 */
+    1393 /* Table1393 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -72343,7 +73639,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1401 /* Table1401 */
+    1395 /* Table1395 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -72353,7 +73649,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1403 /* Table1403 */
+    1397 /* Table1397 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -72373,7 +73669,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1404 /* Table1404 */
+    1398 /* Table1398 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -72383,7 +73679,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1406 /* Table1406 */
+    1400 /* Table1400 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -72393,12 +73689,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1408 /* Table1408 */
+    1402 /* Table1402 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -72408,37 +73704,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1409 /* Table1409 */
+    1403 /* Table1403 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1411 /* Table1411 */
+    1405 /* Table1405 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1413 /* Table1413 */
+    1407 /* Table1407 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -72448,37 +73744,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1414 /* Table1414 */
+    1408 /* Table1408 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1416 /* Table1416 */
+    1410 /* Table1410 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1418 /* Table1418 */
+    1412 /* Table1412 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -72488,37 +73784,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1419 /* Table1419 */
+    1413 /* Table1413 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1421 /* Table1421 */
+    1415 /* Table1415 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1423 /* Table1423 */
+    1417 /* Table1417 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -72568,7 +73864,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -72608,82 +73904,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -72703,22 +73999,22 @@
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1424 /* Table1424 */
+    1418 /* Table1418 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -72728,132 +74024,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1026 /* Table1026 */
+    1020 /* Table1020 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1426 /* Table1426 */
+    1420 /* Table1420 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1428 /* Table1428 */
+    1422 /* Table1422 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1430 /* Table1430 */
+    1424 /* Table1424 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -72863,377 +74159,377 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1446 /* Table1446 */
+    1440 /* Table1440 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1462 /* Table1462 */
+    1456 /* Table1456 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1464 /* Table1464 */
+    1458 /* Table1458 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1466 /* Table1466 */
+    1460 /* Table1460 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1468 /* Table1468 */
+    1462 /* Table1462 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1470 /* Table1470 */
+    1464 /* Table1464 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1472 /* Table1472 */
+    1466 /* Table1466 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1474 /* Table1474 */
+    1468 /* Table1468 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1030 /* Table1030 */
+    1024 /* Table1024 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1477 /* Table1477 */
+    1471 /* Table1471 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1478 /* Table1478 */
+    1472 /* Table1472 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1047 /* Table1047 */
+    1041 /* Table1041 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1048 /* Table1048 */
+    1042 /* Table1042 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1479 /* Table1479 */
+    1473 /* Table1473 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1480 /* Table1480 */
+    1474 /* Table1474 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1481 /* Table1481 */
+    1475 /* Table1475 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1482 /* Table1482 */
+    1476 /* Table1476 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1483 /* Table1483 */
+    1477 /* Table1477 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1484 /* Table1484 */
+    1478 /* Table1478 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1485 /* Table1485 */
+    1479 /* Table1479 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1486 /* Table1486 */
+    1480 /* Table1480 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1488 /* Table1488 */
+    1482 /* Table1482 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1053 /* Table1053 */
+    1047 /* Table1047 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1054 /* Table1054 */
+    1048 /* Table1048 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1576 /* Table1576 */
+    1570 /* Table1570 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1577 /* Table1577 */
+    1571 /* Table1571 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -73243,27 +74539,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1578 /* Table1578 */
+    1572 /* Table1572 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1579 /* Table1579 */
+    1573 /* Table1573 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1595 /* Table1595 */
+    1589 /* Table1589 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -73278,212 +74574,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1056 /* Table1056 */
+    1050 /* Table1050 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1057 /* Table1057 */
+    1051 /* Table1051 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1611 /* Table1611 */
+    1605 /* Table1605 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1627 /* Table1627 */
+    1621 /* Table1621 */
    }
   }
  }
@@ -73498,7 +74794,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1384 /* Table1384 */
+    1378 /* Table1378 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -73508,7 +74804,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1386 /* Table1386 */
+    1380 /* Table1380 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -73518,7 +74814,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1388 /* Table1388 */
+    1382 /* Table1382 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -73538,7 +74834,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1389 /* Table1389 */
+    1383 /* Table1383 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -73548,7 +74844,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1391 /* Table1391 */
+    1385 /* Table1385 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -73558,7 +74854,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1393 /* Table1393 */
+    1387 /* Table1387 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -73578,7 +74874,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1394 /* Table1394 */
+    1388 /* Table1388 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -73588,7 +74884,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1396 /* Table1396 */
+    1390 /* Table1390 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -73598,7 +74894,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1398 /* Table1398 */
+    1392 /* Table1392 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -73618,7 +74914,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1399 /* Table1399 */
+    1393 /* Table1393 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -73628,7 +74924,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1401 /* Table1401 */
+    1395 /* Table1395 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -73638,7 +74934,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1403 /* Table1403 */
+    1397 /* Table1397 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -73658,7 +74954,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1404 /* Table1404 */
+    1398 /* Table1398 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -73668,7 +74964,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1406 /* Table1406 */
+    1400 /* Table1400 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -73678,12 +74974,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1408 /* Table1408 */
+    1402 /* Table1402 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -73693,37 +74989,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1409 /* Table1409 */
+    1403 /* Table1403 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1411 /* Table1411 */
+    1405 /* Table1405 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1413 /* Table1413 */
+    1407 /* Table1407 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -73733,37 +75029,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1414 /* Table1414 */
+    1408 /* Table1408 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1416 /* Table1416 */
+    1410 /* Table1410 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1418 /* Table1418 */
+    1412 /* Table1412 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -73773,37 +75069,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1419 /* Table1419 */
+    1413 /* Table1413 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1421 /* Table1421 */
+    1415 /* Table1415 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1423 /* Table1423 */
+    1417 /* Table1417 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -73853,7 +75149,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -73893,82 +75189,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -73988,22 +75284,22 @@
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1424 /* Table1424 */
+    1418 /* Table1418 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -74013,132 +75309,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1026 /* Table1026 */
+    1020 /* Table1020 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1426 /* Table1426 */
+    1420 /* Table1420 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1428 /* Table1428 */
+    1422 /* Table1422 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1430 /* Table1430 */
+    1424 /* Table1424 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -74148,377 +75444,377 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1446 /* Table1446 */
+    1440 /* Table1440 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1462 /* Table1462 */
+    1456 /* Table1456 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1464 /* Table1464 */
+    1458 /* Table1458 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1466 /* Table1466 */
+    1460 /* Table1460 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1468 /* Table1468 */
+    1462 /* Table1462 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1470 /* Table1470 */
+    1464 /* Table1464 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1472 /* Table1472 */
+    1466 /* Table1466 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1474 /* Table1474 */
+    1468 /* Table1468 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1030 /* Table1030 */
+    1024 /* Table1024 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1477 /* Table1477 */
+    1471 /* Table1471 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1478 /* Table1478 */
+    1472 /* Table1472 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1047 /* Table1047 */
+    1041 /* Table1041 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1048 /* Table1048 */
+    1042 /* Table1042 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1479 /* Table1479 */
+    1473 /* Table1473 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1480 /* Table1480 */
+    1474 /* Table1474 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1481 /* Table1481 */
+    1475 /* Table1475 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1482 /* Table1482 */
+    1476 /* Table1476 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1483 /* Table1483 */
+    1477 /* Table1477 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1484 /* Table1484 */
+    1478 /* Table1478 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1485 /* Table1485 */
+    1479 /* Table1479 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1486 /* Table1486 */
+    1480 /* Table1480 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1488 /* Table1488 */
+    1482 /* Table1482 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1053 /* Table1053 */
+    1047 /* Table1047 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1054 /* Table1054 */
+    1048 /* Table1048 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1576 /* Table1576 */
+    1570 /* Table1570 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1577 /* Table1577 */
+    1571 /* Table1571 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -74528,27 +75824,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1578 /* Table1578 */
+    1572 /* Table1572 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1579 /* Table1579 */
+    1573 /* Table1573 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1595 /* Table1595 */
+    1589 /* Table1589 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -74563,212 +75859,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1056 /* Table1056 */
+    1050 /* Table1050 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1057 /* Table1057 */
+    1051 /* Table1051 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1611 /* Table1611 */
+    1605 /* Table1605 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1627 /* Table1627 */
+    1621 /* Table1621 */
    }
   }
  }
@@ -74783,7 +76079,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1384 /* Table1384 */
+    1378 /* Table1378 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -74793,7 +76089,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1386 /* Table1386 */
+    1380 /* Table1380 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -74803,7 +76099,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1388 /* Table1388 */
+    1382 /* Table1382 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -74823,7 +76119,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1389 /* Table1389 */
+    1383 /* Table1383 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -74833,7 +76129,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1391 /* Table1391 */
+    1385 /* Table1385 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -74843,7 +76139,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1393 /* Table1393 */
+    1387 /* Table1387 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -74863,7 +76159,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1394 /* Table1394 */
+    1388 /* Table1388 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -74873,7 +76169,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1396 /* Table1396 */
+    1390 /* Table1390 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -74883,7 +76179,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1398 /* Table1398 */
+    1392 /* Table1392 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -74903,7 +76199,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1399 /* Table1399 */
+    1393 /* Table1393 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -74913,7 +76209,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1401 /* Table1401 */
+    1395 /* Table1395 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -74923,7 +76219,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1403 /* Table1403 */
+    1397 /* Table1397 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -74943,7 +76239,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1404 /* Table1404 */
+    1398 /* Table1398 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -74953,7 +76249,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1406 /* Table1406 */
+    1400 /* Table1400 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -74963,12 +76259,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1408 /* Table1408 */
+    1402 /* Table1402 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -74978,37 +76274,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1409 /* Table1409 */
+    1403 /* Table1403 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1411 /* Table1411 */
+    1405 /* Table1405 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1413 /* Table1413 */
+    1407 /* Table1407 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -75018,37 +76314,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1414 /* Table1414 */
+    1408 /* Table1408 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1416 /* Table1416 */
+    1410 /* Table1410 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1418 /* Table1418 */
+    1412 /* Table1412 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -75058,37 +76354,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1419 /* Table1419 */
+    1413 /* Table1413 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1421 /* Table1421 */
+    1415 /* Table1415 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1423 /* Table1423 */
+    1417 /* Table1417 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -75138,7 +76434,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -75178,82 +76474,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -75273,22 +76569,22 @@
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1424 /* Table1424 */
+    1418 /* Table1418 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -75298,132 +76594,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1643 /* Table1643 */
+    1637 /* Table1637 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1426 /* Table1426 */
+    1420 /* Table1420 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1428 /* Table1428 */
+    1422 /* Table1422 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1135 /* Table1135 */
+    1129 /* Table1129 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1136 /* Table1136 */
+    1130 /* Table1130 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1430 /* Table1430 */
+    1424 /* Table1424 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -75433,377 +76729,377 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1446 /* Table1446 */
+    1440 /* Table1440 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1462 /* Table1462 */
+    1456 /* Table1456 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1464 /* Table1464 */
+    1458 /* Table1458 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1466 /* Table1466 */
+    1460 /* Table1460 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1468 /* Table1468 */
+    1462 /* Table1462 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1470 /* Table1470 */
+    1464 /* Table1464 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1472 /* Table1472 */
+    1466 /* Table1466 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1474 /* Table1474 */
+    1468 /* Table1468 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1183 /* Table1183 */
+    1177 /* Table1177 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1477 /* Table1477 */
+    1471 /* Table1471 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1478 /* Table1478 */
+    1472 /* Table1472 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1202 /* Table1202 */
+    1196 /* Table1196 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1203 /* Table1203 */
+    1197 /* Table1197 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1204 /* Table1204 */
+    1198 /* Table1198 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1479 /* Table1479 */
+    1473 /* Table1473 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1480 /* Table1480 */
+    1474 /* Table1474 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1481 /* Table1481 */
+    1475 /* Table1475 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1482 /* Table1482 */
+    1476 /* Table1476 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1483 /* Table1483 */
+    1477 /* Table1477 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1484 /* Table1484 */
+    1478 /* Table1478 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1485 /* Table1485 */
+    1479 /* Table1479 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1486 /* Table1486 */
+    1480 /* Table1480 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1488 /* Table1488 */
+    1482 /* Table1482 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1230 /* Table1230 */
+    1224 /* Table1224 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1232 /* Table1232 */
+    1226 /* Table1226 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1234 /* Table1234 */
+    1228 /* Table1228 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1576 /* Table1576 */
+    1570 /* Table1570 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1577 /* Table1577 */
+    1571 /* Table1571 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -75813,27 +77109,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1578 /* Table1578 */
+    1572 /* Table1572 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1579 /* Table1579 */
+    1573 /* Table1573 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1595 /* Table1595 */
+    1589 /* Table1589 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -75848,212 +77144,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1056 /* Table1056 */
+    1050 /* Table1050 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1343 /* Table1343 */
+    1337 /* Table1337 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1344 /* Table1344 */
+    1338 /* Table1338 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1345 /* Table1345 */
+    1339 /* Table1339 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1346 /* Table1346 */
+    1340 /* Table1340 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1348 /* Table1348 */
+    1342 /* Table1342 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1611 /* Table1611 */
+    1605 /* Table1605 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1663 /* Table1663 */
+    1657 /* Table1657 */
    }
   }
  }
@@ -77352,22 +78648,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1679 /* Table1679 */
+    1673 /* Table1673 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1695 /* Table1695 */
+    1689 /* Table1689 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1767 /* Table1767 */
+    1761 /* Table1761 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -77377,27 +78673,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1773 /* Table1773 */
+    1767 /* Table1767 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -77407,7 +78703,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -77417,7 +78713,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -77432,102 +78728,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1793 /* Table1793 */
+    1787 /* Table1787 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1795 /* Table1795 */
+    1789 /* Table1789 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1797 /* Table1797 */
+    1791 /* Table1791 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1805 /* Table1805 */
+    1799 /* Table1799 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1839 /* Table1839 */
+    1833 /* Table1833 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1841 /* Table1841 */
+    1835 /* Table1835 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1843 /* Table1843 */
+    1837 /* Table1837 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1845 /* Table1845 */
+    1839 /* Table1839 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -77552,72 +78848,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1851 /* Table1851 */
+    1845 /* Table1845 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1853 /* Table1853 */
+    1847 /* Table1847 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1855 /* Table1855 */
+    1849 /* Table1849 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1857 /* Table1857 */
+    1851 /* Table1851 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1868 /* Table1868 */
+    1862 /* Table1862 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -77627,7 +78923,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -77672,222 +78968,222 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1870 /* Table1870 */
+    1864 /* Table1864 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1872 /* Table1872 */
+    1866 /* Table1866 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1874 /* Table1874 */
+    1868 /* Table1868 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1876 /* Table1876 */
+    1870 /* Table1870 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1878 /* Table1878 */
+    1872 /* Table1872 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1880 /* Table1880 */
+    1874 /* Table1874 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1882 /* Table1882 */
+    1876 /* Table1876 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1884 /* Table1884 */
+    1878 /* Table1878 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1886 /* Table1886 */
+    1880 /* Table1880 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1888 /* Table1888 */
+    1882 /* Table1882 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1890 /* Table1890 */
+    1884 /* Table1884 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1892 /* Table1892 */
+    1886 /* Table1886 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1894 /* Table1894 */
+    1888 /* Table1888 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1896 /* Table1896 */
+    1890 /* Table1890 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1898 /* Table1898 */
+    1892 /* Table1892 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1900 /* Table1900 */
+    1894 /* Table1894 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1902 /* Table1902 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1904 /* Table1904 */
+    1898 /* Table1898 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1906 /* Table1906 */
+    1900 /* Table1900 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1908 /* Table1908 */
+    1902 /* Table1902 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1910 /* Table1910 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1912 /* Table1912 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1914 /* Table1914 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1916 /* Table1916 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1918 /* Table1918 */
+    1912 /* Table1912 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1920 /* Table1920 */
+    1914 /* Table1914 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1922 /* Table1922 */
+    1916 /* Table1916 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1924 /* Table1924 */
+    1918 /* Table1918 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1926 /* Table1926 */
+    1920 /* Table1920 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1928 /* Table1928 */
+    1922 /* Table1922 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1930 /* Table1930 */
+    1924 /* Table1924 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1932 /* Table1932 */
+    1926 /* Table1926 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1934 /* Table1934 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1936 /* Table1936 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1938 /* Table1938 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1940 /* Table1940 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1942 /* Table1942 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1944 /* Table1944 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1946 /* Table1946 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1948 /* Table1948 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1950 /* Table1950 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1952 /* Table1952 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1954 /* Table1954 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1956 /* Table1956 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
    { /* ModRMDecision */
@@ -77902,62 +79198,62 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1958 /* Table1958 */
+    1952 /* Table1952 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1960 /* Table1960 */
+    1954 /* Table1954 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1962 /* Table1962 */
+    1956 /* Table1956 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1964 /* Table1964 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1980 /* Table1980 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1996 /* Table1996 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2012 /* Table2012 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2014 /* Table2014 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2016 /* Table2016 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2019 /* Table2019 */
+    2013 /* Table2013 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2021 /* Table2021 */
+    2015 /* Table2015 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -77982,292 +79278,292 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2023 /* Table2023 */
+    2017 /* Table2017 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2025 /* Table2025 */
+    2019 /* Table2019 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2027 /* Table2027 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2028 /* Table2028 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2029 /* Table2029 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2030 /* Table2030 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2032 /* Table2032 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2034 /* Table2034 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2038 /* Table2038 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2040 /* Table2040 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2042 /* Table2042 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2075 /* Table2075 */
+    2069 /* Table2069 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2076 /* Table2076 */
+    2070 /* Table2070 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2078 /* Table2078 */
+    2072 /* Table2072 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2080 /* Table2080 */
+    2074 /* Table2074 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2082 /* Table2082 */
+    2076 /* Table2076 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2228 /* Table2228 */
+    2222 /* Table2222 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2229 /* Table2229 */
+    2223 /* Table2223 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2231 /* Table2231 */
+    2225 /* Table2225 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2233 /* Table2233 */
+    2227 /* Table2227 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2235 /* Table2235 */
+    2229 /* Table2229 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2237 /* Table2237 */
+    2231 /* Table2231 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2309 /* Table2309 */
+    2303 /* Table2303 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2313 /* Table2313 */
+    2307 /* Table2307 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2315 /* Table2315 */
+    2309 /* Table2309 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2317 /* Table2317 */
+    2311 /* Table2311 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2319 /* Table2319 */
+    2313 /* Table2313 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2321 /* Table2321 */
+    2315 /* Table2315 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2323 /* Table2323 */
+    2317 /* Table2317 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2325 /* Table2325 */
+    2319 /* Table2319 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -78277,117 +79573,117 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2328 /* Table2328 */
+    2322 /* Table2322 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2344 /* Table2344 */
+    2338 /* Table2338 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2346 /* Table2346 */
+    2340 /* Table2340 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2348 /* Table2348 */
+    2342 /* Table2342 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2350 /* Table2350 */
+    2344 /* Table2344 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2356 /* Table2356 */
+    2350 /* Table2350 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2358 /* Table2358 */
+    2352 /* Table2352 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2360 /* Table2360 */
+    2354 /* Table2354 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2368 /* Table2368 */
+    2362 /* Table2362 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -78397,27 +79693,27 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* ModRMDecision */
@@ -78427,77 +79723,77 @@
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* ModRMDecision */
@@ -78507,47 +79803,47 @@
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -78557,72 +79853,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2455 /* Table2455 */
+    2449 /* Table2449 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -78637,22 +79933,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1679 /* Table1679 */
+    1673 /* Table1673 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2471 /* Table2471 */
+    2465 /* Table2465 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1767 /* Table1767 */
+    1761 /* Table1761 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -78662,27 +79958,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1773 /* Table1773 */
+    1767 /* Table1767 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -78692,7 +79988,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -78702,7 +79998,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -78717,102 +80013,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1793 /* Table1793 */
+    1787 /* Table1787 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1795 /* Table1795 */
+    1789 /* Table1789 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1797 /* Table1797 */
+    1791 /* Table1791 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1805 /* Table1805 */
+    1799 /* Table1799 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2543 /* Table2543 */
+    2537 /* Table2537 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2545 /* Table2545 */
+    2539 /* Table2539 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2547 /* Table2547 */
+    2541 /* Table2541 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2549 /* Table2549 */
+    2543 /* Table2543 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -78837,72 +80133,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1851 /* Table1851 */
+    1845 /* Table1845 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1853 /* Table1853 */
+    1847 /* Table1847 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1855 /* Table1855 */
+    1849 /* Table1849 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1857 /* Table1857 */
+    1851 /* Table1851 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1868 /* Table1868 */
+    1862 /* Table1862 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -78912,7 +80208,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -78957,222 +80253,222 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1870 /* Table1870 */
+    1864 /* Table1864 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1872 /* Table1872 */
+    1866 /* Table1866 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1874 /* Table1874 */
+    1868 /* Table1868 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1876 /* Table1876 */
+    1870 /* Table1870 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1878 /* Table1878 */
+    1872 /* Table1872 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1880 /* Table1880 */
+    1874 /* Table1874 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1882 /* Table1882 */
+    1876 /* Table1876 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1884 /* Table1884 */
+    1878 /* Table1878 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1886 /* Table1886 */
+    1880 /* Table1880 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1888 /* Table1888 */
+    1882 /* Table1882 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1890 /* Table1890 */
+    1884 /* Table1884 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1892 /* Table1892 */
+    1886 /* Table1886 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1894 /* Table1894 */
+    1888 /* Table1888 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1896 /* Table1896 */
+    1890 /* Table1890 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1898 /* Table1898 */
+    1892 /* Table1892 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1900 /* Table1900 */
+    1894 /* Table1894 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1902 /* Table1902 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1904 /* Table1904 */
+    1898 /* Table1898 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1906 /* Table1906 */
+    1900 /* Table1900 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1908 /* Table1908 */
+    1902 /* Table1902 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1910 /* Table1910 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1912 /* Table1912 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1914 /* Table1914 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1916 /* Table1916 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1918 /* Table1918 */
+    1912 /* Table1912 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1920 /* Table1920 */
+    1914 /* Table1914 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1922 /* Table1922 */
+    1916 /* Table1916 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1924 /* Table1924 */
+    1918 /* Table1918 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1926 /* Table1926 */
+    1920 /* Table1920 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1928 /* Table1928 */
+    1922 /* Table1922 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1930 /* Table1930 */
+    1924 /* Table1924 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1932 /* Table1932 */
+    1926 /* Table1926 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1934 /* Table1934 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1936 /* Table1936 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1938 /* Table1938 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1940 /* Table1940 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1942 /* Table1942 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1944 /* Table1944 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1946 /* Table1946 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1948 /* Table1948 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1950 /* Table1950 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1952 /* Table1952 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1954 /* Table1954 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1956 /* Table1956 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
    { /* ModRMDecision */
@@ -79187,62 +80483,62 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1958 /* Table1958 */
+    1952 /* Table1952 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1960 /* Table1960 */
+    1954 /* Table1954 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1962 /* Table1962 */
+    1956 /* Table1956 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1964 /* Table1964 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1980 /* Table1980 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1996 /* Table1996 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2012 /* Table2012 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2014 /* Table2014 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2016 /* Table2016 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2551 /* Table2551 */
+    2545 /* Table2545 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2553 /* Table2553 */
+    2547 /* Table2547 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -79267,292 +80563,292 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2023 /* Table2023 */
+    2017 /* Table2017 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2025 /* Table2025 */
+    2019 /* Table2019 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2027 /* Table2027 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2028 /* Table2028 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2029 /* Table2029 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2030 /* Table2030 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2032 /* Table2032 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2034 /* Table2034 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2038 /* Table2038 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2040 /* Table2040 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2042 /* Table2042 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2555 /* Table2555 */
+    2549 /* Table2549 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2556 /* Table2556 */
+    2550 /* Table2550 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2557 /* Table2557 */
+    2551 /* Table2551 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2078 /* Table2078 */
+    2072 /* Table2072 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2080 /* Table2080 */
+    2074 /* Table2074 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2082 /* Table2082 */
+    2076 /* Table2076 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2558 /* Table2558 */
+    2552 /* Table2552 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2559 /* Table2559 */
+    2553 /* Table2553 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2231 /* Table2231 */
+    2225 /* Table2225 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2233 /* Table2233 */
+    2227 /* Table2227 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2235 /* Table2235 */
+    2229 /* Table2229 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2237 /* Table2237 */
+    2231 /* Table2231 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2309 /* Table2309 */
+    2303 /* Table2303 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2313 /* Table2313 */
+    2307 /* Table2307 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2315 /* Table2315 */
+    2309 /* Table2309 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2317 /* Table2317 */
+    2311 /* Table2311 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2319 /* Table2319 */
+    2313 /* Table2313 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2321 /* Table2321 */
+    2315 /* Table2315 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2323 /* Table2323 */
+    2317 /* Table2317 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2325 /* Table2325 */
+    2319 /* Table2319 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -79562,117 +80858,117 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2328 /* Table2328 */
+    2322 /* Table2322 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2344 /* Table2344 */
+    2338 /* Table2338 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2346 /* Table2346 */
+    2340 /* Table2340 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2348 /* Table2348 */
+    2342 /* Table2342 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2350 /* Table2350 */
+    2344 /* Table2344 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2356 /* Table2356 */
+    2350 /* Table2350 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2358 /* Table2358 */
+    2352 /* Table2352 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2360 /* Table2360 */
+    2354 /* Table2354 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2368 /* Table2368 */
+    2362 /* Table2362 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -79682,27 +80978,27 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* ModRMDecision */
@@ -79712,77 +81008,77 @@
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* ModRMDecision */
@@ -79792,47 +81088,47 @@
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -79842,72 +81138,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2560 /* Table2560 */
+    2554 /* Table2554 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -79922,22 +81218,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2562 /* Table2562 */
+    2556 /* Table2556 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2578 /* Table2578 */
+    2572 /* Table2572 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2650 /* Table2650 */
+    2644 /* Table2644 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2652 /* Table2652 */
+    2646 /* Table2646 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -79947,27 +81243,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1773 /* Table1773 */
+    1767 /* Table1767 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -79977,7 +81273,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -79987,7 +81283,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -80002,102 +81298,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2654 /* Table2654 */
+    2648 /* Table2648 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2656 /* Table2656 */
+    2650 /* Table2650 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2658 /* Table2658 */
+    2652 /* Table2652 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2660 /* Table2660 */
+    2654 /* Table2654 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2662 /* Table2662 */
+    2656 /* Table2656 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2664 /* Table2664 */
+    2658 /* Table2658 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2666 /* Table2666 */
+    2660 /* Table2660 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2668 /* Table2668 */
+    2662 /* Table2662 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2670 /* Table2670 */
+    2664 /* Table2664 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2686 /* Table2686 */
+    2680 /* Table2680 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2688 /* Table2688 */
+    2682 /* Table2682 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2690 /* Table2690 */
+    2684 /* Table2684 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2692 /* Table2692 */
+    2686 /* Table2686 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2694 /* Table2694 */
+    2688 /* Table2688 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2696 /* Table2696 */
+    2690 /* Table2690 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2698 /* Table2698 */
+    2692 /* Table2692 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1839 /* Table1839 */
+    1833 /* Table1833 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1841 /* Table1841 */
+    1835 /* Table1835 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1843 /* Table1843 */
+    1837 /* Table1837 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1845 /* Table1845 */
+    1839 /* Table1839 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -80122,72 +81418,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2700 /* Table2700 */
+    2694 /* Table2694 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2702 /* Table2702 */
+    2696 /* Table2696 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2704 /* Table2704 */
+    2698 /* Table2698 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2706 /* Table2706 */
+    2700 /* Table2700 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2708 /* Table2708 */
+    2702 /* Table2702 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2710 /* Table2710 */
+    2704 /* Table2704 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2712 /* Table2712 */
+    2706 /* Table2706 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2714 /* Table2714 */
+    2708 /* Table2708 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1868 /* Table1868 */
+    1862 /* Table1862 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -80197,7 +81493,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -80242,292 +81538,292 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2716 /* Table2716 */
+    2710 /* Table2710 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2718 /* Table2718 */
+    2712 /* Table2712 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2720 /* Table2720 */
+    2714 /* Table2714 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2722 /* Table2722 */
+    2716 /* Table2716 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2724 /* Table2724 */
+    2718 /* Table2718 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2726 /* Table2726 */
+    2720 /* Table2720 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2728 /* Table2728 */
+    2722 /* Table2722 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2730 /* Table2730 */
+    2724 /* Table2724 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2732 /* Table2732 */
+    2726 /* Table2726 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2734 /* Table2734 */
+    2728 /* Table2728 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2736 /* Table2736 */
+    2730 /* Table2730 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2738 /* Table2738 */
+    2732 /* Table2732 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2740 /* Table2740 */
+    2734 /* Table2734 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2742 /* Table2742 */
+    2736 /* Table2736 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2744 /* Table2744 */
+    2738 /* Table2738 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2746 /* Table2746 */
+    2740 /* Table2740 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2748 /* Table2748 */
+    2742 /* Table2742 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2750 /* Table2750 */
+    2744 /* Table2744 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1906 /* Table1906 */
+    1900 /* Table1900 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1908 /* Table1908 */
+    1902 /* Table1902 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2752 /* Table2752 */
+    2746 /* Table2746 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2754 /* Table2754 */
+    2748 /* Table2748 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2756 /* Table2756 */
+    2750 /* Table2750 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2758 /* Table2758 */
+    2752 /* Table2752 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2760 /* Table2760 */
+    2754 /* Table2754 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2762 /* Table2762 */
+    2756 /* Table2756 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2764 /* Table2764 */
+    2758 /* Table2758 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2766 /* Table2766 */
+    2760 /* Table2760 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2768 /* Table2768 */
+    2762 /* Table2762 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2770 /* Table2770 */
+    2764 /* Table2764 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2772 /* Table2772 */
+    2766 /* Table2766 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2774 /* Table2774 */
+    2768 /* Table2768 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2776 /* Table2776 */
+    2770 /* Table2770 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2778 /* Table2778 */
+    2772 /* Table2772 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2780 /* Table2780 */
+    2774 /* Table2774 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2782 /* Table2782 */
+    2776 /* Table2776 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2784 /* Table2784 */
+    2778 /* Table2778 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2786 /* Table2786 */
+    2780 /* Table2780 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2788 /* Table2788 */
+    2782 /* Table2782 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2790 /* Table2790 */
+    2784 /* Table2784 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2792 /* Table2792 */
+    2786 /* Table2786 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2794 /* Table2794 */
+    2788 /* Table2788 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2796 /* Table2796 */
+    2790 /* Table2790 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2798 /* Table2798 */
+    2792 /* Table2792 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2800 /* Table2800 */
+    2794 /* Table2794 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2802 /* Table2802 */
+    2796 /* Table2796 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2804 /* Table2804 */
+    2798 /* Table2798 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2806 /* Table2806 */
+    2800 /* Table2800 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2808 /* Table2808 */
+    2802 /* Table2802 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2810 /* Table2810 */
+    2804 /* Table2804 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2826 /* Table2826 */
+    2820 /* Table2820 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2842 /* Table2842 */
+    2836 /* Table2836 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2858 /* Table2858 */
+    2852 /* Table2852 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2860 /* Table2860 */
+    2854 /* Table2854 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2862 /* Table2862 */
+    2856 /* Table2856 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2864 /* Table2864 */
+    2858 /* Table2858 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2866 /* Table2866 */
+    2860 /* Table2860 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -80542,302 +81838,302 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2868 /* Table2868 */
+    2862 /* Table2862 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2870 /* Table2870 */
+    2864 /* Table2864 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2872 /* Table2872 */
+    2866 /* Table2866 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2874 /* Table2874 */
+    2868 /* Table2868 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2876 /* Table2876 */
+    2870 /* Table2870 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2877 /* Table2877 */
+    2871 /* Table2871 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2878 /* Table2878 */
+    2872 /* Table2872 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2879 /* Table2879 */
+    2873 /* Table2873 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2880 /* Table2880 */
+    2874 /* Table2874 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2881 /* Table2881 */
+    2875 /* Table2875 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2882 /* Table2882 */
+    2876 /* Table2876 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2883 /* Table2883 */
+    2877 /* Table2877 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2884 /* Table2884 */
+    2878 /* Table2878 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2885 /* Table2885 */
+    2879 /* Table2879 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2886 /* Table2886 */
+    2880 /* Table2880 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2887 /* Table2887 */
+    2881 /* Table2881 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2888 /* Table2888 */
+    2882 /* Table2882 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2889 /* Table2889 */
+    2883 /* Table2883 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2890 /* Table2890 */
+    2884 /* Table2884 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2891 /* Table2891 */
+    2885 /* Table2885 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2892 /* Table2892 */
+    2886 /* Table2886 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2893 /* Table2893 */
+    2887 /* Table2887 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2894 /* Table2894 */
+    2888 /* Table2888 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2896 /* Table2896 */
+    2890 /* Table2890 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2898 /* Table2898 */
+    2892 /* Table2892 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2900 /* Table2900 */
+    2894 /* Table2894 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2901 /* Table2901 */
+    2895 /* Table2895 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2902 /* Table2902 */
+    2896 /* Table2896 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2904 /* Table2904 */
+    2898 /* Table2898 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2906 /* Table2906 */
+    2900 /* Table2900 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2237 /* Table2237 */
+    2231 /* Table2231 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2908 /* Table2908 */
+    2902 /* Table2902 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2910 /* Table2910 */
+    2904 /* Table2904 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2912 /* Table2912 */
+    2906 /* Table2906 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2914 /* Table2914 */
+    2908 /* Table2908 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2916 /* Table2916 */
+    2910 /* Table2910 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2918 /* Table2918 */
+    2912 /* Table2912 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2920 /* Table2920 */
+    2914 /* Table2914 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2325 /* Table2325 */
+    2319 /* Table2319 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -80847,277 +82143,277 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2922 /* Table2922 */
+    2916 /* Table2916 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2938 /* Table2938 */
+    2932 /* Table2932 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2940 /* Table2940 */
+    2934 /* Table2934 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2942 /* Table2942 */
+    2936 /* Table2936 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2944 /* Table2944 */
+    2938 /* Table2938 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2946 /* Table2946 */
+    2940 /* Table2940 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2948 /* Table2948 */
+    2942 /* Table2942 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2360 /* Table2360 */
+    2354 /* Table2354 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2950 /* Table2950 */
+    2944 /* Table2944 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2952 /* Table2952 */
+    2946 /* Table2946 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2954 /* Table2954 */
+    2948 /* Table2948 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2956 /* Table2956 */
+    2950 /* Table2950 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2972 /* Table2972 */
+    2966 /* Table2966 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2974 /* Table2974 */
+    2968 /* Table2968 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2976 /* Table2976 */
+    2970 /* Table2970 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2978 /* Table2978 */
+    2972 /* Table2972 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2980 /* Table2980 */
+    2974 /* Table2974 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2982 /* Table2982 */
+    2976 /* Table2976 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2984 /* Table2984 */
+    2978 /* Table2978 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2986 /* Table2986 */
+    2980 /* Table2980 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2988 /* Table2988 */
+    2982 /* Table2982 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2990 /* Table2990 */
+    2984 /* Table2984 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2992 /* Table2992 */
+    2986 /* Table2986 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2994 /* Table2994 */
+    2988 /* Table2988 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2996 /* Table2996 */
+    2990 /* Table2990 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2998 /* Table2998 */
+    2992 /* Table2992 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3000 /* Table3000 */
+    2994 /* Table2994 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3002 /* Table3002 */
+    2996 /* Table2996 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3004 /* Table3004 */
+    2998 /* Table2998 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3006 /* Table3006 */
+    3000 /* Table3000 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3008 /* Table3008 */
+    3002 /* Table3002 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3010 /* Table3010 */
+    3004 /* Table3004 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3012 /* Table3012 */
+    3006 /* Table3006 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3014 /* Table3014 */
+    3008 /* Table3008 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3016 /* Table3016 */
+    3010 /* Table3010 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3018 /* Table3018 */
+    3012 /* Table3012 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3020 /* Table3020 */
+    3014 /* Table3014 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3022 /* Table3022 */
+    3016 /* Table3016 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3024 /* Table3024 */
+    3018 /* Table3018 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3026 /* Table3026 */
+    3020 /* Table3020 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3028 /* Table3028 */
+    3022 /* Table3022 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3030 /* Table3030 */
+    3024 /* Table3024 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3032 /* Table3032 */
+    3026 /* Table3026 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3034 /* Table3034 */
+    3028 /* Table3028 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -81127,72 +82423,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3036 /* Table3036 */
+    3030 /* Table3030 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3038 /* Table3038 */
+    3032 /* Table3032 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3040 /* Table3040 */
+    3034 /* Table3034 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3042 /* Table3042 */
+    3036 /* Table3036 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3044 /* Table3044 */
+    3038 /* Table3038 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3046 /* Table3046 */
+    3040 /* Table3040 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3048 /* Table3048 */
+    3042 /* Table3042 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3050 /* Table3050 */
+    3044 /* Table3044 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3052 /* Table3052 */
+    3046 /* Table3046 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3054 /* Table3054 */
+    3048 /* Table3048 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3056 /* Table3056 */
+    3050 /* Table3050 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3058 /* Table3058 */
+    3052 /* Table3052 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3060 /* Table3060 */
+    3054 /* Table3054 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3062 /* Table3062 */
+    3056 /* Table3056 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -81207,22 +82503,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1679 /* Table1679 */
+    1673 /* Table1673 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1695 /* Table1695 */
+    1689 /* Table1689 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1767 /* Table1767 */
+    1761 /* Table1761 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -81232,27 +82528,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1773 /* Table1773 */
+    1767 /* Table1767 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -81262,7 +82558,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -81272,7 +82568,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -81287,102 +82583,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1793 /* Table1793 */
+    1787 /* Table1787 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1795 /* Table1795 */
+    1789 /* Table1789 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1797 /* Table1797 */
+    1791 /* Table1791 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1805 /* Table1805 */
+    1799 /* Table1799 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1839 /* Table1839 */
+    1833 /* Table1833 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1841 /* Table1841 */
+    1835 /* Table1835 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1843 /* Table1843 */
+    1837 /* Table1837 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1845 /* Table1845 */
+    1839 /* Table1839 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -81407,72 +82703,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1851 /* Table1851 */
+    1845 /* Table1845 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1853 /* Table1853 */
+    1847 /* Table1847 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1855 /* Table1855 */
+    1849 /* Table1849 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1857 /* Table1857 */
+    1851 /* Table1851 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1868 /* Table1868 */
+    1862 /* Table1862 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -81482,7 +82778,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -81527,222 +82823,222 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1870 /* Table1870 */
+    1864 /* Table1864 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1872 /* Table1872 */
+    1866 /* Table1866 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1874 /* Table1874 */
+    1868 /* Table1868 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1876 /* Table1876 */
+    1870 /* Table1870 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1878 /* Table1878 */
+    1872 /* Table1872 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1880 /* Table1880 */
+    1874 /* Table1874 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1882 /* Table1882 */
+    1876 /* Table1876 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1884 /* Table1884 */
+    1878 /* Table1878 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1886 /* Table1886 */
+    1880 /* Table1880 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1888 /* Table1888 */
+    1882 /* Table1882 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1890 /* Table1890 */
+    1884 /* Table1884 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1892 /* Table1892 */
+    1886 /* Table1886 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1894 /* Table1894 */
+    1888 /* Table1888 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1896 /* Table1896 */
+    1890 /* Table1890 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1898 /* Table1898 */
+    1892 /* Table1892 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1900 /* Table1900 */
+    1894 /* Table1894 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1902 /* Table1902 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1904 /* Table1904 */
+    1898 /* Table1898 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1906 /* Table1906 */
+    1900 /* Table1900 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1908 /* Table1908 */
+    1902 /* Table1902 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1910 /* Table1910 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1912 /* Table1912 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1914 /* Table1914 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1916 /* Table1916 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1918 /* Table1918 */
+    1912 /* Table1912 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1920 /* Table1920 */
+    1914 /* Table1914 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1922 /* Table1922 */
+    1916 /* Table1916 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1924 /* Table1924 */
+    1918 /* Table1918 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1926 /* Table1926 */
+    1920 /* Table1920 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1928 /* Table1928 */
+    1922 /* Table1922 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1930 /* Table1930 */
+    1924 /* Table1924 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1932 /* Table1932 */
+    1926 /* Table1926 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1934 /* Table1934 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1936 /* Table1936 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1938 /* Table1938 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1940 /* Table1940 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1942 /* Table1942 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1944 /* Table1944 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1946 /* Table1946 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1948 /* Table1948 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1950 /* Table1950 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1952 /* Table1952 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1954 /* Table1954 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1956 /* Table1956 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
    { /* ModRMDecision */
@@ -81757,62 +83053,62 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1958 /* Table1958 */
+    1952 /* Table1952 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1960 /* Table1960 */
+    1954 /* Table1954 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1962 /* Table1962 */
+    1956 /* Table1956 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1964 /* Table1964 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1980 /* Table1980 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1996 /* Table1996 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2012 /* Table2012 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2014 /* Table2014 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2016 /* Table2016 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2019 /* Table2019 */
+    2013 /* Table2013 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2021 /* Table2021 */
+    2015 /* Table2015 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -81837,292 +83133,292 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2023 /* Table2023 */
+    2017 /* Table2017 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2025 /* Table2025 */
+    2019 /* Table2019 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2027 /* Table2027 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2028 /* Table2028 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2029 /* Table2029 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2030 /* Table2030 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2032 /* Table2032 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2034 /* Table2034 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2038 /* Table2038 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2040 /* Table2040 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2042 /* Table2042 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2075 /* Table2075 */
+    2069 /* Table2069 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2076 /* Table2076 */
+    2070 /* Table2070 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2078 /* Table2078 */
+    2072 /* Table2072 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2080 /* Table2080 */
+    2074 /* Table2074 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2082 /* Table2082 */
+    2076 /* Table2076 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2228 /* Table2228 */
+    2222 /* Table2222 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2229 /* Table2229 */
+    2223 /* Table2223 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2231 /* Table2231 */
+    2225 /* Table2225 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2233 /* Table2233 */
+    2227 /* Table2227 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2235 /* Table2235 */
+    2229 /* Table2229 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2237 /* Table2237 */
+    2231 /* Table2231 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2309 /* Table2309 */
+    2303 /* Table2303 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2313 /* Table2313 */
+    2307 /* Table2307 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2315 /* Table2315 */
+    2309 /* Table2309 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2317 /* Table2317 */
+    2311 /* Table2311 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2319 /* Table2319 */
+    2313 /* Table2313 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2321 /* Table2321 */
+    2315 /* Table2315 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2323 /* Table2323 */
+    2317 /* Table2317 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2325 /* Table2325 */
+    2319 /* Table2319 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -82132,117 +83428,117 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2328 /* Table2328 */
+    2322 /* Table2322 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2344 /* Table2344 */
+    2338 /* Table2338 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2346 /* Table2346 */
+    2340 /* Table2340 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2348 /* Table2348 */
+    2342 /* Table2342 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2350 /* Table2350 */
+    2344 /* Table2344 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2356 /* Table2356 */
+    2350 /* Table2350 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2358 /* Table2358 */
+    2352 /* Table2352 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2360 /* Table2360 */
+    2354 /* Table2354 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2368 /* Table2368 */
+    2362 /* Table2362 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -82252,27 +83548,27 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* ModRMDecision */
@@ -82282,77 +83578,77 @@
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* ModRMDecision */
@@ -82362,47 +83658,47 @@
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -82412,72 +83708,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2455 /* Table2455 */
+    2449 /* Table2449 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -82492,22 +83788,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1679 /* Table1679 */
+    1673 /* Table1673 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1695 /* Table1695 */
+    1689 /* Table1689 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1767 /* Table1767 */
+    1761 /* Table1761 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -82517,27 +83813,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1773 /* Table1773 */
+    1767 /* Table1767 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -82547,7 +83843,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -82557,7 +83853,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -82572,102 +83868,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3064 /* Table3064 */
+    3058 /* Table3058 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3066 /* Table3066 */
+    3060 /* Table3060 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3068 /* Table3068 */
+    3062 /* Table3062 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1805 /* Table1805 */
+    1799 /* Table1799 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1839 /* Table1839 */
+    1833 /* Table1833 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1841 /* Table1841 */
+    1835 /* Table1835 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1843 /* Table1843 */
+    1837 /* Table1837 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1845 /* Table1845 */
+    1839 /* Table1839 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -82692,72 +83988,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3070 /* Table3070 */
+    3064 /* Table3064 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3072 /* Table3072 */
+    3066 /* Table3066 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3074 /* Table3074 */
+    3068 /* Table3068 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3076 /* Table3076 */
+    3070 /* Table3070 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1868 /* Table1868 */
+    1862 /* Table1862 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -82767,7 +84063,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -82812,222 +84108,222 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1870 /* Table1870 */
+    1864 /* Table1864 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1872 /* Table1872 */
+    1866 /* Table1866 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1874 /* Table1874 */
+    1868 /* Table1868 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1876 /* Table1876 */
+    1870 /* Table1870 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1878 /* Table1878 */
+    1872 /* Table1872 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1880 /* Table1880 */
+    1874 /* Table1874 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1882 /* Table1882 */
+    1876 /* Table1876 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1884 /* Table1884 */
+    1878 /* Table1878 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1886 /* Table1886 */
+    1880 /* Table1880 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1888 /* Table1888 */
+    1882 /* Table1882 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1890 /* Table1890 */
+    1884 /* Table1884 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1892 /* Table1892 */
+    1886 /* Table1886 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1894 /* Table1894 */
+    1888 /* Table1888 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1896 /* Table1896 */
+    1890 /* Table1890 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1898 /* Table1898 */
+    1892 /* Table1892 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1900 /* Table1900 */
+    1894 /* Table1894 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1902 /* Table1902 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3078 /* Table3078 */
+    3072 /* Table3072 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1906 /* Table1906 */
+    1900 /* Table1900 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1908 /* Table1908 */
+    1902 /* Table1902 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1910 /* Table1910 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1912 /* Table1912 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1914 /* Table1914 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1916 /* Table1916 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3080 /* Table3080 */
+    3074 /* Table3074 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3082 /* Table3082 */
+    3076 /* Table3076 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3084 /* Table3084 */
+    3078 /* Table3078 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1924 /* Table1924 */
+    1918 /* Table1918 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3086 /* Table3086 */
+    3080 /* Table3080 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3088 /* Table3088 */
+    3082 /* Table3082 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3090 /* Table3090 */
+    3084 /* Table3084 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3092 /* Table3092 */
+    3086 /* Table3086 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1934 /* Table1934 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1936 /* Table1936 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1938 /* Table1938 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1940 /* Table1940 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1942 /* Table1942 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1944 /* Table1944 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1946 /* Table1946 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1948 /* Table1948 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1950 /* Table1950 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1952 /* Table1952 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1954 /* Table1954 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1956 /* Table1956 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
    { /* ModRMDecision */
@@ -83042,62 +84338,62 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1958 /* Table1958 */
+    1952 /* Table1952 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1960 /* Table1960 */
+    1954 /* Table1954 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3094 /* Table3094 */
+    3088 /* Table3088 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1964 /* Table1964 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1980 /* Table1980 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1996 /* Table1996 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2012 /* Table2012 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2014 /* Table2014 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2016 /* Table2016 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3096 /* Table3096 */
+    3090 /* Table3090 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3098 /* Table3098 */
+    3092 /* Table3092 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -83112,302 +84408,302 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3100 /* Table3100 */
+    3094 /* Table3094 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3102 /* Table3102 */
+    3096 /* Table3096 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2023 /* Table2023 */
+    2017 /* Table2017 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2025 /* Table2025 */
+    2019 /* Table2019 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2027 /* Table2027 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2028 /* Table2028 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2029 /* Table2029 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2030 /* Table2030 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2032 /* Table2032 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2034 /* Table2034 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2038 /* Table2038 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2040 /* Table2040 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2042 /* Table2042 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2075 /* Table2075 */
+    2069 /* Table2069 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2076 /* Table2076 */
+    2070 /* Table2070 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2078 /* Table2078 */
+    2072 /* Table2072 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2080 /* Table2080 */
+    2074 /* Table2074 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2082 /* Table2082 */
+    2076 /* Table2076 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2228 /* Table2228 */
+    2222 /* Table2222 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2229 /* Table2229 */
+    2223 /* Table2223 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2231 /* Table2231 */
+    2225 /* Table2225 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2233 /* Table2233 */
+    2227 /* Table2227 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2235 /* Table2235 */
+    2229 /* Table2229 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2237 /* Table2237 */
+    2231 /* Table2231 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2309 /* Table2309 */
+    2303 /* Table2303 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2313 /* Table2313 */
+    2307 /* Table2307 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2315 /* Table2315 */
+    2309 /* Table2309 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2317 /* Table2317 */
+    2311 /* Table2311 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2319 /* Table2319 */
+    2313 /* Table2313 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2321 /* Table2321 */
+    2315 /* Table2315 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2323 /* Table2323 */
+    2317 /* Table2317 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2325 /* Table2325 */
+    2319 /* Table2319 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -83417,352 +84713,352 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2328 /* Table2328 */
+    2322 /* Table2322 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2344 /* Table2344 */
+    2338 /* Table2338 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2346 /* Table2346 */
+    2340 /* Table2340 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2348 /* Table2348 */
+    2342 /* Table2342 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2350 /* Table2350 */
+    2344 /* Table2344 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2356 /* Table2356 */
+    2350 /* Table2350 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3104 /* Table3104 */
+    3098 /* Table3098 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2360 /* Table2360 */
+    2354 /* Table2354 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2368 /* Table2368 */
+    2362 /* Table2362 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3106 /* Table3106 */
+    3100 /* Table3100 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3108 /* Table3108 */
+    3102 /* Table3102 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3110 /* Table3110 */
+    3104 /* Table3104 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3112 /* Table3112 */
+    3106 /* Table3106 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2455 /* Table2455 */
+    2449 /* Table2449 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -83777,22 +85073,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1679 /* Table1679 */
+    1673 /* Table1673 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1695 /* Table1695 */
+    1689 /* Table1689 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1767 /* Table1767 */
+    1761 /* Table1761 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -83802,27 +85098,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1773 /* Table1773 */
+    1767 /* Table1767 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -83832,7 +85128,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -83842,7 +85138,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -83857,102 +85153,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3114 /* Table3114 */
+    3108 /* Table3108 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3116 /* Table3116 */
+    3110 /* Table3110 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3118 /* Table3118 */
+    3112 /* Table3112 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3120 /* Table3120 */
+    3114 /* Table3114 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1839 /* Table1839 */
+    1833 /* Table1833 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1841 /* Table1841 */
+    1835 /* Table1835 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1843 /* Table1843 */
+    1837 /* Table1837 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1845 /* Table1845 */
+    1839 /* Table1839 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -83977,72 +85273,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3122 /* Table3122 */
+    3116 /* Table3116 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3124 /* Table3124 */
+    3118 /* Table3118 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3126 /* Table3126 */
+    3120 /* Table3120 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3128 /* Table3128 */
+    3122 /* Table3122 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1868 /* Table1868 */
+    1862 /* Table1862 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -84052,7 +85348,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -84097,222 +85393,222 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1870 /* Table1870 */
+    1864 /* Table1864 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1872 /* Table1872 */
+    1866 /* Table1866 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1874 /* Table1874 */
+    1868 /* Table1868 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1876 /* Table1876 */
+    1870 /* Table1870 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1878 /* Table1878 */
+    1872 /* Table1872 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1880 /* Table1880 */
+    1874 /* Table1874 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1882 /* Table1882 */
+    1876 /* Table1876 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1884 /* Table1884 */
+    1878 /* Table1878 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1886 /* Table1886 */
+    1880 /* Table1880 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1888 /* Table1888 */
+    1882 /* Table1882 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1890 /* Table1890 */
+    1884 /* Table1884 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1892 /* Table1892 */
+    1886 /* Table1886 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1894 /* Table1894 */
+    1888 /* Table1888 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1896 /* Table1896 */
+    1890 /* Table1890 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1898 /* Table1898 */
+    1892 /* Table1892 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1900 /* Table1900 */
+    1894 /* Table1894 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1902 /* Table1902 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3130 /* Table3130 */
+    3124 /* Table3124 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3132 /* Table3132 */
+    3126 /* Table3126 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3134 /* Table3134 */
+    3128 /* Table3128 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1910 /* Table1910 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1912 /* Table1912 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1914 /* Table1914 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1916 /* Table1916 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3136 /* Table3136 */
+    3130 /* Table3130 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3138 /* Table3138 */
+    3132 /* Table3132 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3140 /* Table3140 */
+    3134 /* Table3134 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3142 /* Table3142 */
+    3136 /* Table3136 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3144 /* Table3144 */
+    3138 /* Table3138 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3146 /* Table3146 */
+    3140 /* Table3140 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3148 /* Table3148 */
+    3142 /* Table3142 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3150 /* Table3150 */
+    3144 /* Table3144 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1934 /* Table1934 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1936 /* Table1936 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1938 /* Table1938 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1940 /* Table1940 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1942 /* Table1942 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1944 /* Table1944 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1946 /* Table1946 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1948 /* Table1948 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1950 /* Table1950 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1952 /* Table1952 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1954 /* Table1954 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1956 /* Table1956 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
    { /* ModRMDecision */
@@ -84327,62 +85623,62 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1958 /* Table1958 */
+    1952 /* Table1952 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3152 /* Table3152 */
+    3146 /* Table3146 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3154 /* Table3154 */
+    3148 /* Table3148 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1964 /* Table1964 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1980 /* Table1980 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1996 /* Table1996 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2012 /* Table2012 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2014 /* Table2014 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2016 /* Table2016 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2019 /* Table2019 */
+    2013 /* Table2013 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2021 /* Table2021 */
+    2015 /* Table2015 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -84407,412 +85703,412 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3156 /* Table3156 */
+    3150 /* Table3150 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3158 /* Table3158 */
+    3152 /* Table3152 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2027 /* Table2027 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2028 /* Table2028 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2029 /* Table2029 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2030 /* Table2030 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2032 /* Table2032 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2034 /* Table2034 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2038 /* Table2038 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2040 /* Table2040 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2042 /* Table2042 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2075 /* Table2075 */
+    2069 /* Table2069 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2076 /* Table2076 */
+    2070 /* Table2070 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2078 /* Table2078 */
+    2072 /* Table2072 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2080 /* Table2080 */
+    2074 /* Table2074 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2082 /* Table2082 */
+    2076 /* Table2076 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2228 /* Table2228 */
+    2222 /* Table2222 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2229 /* Table2229 */
+    2223 /* Table2223 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2231 /* Table2231 */
+    2225 /* Table2225 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2233 /* Table2233 */
+    2227 /* Table2227 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2235 /* Table2235 */
+    2229 /* Table2229 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2237 /* Table2237 */
+    2231 /* Table2231 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2309 /* Table2309 */
+    2303 /* Table2303 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2313 /* Table2313 */
+    2307 /* Table2307 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2315 /* Table2315 */
+    2309 /* Table2309 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2317 /* Table2317 */
+    2311 /* Table2311 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2319 /* Table2319 */
+    2313 /* Table2313 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2321 /* Table2321 */
+    2315 /* Table2315 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2323 /* Table2323 */
+    2317 /* Table2317 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2325 /* Table2325 */
+    2319 /* Table2319 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3160 /* Table3160 */
+    3154 /* Table3154 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2328 /* Table2328 */
+    2322 /* Table2322 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2344 /* Table2344 */
+    2338 /* Table2338 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3162 /* Table3162 */
+    3156 /* Table3156 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3164 /* Table3164 */
+    3158 /* Table3158 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2350 /* Table2350 */
+    2344 /* Table2344 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2356 /* Table2356 */
+    2350 /* Table2350 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3166 /* Table3166 */
+    3160 /* Table3160 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2360 /* Table2360 */
+    2354 /* Table2354 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3168 /* Table3168 */
+    3162 /* Table3162 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -84822,157 +86118,157 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3184 /* Table3184 */
+    3178 /* Table3178 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3186 /* Table3186 */
+    3180 /* Table3180 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -84982,72 +86278,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2455 /* Table2455 */
+    2449 /* Table2449 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -85982,7 +87278,7 @@
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3188 /* Table3188 */
+    3182 /* Table3182 */
    },
    /* 0xb9 */
    { /* ModRMDecision */
@@ -86002,12 +87298,12 @@
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3190 /* Table3190 */
+    3184 /* Table3184 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3192 /* Table3192 */
+    3186 /* Table3186 */
    },
    /* 0xbe */
    { /* ModRMDecision */
@@ -86347,22 +87643,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3194 /* Table3194 */
+    3188 /* Table3188 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    3210 /* Table3210 */
+    3204 /* Table3204 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3282 /* Table3282 */
+    3276 /* Table3276 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3284 /* Table3284 */
+    3278 /* Table3278 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -86372,27 +87668,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3286 /* Table3286 */
+    3280 /* Table3280 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -86402,7 +87698,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -86412,7 +87708,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -86427,102 +87723,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1793 /* Table1793 */
+    1787 /* Table1787 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1795 /* Table1795 */
+    1789 /* Table1789 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1797 /* Table1797 */
+    1791 /* Table1791 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1805 /* Table1805 */
+    1799 /* Table1799 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2543 /* Table2543 */
+    2537 /* Table2537 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2545 /* Table2545 */
+    2539 /* Table2539 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2547 /* Table2547 */
+    2541 /* Table2541 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2549 /* Table2549 */
+    2543 /* Table2543 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -86547,72 +87843,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1851 /* Table1851 */
+    1845 /* Table1845 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1853 /* Table1853 */
+    1847 /* Table1847 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1855 /* Table1855 */
+    1849 /* Table1849 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1857 /* Table1857 */
+    1851 /* Table1851 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3287 /* Table3287 */
+    3281 /* Table3281 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -86622,7 +87918,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -86667,222 +87963,222 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3288 /* Table3288 */
+    3282 /* Table3282 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3290 /* Table3290 */
+    3284 /* Table3284 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3292 /* Table3292 */
+    3286 /* Table3286 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3294 /* Table3294 */
+    3288 /* Table3288 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3296 /* Table3296 */
+    3290 /* Table3290 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3298 /* Table3298 */
+    3292 /* Table3292 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3300 /* Table3300 */
+    3294 /* Table3294 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3302 /* Table3302 */
+    3296 /* Table3296 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3304 /* Table3304 */
+    3298 /* Table3298 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3306 /* Table3306 */
+    3300 /* Table3300 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3308 /* Table3308 */
+    3302 /* Table3302 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3310 /* Table3310 */
+    3304 /* Table3304 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3312 /* Table3312 */
+    3306 /* Table3306 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3314 /* Table3314 */
+    3308 /* Table3308 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3316 /* Table3316 */
+    3310 /* Table3310 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3318 /* Table3318 */
+    3312 /* Table3312 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1902 /* Table1902 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1904 /* Table1904 */
+    1898 /* Table1898 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1906 /* Table1906 */
+    1900 /* Table1900 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1908 /* Table1908 */
+    1902 /* Table1902 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1910 /* Table1910 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1912 /* Table1912 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1914 /* Table1914 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1916 /* Table1916 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1918 /* Table1918 */
+    1912 /* Table1912 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1920 /* Table1920 */
+    1914 /* Table1914 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1922 /* Table1922 */
+    1916 /* Table1916 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1924 /* Table1924 */
+    1918 /* Table1918 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1926 /* Table1926 */
+    1920 /* Table1920 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1928 /* Table1928 */
+    1922 /* Table1922 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1930 /* Table1930 */
+    1924 /* Table1924 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1932 /* Table1932 */
+    1926 /* Table1926 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1934 /* Table1934 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1936 /* Table1936 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1938 /* Table1938 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1940 /* Table1940 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1942 /* Table1942 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1944 /* Table1944 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1946 /* Table1946 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1948 /* Table1948 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1950 /* Table1950 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1952 /* Table1952 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1954 /* Table1954 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1956 /* Table1956 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
    { /* ModRMDecision */
@@ -86897,62 +88193,62 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3320 /* Table3320 */
+    3314 /* Table3314 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1960 /* Table1960 */
+    1954 /* Table1954 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1962 /* Table1962 */
+    1956 /* Table1956 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1964 /* Table1964 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1980 /* Table1980 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1996 /* Table1996 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2012 /* Table2012 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2014 /* Table2014 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2016 /* Table2016 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2551 /* Table2551 */
+    2545 /* Table2545 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2553 /* Table2553 */
+    2547 /* Table2547 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -86977,292 +88273,292 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3322 /* Table3322 */
+    3316 /* Table3316 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2025 /* Table2025 */
+    2019 /* Table2019 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2027 /* Table2027 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2028 /* Table2028 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2029 /* Table2029 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2030 /* Table2030 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2032 /* Table2032 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2034 /* Table2034 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2038 /* Table2038 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2040 /* Table2040 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2042 /* Table2042 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2555 /* Table2555 */
+    2549 /* Table2549 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2556 /* Table2556 */
+    2550 /* Table2550 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2557 /* Table2557 */
+    2551 /* Table2551 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3324 /* Table3324 */
+    3318 /* Table3318 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3326 /* Table3326 */
+    3320 /* Table3320 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3328 /* Table3328 */
+    3322 /* Table3322 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2558 /* Table2558 */
+    2552 /* Table2552 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2559 /* Table2559 */
+    2553 /* Table2553 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3330 /* Table3330 */
+    3324 /* Table3324 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3332 /* Table3332 */
+    3326 /* Table3326 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3334 /* Table3334 */
+    3328 /* Table3328 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    3336 /* Table3336 */
+    3330 /* Table3330 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3408 /* Table3408 */
+    3402 /* Table3402 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3410 /* Table3410 */
+    3404 /* Table3404 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3412 /* Table3412 */
+    3406 /* Table3406 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3414 /* Table3414 */
+    3408 /* Table3408 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3416 /* Table3416 */
+    3410 /* Table3410 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3418 /* Table3418 */
+    3412 /* Table3412 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3420 /* Table3420 */
+    3414 /* Table3414 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3422 /* Table3422 */
+    3416 /* Table3416 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -87272,117 +88568,117 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3424 /* Table3424 */
+    3418 /* Table3418 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3440 /* Table3440 */
+    3434 /* Table3434 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3442 /* Table3442 */
+    3436 /* Table3436 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3444 /* Table3444 */
+    3438 /* Table3438 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3446 /* Table3446 */
+    3440 /* Table3440 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3448 /* Table3448 */
+    3442 /* Table3442 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3450 /* Table3450 */
+    3444 /* Table3444 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2358 /* Table2358 */
+    2352 /* Table2352 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3452 /* Table3452 */
+    3446 /* Table3446 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3454 /* Table3454 */
+    3448 /* Table3448 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -87392,27 +88688,27 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* ModRMDecision */
@@ -87422,77 +88718,77 @@
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* ModRMDecision */
@@ -87502,47 +88798,47 @@
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -87552,72 +88848,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2560 /* Table2560 */
+    2554 /* Table2554 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -87632,22 +88928,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2562 /* Table2562 */
+    2556 /* Table2556 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    3471 /* Table3471 */
+    3465 /* Table3465 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2650 /* Table2650 */
+    2644 /* Table2644 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2652 /* Table2652 */
+    2646 /* Table2646 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -87657,27 +88953,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1773 /* Table1773 */
+    1767 /* Table1767 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -87687,7 +88983,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -87697,7 +88993,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -87712,102 +89008,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2654 /* Table2654 */
+    2648 /* Table2648 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2656 /* Table2656 */
+    2650 /* Table2650 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2658 /* Table2658 */
+    2652 /* Table2652 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2660 /* Table2660 */
+    2654 /* Table2654 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2662 /* Table2662 */
+    2656 /* Table2656 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2664 /* Table2664 */
+    2658 /* Table2658 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2666 /* Table2666 */
+    2660 /* Table2660 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2668 /* Table2668 */
+    2662 /* Table2662 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2670 /* Table2670 */
+    2664 /* Table2664 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2686 /* Table2686 */
+    2680 /* Table2680 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2688 /* Table2688 */
+    2682 /* Table2682 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2690 /* Table2690 */
+    2684 /* Table2684 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2692 /* Table2692 */
+    2686 /* Table2686 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2694 /* Table2694 */
+    2688 /* Table2688 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2696 /* Table2696 */
+    2690 /* Table2690 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2698 /* Table2698 */
+    2692 /* Table2692 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2543 /* Table2543 */
+    2537 /* Table2537 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2545 /* Table2545 */
+    2539 /* Table2539 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2547 /* Table2547 */
+    2541 /* Table2541 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2549 /* Table2549 */
+    2543 /* Table2543 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -87832,72 +89128,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2700 /* Table2700 */
+    2694 /* Table2694 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2702 /* Table2702 */
+    2696 /* Table2696 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2704 /* Table2704 */
+    2698 /* Table2698 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2706 /* Table2706 */
+    2700 /* Table2700 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2708 /* Table2708 */
+    2702 /* Table2702 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2710 /* Table2710 */
+    2704 /* Table2704 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2712 /* Table2712 */
+    2706 /* Table2706 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2714 /* Table2714 */
+    2708 /* Table2708 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1868 /* Table1868 */
+    1862 /* Table1862 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -87907,7 +89203,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -87952,282 +89248,2852 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2716 /* Table2716 */
+    2710 /* Table2710 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2718 /* Table2718 */
+    2712 /* Table2712 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2720 /* Table2720 */
+    2714 /* Table2714 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2722 /* Table2722 */
+    2716 /* Table2716 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2724 /* Table2724 */
+    2718 /* Table2718 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2726 /* Table2726 */
+    2720 /* Table2720 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2728 /* Table2728 */
+    2722 /* Table2722 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2730 /* Table2730 */
+    2724 /* Table2724 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2732 /* Table2732 */
+    2726 /* Table2726 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2734 /* Table2734 */
+    2728 /* Table2728 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2736 /* Table2736 */
+    2730 /* Table2730 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2738 /* Table2738 */
+    2732 /* Table2732 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2740 /* Table2740 */
+    2734 /* Table2734 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2742 /* Table2742 */
+    2736 /* Table2736 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2744 /* Table2744 */
+    2738 /* Table2738 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2746 /* Table2746 */
+    2740 /* Table2740 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2748 /* Table2748 */
+    2742 /* Table2742 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2750 /* Table2750 */
+    2744 /* Table2744 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1906 /* Table1906 */
+    1900 /* Table1900 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1908 /* Table1908 */
+    1902 /* Table1902 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2752 /* Table2752 */
+    2746 /* Table2746 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2754 /* Table2754 */
+    2748 /* Table2748 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2756 /* Table2756 */
+    2750 /* Table2750 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2758 /* Table2758 */
+    2752 /* Table2752 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2760 /* Table2760 */
+    2754 /* Table2754 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2762 /* Table2762 */
+    2756 /* Table2756 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2764 /* Table2764 */
+    2758 /* Table2758 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2766 /* Table2766 */
+    2760 /* Table2760 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2768 /* Table2768 */
+    2762 /* Table2762 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2770 /* Table2770 */
+    2764 /* Table2764 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2772 /* Table2772 */
+    2766 /* Table2766 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2774 /* Table2774 */
+    2768 /* Table2768 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2776 /* Table2776 */
+    2770 /* Table2770 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2778 /* Table2778 */
+    2772 /* Table2772 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2780 /* Table2780 */
+    2774 /* Table2774 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2782 /* Table2782 */
+    2776 /* Table2776 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2784 /* Table2784 */
+    2778 /* Table2778 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2786 /* Table2786 */
+    2780 /* Table2780 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2788 /* Table2788 */
+    2782 /* Table2782 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2790 /* Table2790 */
+    2784 /* Table2784 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2792 /* Table2792 */
+    2786 /* Table2786 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2794 /* Table2794 */
+    2788 /* Table2788 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2796 /* Table2796 */
+    2790 /* Table2790 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2798 /* Table2798 */
+    2792 /* Table2792 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2800 /* Table2800 */
+    2794 /* Table2794 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2802 /* Table2802 */
+    2796 /* Table2796 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2804 /* Table2804 */
+    2798 /* Table2798 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2806 /* Table2806 */
+    2800 /* Table2800 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2808 /* Table2808 */
+    2802 /* Table2802 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2810 /* Table2810 */
+    2804 /* Table2804 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2826 /* Table2826 */
+    2820 /* Table2820 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2842 /* Table2842 */
+    2836 /* Table2836 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2858 /* Table2858 */
+    2852 /* Table2852 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2860 /* Table2860 */
+    2854 /* Table2854 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2862 /* Table2862 */
+    2856 /* Table2856 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
+   },
+   /* 0x78 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3537 /* Table3537 */
+   },
+   /* 0x79 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3539 /* Table3539 */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2862 /* Table2862 */
+   },
+   /* 0x7d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2864 /* Table2864 */
+   },
+   /* 0x7e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2866 /* Table2866 */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2868 /* Table2868 */
+   },
+   /* 0x80 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2870 /* Table2870 */
+   },
+   /* 0x81 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2871 /* Table2871 */
+   },
+   /* 0x82 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2872 /* Table2872 */
+   },
+   /* 0x83 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2873 /* Table2873 */
+   },
+   /* 0x84 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2874 /* Table2874 */
+   },
+   /* 0x85 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2875 /* Table2875 */
+   },
+   /* 0x86 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2876 /* Table2876 */
+   },
+   /* 0x87 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2877 /* Table2877 */
+   },
+   /* 0x88 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2878 /* Table2878 */
+   },
+   /* 0x89 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2879 /* Table2879 */
+   },
+   /* 0x8a */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2880 /* Table2880 */
+   },
+   /* 0x8b */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2881 /* Table2881 */
+   },
+   /* 0x8c */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2882 /* Table2882 */
+   },
+   /* 0x8d */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2883 /* Table2883 */
+   },
+   /* 0x8e */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2884 /* Table2884 */
+   },
+   /* 0x8f */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2885 /* Table2885 */
+   },
+   /* 0x90 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2037 /* Table2037 */
+   },
+   /* 0x91 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2039 /* Table2039 */
+   },
+   /* 0x92 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2041 /* Table2041 */
+   },
+   /* 0x93 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2043 /* Table2043 */
+   },
+   /* 0x94 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2045 /* Table2045 */
+   },
+   /* 0x95 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2047 /* Table2047 */
+   },
+   /* 0x96 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2049 /* Table2049 */
+   },
+   /* 0x97 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2051 /* Table2051 */
+   },
+   /* 0x98 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2053 /* Table2053 */
+   },
+   /* 0x99 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2055 /* Table2055 */
+   },
+   /* 0x9a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2057 /* Table2057 */
+   },
+   /* 0x9b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2059 /* Table2059 */
+   },
+   /* 0x9c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2061 /* Table2061 */
+   },
+   /* 0x9d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2063 /* Table2063 */
+   },
+   /* 0x9e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2065 /* Table2065 */
+   },
+   /* 0x9f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2067 /* Table2067 */
+   },
+   /* 0xa0 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2886 /* Table2886 */
+   },
+   /* 0xa1 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2887 /* Table2887 */
+   },
+   /* 0xa2 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2551 /* Table2551 */
+   },
+   /* 0xa3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2888 /* Table2888 */
+   },
+   /* 0xa4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2890 /* Table2890 */
+   },
+   /* 0xa5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2892 /* Table2892 */
+   },
+   /* 0xa6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2078 /* Table2078 */
+   },
+   /* 0xa7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2150 /* Table2150 */
+   },
+   /* 0xa8 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2894 /* Table2894 */
+   },
+   /* 0xa9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2895 /* Table2895 */
+   },
+   /* 0xaa */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2224 /* Table2224 */
+   },
+   /* 0xab */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2896 /* Table2896 */
+   },
+   /* 0xac */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2898 /* Table2898 */
+   },
+   /* 0xad */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2900 /* Table2900 */
+   },
+   /* 0xae */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2231 /* Table2231 */
+   },
+   /* 0xaf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2902 /* Table2902 */
+   },
+   /* 0xb0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2305 /* Table2305 */
+   },
+   /* 0xb1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2904 /* Table2904 */
+   },
+   /* 0xb2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2906 /* Table2906 */
+   },
+   /* 0xb3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2908 /* Table2908 */
+   },
+   /* 0xb4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2910 /* Table2910 */
+   },
+   /* 0xb5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2912 /* Table2912 */
+   },
+   /* 0xb6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2914 /* Table2914 */
+   },
+   /* 0xb7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2319 /* Table2319 */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2321 /* Table2321 */
+   },
+   /* 0xba */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    2916 /* Table2916 */
+   },
+   /* 0xbb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2932 /* Table2932 */
+   },
+   /* 0xbc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2934 /* Table2934 */
+   },
+   /* 0xbd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2936 /* Table2936 */
+   },
+   /* 0xbe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2938 /* Table2938 */
+   },
+   /* 0xbf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2346 /* Table2346 */
+   },
+   /* 0xc0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2348 /* Table2348 */
+   },
+   /* 0xc1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2940 /* Table2940 */
+   },
+   /* 0xc2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2942 /* Table2942 */
+   },
+   /* 0xc3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2354 /* Table2354 */
+   },
+   /* 0xc4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2944 /* Table2944 */
+   },
+   /* 0xc5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2946 /* Table2946 */
+   },
+   /* 0xc6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2948 /* Table2948 */
+   },
+   /* 0xc7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    2950 /* Table2950 */
+   },
+   /* 0xc8 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xc9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xca */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xcb */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xcc */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xcd */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xce */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xcf */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xd0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2966 /* Table2966 */
+   },
+   /* 0xd1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2968 /* Table2968 */
+   },
+   /* 0xd2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2970 /* Table2970 */
+   },
+   /* 0xd3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2972 /* Table2972 */
+   },
+   /* 0xd4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2974 /* Table2974 */
+   },
+   /* 0xd5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2976 /* Table2976 */
+   },
+   /* 0xd6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2978 /* Table2978 */
+   },
+   /* 0xd7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2980 /* Table2980 */
+   },
+   /* 0xd8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2982 /* Table2982 */
+   },
+   /* 0xd9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2984 /* Table2984 */
+   },
+   /* 0xda */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2986 /* Table2986 */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2988 /* Table2988 */
+   },
+   /* 0xdc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2990 /* Table2990 */
+   },
+   /* 0xdd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2992 /* Table2992 */
+   },
+   /* 0xde */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2994 /* Table2994 */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2996 /* Table2996 */
+   },
+   /* 0xe0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2998 /* Table2998 */
+   },
+   /* 0xe1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3000 /* Table3000 */
+   },
+   /* 0xe2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3002 /* Table3002 */
+   },
+   /* 0xe3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3004 /* Table3004 */
+   },
+   /* 0xe4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3006 /* Table3006 */
+   },
+   /* 0xe5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3008 /* Table3008 */
+   },
+   /* 0xe6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3010 /* Table3010 */
+   },
+   /* 0xe7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3012 /* Table3012 */
+   },
+   /* 0xe8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3014 /* Table3014 */
+   },
+   /* 0xe9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3016 /* Table3016 */
+   },
+   /* 0xea */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3018 /* Table3018 */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3020 /* Table3020 */
+   },
+   /* 0xec */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3022 /* Table3022 */
+   },
+   /* 0xed */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3024 /* Table3024 */
+   },
+   /* 0xee */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3026 /* Table3026 */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3028 /* Table3028 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3030 /* Table3030 */
+   },
+   /* 0xf2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3032 /* Table3032 */
+   },
+   /* 0xf3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3034 /* Table3034 */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3036 /* Table3036 */
+   },
+   /* 0xf5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3038 /* Table3038 */
+   },
+   /* 0xf6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3040 /* Table3040 */
+   },
+   /* 0xf7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3541 /* Table3541 */
+   },
+   /* 0xf8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3044 /* Table3044 */
+   },
+   /* 0xf9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3046 /* Table3046 */
+   },
+   /* 0xfa */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3048 /* Table3048 */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3050 /* Table3050 */
+   },
+   /* 0xfc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3052 /* Table3052 */
+   },
+   /* 0xfd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3054 /* Table3054 */
+   },
+   /* 0xfe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3056 /* Table3056 */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_64BIT_ADSIZE */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1673 /* Table1673 */
+   },
+   /* 0x01 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2465 /* Table2465 */
+   },
+   /* 0x02 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1761 /* Table1761 */
+   },
+   /* 0x03 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1763 /* Table1763 */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1765 /* Table1765 */
+   },
+   /* 0x06 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1766 /* Table1766 */
+   },
+   /* 0x07 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1767 /* Table1767 */
+   },
+   /* 0x08 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1768 /* Table1768 */
+   },
+   /* 0x09 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1769 /* Table1769 */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1770 /* Table1770 */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1771 /* Table1771 */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1787 /* Table1787 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1789 /* Table1789 */
+   },
+   /* 0x12 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1791 /* Table1791 */
+   },
+   /* 0x13 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1793 /* Table1793 */
+   },
+   /* 0x14 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1795 /* Table1795 */
+   },
+   /* 0x15 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1797 /* Table1797 */
+   },
+   /* 0x16 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1799 /* Table1799 */
+   },
+   /* 0x17 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1801 /* Table1801 */
+   },
+   /* 0x18 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1803 /* Table1803 */
+   },
+   /* 0x19 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1819 /* Table1819 */
+   },
+   /* 0x1a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1821 /* Table1821 */
+   },
+   /* 0x1b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1823 /* Table1823 */
+   },
+   /* 0x1c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1825 /* Table1825 */
+   },
+   /* 0x1d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1827 /* Table1827 */
+   },
+   /* 0x1e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1829 /* Table1829 */
+   },
+   /* 0x1f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1831 /* Table1831 */
+   },
+   /* 0x20 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2537 /* Table2537 */
+   },
+   /* 0x21 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2539 /* Table2539 */
+   },
+   /* 0x22 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2541 /* Table2541 */
+   },
+   /* 0x23 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2543 /* Table2543 */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1841 /* Table1841 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1843 /* Table1843 */
+   },
+   /* 0x2a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1845 /* Table1845 */
+   },
+   /* 0x2b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1847 /* Table1847 */
+   },
+   /* 0x2c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1849 /* Table1849 */
+   },
+   /* 0x2d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1851 /* Table1851 */
+   },
+   /* 0x2e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1853 /* Table1853 */
+   },
+   /* 0x2f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1855 /* Table1855 */
+   },
+   /* 0x30 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1857 /* Table1857 */
+   },
+   /* 0x31 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1858 /* Table1858 */
+   },
+   /* 0x32 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1859 /* Table1859 */
+   },
+   /* 0x33 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1860 /* Table1860 */
+   },
+   /* 0x34 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1861 /* Table1861 */
+   },
+   /* 0x35 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1862 /* Table1862 */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1863 /* Table1863 */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1864 /* Table1864 */
+   },
+   /* 0x41 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1866 /* Table1866 */
+   },
+   /* 0x42 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1868 /* Table1868 */
+   },
+   /* 0x43 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1870 /* Table1870 */
+   },
+   /* 0x44 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1872 /* Table1872 */
+   },
+   /* 0x45 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1874 /* Table1874 */
+   },
+   /* 0x46 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1876 /* Table1876 */
+   },
+   /* 0x47 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1878 /* Table1878 */
+   },
+   /* 0x48 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1880 /* Table1880 */
+   },
+   /* 0x49 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1882 /* Table1882 */
+   },
+   /* 0x4a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1884 /* Table1884 */
+   },
+   /* 0x4b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1886 /* Table1886 */
+   },
+   /* 0x4c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1888 /* Table1888 */
+   },
+   /* 0x4d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1890 /* Table1890 */
+   },
+   /* 0x4e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1892 /* Table1892 */
+   },
+   /* 0x4f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1894 /* Table1894 */
+   },
+   /* 0x50 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1896 /* Table1896 */
+   },
+   /* 0x51 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1898 /* Table1898 */
+   },
+   /* 0x52 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1900 /* Table1900 */
+   },
+   /* 0x53 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1902 /* Table1902 */
+   },
+   /* 0x54 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1904 /* Table1904 */
+   },
+   /* 0x55 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1906 /* Table1906 */
+   },
+   /* 0x56 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1908 /* Table1908 */
+   },
+   /* 0x57 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1910 /* Table1910 */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1912 /* Table1912 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1914 /* Table1914 */
+   },
+   /* 0x5a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1916 /* Table1916 */
+   },
+   /* 0x5b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1918 /* Table1918 */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1920 /* Table1920 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1922 /* Table1922 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1924 /* Table1924 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1926 /* Table1926 */
+   },
+   /* 0x60 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1928 /* Table1928 */
+   },
+   /* 0x61 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1930 /* Table1930 */
+   },
+   /* 0x62 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1932 /* Table1932 */
+   },
+   /* 0x63 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1934 /* Table1934 */
+   },
+   /* 0x64 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1936 /* Table1936 */
+   },
+   /* 0x65 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1938 /* Table1938 */
+   },
+   /* 0x66 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1940 /* Table1940 */
+   },
+   /* 0x67 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1942 /* Table1942 */
+   },
+   /* 0x68 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1944 /* Table1944 */
+   },
+   /* 0x69 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1946 /* Table1946 */
+   },
+   /* 0x6a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1948 /* Table1948 */
+   },
+   /* 0x6b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1950 /* Table1950 */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1952 /* Table1952 */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1954 /* Table1954 */
+   },
+   /* 0x70 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1956 /* Table1956 */
+   },
+   /* 0x71 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1958 /* Table1958 */
+   },
+   /* 0x72 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1974 /* Table1974 */
+   },
+   /* 0x73 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1990 /* Table1990 */
+   },
+   /* 0x74 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2006 /* Table2006 */
+   },
+   /* 0x75 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2008 /* Table2008 */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2010 /* Table2010 */
+   },
+   /* 0x77 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2012 /* Table2012 */
+   },
+   /* 0x78 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2545 /* Table2545 */
+   },
+   /* 0x79 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2547 /* Table2547 */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2017 /* Table2017 */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2019 /* Table2019 */
+   },
+   /* 0x80 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2021 /* Table2021 */
+   },
+   /* 0x81 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2022 /* Table2022 */
+   },
+   /* 0x82 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2023 /* Table2023 */
+   },
+   /* 0x83 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2024 /* Table2024 */
+   },
+   /* 0x84 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2025 /* Table2025 */
+   },
+   /* 0x85 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2026 /* Table2026 */
+   },
+   /* 0x86 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2027 /* Table2027 */
+   },
+   /* 0x87 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2028 /* Table2028 */
+   },
+   /* 0x88 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2029 /* Table2029 */
+   },
+   /* 0x89 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2030 /* Table2030 */
+   },
+   /* 0x8a */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2031 /* Table2031 */
+   },
+   /* 0x8b */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2032 /* Table2032 */
+   },
+   /* 0x8c */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2033 /* Table2033 */
+   },
+   /* 0x8d */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2034 /* Table2034 */
+   },
+   /* 0x8e */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2035 /* Table2035 */
+   },
+   /* 0x8f */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2036 /* Table2036 */
+   },
+   /* 0x90 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2037 /* Table2037 */
+   },
+   /* 0x91 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2039 /* Table2039 */
+   },
+   /* 0x92 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2041 /* Table2041 */
+   },
+   /* 0x93 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2043 /* Table2043 */
+   },
+   /* 0x94 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2045 /* Table2045 */
+   },
+   /* 0x95 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2047 /* Table2047 */
+   },
+   /* 0x96 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2049 /* Table2049 */
+   },
+   /* 0x97 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2051 /* Table2051 */
+   },
+   /* 0x98 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2053 /* Table2053 */
+   },
+   /* 0x99 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2055 /* Table2055 */
+   },
+   /* 0x9a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2057 /* Table2057 */
+   },
+   /* 0x9b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2059 /* Table2059 */
+   },
+   /* 0x9c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2061 /* Table2061 */
+   },
+   /* 0x9d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2063 /* Table2063 */
+   },
+   /* 0x9e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2065 /* Table2065 */
+   },
+   /* 0x9f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2067 /* Table2067 */
+   },
+   /* 0xa0 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2549 /* Table2549 */
+   },
+   /* 0xa1 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2550 /* Table2550 */
+   },
+   /* 0xa2 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2551 /* Table2551 */
+   },
+   /* 0xa3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2072 /* Table2072 */
+   },
+   /* 0xa4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2074 /* Table2074 */
+   },
+   /* 0xa5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2076 /* Table2076 */
+   },
+   /* 0xa6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2078 /* Table2078 */
+   },
+   /* 0xa7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2150 /* Table2150 */
+   },
+   /* 0xa8 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2552 /* Table2552 */
+   },
+   /* 0xa9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2553 /* Table2553 */
+   },
+   /* 0xaa */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2224 /* Table2224 */
+   },
+   /* 0xab */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2225 /* Table2225 */
+   },
+   /* 0xac */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2227 /* Table2227 */
+   },
+   /* 0xad */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2229 /* Table2229 */
+   },
+   /* 0xae */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2231 /* Table2231 */
+   },
+   /* 0xaf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2303 /* Table2303 */
+   },
+   /* 0xb0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2305 /* Table2305 */
+   },
+   /* 0xb1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2307 /* Table2307 */
+   },
+   /* 0xb2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2309 /* Table2309 */
+   },
+   /* 0xb3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2311 /* Table2311 */
+   },
+   /* 0xb4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2313 /* Table2313 */
+   },
+   /* 0xb5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2315 /* Table2315 */
+   },
+   /* 0xb6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2317 /* Table2317 */
+   },
+   /* 0xb7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2319 /* Table2319 */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2321 /* Table2321 */
+   },
+   /* 0xba */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    2322 /* Table2322 */
+   },
+   /* 0xbb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2338 /* Table2338 */
+   },
+   /* 0xbc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2340 /* Table2340 */
+   },
+   /* 0xbd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2342 /* Table2342 */
+   },
+   /* 0xbe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2344 /* Table2344 */
+   },
+   /* 0xbf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2346 /* Table2346 */
+   },
+   /* 0xc0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2348 /* Table2348 */
+   },
+   /* 0xc1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2350 /* Table2350 */
+   },
+   /* 0xc2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2352 /* Table2352 */
+   },
+   /* 0xc3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2354 /* Table2354 */
+   },
+   /* 0xc4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2356 /* Table2356 */
+   },
+   /* 0xc5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2358 /* Table2358 */
+   },
+   /* 0xc6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2360 /* Table2360 */
+   },
+   /* 0xc7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    2362 /* Table2362 */
+   },
+   /* 0xc8 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xc9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xca */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xcb */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xcc */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xcd */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xce */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xcf */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2379 /* Table2379 */
+   },
+   /* 0xd2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2381 /* Table2381 */
+   },
+   /* 0xd3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2383 /* Table2383 */
+   },
+   /* 0xd4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2385 /* Table2385 */
+   },
+   /* 0xd5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2387 /* Table2387 */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2389 /* Table2389 */
+   },
+   /* 0xd8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2391 /* Table2391 */
+   },
+   /* 0xd9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2393 /* Table2393 */
+   },
+   /* 0xda */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2395 /* Table2395 */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2397 /* Table2397 */
+   },
+   /* 0xdc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2399 /* Table2399 */
+   },
+   /* 0xdd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2401 /* Table2401 */
+   },
+   /* 0xde */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2403 /* Table2403 */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2405 /* Table2405 */
+   },
+   /* 0xe0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2407 /* Table2407 */
+   },
+   /* 0xe1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2409 /* Table2409 */
+   },
+   /* 0xe2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2411 /* Table2411 */
+   },
+   /* 0xe3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2413 /* Table2413 */
+   },
+   /* 0xe4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2415 /* Table2415 */
+   },
+   /* 0xe5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2417 /* Table2417 */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2419 /* Table2419 */
+   },
+   /* 0xe8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2421 /* Table2421 */
+   },
+   /* 0xe9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2423 /* Table2423 */
+   },
+   /* 0xea */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2425 /* Table2425 */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2427 /* Table2427 */
+   },
+   /* 0xec */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2429 /* Table2429 */
+   },
+   /* 0xed */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2431 /* Table2431 */
+   },
+   /* 0xee */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2433 /* Table2433 */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2435 /* Table2435 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2437 /* Table2437 */
+   },
+   /* 0xf2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2439 /* Table2439 */
+   },
+   /* 0xf3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2441 /* Table2441 */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2443 /* Table2443 */
+   },
+   /* 0xf5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2445 /* Table2445 */
+   },
+   /* 0xf6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2447 /* Table2447 */
+   },
+   /* 0xf7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2554 /* Table2554 */
+   },
+   /* 0xf8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2451 /* Table2451 */
+   },
+   /* 0xf9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2453 /* Table2453 */
+   },
+   /* 0xfa */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2455 /* Table2455 */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2457 /* Table2457 */
+   },
+   /* 0xfc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2459 /* Table2459 */
+   },
+   /* 0xfd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2461 /* Table2461 */
+   },
+   /* 0xfe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2463 /* Table2463 */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_64BIT_XD */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1673 /* Table1673 */
+   },
+   /* 0x01 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2465 /* Table2465 */
+   },
+   /* 0x02 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1761 /* Table1761 */
+   },
+   /* 0x03 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1763 /* Table1763 */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1765 /* Table1765 */
+   },
+   /* 0x06 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1766 /* Table1766 */
+   },
+   /* 0x07 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1767 /* Table1767 */
+   },
+   /* 0x08 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1768 /* Table1768 */
+   },
+   /* 0x09 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1769 /* Table1769 */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1770 /* Table1770 */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1771 /* Table1771 */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3058 /* Table3058 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3060 /* Table3060 */
+   },
+   /* 0x12 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3062 /* Table3062 */
+   },
+   /* 0x13 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1793 /* Table1793 */
+   },
+   /* 0x14 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1795 /* Table1795 */
+   },
+   /* 0x15 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1797 /* Table1797 */
+   },
+   /* 0x16 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1799 /* Table1799 */
+   },
+   /* 0x17 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1801 /* Table1801 */
+   },
+   /* 0x18 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1803 /* Table1803 */
+   },
+   /* 0x19 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1819 /* Table1819 */
+   },
+   /* 0x1a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1821 /* Table1821 */
+   },
+   /* 0x1b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1823 /* Table1823 */
+   },
+   /* 0x1c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1825 /* Table1825 */
+   },
+   /* 0x1d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1827 /* Table1827 */
+   },
+   /* 0x1e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1829 /* Table1829 */
+   },
+   /* 0x1f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1831 /* Table1831 */
+   },
+   /* 0x20 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2537 /* Table2537 */
+   },
+   /* 0x21 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2539 /* Table2539 */
+   },
+   /* 0x22 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2541 /* Table2541 */
+   },
+   /* 0x23 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2543 /* Table2543 */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1841 /* Table1841 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1843 /* Table1843 */
+   },
+   /* 0x2a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3064 /* Table3064 */
+   },
+   /* 0x2b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3066 /* Table3066 */
+   },
+   /* 0x2c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3068 /* Table3068 */
+   },
+   /* 0x2d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3070 /* Table3070 */
+   },
+   /* 0x2e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1853 /* Table1853 */
+   },
+   /* 0x2f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1855 /* Table1855 */
+   },
+   /* 0x30 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1857 /* Table1857 */
+   },
+   /* 0x31 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1858 /* Table1858 */
+   },
+   /* 0x32 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1859 /* Table1859 */
+   },
+   /* 0x33 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1860 /* Table1860 */
+   },
+   /* 0x34 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1861 /* Table1861 */
+   },
+   /* 0x35 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1862 /* Table1862 */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1863 /* Table1863 */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1864 /* Table1864 */
+   },
+   /* 0x41 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1866 /* Table1866 */
+   },
+   /* 0x42 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1868 /* Table1868 */
+   },
+   /* 0x43 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1870 /* Table1870 */
+   },
+   /* 0x44 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1872 /* Table1872 */
+   },
+   /* 0x45 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1874 /* Table1874 */
+   },
+   /* 0x46 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1876 /* Table1876 */
+   },
+   /* 0x47 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1878 /* Table1878 */
+   },
+   /* 0x48 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1880 /* Table1880 */
+   },
+   /* 0x49 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1882 /* Table1882 */
+   },
+   /* 0x4a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1884 /* Table1884 */
+   },
+   /* 0x4b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1886 /* Table1886 */
+   },
+   /* 0x4c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1888 /* Table1888 */
+   },
+   /* 0x4d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1890 /* Table1890 */
+   },
+   /* 0x4e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1892 /* Table1892 */
+   },
+   /* 0x4f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1894 /* Table1894 */
+   },
+   /* 0x50 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1896 /* Table1896 */
+   },
+   /* 0x51 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3072 /* Table3072 */
+   },
+   /* 0x52 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1900 /* Table1900 */
+   },
+   /* 0x53 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1902 /* Table1902 */
+   },
+   /* 0x54 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1904 /* Table1904 */
+   },
+   /* 0x55 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1906 /* Table1906 */
+   },
+   /* 0x56 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1908 /* Table1908 */
+   },
+   /* 0x57 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1910 /* Table1910 */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3074 /* Table3074 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3076 /* Table3076 */
+   },
+   /* 0x5a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3078 /* Table3078 */
+   },
+   /* 0x5b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1918 /* Table1918 */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3080 /* Table3080 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3082 /* Table3082 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3084 /* Table3084 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3086 /* Table3086 */
+   },
+   /* 0x60 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1928 /* Table1928 */
+   },
+   /* 0x61 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1930 /* Table1930 */
+   },
+   /* 0x62 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1932 /* Table1932 */
+   },
+   /* 0x63 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1934 /* Table1934 */
+   },
+   /* 0x64 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1936 /* Table1936 */
+   },
+   /* 0x65 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1938 /* Table1938 */
+   },
+   /* 0x66 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1940 /* Table1940 */
+   },
+   /* 0x67 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1942 /* Table1942 */
+   },
+   /* 0x68 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1944 /* Table1944 */
+   },
+   /* 0x69 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1946 /* Table1946 */
+   },
+   /* 0x6a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1948 /* Table1948 */
+   },
+   /* 0x6b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1950 /* Table1950 */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1952 /* Table1952 */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1954 /* Table1954 */
+   },
+   /* 0x70 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3088 /* Table3088 */
+   },
+   /* 0x71 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1958 /* Table1958 */
+   },
+   /* 0x72 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1974 /* Table1974 */
+   },
+   /* 0x73 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1990 /* Table1990 */
+   },
+   /* 0x74 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2006 /* Table2006 */
+   },
+   /* 0x75 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2008 /* Table2008 */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2010 /* Table2010 */
+   },
+   /* 0x77 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
@@ -88252,1587 +92118,302 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2868 /* Table2868 */
+    3094 /* Table3094 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2870 /* Table2870 */
+    3096 /* Table3096 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2872 /* Table2872 */
+    2017 /* Table2017 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2874 /* Table2874 */
+    2019 /* Table2019 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2876 /* Table2876 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2877 /* Table2877 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2878 /* Table2878 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2879 /* Table2879 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2880 /* Table2880 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2881 /* Table2881 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2882 /* Table2882 */
-   },
-   /* 0x87 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2883 /* Table2883 */
-   },
-   /* 0x88 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2884 /* Table2884 */
-   },
-   /* 0x89 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2885 /* Table2885 */
-   },
-   /* 0x8a */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2886 /* Table2886 */
-   },
-   /* 0x8b */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2887 /* Table2887 */
-   },
-   /* 0x8c */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2888 /* Table2888 */
-   },
-   /* 0x8d */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2889 /* Table2889 */
-   },
-   /* 0x8e */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2890 /* Table2890 */
-   },
-   /* 0x8f */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2891 /* Table2891 */
-   },
-   /* 0x90 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2043 /* Table2043 */
-   },
-   /* 0x91 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2045 /* Table2045 */
-   },
-   /* 0x92 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2047 /* Table2047 */
-   },
-   /* 0x93 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2049 /* Table2049 */
-   },
-   /* 0x94 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2051 /* Table2051 */
-   },
-   /* 0x95 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2053 /* Table2053 */
-   },
-   /* 0x96 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2055 /* Table2055 */
-   },
-   /* 0x97 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2057 /* Table2057 */
-   },
-   /* 0x98 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2059 /* Table2059 */
-   },
-   /* 0x99 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2061 /* Table2061 */
-   },
-   /* 0x9a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2063 /* Table2063 */
-   },
-   /* 0x9b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2065 /* Table2065 */
-   },
-   /* 0x9c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2067 /* Table2067 */
-   },
-   /* 0x9d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2069 /* Table2069 */
-   },
-   /* 0x9e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2071 /* Table2071 */
-   },
-   /* 0x9f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2073 /* Table2073 */
-   },
-   /* 0xa0 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2892 /* Table2892 */
-   },
-   /* 0xa1 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2893 /* Table2893 */
-   },
-   /* 0xa2 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2557 /* Table2557 */
-   },
-   /* 0xa3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2894 /* Table2894 */
-   },
-   /* 0xa4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2896 /* Table2896 */
-   },
-   /* 0xa5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2898 /* Table2898 */
-   },
-   /* 0xa6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2084 /* Table2084 */
-   },
-   /* 0xa7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2156 /* Table2156 */
-   },
-   /* 0xa8 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2900 /* Table2900 */
-   },
-   /* 0xa9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2901 /* Table2901 */
-   },
-   /* 0xaa */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2230 /* Table2230 */
-   },
-   /* 0xab */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2902 /* Table2902 */
-   },
-   /* 0xac */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2904 /* Table2904 */
-   },
-   /* 0xad */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2906 /* Table2906 */
-   },
-   /* 0xae */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2237 /* Table2237 */
-   },
-   /* 0xaf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2908 /* Table2908 */
-   },
-   /* 0xb0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2311 /* Table2311 */
-   },
-   /* 0xb1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2910 /* Table2910 */
-   },
-   /* 0xb2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2912 /* Table2912 */
-   },
-   /* 0xb3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2914 /* Table2914 */
-   },
-   /* 0xb4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2916 /* Table2916 */
-   },
-   /* 0xb5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2918 /* Table2918 */
-   },
-   /* 0xb6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2920 /* Table2920 */
-   },
-   /* 0xb7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2325 /* Table2325 */
-   },
-   /* 0xb8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2327 /* Table2327 */
-   },
-   /* 0xba */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    2922 /* Table2922 */
-   },
-   /* 0xbb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2938 /* Table2938 */
-   },
-   /* 0xbc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2940 /* Table2940 */
-   },
-   /* 0xbd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2942 /* Table2942 */
-   },
-   /* 0xbe */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2944 /* Table2944 */
-   },
-   /* 0xbf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2352 /* Table2352 */
-   },
-   /* 0xc0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2354 /* Table2354 */
-   },
-   /* 0xc1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2946 /* Table2946 */
-   },
-   /* 0xc2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2948 /* Table2948 */
-   },
-   /* 0xc3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2360 /* Table2360 */
-   },
-   /* 0xc4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2950 /* Table2950 */
-   },
-   /* 0xc5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2952 /* Table2952 */
-   },
-   /* 0xc6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2954 /* Table2954 */
-   },
-   /* 0xc7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    2956 /* Table2956 */
-   },
-   /* 0xc8 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xc9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xca */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xcb */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xcc */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xcd */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xce */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xcf */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xd0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2972 /* Table2972 */
-   },
-   /* 0xd1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2974 /* Table2974 */
-   },
-   /* 0xd2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2976 /* Table2976 */
-   },
-   /* 0xd3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2978 /* Table2978 */
-   },
-   /* 0xd4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2980 /* Table2980 */
-   },
-   /* 0xd5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2982 /* Table2982 */
-   },
-   /* 0xd6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2984 /* Table2984 */
-   },
-   /* 0xd7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2986 /* Table2986 */
-   },
-   /* 0xd8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2988 /* Table2988 */
-   },
-   /* 0xd9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2990 /* Table2990 */
-   },
-   /* 0xda */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2992 /* Table2992 */
-   },
-   /* 0xdb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2994 /* Table2994 */
-   },
-   /* 0xdc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2996 /* Table2996 */
-   },
-   /* 0xdd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2998 /* Table2998 */
-   },
-   /* 0xde */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3000 /* Table3000 */
-   },
-   /* 0xdf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3002 /* Table3002 */
-   },
-   /* 0xe0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3004 /* Table3004 */
-   },
-   /* 0xe1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3006 /* Table3006 */
-   },
-   /* 0xe2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3008 /* Table3008 */
-   },
-   /* 0xe3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3010 /* Table3010 */
-   },
-   /* 0xe4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3012 /* Table3012 */
-   },
-   /* 0xe5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3014 /* Table3014 */
-   },
-   /* 0xe6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3016 /* Table3016 */
-   },
-   /* 0xe7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3018 /* Table3018 */
-   },
-   /* 0xe8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3020 /* Table3020 */
-   },
-   /* 0xe9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3022 /* Table3022 */
-   },
-   /* 0xea */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3024 /* Table3024 */
-   },
-   /* 0xeb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3026 /* Table3026 */
-   },
-   /* 0xec */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3028 /* Table3028 */
-   },
-   /* 0xed */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3030 /* Table3030 */
-   },
-   /* 0xee */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3032 /* Table3032 */
-   },
-   /* 0xef */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3034 /* Table3034 */
-   },
-   /* 0xf0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3036 /* Table3036 */
-   },
-   /* 0xf2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3038 /* Table3038 */
-   },
-   /* 0xf3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3040 /* Table3040 */
-   },
-   /* 0xf4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3042 /* Table3042 */
-   },
-   /* 0xf5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3044 /* Table3044 */
-   },
-   /* 0xf6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3046 /* Table3046 */
-   },
-   /* 0xf7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3547 /* Table3547 */
-   },
-   /* 0xf8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3050 /* Table3050 */
-   },
-   /* 0xf9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3052 /* Table3052 */
-   },
-   /* 0xfa */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3054 /* Table3054 */
-   },
-   /* 0xfb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3056 /* Table3056 */
-   },
-   /* 0xfc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3058 /* Table3058 */
-   },
-   /* 0xfd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3060 /* Table3060 */
-   },
-   /* 0xfe */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3062 /* Table3062 */
-   },
-   /* 0xff */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   }
-  }
- }
-,  /* IC_64BIT_ADSIZE */
- { /* struct OpcodeDecision */
-  {
-   /* 0x00 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1679 /* Table1679 */
-   },
-   /* 0x01 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2471 /* Table2471 */
-   },
-   /* 0x02 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1767 /* Table1767 */
-   },
-   /* 0x03 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1769 /* Table1769 */
-   },
-   /* 0x04 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x05 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1771 /* Table1771 */
-   },
-   /* 0x06 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1772 /* Table1772 */
-   },
-   /* 0x07 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1773 /* Table1773 */
-   },
-   /* 0x08 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1774 /* Table1774 */
-   },
-   /* 0x09 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1775 /* Table1775 */
-   },
-   /* 0x0a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0b */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1776 /* Table1776 */
-   },
-   /* 0x0c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1777 /* Table1777 */
-   },
-   /* 0x0e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x10 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1793 /* Table1793 */
-   },
-   /* 0x11 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1795 /* Table1795 */
-   },
-   /* 0x12 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1797 /* Table1797 */
-   },
-   /* 0x13 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1799 /* Table1799 */
-   },
-   /* 0x14 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1801 /* Table1801 */
-   },
-   /* 0x15 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1803 /* Table1803 */
-   },
-   /* 0x16 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1805 /* Table1805 */
-   },
-   /* 0x17 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1807 /* Table1807 */
-   },
-   /* 0x18 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1809 /* Table1809 */
-   },
-   /* 0x19 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1825 /* Table1825 */
-   },
-   /* 0x1a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1827 /* Table1827 */
-   },
-   /* 0x1b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1829 /* Table1829 */
-   },
-   /* 0x1c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1831 /* Table1831 */
-   },
-   /* 0x1d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1833 /* Table1833 */
-   },
-   /* 0x1e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1835 /* Table1835 */
-   },
-   /* 0x1f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1837 /* Table1837 */
-   },
-   /* 0x20 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2543 /* Table2543 */
-   },
-   /* 0x21 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2545 /* Table2545 */
-   },
-   /* 0x22 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2547 /* Table2547 */
-   },
-   /* 0x23 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2549 /* Table2549 */
-   },
-   /* 0x24 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x25 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x26 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x27 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x28 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1847 /* Table1847 */
-   },
-   /* 0x29 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1849 /* Table1849 */
-   },
-   /* 0x2a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1851 /* Table1851 */
-   },
-   /* 0x2b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1853 /* Table1853 */
-   },
-   /* 0x2c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1855 /* Table1855 */
-   },
-   /* 0x2d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1857 /* Table1857 */
-   },
-   /* 0x2e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1859 /* Table1859 */
-   },
-   /* 0x2f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1861 /* Table1861 */
-   },
-   /* 0x30 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1863 /* Table1863 */
-   },
-   /* 0x31 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1864 /* Table1864 */
-   },
-   /* 0x32 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1865 /* Table1865 */
-   },
-   /* 0x33 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1866 /* Table1866 */
-   },
-   /* 0x34 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1867 /* Table1867 */
-   },
-   /* 0x35 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1868 /* Table1868 */
-   },
-   /* 0x36 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x37 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1869 /* Table1869 */
-   },
-   /* 0x38 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x40 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1870 /* Table1870 */
-   },
-   /* 0x41 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1872 /* Table1872 */
-   },
-   /* 0x42 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1874 /* Table1874 */
-   },
-   /* 0x43 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1876 /* Table1876 */
-   },
-   /* 0x44 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1878 /* Table1878 */
-   },
-   /* 0x45 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1880 /* Table1880 */
-   },
-   /* 0x46 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1882 /* Table1882 */
-   },
-   /* 0x47 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1884 /* Table1884 */
-   },
-   /* 0x48 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1886 /* Table1886 */
-   },
-   /* 0x49 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1888 /* Table1888 */
-   },
-   /* 0x4a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1890 /* Table1890 */
-   },
-   /* 0x4b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1892 /* Table1892 */
-   },
-   /* 0x4c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1894 /* Table1894 */
-   },
-   /* 0x4d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1896 /* Table1896 */
-   },
-   /* 0x4e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1898 /* Table1898 */
-   },
-   /* 0x4f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1900 /* Table1900 */
-   },
-   /* 0x50 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1902 /* Table1902 */
-   },
-   /* 0x51 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1904 /* Table1904 */
-   },
-   /* 0x52 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1906 /* Table1906 */
-   },
-   /* 0x53 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1908 /* Table1908 */
-   },
-   /* 0x54 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1910 /* Table1910 */
-   },
-   /* 0x55 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1912 /* Table1912 */
-   },
-   /* 0x56 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1914 /* Table1914 */
-   },
-   /* 0x57 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1916 /* Table1916 */
-   },
-   /* 0x58 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1918 /* Table1918 */
-   },
-   /* 0x59 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1920 /* Table1920 */
-   },
-   /* 0x5a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1922 /* Table1922 */
-   },
-   /* 0x5b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1924 /* Table1924 */
-   },
-   /* 0x5c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1926 /* Table1926 */
-   },
-   /* 0x5d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1928 /* Table1928 */
-   },
-   /* 0x5e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1930 /* Table1930 */
-   },
-   /* 0x5f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1932 /* Table1932 */
-   },
-   /* 0x60 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1934 /* Table1934 */
-   },
-   /* 0x61 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1936 /* Table1936 */
-   },
-   /* 0x62 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1938 /* Table1938 */
-   },
-   /* 0x63 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1940 /* Table1940 */
-   },
-   /* 0x64 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1942 /* Table1942 */
-   },
-   /* 0x65 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1944 /* Table1944 */
-   },
-   /* 0x66 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1946 /* Table1946 */
-   },
-   /* 0x67 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1948 /* Table1948 */
-   },
-   /* 0x68 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1950 /* Table1950 */
-   },
-   /* 0x69 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1952 /* Table1952 */
-   },
-   /* 0x6a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1954 /* Table1954 */
-   },
-   /* 0x6b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1956 /* Table1956 */
-   },
-   /* 0x6c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1958 /* Table1958 */
-   },
-   /* 0x6f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1960 /* Table1960 */
-   },
-   /* 0x70 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1962 /* Table1962 */
-   },
-   /* 0x71 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1964 /* Table1964 */
-   },
-   /* 0x72 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1980 /* Table1980 */
-   },
-   /* 0x73 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1996 /* Table1996 */
-   },
-   /* 0x74 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2012 /* Table2012 */
-   },
-   /* 0x75 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2014 /* Table2014 */
-   },
-   /* 0x76 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2016 /* Table2016 */
-   },
-   /* 0x77 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2018 /* Table2018 */
-   },
-   /* 0x78 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2551 /* Table2551 */
-   },
-   /* 0x79 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2553 /* Table2553 */
-   },
-   /* 0x7a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2023 /* Table2023 */
-   },
-   /* 0x7f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2025 /* Table2025 */
-   },
-   /* 0x80 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
     2027 /* Table2027 */
    },
-   /* 0x81 */
+   /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2028 /* Table2028 */
    },
-   /* 0x82 */
+   /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2029 /* Table2029 */
    },
-   /* 0x83 */
+   /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2030 /* Table2030 */
    },
-   /* 0x84 */
+   /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2031 /* Table2031 */
    },
-   /* 0x85 */
+   /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2032 /* Table2032 */
    },
-   /* 0x86 */
+   /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2033 /* Table2033 */
    },
-   /* 0x87 */
+   /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2034 /* Table2034 */
    },
-   /* 0x88 */
+   /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2035 /* Table2035 */
    },
-   /* 0x89 */
+   /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2036 /* Table2036 */
    },
-   /* 0x8a */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2037 /* Table2037 */
-   },
-   /* 0x8b */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2038 /* Table2038 */
-   },
-   /* 0x8c */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2039 /* Table2039 */
-   },
-   /* 0x8d */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2040 /* Table2040 */
-   },
-   /* 0x8e */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2041 /* Table2041 */
-   },
-   /* 0x8f */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2042 /* Table2042 */
-   },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2555 /* Table2555 */
+    2549 /* Table2549 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2556 /* Table2556 */
+    2550 /* Table2550 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2557 /* Table2557 */
+    2551 /* Table2551 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2078 /* Table2078 */
+    2072 /* Table2072 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2080 /* Table2080 */
+    2074 /* Table2074 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2082 /* Table2082 */
+    2076 /* Table2076 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2558 /* Table2558 */
+    2552 /* Table2552 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2559 /* Table2559 */
+    2553 /* Table2553 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2231 /* Table2231 */
+    2225 /* Table2225 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2233 /* Table2233 */
+    2227 /* Table2227 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2235 /* Table2235 */
+    2229 /* Table2229 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2237 /* Table2237 */
+    2231 /* Table2231 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2309 /* Table2309 */
+    2303 /* Table2303 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2313 /* Table2313 */
+    2307 /* Table2307 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2315 /* Table2315 */
+    2309 /* Table2309 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2317 /* Table2317 */
+    2311 /* Table2311 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2319 /* Table2319 */
+    2313 /* Table2313 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2321 /* Table2321 */
+    2315 /* Table2315 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2323 /* Table2323 */
+    2317 /* Table2317 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2325 /* Table2325 */
+    2319 /* Table2319 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -89842,1637 +92423,352 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2328 /* Table2328 */
+    2322 /* Table2322 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2344 /* Table2344 */
+    2338 /* Table2338 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2346 /* Table2346 */
+    2340 /* Table2340 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2348 /* Table2348 */
+    2342 /* Table2342 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2350 /* Table2350 */
+    2344 /* Table2344 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2356 /* Table2356 */
+    2350 /* Table2350 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
+    3098 /* Table3098 */
+   },
+   /* 0xc3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2354 /* Table2354 */
+   },
+   /* 0xc4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2356 /* Table2356 */
+   },
+   /* 0xc5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
     2358 /* Table2358 */
    },
-   /* 0xc3 */
+   /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
     2360 /* Table2360 */
    },
-   /* 0xc4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2362 /* Table2362 */
-   },
-   /* 0xc5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2364 /* Table2364 */
-   },
-   /* 0xc6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2366 /* Table2366 */
-   },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2368 /* Table2368 */
+    2362 /* Table2362 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xd0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2385 /* Table2385 */
-   },
-   /* 0xd2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2387 /* Table2387 */
-   },
-   /* 0xd3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2389 /* Table2389 */
-   },
-   /* 0xd4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2391 /* Table2391 */
-   },
-   /* 0xd5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2393 /* Table2393 */
-   },
-   /* 0xd6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2395 /* Table2395 */
-   },
-   /* 0xd8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2397 /* Table2397 */
-   },
-   /* 0xd9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2399 /* Table2399 */
-   },
-   /* 0xda */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2401 /* Table2401 */
-   },
-   /* 0xdb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2403 /* Table2403 */
-   },
-   /* 0xdc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2405 /* Table2405 */
-   },
-   /* 0xdd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2407 /* Table2407 */
-   },
-   /* 0xde */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2409 /* Table2409 */
-   },
-   /* 0xdf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2411 /* Table2411 */
-   },
-   /* 0xe0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2413 /* Table2413 */
-   },
-   /* 0xe1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2415 /* Table2415 */
-   },
-   /* 0xe2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2417 /* Table2417 */
-   },
-   /* 0xe3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2419 /* Table2419 */
-   },
-   /* 0xe4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2421 /* Table2421 */
-   },
-   /* 0xe5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2423 /* Table2423 */
-   },
-   /* 0xe6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2425 /* Table2425 */
-   },
-   /* 0xe8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2427 /* Table2427 */
-   },
-   /* 0xe9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2429 /* Table2429 */
-   },
-   /* 0xea */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2431 /* Table2431 */
-   },
-   /* 0xeb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2433 /* Table2433 */
-   },
-   /* 0xec */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2435 /* Table2435 */
-   },
-   /* 0xed */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2437 /* Table2437 */
-   },
-   /* 0xee */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2439 /* Table2439 */
-   },
-   /* 0xef */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2441 /* Table2441 */
-   },
-   /* 0xf0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2443 /* Table2443 */
-   },
-   /* 0xf2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2445 /* Table2445 */
-   },
-   /* 0xf3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2447 /* Table2447 */
-   },
-   /* 0xf4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2449 /* Table2449 */
-   },
-   /* 0xf5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2451 /* Table2451 */
-   },
-   /* 0xf6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2453 /* Table2453 */
-   },
-   /* 0xf7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2560 /* Table2560 */
-   },
-   /* 0xf8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2457 /* Table2457 */
-   },
-   /* 0xf9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2459 /* Table2459 */
-   },
-   /* 0xfa */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2461 /* Table2461 */
-   },
-   /* 0xfb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2463 /* Table2463 */
-   },
-   /* 0xfc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2465 /* Table2465 */
-   },
-   /* 0xfd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2467 /* Table2467 */
-   },
-   /* 0xfe */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2469 /* Table2469 */
-   },
-   /* 0xff */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   }
-  }
- }
-,  /* IC_64BIT_XD */
- { /* struct OpcodeDecision */
-  {
-   /* 0x00 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1679 /* Table1679 */
-   },
-   /* 0x01 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2471 /* Table2471 */
-   },
-   /* 0x02 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1767 /* Table1767 */
-   },
-   /* 0x03 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1769 /* Table1769 */
-   },
-   /* 0x04 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x05 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1771 /* Table1771 */
-   },
-   /* 0x06 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1772 /* Table1772 */
-   },
-   /* 0x07 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1773 /* Table1773 */
-   },
-   /* 0x08 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1774 /* Table1774 */
-   },
-   /* 0x09 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1775 /* Table1775 */
-   },
-   /* 0x0a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0b */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1776 /* Table1776 */
-   },
-   /* 0x0c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1777 /* Table1777 */
-   },
-   /* 0x0e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x10 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3064 /* Table3064 */
-   },
-   /* 0x11 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3066 /* Table3066 */
-   },
-   /* 0x12 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3068 /* Table3068 */
-   },
-   /* 0x13 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1799 /* Table1799 */
-   },
-   /* 0x14 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1801 /* Table1801 */
-   },
-   /* 0x15 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1803 /* Table1803 */
-   },
-   /* 0x16 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1805 /* Table1805 */
-   },
-   /* 0x17 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1807 /* Table1807 */
-   },
-   /* 0x18 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1809 /* Table1809 */
-   },
-   /* 0x19 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1825 /* Table1825 */
-   },
-   /* 0x1a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1827 /* Table1827 */
-   },
-   /* 0x1b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1829 /* Table1829 */
-   },
-   /* 0x1c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1831 /* Table1831 */
-   },
-   /* 0x1d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1833 /* Table1833 */
-   },
-   /* 0x1e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1835 /* Table1835 */
-   },
-   /* 0x1f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1837 /* Table1837 */
-   },
-   /* 0x20 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2543 /* Table2543 */
-   },
-   /* 0x21 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2545 /* Table2545 */
-   },
-   /* 0x22 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2547 /* Table2547 */
-   },
-   /* 0x23 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2549 /* Table2549 */
-   },
-   /* 0x24 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x25 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x26 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x27 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x28 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1847 /* Table1847 */
-   },
-   /* 0x29 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1849 /* Table1849 */
-   },
-   /* 0x2a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3070 /* Table3070 */
-   },
-   /* 0x2b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3072 /* Table3072 */
-   },
-   /* 0x2c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3074 /* Table3074 */
-   },
-   /* 0x2d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3076 /* Table3076 */
-   },
-   /* 0x2e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1859 /* Table1859 */
-   },
-   /* 0x2f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1861 /* Table1861 */
-   },
-   /* 0x30 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1863 /* Table1863 */
-   },
-   /* 0x31 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1864 /* Table1864 */
-   },
-   /* 0x32 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1865 /* Table1865 */
-   },
-   /* 0x33 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1866 /* Table1866 */
-   },
-   /* 0x34 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1867 /* Table1867 */
-   },
-   /* 0x35 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1868 /* Table1868 */
-   },
-   /* 0x36 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x37 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1869 /* Table1869 */
-   },
-   /* 0x38 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x40 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1870 /* Table1870 */
-   },
-   /* 0x41 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1872 /* Table1872 */
-   },
-   /* 0x42 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1874 /* Table1874 */
-   },
-   /* 0x43 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1876 /* Table1876 */
-   },
-   /* 0x44 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1878 /* Table1878 */
-   },
-   /* 0x45 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1880 /* Table1880 */
-   },
-   /* 0x46 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1882 /* Table1882 */
-   },
-   /* 0x47 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1884 /* Table1884 */
-   },
-   /* 0x48 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1886 /* Table1886 */
-   },
-   /* 0x49 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1888 /* Table1888 */
-   },
-   /* 0x4a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1890 /* Table1890 */
-   },
-   /* 0x4b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1892 /* Table1892 */
-   },
-   /* 0x4c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1894 /* Table1894 */
-   },
-   /* 0x4d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1896 /* Table1896 */
-   },
-   /* 0x4e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1898 /* Table1898 */
-   },
-   /* 0x4f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1900 /* Table1900 */
-   },
-   /* 0x50 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1902 /* Table1902 */
-   },
-   /* 0x51 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3078 /* Table3078 */
-   },
-   /* 0x52 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1906 /* Table1906 */
-   },
-   /* 0x53 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1908 /* Table1908 */
-   },
-   /* 0x54 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1910 /* Table1910 */
-   },
-   /* 0x55 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1912 /* Table1912 */
-   },
-   /* 0x56 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1914 /* Table1914 */
-   },
-   /* 0x57 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1916 /* Table1916 */
-   },
-   /* 0x58 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3080 /* Table3080 */
-   },
-   /* 0x59 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3082 /* Table3082 */
-   },
-   /* 0x5a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3084 /* Table3084 */
-   },
-   /* 0x5b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1924 /* Table1924 */
-   },
-   /* 0x5c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3086 /* Table3086 */
-   },
-   /* 0x5d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3088 /* Table3088 */
-   },
-   /* 0x5e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3090 /* Table3090 */
-   },
-   /* 0x5f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3092 /* Table3092 */
-   },
-   /* 0x60 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1934 /* Table1934 */
-   },
-   /* 0x61 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1936 /* Table1936 */
-   },
-   /* 0x62 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1938 /* Table1938 */
-   },
-   /* 0x63 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1940 /* Table1940 */
-   },
-   /* 0x64 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1942 /* Table1942 */
-   },
-   /* 0x65 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1944 /* Table1944 */
-   },
-   /* 0x66 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1946 /* Table1946 */
-   },
-   /* 0x67 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1948 /* Table1948 */
-   },
-   /* 0x68 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1950 /* Table1950 */
-   },
-   /* 0x69 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1952 /* Table1952 */
-   },
-   /* 0x6a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1954 /* Table1954 */
-   },
-   /* 0x6b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1956 /* Table1956 */
-   },
-   /* 0x6c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1958 /* Table1958 */
-   },
-   /* 0x6f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1960 /* Table1960 */
-   },
-   /* 0x70 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3094 /* Table3094 */
-   },
-   /* 0x71 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1964 /* Table1964 */
-   },
-   /* 0x72 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1980 /* Table1980 */
-   },
-   /* 0x73 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1996 /* Table1996 */
-   },
-   /* 0x74 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2012 /* Table2012 */
-   },
-   /* 0x75 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2014 /* Table2014 */
-   },
-   /* 0x76 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2016 /* Table2016 */
-   },
-   /* 0x77 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2018 /* Table2018 */
-   },
-   /* 0x78 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3549 /* Table3549 */
-   },
-   /* 0x79 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3551 /* Table3551 */
-   },
-   /* 0x7a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
     3100 /* Table3100 */
    },
-   /* 0x7d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3102 /* Table3102 */
-   },
-   /* 0x7e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2023 /* Table2023 */
-   },
-   /* 0x7f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2025 /* Table2025 */
-   },
-   /* 0x80 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2027 /* Table2027 */
-   },
-   /* 0x81 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2028 /* Table2028 */
-   },
-   /* 0x82 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2029 /* Table2029 */
-   },
-   /* 0x83 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2030 /* Table2030 */
-   },
-   /* 0x84 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2031 /* Table2031 */
-   },
-   /* 0x85 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2032 /* Table2032 */
-   },
-   /* 0x86 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2033 /* Table2033 */
-   },
-   /* 0x87 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2034 /* Table2034 */
-   },
-   /* 0x88 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2035 /* Table2035 */
-   },
-   /* 0x89 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2036 /* Table2036 */
-   },
-   /* 0x8a */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2037 /* Table2037 */
-   },
-   /* 0x8b */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2038 /* Table2038 */
-   },
-   /* 0x8c */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2039 /* Table2039 */
-   },
-   /* 0x8d */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2040 /* Table2040 */
-   },
-   /* 0x8e */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2041 /* Table2041 */
-   },
-   /* 0x8f */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2042 /* Table2042 */
-   },
-   /* 0x90 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2043 /* Table2043 */
-   },
-   /* 0x91 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2045 /* Table2045 */
-   },
-   /* 0x92 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2047 /* Table2047 */
-   },
-   /* 0x93 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2049 /* Table2049 */
-   },
-   /* 0x94 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2051 /* Table2051 */
-   },
-   /* 0x95 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2053 /* Table2053 */
-   },
-   /* 0x96 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2055 /* Table2055 */
-   },
-   /* 0x97 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2057 /* Table2057 */
-   },
-   /* 0x98 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2059 /* Table2059 */
-   },
-   /* 0x99 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2061 /* Table2061 */
-   },
-   /* 0x9a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2063 /* Table2063 */
-   },
-   /* 0x9b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2065 /* Table2065 */
-   },
-   /* 0x9c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2067 /* Table2067 */
-   },
-   /* 0x9d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2069 /* Table2069 */
-   },
-   /* 0x9e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2071 /* Table2071 */
-   },
-   /* 0x9f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2073 /* Table2073 */
-   },
-   /* 0xa0 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2555 /* Table2555 */
-   },
-   /* 0xa1 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2556 /* Table2556 */
-   },
-   /* 0xa2 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2557 /* Table2557 */
-   },
-   /* 0xa3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2078 /* Table2078 */
-   },
-   /* 0xa4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2080 /* Table2080 */
-   },
-   /* 0xa5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2082 /* Table2082 */
-   },
-   /* 0xa6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2084 /* Table2084 */
-   },
-   /* 0xa7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2156 /* Table2156 */
-   },
-   /* 0xa8 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2558 /* Table2558 */
-   },
-   /* 0xa9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2559 /* Table2559 */
-   },
-   /* 0xaa */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2230 /* Table2230 */
-   },
-   /* 0xab */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2231 /* Table2231 */
-   },
-   /* 0xac */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2233 /* Table2233 */
-   },
-   /* 0xad */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2235 /* Table2235 */
-   },
-   /* 0xae */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2237 /* Table2237 */
-   },
-   /* 0xaf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2309 /* Table2309 */
-   },
-   /* 0xb0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2311 /* Table2311 */
-   },
-   /* 0xb1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2313 /* Table2313 */
-   },
-   /* 0xb2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2315 /* Table2315 */
-   },
-   /* 0xb3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2317 /* Table2317 */
-   },
-   /* 0xb4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2319 /* Table2319 */
-   },
-   /* 0xb5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2321 /* Table2321 */
-   },
-   /* 0xb6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2323 /* Table2323 */
-   },
-   /* 0xb7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2325 /* Table2325 */
-   },
-   /* 0xb8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2327 /* Table2327 */
-   },
-   /* 0xba */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    2328 /* Table2328 */
-   },
-   /* 0xbb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2344 /* Table2344 */
-   },
-   /* 0xbc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2346 /* Table2346 */
-   },
-   /* 0xbd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2348 /* Table2348 */
-   },
-   /* 0xbe */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2350 /* Table2350 */
-   },
-   /* 0xbf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2352 /* Table2352 */
-   },
-   /* 0xc0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2354 /* Table2354 */
-   },
-   /* 0xc1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2356 /* Table2356 */
-   },
-   /* 0xc2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3104 /* Table3104 */
-   },
-   /* 0xc3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2360 /* Table2360 */
-   },
-   /* 0xc4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2362 /* Table2362 */
-   },
-   /* 0xc5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2364 /* Table2364 */
-   },
-   /* 0xc6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2366 /* Table2366 */
-   },
-   /* 0xc7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    2368 /* Table2368 */
-   },
-   /* 0xc8 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xc9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xca */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xcb */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xcc */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xcd */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xce */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xcf */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xd0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3106 /* Table3106 */
-   },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3108 /* Table3108 */
+    3102 /* Table3102 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3110 /* Table3110 */
+    3104 /* Table3104 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3112 /* Table3112 */
+    3106 /* Table3106 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2560 /* Table2560 */
+    2554 /* Table2554 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -91487,22 +92783,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1679 /* Table1679 */
+    1673 /* Table1673 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2471 /* Table2471 */
+    2465 /* Table2465 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1767 /* Table1767 */
+    1761 /* Table1761 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -91512,27 +92808,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1773 /* Table1773 */
+    1767 /* Table1767 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -91542,7 +92838,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -91552,7 +92848,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -91567,102 +92863,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3114 /* Table3114 */
+    3108 /* Table3108 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3116 /* Table3116 */
+    3110 /* Table3110 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3118 /* Table3118 */
+    3112 /* Table3112 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3120 /* Table3120 */
+    3114 /* Table3114 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2543 /* Table2543 */
+    2537 /* Table2537 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2545 /* Table2545 */
+    2539 /* Table2539 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2547 /* Table2547 */
+    2541 /* Table2541 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2549 /* Table2549 */
+    2543 /* Table2543 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -91687,72 +92983,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3122 /* Table3122 */
+    3116 /* Table3116 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3124 /* Table3124 */
+    3118 /* Table3118 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3126 /* Table3126 */
+    3120 /* Table3120 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3128 /* Table3128 */
+    3122 /* Table3122 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1868 /* Table1868 */
+    1862 /* Table1862 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -91762,7 +93058,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -91807,222 +93103,222 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1870 /* Table1870 */
+    1864 /* Table1864 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1872 /* Table1872 */
+    1866 /* Table1866 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1874 /* Table1874 */
+    1868 /* Table1868 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1876 /* Table1876 */
+    1870 /* Table1870 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1878 /* Table1878 */
+    1872 /* Table1872 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1880 /* Table1880 */
+    1874 /* Table1874 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1882 /* Table1882 */
+    1876 /* Table1876 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1884 /* Table1884 */
+    1878 /* Table1878 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1886 /* Table1886 */
+    1880 /* Table1880 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1888 /* Table1888 */
+    1882 /* Table1882 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1890 /* Table1890 */
+    1884 /* Table1884 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1892 /* Table1892 */
+    1886 /* Table1886 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1894 /* Table1894 */
+    1888 /* Table1888 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1896 /* Table1896 */
+    1890 /* Table1890 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1898 /* Table1898 */
+    1892 /* Table1892 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1900 /* Table1900 */
+    1894 /* Table1894 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1902 /* Table1902 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3130 /* Table3130 */
+    3124 /* Table3124 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3132 /* Table3132 */
+    3126 /* Table3126 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3134 /* Table3134 */
+    3128 /* Table3128 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1910 /* Table1910 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1912 /* Table1912 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1914 /* Table1914 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1916 /* Table1916 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3136 /* Table3136 */
+    3130 /* Table3130 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3138 /* Table3138 */
+    3132 /* Table3132 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3140 /* Table3140 */
+    3134 /* Table3134 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3142 /* Table3142 */
+    3136 /* Table3136 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3144 /* Table3144 */
+    3138 /* Table3138 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3146 /* Table3146 */
+    3140 /* Table3140 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3148 /* Table3148 */
+    3142 /* Table3142 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3150 /* Table3150 */
+    3144 /* Table3144 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1934 /* Table1934 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1936 /* Table1936 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1938 /* Table1938 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1940 /* Table1940 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1942 /* Table1942 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1944 /* Table1944 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1946 /* Table1946 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1948 /* Table1948 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1950 /* Table1950 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1952 /* Table1952 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1954 /* Table1954 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1956 /* Table1956 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
    { /* ModRMDecision */
@@ -92037,62 +93333,62 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1958 /* Table1958 */
+    1952 /* Table1952 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3152 /* Table3152 */
+    3146 /* Table3146 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3154 /* Table3154 */
+    3148 /* Table3148 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1964 /* Table1964 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1980 /* Table1980 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1996 /* Table1996 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2012 /* Table2012 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2014 /* Table2014 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2016 /* Table2016 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2551 /* Table2551 */
+    2545 /* Table2545 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2553 /* Table2553 */
+    2547 /* Table2547 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -92117,412 +93413,412 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3156 /* Table3156 */
+    3150 /* Table3150 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3158 /* Table3158 */
+    3152 /* Table3152 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2027 /* Table2027 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2028 /* Table2028 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2029 /* Table2029 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2030 /* Table2030 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2032 /* Table2032 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2034 /* Table2034 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2038 /* Table2038 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2040 /* Table2040 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2042 /* Table2042 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2555 /* Table2555 */
+    2549 /* Table2549 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2556 /* Table2556 */
+    2550 /* Table2550 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2557 /* Table2557 */
+    2551 /* Table2551 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2078 /* Table2078 */
+    2072 /* Table2072 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2080 /* Table2080 */
+    2074 /* Table2074 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2082 /* Table2082 */
+    2076 /* Table2076 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2558 /* Table2558 */
+    2552 /* Table2552 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2559 /* Table2559 */
+    2553 /* Table2553 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2231 /* Table2231 */
+    2225 /* Table2225 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2233 /* Table2233 */
+    2227 /* Table2227 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2235 /* Table2235 */
+    2229 /* Table2229 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    3553 /* Table3553 */
+    3547 /* Table3547 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2309 /* Table2309 */
+    2303 /* Table2303 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2313 /* Table2313 */
+    2307 /* Table2307 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2315 /* Table2315 */
+    2309 /* Table2309 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2317 /* Table2317 */
+    2311 /* Table2311 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2319 /* Table2319 */
+    2313 /* Table2313 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2321 /* Table2321 */
+    2315 /* Table2315 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2323 /* Table2323 */
+    2317 /* Table2317 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2325 /* Table2325 */
+    2319 /* Table2319 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3160 /* Table3160 */
+    3154 /* Table3154 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2328 /* Table2328 */
+    2322 /* Table2322 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2344 /* Table2344 */
+    2338 /* Table2338 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3162 /* Table3162 */
+    3156 /* Table3156 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3164 /* Table3164 */
+    3158 /* Table3158 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2350 /* Table2350 */
+    2344 /* Table2344 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2356 /* Table2356 */
+    2350 /* Table2350 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3166 /* Table3166 */
+    3160 /* Table3160 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2360 /* Table2360 */
+    2354 /* Table2354 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3168 /* Table3168 */
+    3162 /* Table3162 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -92532,157 +93828,157 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3184 /* Table3184 */
+    3178 /* Table3178 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3186 /* Table3186 */
+    3180 /* Table3180 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -92692,72 +93988,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2560 /* Table2560 */
+    2554 /* Table2554 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -93692,7 +94988,7 @@
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3188 /* Table3188 */
+    3182 /* Table3182 */
    },
    /* 0xb9 */
    { /* ModRMDecision */
@@ -93712,12 +95008,12 @@
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3190 /* Table3190 */
+    3184 /* Table3184 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3192 /* Table3192 */
+    3186 /* Table3186 */
    },
    /* 0xbe */
    { /* ModRMDecision */
@@ -94057,22 +95353,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3194 /* Table3194 */
+    3188 /* Table3188 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    3210 /* Table3210 */
+    3204 /* Table3204 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3282 /* Table3282 */
+    3276 /* Table3276 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3284 /* Table3284 */
+    3278 /* Table3278 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -94082,27 +95378,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3286 /* Table3286 */
+    3280 /* Table3280 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -94112,7 +95408,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -94122,7 +95418,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -94137,102 +95433,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3114 /* Table3114 */
+    3108 /* Table3108 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3116 /* Table3116 */
+    3110 /* Table3110 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3118 /* Table3118 */
+    3112 /* Table3112 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3120 /* Table3120 */
+    3114 /* Table3114 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2543 /* Table2543 */
+    2537 /* Table2537 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2545 /* Table2545 */
+    2539 /* Table2539 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2547 /* Table2547 */
+    2541 /* Table2541 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2549 /* Table2549 */
+    2543 /* Table2543 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -94257,72 +95553,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3625 /* Table3625 */
+    3619 /* Table3619 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3124 /* Table3124 */
+    3118 /* Table3118 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3627 /* Table3627 */
+    3621 /* Table3621 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3629 /* Table3629 */
+    3623 /* Table3623 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3287 /* Table3287 */
+    3281 /* Table3281 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -94332,7 +95628,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -94377,222 +95673,222 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3288 /* Table3288 */
+    3282 /* Table3282 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3290 /* Table3290 */
+    3284 /* Table3284 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3292 /* Table3292 */
+    3286 /* Table3286 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3294 /* Table3294 */
+    3288 /* Table3288 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3296 /* Table3296 */
+    3290 /* Table3290 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3298 /* Table3298 */
+    3292 /* Table3292 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3300 /* Table3300 */
+    3294 /* Table3294 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3302 /* Table3302 */
+    3296 /* Table3296 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3304 /* Table3304 */
+    3298 /* Table3298 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3306 /* Table3306 */
+    3300 /* Table3300 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3308 /* Table3308 */
+    3302 /* Table3302 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3310 /* Table3310 */
+    3304 /* Table3304 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3312 /* Table3312 */
+    3306 /* Table3306 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3314 /* Table3314 */
+    3308 /* Table3308 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3316 /* Table3316 */
+    3310 /* Table3310 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3318 /* Table3318 */
+    3312 /* Table3312 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1902 /* Table1902 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3130 /* Table3130 */
+    3124 /* Table3124 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3132 /* Table3132 */
+    3126 /* Table3126 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3134 /* Table3134 */
+    3128 /* Table3128 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1910 /* Table1910 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1912 /* Table1912 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1914 /* Table1914 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1916 /* Table1916 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3136 /* Table3136 */
+    3130 /* Table3130 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3138 /* Table3138 */
+    3132 /* Table3132 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3140 /* Table3140 */
+    3134 /* Table3134 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3142 /* Table3142 */
+    3136 /* Table3136 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3144 /* Table3144 */
+    3138 /* Table3138 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3146 /* Table3146 */
+    3140 /* Table3140 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3148 /* Table3148 */
+    3142 /* Table3142 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3150 /* Table3150 */
+    3144 /* Table3144 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1934 /* Table1934 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1936 /* Table1936 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1938 /* Table1938 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1940 /* Table1940 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1942 /* Table1942 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1944 /* Table1944 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1946 /* Table1946 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1948 /* Table1948 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1950 /* Table1950 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1952 /* Table1952 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1954 /* Table1954 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1956 /* Table1956 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
    { /* ModRMDecision */
@@ -94607,62 +95903,62 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3320 /* Table3320 */
+    3314 /* Table3314 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3152 /* Table3152 */
+    3146 /* Table3146 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3154 /* Table3154 */
+    3148 /* Table3148 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1964 /* Table1964 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1980 /* Table1980 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1996 /* Table1996 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2012 /* Table2012 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2014 /* Table2014 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2016 /* Table2016 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2551 /* Table2551 */
+    2545 /* Table2545 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2553 /* Table2553 */
+    2547 /* Table2547 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -94687,412 +95983,412 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3631 /* Table3631 */
+    3625 /* Table3625 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3158 /* Table3158 */
+    3152 /* Table3152 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2027 /* Table2027 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2028 /* Table2028 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2029 /* Table2029 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2030 /* Table2030 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2032 /* Table2032 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2034 /* Table2034 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2038 /* Table2038 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2040 /* Table2040 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2042 /* Table2042 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2555 /* Table2555 */
+    2549 /* Table2549 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2556 /* Table2556 */
+    2550 /* Table2550 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2557 /* Table2557 */
+    2551 /* Table2551 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3324 /* Table3324 */
+    3318 /* Table3318 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3326 /* Table3326 */
+    3320 /* Table3320 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3328 /* Table3328 */
+    3322 /* Table3322 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2558 /* Table2558 */
+    2552 /* Table2552 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2559 /* Table2559 */
+    2553 /* Table2553 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3330 /* Table3330 */
+    3324 /* Table3324 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3332 /* Table3332 */
+    3326 /* Table3326 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3334 /* Table3334 */
+    3328 /* Table3328 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    3633 /* Table3633 */
+    3627 /* Table3627 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3408 /* Table3408 */
+    3402 /* Table3402 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3410 /* Table3410 */
+    3404 /* Table3404 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3412 /* Table3412 */
+    3406 /* Table3406 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3414 /* Table3414 */
+    3408 /* Table3408 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3416 /* Table3416 */
+    3410 /* Table3410 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3418 /* Table3418 */
+    3412 /* Table3412 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3420 /* Table3420 */
+    3414 /* Table3414 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3422 /* Table3422 */
+    3416 /* Table3416 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3705 /* Table3705 */
+    3699 /* Table3699 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3424 /* Table3424 */
+    3418 /* Table3418 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3440 /* Table3440 */
+    3434 /* Table3434 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3707 /* Table3707 */
+    3701 /* Table3701 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3709 /* Table3709 */
+    3703 /* Table3703 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3446 /* Table3446 */
+    3440 /* Table3440 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3448 /* Table3448 */
+    3442 /* Table3442 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3450 /* Table3450 */
+    3444 /* Table3444 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3166 /* Table3166 */
+    3160 /* Table3160 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3452 /* Table3452 */
+    3446 /* Table3446 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3711 /* Table3711 */
+    3705 /* Table3705 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -95102,157 +96398,157 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3184 /* Table3184 */
+    3178 /* Table3178 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3186 /* Table3186 */
+    3180 /* Table3180 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -95262,72 +96558,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2560 /* Table2560 */
+    2554 /* Table2554 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -95342,22 +96638,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3194 /* Table3194 */
+    3188 /* Table3188 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    3210 /* Table3210 */
+    3204 /* Table3204 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3282 /* Table3282 */
+    3276 /* Table3276 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3284 /* Table3284 */
+    3278 /* Table3278 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -95367,27 +96663,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3286 /* Table3286 */
+    3280 /* Table3280 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -95397,7 +96693,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -95407,7 +96703,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -95422,102 +96718,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3064 /* Table3064 */
+    3058 /* Table3058 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3066 /* Table3066 */
+    3060 /* Table3060 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3068 /* Table3068 */
+    3062 /* Table3062 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
+    1793 /* Table1793 */
+   },
+   /* 0x14 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1795 /* Table1795 */
+   },
+   /* 0x15 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1797 /* Table1797 */
+   },
+   /* 0x16 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
     1799 /* Table1799 */
    },
-   /* 0x14 */
+   /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
     1801 /* Table1801 */
    },
-   /* 0x15 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1803 /* Table1803 */
-   },
-   /* 0x16 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1805 /* Table1805 */
-   },
-   /* 0x17 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1807 /* Table1807 */
-   },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
+    1819 /* Table1819 */
+   },
+   /* 0x1a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1821 /* Table1821 */
+   },
+   /* 0x1b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1823 /* Table1823 */
+   },
+   /* 0x1c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
     1825 /* Table1825 */
    },
-   /* 0x1a */
+   /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
     1827 /* Table1827 */
    },
-   /* 0x1b */
+   /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
     1829 /* Table1829 */
    },
-   /* 0x1c */
+   /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
     1831 /* Table1831 */
    },
-   /* 0x1d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1833 /* Table1833 */
-   },
-   /* 0x1e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1835 /* Table1835 */
-   },
-   /* 0x1f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1837 /* Table1837 */
-   },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2543 /* Table2543 */
+    2537 /* Table2537 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2545 /* Table2545 */
+    2539 /* Table2539 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2547 /* Table2547 */
+    2541 /* Table2541 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2549 /* Table2549 */
+    2543 /* Table2543 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -95542,72 +96838,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3727 /* Table3727 */
+    3721 /* Table3721 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3072 /* Table3072 */
+    3066 /* Table3066 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3729 /* Table3729 */
+    3723 /* Table3723 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3731 /* Table3731 */
+    3725 /* Table3725 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3287 /* Table3287 */
+    3281 /* Table3281 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -95617,7 +96913,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -95662,1567 +96958,282 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3288 /* Table3288 */
-   },
-   /* 0x41 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3290 /* Table3290 */
-   },
-   /* 0x42 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3292 /* Table3292 */
-   },
-   /* 0x43 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3294 /* Table3294 */
-   },
-   /* 0x44 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3296 /* Table3296 */
-   },
-   /* 0x45 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3298 /* Table3298 */
-   },
-   /* 0x46 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3300 /* Table3300 */
-   },
-   /* 0x47 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3302 /* Table3302 */
-   },
-   /* 0x48 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3304 /* Table3304 */
-   },
-   /* 0x49 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3306 /* Table3306 */
-   },
-   /* 0x4a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3308 /* Table3308 */
-   },
-   /* 0x4b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3310 /* Table3310 */
-   },
-   /* 0x4c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3312 /* Table3312 */
-   },
-   /* 0x4d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3314 /* Table3314 */
-   },
-   /* 0x4e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3316 /* Table3316 */
-   },
-   /* 0x4f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3318 /* Table3318 */
-   },
-   /* 0x50 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1902 /* Table1902 */
-   },
-   /* 0x51 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3078 /* Table3078 */
-   },
-   /* 0x52 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1906 /* Table1906 */
-   },
-   /* 0x53 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1908 /* Table1908 */
-   },
-   /* 0x54 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1910 /* Table1910 */
-   },
-   /* 0x55 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1912 /* Table1912 */
-   },
-   /* 0x56 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1914 /* Table1914 */
-   },
-   /* 0x57 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1916 /* Table1916 */
-   },
-   /* 0x58 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3080 /* Table3080 */
-   },
-   /* 0x59 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3082 /* Table3082 */
-   },
-   /* 0x5a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3084 /* Table3084 */
-   },
-   /* 0x5b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1924 /* Table1924 */
-   },
-   /* 0x5c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3086 /* Table3086 */
-   },
-   /* 0x5d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3088 /* Table3088 */
-   },
-   /* 0x5e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3090 /* Table3090 */
-   },
-   /* 0x5f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3092 /* Table3092 */
-   },
-   /* 0x60 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1934 /* Table1934 */
-   },
-   /* 0x61 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1936 /* Table1936 */
-   },
-   /* 0x62 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1938 /* Table1938 */
-   },
-   /* 0x63 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1940 /* Table1940 */
-   },
-   /* 0x64 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1942 /* Table1942 */
-   },
-   /* 0x65 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1944 /* Table1944 */
-   },
-   /* 0x66 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1946 /* Table1946 */
-   },
-   /* 0x67 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1948 /* Table1948 */
-   },
-   /* 0x68 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1950 /* Table1950 */
-   },
-   /* 0x69 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1952 /* Table1952 */
-   },
-   /* 0x6a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1954 /* Table1954 */
-   },
-   /* 0x6b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1956 /* Table1956 */
-   },
-   /* 0x6c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3320 /* Table3320 */
-   },
-   /* 0x6f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1960 /* Table1960 */
-   },
-   /* 0x70 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3094 /* Table3094 */
-   },
-   /* 0x71 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1964 /* Table1964 */
-   },
-   /* 0x72 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1980 /* Table1980 */
-   },
-   /* 0x73 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1996 /* Table1996 */
-   },
-   /* 0x74 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2012 /* Table2012 */
-   },
-   /* 0x75 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2014 /* Table2014 */
-   },
-   /* 0x76 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2016 /* Table2016 */
-   },
-   /* 0x77 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2018 /* Table2018 */
-   },
-   /* 0x78 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3549 /* Table3549 */
-   },
-   /* 0x79 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3551 /* Table3551 */
-   },
-   /* 0x7a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3100 /* Table3100 */
-   },
-   /* 0x7d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3102 /* Table3102 */
-   },
-   /* 0x7e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3322 /* Table3322 */
-   },
-   /* 0x7f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2025 /* Table2025 */
-   },
-   /* 0x80 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2027 /* Table2027 */
-   },
-   /* 0x81 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2028 /* Table2028 */
-   },
-   /* 0x82 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2029 /* Table2029 */
-   },
-   /* 0x83 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2030 /* Table2030 */
-   },
-   /* 0x84 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2031 /* Table2031 */
-   },
-   /* 0x85 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2032 /* Table2032 */
-   },
-   /* 0x86 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2033 /* Table2033 */
-   },
-   /* 0x87 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2034 /* Table2034 */
-   },
-   /* 0x88 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2035 /* Table2035 */
-   },
-   /* 0x89 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2036 /* Table2036 */
-   },
-   /* 0x8a */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2037 /* Table2037 */
-   },
-   /* 0x8b */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2038 /* Table2038 */
-   },
-   /* 0x8c */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2039 /* Table2039 */
-   },
-   /* 0x8d */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2040 /* Table2040 */
-   },
-   /* 0x8e */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2041 /* Table2041 */
-   },
-   /* 0x8f */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2042 /* Table2042 */
-   },
-   /* 0x90 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2043 /* Table2043 */
-   },
-   /* 0x91 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2045 /* Table2045 */
-   },
-   /* 0x92 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2047 /* Table2047 */
-   },
-   /* 0x93 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2049 /* Table2049 */
-   },
-   /* 0x94 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2051 /* Table2051 */
-   },
-   /* 0x95 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2053 /* Table2053 */
-   },
-   /* 0x96 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2055 /* Table2055 */
-   },
-   /* 0x97 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2057 /* Table2057 */
-   },
-   /* 0x98 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2059 /* Table2059 */
-   },
-   /* 0x99 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2061 /* Table2061 */
-   },
-   /* 0x9a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2063 /* Table2063 */
-   },
-   /* 0x9b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2065 /* Table2065 */
-   },
-   /* 0x9c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2067 /* Table2067 */
-   },
-   /* 0x9d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2069 /* Table2069 */
-   },
-   /* 0x9e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2071 /* Table2071 */
-   },
-   /* 0x9f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2073 /* Table2073 */
-   },
-   /* 0xa0 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2555 /* Table2555 */
-   },
-   /* 0xa1 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2556 /* Table2556 */
-   },
-   /* 0xa2 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2557 /* Table2557 */
-   },
-   /* 0xa3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3324 /* Table3324 */
-   },
-   /* 0xa4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3326 /* Table3326 */
-   },
-   /* 0xa5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3328 /* Table3328 */
-   },
-   /* 0xa6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2084 /* Table2084 */
-   },
-   /* 0xa7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2156 /* Table2156 */
-   },
-   /* 0xa8 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2558 /* Table2558 */
-   },
-   /* 0xa9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2559 /* Table2559 */
-   },
-   /* 0xaa */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2230 /* Table2230 */
-   },
-   /* 0xab */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3330 /* Table3330 */
-   },
-   /* 0xac */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3332 /* Table3332 */
-   },
-   /* 0xad */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3334 /* Table3334 */
-   },
-   /* 0xae */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    3336 /* Table3336 */
-   },
-   /* 0xaf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3408 /* Table3408 */
-   },
-   /* 0xb0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2311 /* Table2311 */
-   },
-   /* 0xb1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3410 /* Table3410 */
-   },
-   /* 0xb2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3412 /* Table3412 */
-   },
-   /* 0xb3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3414 /* Table3414 */
-   },
-   /* 0xb4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3416 /* Table3416 */
-   },
-   /* 0xb5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3418 /* Table3418 */
-   },
-   /* 0xb6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3420 /* Table3420 */
-   },
-   /* 0xb7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3422 /* Table3422 */
-   },
-   /* 0xb8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2327 /* Table2327 */
-   },
-   /* 0xba */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    3424 /* Table3424 */
-   },
-   /* 0xbb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3440 /* Table3440 */
-   },
-   /* 0xbc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3442 /* Table3442 */
-   },
-   /* 0xbd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3444 /* Table3444 */
-   },
-   /* 0xbe */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3446 /* Table3446 */
-   },
-   /* 0xbf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3448 /* Table3448 */
-   },
-   /* 0xc0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2354 /* Table2354 */
-   },
-   /* 0xc1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3450 /* Table3450 */
-   },
-   /* 0xc2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3104 /* Table3104 */
-   },
-   /* 0xc3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3452 /* Table3452 */
-   },
-   /* 0xc4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2362 /* Table2362 */
-   },
-   /* 0xc5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2364 /* Table2364 */
-   },
-   /* 0xc6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2366 /* Table2366 */
-   },
-   /* 0xc7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    3454 /* Table3454 */
-   },
-   /* 0xc8 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3470 /* Table3470 */
-   },
-   /* 0xc9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3470 /* Table3470 */
-   },
-   /* 0xca */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3470 /* Table3470 */
-   },
-   /* 0xcb */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3470 /* Table3470 */
-   },
-   /* 0xcc */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3470 /* Table3470 */
-   },
-   /* 0xcd */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3470 /* Table3470 */
-   },
-   /* 0xce */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3470 /* Table3470 */
-   },
-   /* 0xcf */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3470 /* Table3470 */
-   },
-   /* 0xd0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3106 /* Table3106 */
-   },
-   /* 0xd1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2385 /* Table2385 */
-   },
-   /* 0xd2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2387 /* Table2387 */
-   },
-   /* 0xd3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2389 /* Table2389 */
-   },
-   /* 0xd4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2391 /* Table2391 */
-   },
-   /* 0xd5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2393 /* Table2393 */
-   },
-   /* 0xd6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3108 /* Table3108 */
-   },
-   /* 0xd7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2395 /* Table2395 */
-   },
-   /* 0xd8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2397 /* Table2397 */
-   },
-   /* 0xd9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2399 /* Table2399 */
-   },
-   /* 0xda */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2401 /* Table2401 */
-   },
-   /* 0xdb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2403 /* Table2403 */
-   },
-   /* 0xdc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2405 /* Table2405 */
-   },
-   /* 0xdd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2407 /* Table2407 */
-   },
-   /* 0xde */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2409 /* Table2409 */
-   },
-   /* 0xdf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2411 /* Table2411 */
-   },
-   /* 0xe0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2413 /* Table2413 */
-   },
-   /* 0xe1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2415 /* Table2415 */
-   },
-   /* 0xe2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2417 /* Table2417 */
-   },
-   /* 0xe3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2419 /* Table2419 */
-   },
-   /* 0xe4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2421 /* Table2421 */
-   },
-   /* 0xe5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2423 /* Table2423 */
-   },
-   /* 0xe6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3110 /* Table3110 */
-   },
-   /* 0xe7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2425 /* Table2425 */
-   },
-   /* 0xe8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2427 /* Table2427 */
-   },
-   /* 0xe9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2429 /* Table2429 */
-   },
-   /* 0xea */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2431 /* Table2431 */
-   },
-   /* 0xeb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2433 /* Table2433 */
-   },
-   /* 0xec */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2435 /* Table2435 */
-   },
-   /* 0xed */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2437 /* Table2437 */
-   },
-   /* 0xee */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2439 /* Table2439 */
-   },
-   /* 0xef */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2441 /* Table2441 */
-   },
-   /* 0xf0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3112 /* Table3112 */
-   },
-   /* 0xf1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2443 /* Table2443 */
-   },
-   /* 0xf2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2445 /* Table2445 */
-   },
-   /* 0xf3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2447 /* Table2447 */
-   },
-   /* 0xf4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2449 /* Table2449 */
-   },
-   /* 0xf5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2451 /* Table2451 */
-   },
-   /* 0xf6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2453 /* Table2453 */
-   },
-   /* 0xf7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2560 /* Table2560 */
-   },
-   /* 0xf8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2457 /* Table2457 */
-   },
-   /* 0xf9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2459 /* Table2459 */
-   },
-   /* 0xfa */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2461 /* Table2461 */
-   },
-   /* 0xfb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2463 /* Table2463 */
-   },
-   /* 0xfc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2465 /* Table2465 */
-   },
-   /* 0xfd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2467 /* Table2467 */
-   },
-   /* 0xfe */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2469 /* Table2469 */
-   },
-   /* 0xff */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   }
-  }
- }
-,  /* IC_64BIT_REXW_OPSIZE */
- { /* struct OpcodeDecision */
-  {
-   /* 0x00 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    3194 /* Table3194 */
-   },
-   /* 0x01 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    3210 /* Table3210 */
-   },
-   /* 0x02 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
     3282 /* Table3282 */
    },
-   /* 0x03 */
+   /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
     3284 /* Table3284 */
    },
-   /* 0x04 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x05 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1771 /* Table1771 */
-   },
-   /* 0x06 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1772 /* Table1772 */
-   },
-   /* 0x07 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3286 /* Table3286 */
-   },
-   /* 0x08 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1774 /* Table1774 */
-   },
-   /* 0x09 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1775 /* Table1775 */
-   },
-   /* 0x0a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0b */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1776 /* Table1776 */
-   },
-   /* 0x0c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1777 /* Table1777 */
-   },
-   /* 0x0e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x10 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2654 /* Table2654 */
-   },
-   /* 0x11 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2656 /* Table2656 */
-   },
-   /* 0x12 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2658 /* Table2658 */
-   },
-   /* 0x13 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2660 /* Table2660 */
-   },
-   /* 0x14 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2662 /* Table2662 */
-   },
-   /* 0x15 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2664 /* Table2664 */
-   },
-   /* 0x16 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2666 /* Table2666 */
-   },
-   /* 0x17 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2668 /* Table2668 */
-   },
-   /* 0x18 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    2670 /* Table2670 */
-   },
-   /* 0x19 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2686 /* Table2686 */
-   },
-   /* 0x1a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2688 /* Table2688 */
-   },
-   /* 0x1b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2690 /* Table2690 */
-   },
-   /* 0x1c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2692 /* Table2692 */
-   },
-   /* 0x1d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2694 /* Table2694 */
-   },
-   /* 0x1e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2696 /* Table2696 */
-   },
-   /* 0x1f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2698 /* Table2698 */
-   },
-   /* 0x20 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2543 /* Table2543 */
-   },
-   /* 0x21 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2545 /* Table2545 */
-   },
-   /* 0x22 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2547 /* Table2547 */
-   },
-   /* 0x23 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2549 /* Table2549 */
-   },
-   /* 0x24 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x25 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x26 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x27 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x28 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2700 /* Table2700 */
-   },
-   /* 0x29 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2702 /* Table2702 */
-   },
-   /* 0x2a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2704 /* Table2704 */
-   },
-   /* 0x2b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2706 /* Table2706 */
-   },
-   /* 0x2c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2708 /* Table2708 */
-   },
-   /* 0x2d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2710 /* Table2710 */
-   },
-   /* 0x2e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2712 /* Table2712 */
-   },
-   /* 0x2f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2714 /* Table2714 */
-   },
-   /* 0x30 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1863 /* Table1863 */
-   },
-   /* 0x31 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1864 /* Table1864 */
-   },
-   /* 0x32 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1865 /* Table1865 */
-   },
-   /* 0x33 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1866 /* Table1866 */
-   },
-   /* 0x34 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1867 /* Table1867 */
-   },
-   /* 0x35 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3287 /* Table3287 */
-   },
-   /* 0x36 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x37 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1869 /* Table1869 */
-   },
-   /* 0x38 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x40 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3288 /* Table3288 */
-   },
-   /* 0x41 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3290 /* Table3290 */
-   },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3292 /* Table3292 */
+    3286 /* Table3286 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3294 /* Table3294 */
+    3288 /* Table3288 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3296 /* Table3296 */
+    3290 /* Table3290 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3298 /* Table3298 */
+    3292 /* Table3292 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3300 /* Table3300 */
+    3294 /* Table3294 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3302 /* Table3302 */
+    3296 /* Table3296 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3304 /* Table3304 */
+    3298 /* Table3298 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3306 /* Table3306 */
+    3300 /* Table3300 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3308 /* Table3308 */
+    3302 /* Table3302 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3310 /* Table3310 */
+    3304 /* Table3304 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3312 /* Table3312 */
+    3306 /* Table3306 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3314 /* Table3314 */
+    3308 /* Table3308 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3316 /* Table3316 */
+    3310 /* Table3310 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3318 /* Table3318 */
+    3312 /* Table3312 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2748 /* Table2748 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2750 /* Table2750 */
+    3072 /* Table3072 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1906 /* Table1906 */
+    1900 /* Table1900 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1908 /* Table1908 */
+    1902 /* Table1902 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2752 /* Table2752 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2754 /* Table2754 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2756 /* Table2756 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2758 /* Table2758 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2760 /* Table2760 */
+    3074 /* Table3074 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2762 /* Table2762 */
+    3076 /* Table3076 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2764 /* Table2764 */
+    3078 /* Table3078 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2766 /* Table2766 */
+    1918 /* Table1918 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2768 /* Table2768 */
+    3080 /* Table3080 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2770 /* Table2770 */
+    3082 /* Table3082 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2772 /* Table2772 */
+    3084 /* Table3084 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2774 /* Table2774 */
+    3086 /* Table3086 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2776 /* Table2776 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2778 /* Table2778 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2780 /* Table2780 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2782 /* Table2782 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2784 /* Table2784 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2786 /* Table2786 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2788 /* Table2788 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2790 /* Table2790 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2792 /* Table2792 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2794 /* Table2794 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2796 /* Table2796 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2798 /* Table2798 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2800 /* Table2800 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x6d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2802 /* Table2802 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3733 /* Table3733 */
+    3314 /* Table3314 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2806 /* Table2806 */
+    1954 /* Table1954 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2808 /* Table2808 */
+    3088 /* Table3088 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2810 /* Table2810 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2826 /* Table2826 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2842 /* Table2842 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2858 /* Table2858 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2860 /* Table2860 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2862 /* Table2862 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
@@ -97247,302 +97258,302 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2868 /* Table2868 */
+    3094 /* Table3094 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2870 /* Table2870 */
+    3096 /* Table3096 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3735 /* Table3735 */
+    3316 /* Table3316 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2874 /* Table2874 */
+    2019 /* Table2019 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2876 /* Table2876 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2877 /* Table2877 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2878 /* Table2878 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2879 /* Table2879 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2880 /* Table2880 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2881 /* Table2881 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2882 /* Table2882 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2883 /* Table2883 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2884 /* Table2884 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2885 /* Table2885 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2886 /* Table2886 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2887 /* Table2887 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2888 /* Table2888 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2889 /* Table2889 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2890 /* Table2890 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2891 /* Table2891 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2892 /* Table2892 */
+    2549 /* Table2549 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2893 /* Table2893 */
+    2550 /* Table2550 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2557 /* Table2557 */
+    2551 /* Table2551 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3324 /* Table3324 */
+    3318 /* Table3318 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3326 /* Table3326 */
+    3320 /* Table3320 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3328 /* Table3328 */
+    3322 /* Table3322 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2900 /* Table2900 */
+    2552 /* Table2552 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2901 /* Table2901 */
+    2553 /* Table2553 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3330 /* Table3330 */
+    3324 /* Table3324 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3332 /* Table3332 */
+    3326 /* Table3326 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3334 /* Table3334 */
+    3328 /* Table3328 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    3336 /* Table3336 */
+    3330 /* Table3330 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3408 /* Table3408 */
+    3402 /* Table3402 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3410 /* Table3410 */
+    3404 /* Table3404 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3412 /* Table3412 */
+    3406 /* Table3406 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3414 /* Table3414 */
+    3408 /* Table3408 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3416 /* Table3416 */
+    3410 /* Table3410 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3418 /* Table3418 */
+    3412 /* Table3412 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3420 /* Table3420 */
+    3414 /* Table3414 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3422 /* Table3422 */
+    3416 /* Table3416 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -97552,277 +97563,1562 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3424 /* Table3424 */
+    3418 /* Table3418 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3440 /* Table3440 */
+    3434 /* Table3434 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3442 /* Table3442 */
+    3436 /* Table3436 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3444 /* Table3444 */
+    3438 /* Table3438 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3446 /* Table3446 */
+    3440 /* Table3440 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3448 /* Table3448 */
+    3442 /* Table3442 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3450 /* Table3450 */
+    3444 /* Table3444 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2948 /* Table2948 */
+    3098 /* Table3098 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3452 /* Table3452 */
+    3446 /* Table3446 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2950 /* Table2950 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2952 /* Table2952 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2954 /* Table2954 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3737 /* Table3737 */
+    3448 /* Table3448 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2972 /* Table2972 */
+    3100 /* Table3100 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2974 /* Table2974 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2976 /* Table2976 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2978 /* Table2978 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2980 /* Table2980 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2982 /* Table2982 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2984 /* Table2984 */
+    3102 /* Table3102 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2986 /* Table2986 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2988 /* Table2988 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2990 /* Table2990 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2992 /* Table2992 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2994 /* Table2994 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2996 /* Table2996 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2998 /* Table2998 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3000 /* Table3000 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3002 /* Table3002 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3004 /* Table3004 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3006 /* Table3006 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3008 /* Table3008 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3010 /* Table3010 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3012 /* Table3012 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3014 /* Table3014 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3016 /* Table3016 */
+    3104 /* Table3104 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3018 /* Table3018 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3020 /* Table3020 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3022 /* Table3022 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3024 /* Table3024 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3026 /* Table3026 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3028 /* Table3028 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3030 /* Table3030 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3032 /* Table3032 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3034 /* Table3034 */
+    2435 /* Table2435 */
+   },
+   /* 0xf0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3106 /* Table3106 */
+   },
+   /* 0xf1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2437 /* Table2437 */
+   },
+   /* 0xf2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2439 /* Table2439 */
+   },
+   /* 0xf3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2441 /* Table2441 */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2443 /* Table2443 */
+   },
+   /* 0xf5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2445 /* Table2445 */
+   },
+   /* 0xf6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2447 /* Table2447 */
+   },
+   /* 0xf7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2554 /* Table2554 */
+   },
+   /* 0xf8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2451 /* Table2451 */
+   },
+   /* 0xf9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2453 /* Table2453 */
+   },
+   /* 0xfa */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2455 /* Table2455 */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2457 /* Table2457 */
+   },
+   /* 0xfc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2459 /* Table2459 */
+   },
+   /* 0xfd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2461 /* Table2461 */
+   },
+   /* 0xfe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2463 /* Table2463 */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_64BIT_REXW_OPSIZE */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    3188 /* Table3188 */
+   },
+   /* 0x01 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    3204 /* Table3204 */
+   },
+   /* 0x02 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3276 /* Table3276 */
+   },
+   /* 0x03 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3278 /* Table3278 */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1765 /* Table1765 */
+   },
+   /* 0x06 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1766 /* Table1766 */
+   },
+   /* 0x07 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3280 /* Table3280 */
+   },
+   /* 0x08 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1768 /* Table1768 */
+   },
+   /* 0x09 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1769 /* Table1769 */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1770 /* Table1770 */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1771 /* Table1771 */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2648 /* Table2648 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2650 /* Table2650 */
+   },
+   /* 0x12 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2652 /* Table2652 */
+   },
+   /* 0x13 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2654 /* Table2654 */
+   },
+   /* 0x14 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2656 /* Table2656 */
+   },
+   /* 0x15 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2658 /* Table2658 */
+   },
+   /* 0x16 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2660 /* Table2660 */
+   },
+   /* 0x17 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2662 /* Table2662 */
+   },
+   /* 0x18 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    2664 /* Table2664 */
+   },
+   /* 0x19 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2680 /* Table2680 */
+   },
+   /* 0x1a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2682 /* Table2682 */
+   },
+   /* 0x1b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2684 /* Table2684 */
+   },
+   /* 0x1c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2686 /* Table2686 */
+   },
+   /* 0x1d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2688 /* Table2688 */
+   },
+   /* 0x1e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2690 /* Table2690 */
+   },
+   /* 0x1f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2692 /* Table2692 */
+   },
+   /* 0x20 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2537 /* Table2537 */
+   },
+   /* 0x21 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2539 /* Table2539 */
+   },
+   /* 0x22 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2541 /* Table2541 */
+   },
+   /* 0x23 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2543 /* Table2543 */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2694 /* Table2694 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2696 /* Table2696 */
+   },
+   /* 0x2a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2698 /* Table2698 */
+   },
+   /* 0x2b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2700 /* Table2700 */
+   },
+   /* 0x2c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2702 /* Table2702 */
+   },
+   /* 0x2d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2704 /* Table2704 */
+   },
+   /* 0x2e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2706 /* Table2706 */
+   },
+   /* 0x2f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2708 /* Table2708 */
+   },
+   /* 0x30 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1857 /* Table1857 */
+   },
+   /* 0x31 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1858 /* Table1858 */
+   },
+   /* 0x32 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1859 /* Table1859 */
+   },
+   /* 0x33 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1860 /* Table1860 */
+   },
+   /* 0x34 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1861 /* Table1861 */
+   },
+   /* 0x35 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3281 /* Table3281 */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1863 /* Table1863 */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3282 /* Table3282 */
+   },
+   /* 0x41 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3284 /* Table3284 */
+   },
+   /* 0x42 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3286 /* Table3286 */
+   },
+   /* 0x43 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3288 /* Table3288 */
+   },
+   /* 0x44 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3290 /* Table3290 */
+   },
+   /* 0x45 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3292 /* Table3292 */
+   },
+   /* 0x46 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3294 /* Table3294 */
+   },
+   /* 0x47 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3296 /* Table3296 */
+   },
+   /* 0x48 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3298 /* Table3298 */
+   },
+   /* 0x49 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3300 /* Table3300 */
+   },
+   /* 0x4a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3302 /* Table3302 */
+   },
+   /* 0x4b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3304 /* Table3304 */
+   },
+   /* 0x4c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3306 /* Table3306 */
+   },
+   /* 0x4d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3308 /* Table3308 */
+   },
+   /* 0x4e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3310 /* Table3310 */
+   },
+   /* 0x4f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3312 /* Table3312 */
+   },
+   /* 0x50 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2742 /* Table2742 */
+   },
+   /* 0x51 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2744 /* Table2744 */
+   },
+   /* 0x52 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1900 /* Table1900 */
+   },
+   /* 0x53 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1902 /* Table1902 */
+   },
+   /* 0x54 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2746 /* Table2746 */
+   },
+   /* 0x55 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2748 /* Table2748 */
+   },
+   /* 0x56 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2750 /* Table2750 */
+   },
+   /* 0x57 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2752 /* Table2752 */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2754 /* Table2754 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2756 /* Table2756 */
+   },
+   /* 0x5a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2758 /* Table2758 */
+   },
+   /* 0x5b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2760 /* Table2760 */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2762 /* Table2762 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2764 /* Table2764 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2766 /* Table2766 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2768 /* Table2768 */
+   },
+   /* 0x60 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2770 /* Table2770 */
+   },
+   /* 0x61 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2772 /* Table2772 */
+   },
+   /* 0x62 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2774 /* Table2774 */
+   },
+   /* 0x63 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2776 /* Table2776 */
+   },
+   /* 0x64 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2778 /* Table2778 */
+   },
+   /* 0x65 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2780 /* Table2780 */
+   },
+   /* 0x66 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2782 /* Table2782 */
+   },
+   /* 0x67 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2784 /* Table2784 */
+   },
+   /* 0x68 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2786 /* Table2786 */
+   },
+   /* 0x69 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2788 /* Table2788 */
+   },
+   /* 0x6a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2790 /* Table2790 */
+   },
+   /* 0x6b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2792 /* Table2792 */
+   },
+   /* 0x6c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2794 /* Table2794 */
+   },
+   /* 0x6d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2796 /* Table2796 */
+   },
+   /* 0x6e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3727 /* Table3727 */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2800 /* Table2800 */
+   },
+   /* 0x70 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2802 /* Table2802 */
+   },
+   /* 0x71 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    2804 /* Table2804 */
+   },
+   /* 0x72 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    2820 /* Table2820 */
+   },
+   /* 0x73 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    2836 /* Table2836 */
+   },
+   /* 0x74 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2852 /* Table2852 */
+   },
+   /* 0x75 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2854 /* Table2854 */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2856 /* Table2856 */
+   },
+   /* 0x77 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2012 /* Table2012 */
+   },
+   /* 0x78 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3537 /* Table3537 */
+   },
+   /* 0x79 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3539 /* Table3539 */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2862 /* Table2862 */
+   },
+   /* 0x7d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2864 /* Table2864 */
+   },
+   /* 0x7e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3729 /* Table3729 */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2868 /* Table2868 */
+   },
+   /* 0x80 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2870 /* Table2870 */
+   },
+   /* 0x81 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2871 /* Table2871 */
+   },
+   /* 0x82 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2872 /* Table2872 */
+   },
+   /* 0x83 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2873 /* Table2873 */
+   },
+   /* 0x84 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2874 /* Table2874 */
+   },
+   /* 0x85 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2875 /* Table2875 */
+   },
+   /* 0x86 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2876 /* Table2876 */
+   },
+   /* 0x87 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2877 /* Table2877 */
+   },
+   /* 0x88 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2878 /* Table2878 */
+   },
+   /* 0x89 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2879 /* Table2879 */
+   },
+   /* 0x8a */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2880 /* Table2880 */
+   },
+   /* 0x8b */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2881 /* Table2881 */
+   },
+   /* 0x8c */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2882 /* Table2882 */
+   },
+   /* 0x8d */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2883 /* Table2883 */
+   },
+   /* 0x8e */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2884 /* Table2884 */
+   },
+   /* 0x8f */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2885 /* Table2885 */
+   },
+   /* 0x90 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2037 /* Table2037 */
+   },
+   /* 0x91 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2039 /* Table2039 */
+   },
+   /* 0x92 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2041 /* Table2041 */
+   },
+   /* 0x93 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2043 /* Table2043 */
+   },
+   /* 0x94 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2045 /* Table2045 */
+   },
+   /* 0x95 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2047 /* Table2047 */
+   },
+   /* 0x96 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2049 /* Table2049 */
+   },
+   /* 0x97 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2051 /* Table2051 */
+   },
+   /* 0x98 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2053 /* Table2053 */
+   },
+   /* 0x99 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2055 /* Table2055 */
+   },
+   /* 0x9a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2057 /* Table2057 */
+   },
+   /* 0x9b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2059 /* Table2059 */
+   },
+   /* 0x9c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2061 /* Table2061 */
+   },
+   /* 0x9d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2063 /* Table2063 */
+   },
+   /* 0x9e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2065 /* Table2065 */
+   },
+   /* 0x9f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2067 /* Table2067 */
+   },
+   /* 0xa0 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2886 /* Table2886 */
+   },
+   /* 0xa1 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2887 /* Table2887 */
+   },
+   /* 0xa2 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2551 /* Table2551 */
+   },
+   /* 0xa3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3318 /* Table3318 */
+   },
+   /* 0xa4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3320 /* Table3320 */
+   },
+   /* 0xa5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3322 /* Table3322 */
+   },
+   /* 0xa6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2078 /* Table2078 */
+   },
+   /* 0xa7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2150 /* Table2150 */
+   },
+   /* 0xa8 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2894 /* Table2894 */
+   },
+   /* 0xa9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2895 /* Table2895 */
+   },
+   /* 0xaa */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2224 /* Table2224 */
+   },
+   /* 0xab */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3324 /* Table3324 */
+   },
+   /* 0xac */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3326 /* Table3326 */
+   },
+   /* 0xad */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3328 /* Table3328 */
+   },
+   /* 0xae */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    3330 /* Table3330 */
+   },
+   /* 0xaf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3402 /* Table3402 */
+   },
+   /* 0xb0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2305 /* Table2305 */
+   },
+   /* 0xb1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3404 /* Table3404 */
+   },
+   /* 0xb2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3406 /* Table3406 */
+   },
+   /* 0xb3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3408 /* Table3408 */
+   },
+   /* 0xb4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3410 /* Table3410 */
+   },
+   /* 0xb5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3412 /* Table3412 */
+   },
+   /* 0xb6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3414 /* Table3414 */
+   },
+   /* 0xb7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3416 /* Table3416 */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2321 /* Table2321 */
+   },
+   /* 0xba */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    3418 /* Table3418 */
+   },
+   /* 0xbb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3434 /* Table3434 */
+   },
+   /* 0xbc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3436 /* Table3436 */
+   },
+   /* 0xbd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3438 /* Table3438 */
+   },
+   /* 0xbe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3440 /* Table3440 */
+   },
+   /* 0xbf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3442 /* Table3442 */
+   },
+   /* 0xc0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2348 /* Table2348 */
+   },
+   /* 0xc1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3444 /* Table3444 */
+   },
+   /* 0xc2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2942 /* Table2942 */
+   },
+   /* 0xc3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3446 /* Table3446 */
+   },
+   /* 0xc4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2944 /* Table2944 */
+   },
+   /* 0xc5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2946 /* Table2946 */
+   },
+   /* 0xc6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2948 /* Table2948 */
+   },
+   /* 0xc7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    3731 /* Table3731 */
+   },
+   /* 0xc8 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3464 /* Table3464 */
+   },
+   /* 0xc9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3464 /* Table3464 */
+   },
+   /* 0xca */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3464 /* Table3464 */
+   },
+   /* 0xcb */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3464 /* Table3464 */
+   },
+   /* 0xcc */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3464 /* Table3464 */
+   },
+   /* 0xcd */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3464 /* Table3464 */
+   },
+   /* 0xce */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3464 /* Table3464 */
+   },
+   /* 0xcf */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3464 /* Table3464 */
+   },
+   /* 0xd0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2966 /* Table2966 */
+   },
+   /* 0xd1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2968 /* Table2968 */
+   },
+   /* 0xd2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2970 /* Table2970 */
+   },
+   /* 0xd3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2972 /* Table2972 */
+   },
+   /* 0xd4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2974 /* Table2974 */
+   },
+   /* 0xd5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2976 /* Table2976 */
+   },
+   /* 0xd6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2978 /* Table2978 */
+   },
+   /* 0xd7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2980 /* Table2980 */
+   },
+   /* 0xd8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2982 /* Table2982 */
+   },
+   /* 0xd9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2984 /* Table2984 */
+   },
+   /* 0xda */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2986 /* Table2986 */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2988 /* Table2988 */
+   },
+   /* 0xdc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2990 /* Table2990 */
+   },
+   /* 0xdd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2992 /* Table2992 */
+   },
+   /* 0xde */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2994 /* Table2994 */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2996 /* Table2996 */
+   },
+   /* 0xe0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2998 /* Table2998 */
+   },
+   /* 0xe1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3000 /* Table3000 */
+   },
+   /* 0xe2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3002 /* Table3002 */
+   },
+   /* 0xe3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3004 /* Table3004 */
+   },
+   /* 0xe4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3006 /* Table3006 */
+   },
+   /* 0xe5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3008 /* Table3008 */
+   },
+   /* 0xe6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3010 /* Table3010 */
+   },
+   /* 0xe7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3012 /* Table3012 */
+   },
+   /* 0xe8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3014 /* Table3014 */
+   },
+   /* 0xe9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3016 /* Table3016 */
+   },
+   /* 0xea */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3018 /* Table3018 */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3020 /* Table3020 */
+   },
+   /* 0xec */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3022 /* Table3022 */
+   },
+   /* 0xed */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3024 /* Table3024 */
+   },
+   /* 0xee */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3026 /* Table3026 */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3028 /* Table3028 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -97832,72 +99128,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3036 /* Table3036 */
+    3030 /* Table3030 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3038 /* Table3038 */
+    3032 /* Table3032 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3040 /* Table3040 */
+    3034 /* Table3034 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3042 /* Table3042 */
+    3036 /* Table3036 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3044 /* Table3044 */
+    3038 /* Table3038 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3046 /* Table3046 */
+    3040 /* Table3040 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3547 /* Table3547 */
+    3541 /* Table3541 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3050 /* Table3050 */
+    3044 /* Table3044 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3052 /* Table3052 */
+    3046 /* Table3046 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3054 /* Table3054 */
+    3048 /* Table3048 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3056 /* Table3056 */
+    3050 /* Table3050 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3058 /* Table3058 */
+    3052 /* Table3052 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3060 /* Table3060 */
+    3054 /* Table3054 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3062 /* Table3062 */
+    3056 /* Table3056 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -97992,42 +99288,42 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3753 /* Table3753 */
+    3747 /* Table3747 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3755 /* Table3755 */
+    3749 /* Table3749 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3757 /* Table3757 */
+    3751 /* Table3751 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3759 /* Table3759 */
+    3753 /* Table3753 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3761 /* Table3761 */
+    3755 /* Table3755 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3763 /* Table3763 */
+    3757 /* Table3757 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3765 /* Table3765 */
+    3759 /* Table3759 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3767 /* Table3767 */
+    3761 /* Table3761 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -98112,12 +99408,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3769 /* Table3769 */
+    3763 /* Table3763 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3771 /* Table3771 */
+    3765 /* Table3765 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -98127,7 +99423,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3773 /* Table3773 */
+    3767 /* Table3767 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -98142,12 +99438,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3775 /* Table3775 */
+    3769 /* Table3769 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3777 /* Table3777 */
+    3771 /* Table3771 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -98252,7 +99548,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3779 /* Table3779 */
+    3773 /* Table3773 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -98312,82 +99608,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3781 /* Table3781 */
+    3775 /* Table3775 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3783 /* Table3783 */
+    3777 /* Table3777 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3785 /* Table3785 */
+    3779 /* Table3779 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3787 /* Table3787 */
+    3781 /* Table3781 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3789 /* Table3789 */
+    3783 /* Table3783 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3791 /* Table3791 */
+    3785 /* Table3785 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3793 /* Table3793 */
+    3787 /* Table3787 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3795 /* Table3795 */
+    3789 /* Table3789 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3797 /* Table3797 */
+    3791 /* Table3791 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3799 /* Table3799 */
+    3793 /* Table3793 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3801 /* Table3801 */
+    3795 /* Table3795 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3803 /* Table3803 */
+    3797 /* Table3797 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3805 /* Table3805 */
+    3799 /* Table3799 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3807 /* Table3807 */
+    3801 /* Table3801 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3809 /* Table3809 */
+    3803 /* Table3803 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3811 /* Table3811 */
+    3805 /* Table3805 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -98507,7 +99803,7 @@
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3813 /* Table3813 */
+    3807 /* Table3807 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -98632,22 +99928,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3814 /* Table3814 */
+    3808 /* Table3808 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3816 /* Table3816 */
+    3810 /* Table3810 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3818 /* Table3818 */
+    3812 /* Table3812 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3820 /* Table3820 */
+    3814 /* Table3814 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -98672,7 +99968,7 @@
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3822 /* Table3822 */
+    3816 /* Table3816 */
    },
    /* 0x99 */
    { /* ModRMDecision */
@@ -98782,7 +100078,7 @@
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3824 /* Table3824 */
+    3818 /* Table3818 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -98882,7 +100178,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3840 /* Table3840 */
+    3834 /* Table3834 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -98902,7 +100198,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3842 /* Table3842 */
+    3836 /* Table3836 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -99277,17 +100573,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3844 /* Table3844 */
+    3838 /* Table3838 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3846 /* Table3846 */
+    3840 /* Table3840 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3848 /* Table3848 */
+    3842 /* Table3842 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -99307,7 +100603,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3850 /* Table3850 */
+    3844 /* Table3844 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -99407,7 +100703,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3852 /* Table3852 */
+    3846 /* Table3846 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -99417,12 +100713,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3854 /* Table3854 */
+    3848 /* Table3848 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3856 /* Table3856 */
+    3850 /* Table3850 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -99602,17 +100898,17 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3858 /* Table3858 */
+    3852 /* Table3852 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3860 /* Table3860 */
+    3854 /* Table3854 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3862 /* Table3862 */
+    3856 /* Table3856 */
    },
    /* 0x54 */
    { /* ModRMDecision */
@@ -99637,42 +100933,42 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3864 /* Table3864 */
+    3858 /* Table3858 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3866 /* Table3866 */
+    3860 /* Table3860 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3868 /* Table3868 */
+    3862 /* Table3862 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3870 /* Table3870 */
+    3864 /* Table3864 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3872 /* Table3872 */
+    3866 /* Table3866 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3874 /* Table3874 */
+    3868 /* Table3868 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3876 /* Table3876 */
+    3870 /* Table3870 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3878 /* Table3878 */
+    3872 /* Table3872 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -99752,12 +101048,12 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3880 /* Table3880 */
+    3874 /* Table3874 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3882 /* Table3882 */
+    3876 /* Table3876 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -99827,12 +101123,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3884 /* Table3884 */
+    3878 /* Table3878 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3886 /* Table3886 */
+    3880 /* Table3880 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -100167,7 +101463,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3888 /* Table3888 */
+    3882 /* Table3882 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -100347,7 +101643,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3890 /* Table3890 */
+    3884 /* Table3884 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -100562,17 +101858,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3892 /* Table3892 */
+    3886 /* Table3886 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3894 /* Table3894 */
+    3888 /* Table3888 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3896 /* Table3896 */
+    3890 /* Table3890 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -100692,7 +101988,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3898 /* Table3898 */
+    3892 /* Table3892 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -100702,12 +101998,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3900 /* Table3900 */
+    3894 /* Table3894 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3902 /* Table3902 */
+    3896 /* Table3896 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -100887,7 +102183,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3904 /* Table3904 */
+    3898 /* Table3898 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -100922,17 +102218,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3906 /* Table3906 */
+    3900 /* Table3900 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3908 /* Table3908 */
+    3902 /* Table3902 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3910 /* Table3910 */
+    3904 /* Table3904 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -100942,22 +102238,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3912 /* Table3912 */
+    3906 /* Table3906 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3914 /* Table3914 */
+    3908 /* Table3908 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3916 /* Table3916 */
+    3910 /* Table3910 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3918 /* Table3918 */
+    3912 /* Table3912 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -101042,7 +102338,7 @@
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3920 /* Table3920 */
+    3914 /* Table3914 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -101102,12 +102398,12 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3922 /* Table3922 */
+    3916 /* Table3916 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3924 /* Table3924 */
+    3918 /* Table3918 */
    },
    /* 0x7e */
    { /* ModRMDecision */
@@ -101212,12 +102508,12 @@
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3926 /* Table3926 */
+    3920 /* Table3920 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3928 /* Table3928 */
+    3922 /* Table3922 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -101452,7 +102748,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3930 /* Table3930 */
+    3924 /* Table3924 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -101522,7 +102818,7 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3932 /* Table3932 */
+    3926 /* Table3926 */
    },
    /* 0xd1 */
    { /* ModRMDecision */
@@ -101632,7 +102928,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3934 /* Table3934 */
+    3928 /* Table3928 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -101682,7 +102978,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3936 /* Table3936 */
+    3930 /* Table3930 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -101847,42 +103143,42 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3938 /* Table3938 */
+    3932 /* Table3932 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3940 /* Table3940 */
+    3934 /* Table3934 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3942 /* Table3942 */
+    3936 /* Table3936 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3944 /* Table3944 */
+    3938 /* Table3938 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3946 /* Table3946 */
+    3940 /* Table3940 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3948 /* Table3948 */
+    3942 /* Table3942 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3950 /* Table3950 */
+    3944 /* Table3944 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3952 /* Table3952 */
+    3946 /* Table3946 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -101967,12 +103263,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3954 /* Table3954 */
+    3948 /* Table3948 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3956 /* Table3956 */
+    3950 /* Table3950 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -101982,7 +103278,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3958 /* Table3958 */
+    3952 /* Table3952 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -101997,12 +103293,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3960 /* Table3960 */
+    3954 /* Table3954 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3962 /* Table3962 */
+    3956 /* Table3956 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -102107,7 +103403,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3964 /* Table3964 */
+    3958 /* Table3958 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -102167,12 +103463,12 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3966 /* Table3966 */
+    3960 /* Table3960 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3968 /* Table3968 */
+    3962 /* Table3962 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -102187,177 +103483,177 @@
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3970 /* Table3970 */
+    3964 /* Table3964 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3972 /* Table3972 */
+    3966 /* Table3966 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3974 /* Table3974 */
+    3968 /* Table3968 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3976 /* Table3976 */
+    3970 /* Table3970 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3978 /* Table3978 */
+    3972 /* Table3972 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3980 /* Table3980 */
+    3974 /* Table3974 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3982 /* Table3982 */
+    3976 /* Table3976 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3984 /* Table3984 */
+    3978 /* Table3978 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3986 /* Table3986 */
+    3980 /* Table3980 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3988 /* Table3988 */
+    3982 /* Table3982 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3990 /* Table3990 */
+    3984 /* Table3984 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3992 /* Table3992 */
+    3986 /* Table3986 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3994 /* Table3994 */
+    3988 /* Table3988 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3996 /* Table3996 */
+    3990 /* Table3990 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3998 /* Table3998 */
+    3992 /* Table3992 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4000 /* Table4000 */
+    3994 /* Table3994 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4002 /* Table4002 */
+    3996 /* Table3996 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4004 /* Table4004 */
+    3998 /* Table3998 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4006 /* Table4006 */
+    4000 /* Table4000 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4008 /* Table4008 */
+    4002 /* Table4002 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4010 /* Table4010 */
+    4004 /* Table4004 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4012 /* Table4012 */
+    4006 /* Table4006 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4014 /* Table4014 */
+    4008 /* Table4008 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4016 /* Table4016 */
+    4010 /* Table4010 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4018 /* Table4018 */
+    4012 /* Table4012 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4020 /* Table4020 */
+    4014 /* Table4014 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4022 /* Table4022 */
+    4016 /* Table4016 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4024 /* Table4024 */
+    4018 /* Table4018 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4026 /* Table4026 */
+    4020 /* Table4020 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4028 /* Table4028 */
+    4022 /* Table4022 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4044 /* Table4044 */
+    4038 /* Table4038 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4060 /* Table4060 */
+    4054 /* Table4054 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4076 /* Table4076 */
+    4070 /* Table4070 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4078 /* Table4078 */
+    4072 /* Table4072 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4080 /* Table4080 */
+    4074 /* Table4074 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -102387,22 +103683,22 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4082 /* Table4082 */
+    4076 /* Table4076 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4084 /* Table4084 */
+    4078 /* Table4078 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4086 /* Table4086 */
+    4080 /* Table4080 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4088 /* Table4088 */
+    4082 /* Table4082 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -102487,22 +103783,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4090 /* Table4090 */
+    4084 /* Table4084 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4092 /* Table4092 */
+    4086 /* Table4086 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4094 /* Table4094 */
+    4088 /* Table4088 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4096 /* Table4096 */
+    4090 /* Table4090 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -102737,7 +104033,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4098 /* Table4098 */
+    4092 /* Table4092 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -102747,17 +104043,17 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4100 /* Table4100 */
+    4094 /* Table4094 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4102 /* Table4102 */
+    4096 /* Table4096 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4104 /* Table4104 */
+    4098 /* Table4098 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -102807,162 +104103,162 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4106 /* Table4106 */
+    4100 /* Table4100 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4108 /* Table4108 */
+    4102 /* Table4102 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4110 /* Table4110 */
+    4104 /* Table4104 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4112 /* Table4112 */
+    4106 /* Table4106 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4114 /* Table4114 */
+    4108 /* Table4108 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4116 /* Table4116 */
+    4110 /* Table4110 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4118 /* Table4118 */
+    4112 /* Table4112 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4120 /* Table4120 */
+    4114 /* Table4114 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4122 /* Table4122 */
+    4116 /* Table4116 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4124 /* Table4124 */
+    4118 /* Table4118 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4126 /* Table4126 */
+    4120 /* Table4120 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4128 /* Table4128 */
+    4122 /* Table4122 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4130 /* Table4130 */
+    4124 /* Table4124 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4132 /* Table4132 */
+    4126 /* Table4126 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4134 /* Table4134 */
+    4128 /* Table4128 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4136 /* Table4136 */
+    4130 /* Table4130 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4138 /* Table4138 */
+    4132 /* Table4132 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4140 /* Table4140 */
+    4134 /* Table4134 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4142 /* Table4142 */
+    4136 /* Table4136 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4144 /* Table4144 */
+    4138 /* Table4138 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4146 /* Table4146 */
+    4140 /* Table4140 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4148 /* Table4148 */
+    4142 /* Table4142 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4150 /* Table4150 */
+    4144 /* Table4144 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4152 /* Table4152 */
+    4146 /* Table4146 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4154 /* Table4154 */
+    4148 /* Table4148 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4156 /* Table4156 */
+    4150 /* Table4150 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4158 /* Table4158 */
+    4152 /* Table4152 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4160 /* Table4160 */
+    4154 /* Table4154 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4162 /* Table4162 */
+    4156 /* Table4156 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4164 /* Table4164 */
+    4158 /* Table4158 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4166 /* Table4166 */
+    4160 /* Table4160 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4168 /* Table4168 */
+    4162 /* Table4162 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -102972,72 +104268,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4170 /* Table4170 */
+    4164 /* Table4164 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4172 /* Table4172 */
+    4166 /* Table4166 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4174 /* Table4174 */
+    4168 /* Table4168 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4176 /* Table4176 */
+    4170 /* Table4170 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4178 /* Table4178 */
+    4172 /* Table4172 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4180 /* Table4180 */
+    4174 /* Table4174 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4182 /* Table4182 */
+    4176 /* Table4176 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4184 /* Table4184 */
+    4178 /* Table4178 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4186 /* Table4186 */
+    4180 /* Table4180 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4188 /* Table4188 */
+    4182 /* Table4182 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4190 /* Table4190 */
+    4184 /* Table4184 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4192 /* Table4192 */
+    4186 /* Table4186 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4194 /* Table4194 */
+    4188 /* Table4188 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4196 /* Table4196 */
+    4190 /* Table4190 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -103132,42 +104428,42 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3753 /* Table3753 */
+    3747 /* Table3747 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3755 /* Table3755 */
+    3749 /* Table3749 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3757 /* Table3757 */
+    3751 /* Table3751 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3759 /* Table3759 */
+    3753 /* Table3753 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3761 /* Table3761 */
+    3755 /* Table3755 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3763 /* Table3763 */
+    3757 /* Table3757 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3765 /* Table3765 */
+    3759 /* Table3759 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3767 /* Table3767 */
+    3761 /* Table3761 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -103252,12 +104548,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3769 /* Table3769 */
+    3763 /* Table3763 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3771 /* Table3771 */
+    3765 /* Table3765 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -103267,7 +104563,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3773 /* Table3773 */
+    3767 /* Table3767 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -103282,12 +104578,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3775 /* Table3775 */
+    3769 /* Table3769 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3777 /* Table3777 */
+    3771 /* Table3771 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -103392,7 +104688,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4198 /* Table4198 */
+    4192 /* Table4192 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -103452,82 +104748,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3781 /* Table3781 */
+    3775 /* Table3775 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3783 /* Table3783 */
+    3777 /* Table3777 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3785 /* Table3785 */
+    3779 /* Table3779 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3787 /* Table3787 */
+    3781 /* Table3781 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3789 /* Table3789 */
+    3783 /* Table3783 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3791 /* Table3791 */
+    3785 /* Table3785 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3793 /* Table3793 */
+    3787 /* Table3787 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3795 /* Table3795 */
+    3789 /* Table3789 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3797 /* Table3797 */
+    3791 /* Table3791 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3799 /* Table3799 */
+    3793 /* Table3793 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3801 /* Table3801 */
+    3795 /* Table3795 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3803 /* Table3803 */
+    3797 /* Table3797 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3805 /* Table3805 */
+    3799 /* Table3799 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3807 /* Table3807 */
+    3801 /* Table3801 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3809 /* Table3809 */
+    3803 /* Table3803 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3811 /* Table3811 */
+    3805 /* Table3805 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -103647,7 +104943,7 @@
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3813 /* Table3813 */
+    3807 /* Table3807 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -103772,22 +105068,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4200 /* Table4200 */
+    4194 /* Table4194 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4202 /* Table4202 */
+    4196 /* Table4196 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3818 /* Table3818 */
+    3812 /* Table3812 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3820 /* Table3820 */
+    3814 /* Table3814 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -103812,7 +105108,7 @@
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3822 /* Table3822 */
+    3816 /* Table3816 */
    },
    /* 0x99 */
    { /* ModRMDecision */
@@ -103922,7 +105218,7 @@
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3824 /* Table3824 */
+    3818 /* Table3818 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -104022,7 +105318,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3840 /* Table3840 */
+    3834 /* Table3834 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -104042,7 +105338,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3842 /* Table3842 */
+    3836 /* Table3836 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -104417,17 +105713,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3844 /* Table3844 */
+    3838 /* Table3838 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3846 /* Table3846 */
+    3840 /* Table3840 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3848 /* Table3848 */
+    3842 /* Table3842 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -104447,7 +105743,1292 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3850 /* Table3850 */
+    3844 /* Table3844 */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4198 /* Table4198 */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4200 /* Table4200 */
+   },
+   /* 0x2d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4202 /* Table4202 */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3852 /* Table3852 */
+   },
+   /* 0x52 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3854 /* Table3854 */
+   },
+   /* 0x53 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3856 /* Table3856 */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3858 /* Table3858 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3860 /* Table3860 */
+   },
+   /* 0x5a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3862 /* Table3862 */
+   },
+   /* 0x5b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3864 /* Table3864 */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3866 /* Table3866 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3868 /* Table3868 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3870 /* Table3870 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3872 /* Table3872 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3874 /* Table3874 */
+   },
+   /* 0x70 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3876 /* Table3876 */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3878 /* Table3878 */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3880 /* Table3880 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3882 /* Table3882 */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3884 /* Table3884 */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_VEX_W_XD */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3886 /* Table3886 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3888 /* Table3888 */
+   },
+   /* 0x12 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3890 /* Table3890 */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -104742,17 +107323,17 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3858 /* Table3858 */
+    3898 /* Table3898 */
    },
    /* 0x52 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3860 /* Table3860 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x53 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3862 /* Table3862 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x54 */
    { /* ModRMDecision */
@@ -104777,1303 +107358,18 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3864 /* Table3864 */
+    3900 /* Table3900 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3866 /* Table3866 */
+    3902 /* Table3902 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3868 /* Table3868 */
-   },
-   /* 0x5b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3870 /* Table3870 */
-   },
-   /* 0x5c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3872 /* Table3872 */
-   },
-   /* 0x5d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3874 /* Table3874 */
-   },
-   /* 0x5e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3876 /* Table3876 */
-   },
-   /* 0x5f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3878 /* Table3878 */
-   },
-   /* 0x60 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x61 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x62 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x63 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x64 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x65 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x66 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x67 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x68 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x69 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3880 /* Table3880 */
-   },
-   /* 0x70 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3882 /* Table3882 */
-   },
-   /* 0x71 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x72 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x73 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x74 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x75 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x77 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x78 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x79 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3884 /* Table3884 */
-   },
-   /* 0x7f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3886 /* Table3886 */
-   },
-   /* 0x80 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x81 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x82 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x83 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x84 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x85 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x86 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x87 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x88 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x89 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x8a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x8b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x8c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x8d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x8e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x8f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x90 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x91 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x92 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x93 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x94 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x95 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x96 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x97 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x98 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x99 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x9a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x9b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x9c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x9d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x9e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x9f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa1 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa2 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa3 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa4 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa5 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa9 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xaa */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xab */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xac */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xad */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xae */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xaf */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb1 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb2 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb3 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb4 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb5 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb9 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xba */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xbb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xbc */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xbd */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xbe */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xbf */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc1 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3888 /* Table3888 */
-   },
-   /* 0xc3 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc4 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc5 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc9 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xca */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xcb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xcc */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xcd */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xce */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xcf */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd1 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd2 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd3 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd4 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd5 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd9 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xda */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xdb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xdc */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xdd */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xde */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xdf */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe1 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe2 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe3 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe4 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe5 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3890 /* Table3890 */
-   },
-   /* 0xe7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe9 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xea */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xeb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xec */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xed */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xee */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xef */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf1 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf2 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf3 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf4 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf5 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf9 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xfa */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xfb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xfc */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xfd */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xfe */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xff */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   }
-  }
- }
-,  /* IC_VEX_W_XD */
- { /* struct OpcodeDecision */
-  {
-   /* 0x00 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x01 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x02 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x03 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x04 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x05 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x06 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x07 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x08 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x09 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x10 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3892 /* Table3892 */
-   },
-   /* 0x11 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3894 /* Table3894 */
-   },
-   /* 0x12 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3896 /* Table3896 */
-   },
-   /* 0x13 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x14 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x15 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x16 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x17 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x18 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x19 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x1a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x1b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x1c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x1d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x1e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x1f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x20 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x21 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x22 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x23 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x24 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x25 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x26 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x27 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x2a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4210 /* Table4210 */
-   },
-   /* 0x2b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x2c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4212 /* Table4212 */
-   },
-   /* 0x2d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4214 /* Table4214 */
-   },
-   /* 0x2e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x2f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x30 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x31 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x32 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x33 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x34 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x35 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x36 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x37 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x38 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x40 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x41 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x42 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x43 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x45 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x46 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x47 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x48 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x49 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x4a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x4b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x4c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x4d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x4e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x4f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x50 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x51 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
     3904 /* Table3904 */
    },
-   /* 0x52 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x53 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x54 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x55 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x56 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x57 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x58 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3906 /* Table3906 */
-   },
-   /* 0x59 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3908 /* Table3908 */
-   },
-   /* 0x5a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3910 /* Table3910 */
-   },
    /* 0x5b */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
@@ -106082,22 +107378,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3912 /* Table3912 */
+    3906 /* Table3906 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3914 /* Table3914 */
+    3908 /* Table3908 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3916 /* Table3916 */
+    3910 /* Table3910 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3918 /* Table3918 */
+    3912 /* Table3912 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -106182,7 +107478,7 @@
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3920 /* Table3920 */
+    3914 /* Table3914 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -106242,12 +107538,12 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3922 /* Table3922 */
+    3916 /* Table3916 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3924 /* Table3924 */
+    3918 /* Table3918 */
    },
    /* 0x7e */
    { /* ModRMDecision */
@@ -106352,12 +107648,12 @@
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4216 /* Table4216 */
+    4210 /* Table4210 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4218 /* Table4218 */
+    4212 /* Table4212 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -106592,7 +107888,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3930 /* Table3930 */
+    3924 /* Table3924 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -106662,7 +107958,7 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3932 /* Table3932 */
+    3926 /* Table3926 */
    },
    /* 0xd1 */
    { /* ModRMDecision */
@@ -106772,7 +108068,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3934 /* Table3934 */
+    3928 /* Table3928 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -106822,7 +108118,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3936 /* Table3936 */
+    3930 /* Table3930 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -106987,42 +108283,42 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3938 /* Table3938 */
+    3932 /* Table3932 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3940 /* Table3940 */
+    3934 /* Table3934 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3942 /* Table3942 */
+    3936 /* Table3936 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3944 /* Table3944 */
+    3938 /* Table3938 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3946 /* Table3946 */
+    3940 /* Table3940 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3948 /* Table3948 */
+    3942 /* Table3942 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3950 /* Table3950 */
+    3944 /* Table3944 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3952 /* Table3952 */
+    3946 /* Table3946 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -107107,12 +108403,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3954 /* Table3954 */
+    3948 /* Table3948 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3956 /* Table3956 */
+    3950 /* Table3950 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -107122,7 +108418,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3958 /* Table3958 */
+    3952 /* Table3952 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -107137,12 +108433,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3960 /* Table3960 */
+    3954 /* Table3954 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3962 /* Table3962 */
+    3956 /* Table3956 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -107247,7 +108543,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4220 /* Table4220 */
+    4214 /* Table4214 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -107307,12 +108603,12 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3966 /* Table3966 */
+    3960 /* Table3960 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3968 /* Table3968 */
+    3962 /* Table3962 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -107327,177 +108623,177 @@
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3970 /* Table3970 */
+    3964 /* Table3964 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3972 /* Table3972 */
+    3966 /* Table3966 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3974 /* Table3974 */
+    3968 /* Table3968 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3976 /* Table3976 */
+    3970 /* Table3970 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3978 /* Table3978 */
+    3972 /* Table3972 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3980 /* Table3980 */
+    3974 /* Table3974 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3982 /* Table3982 */
+    3976 /* Table3976 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3984 /* Table3984 */
+    3978 /* Table3978 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3986 /* Table3986 */
+    3980 /* Table3980 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3988 /* Table3988 */
+    3982 /* Table3982 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3990 /* Table3990 */
+    3984 /* Table3984 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3992 /* Table3992 */
+    3986 /* Table3986 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3994 /* Table3994 */
+    3988 /* Table3988 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3996 /* Table3996 */
+    3990 /* Table3990 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3998 /* Table3998 */
+    3992 /* Table3992 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4000 /* Table4000 */
+    3994 /* Table3994 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4002 /* Table4002 */
+    3996 /* Table3996 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4004 /* Table4004 */
+    3998 /* Table3998 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4006 /* Table4006 */
+    4000 /* Table4000 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4008 /* Table4008 */
+    4002 /* Table4002 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4010 /* Table4010 */
+    4004 /* Table4004 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4012 /* Table4012 */
+    4006 /* Table4006 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4014 /* Table4014 */
+    4008 /* Table4008 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4016 /* Table4016 */
+    4010 /* Table4010 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4018 /* Table4018 */
+    4012 /* Table4012 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4020 /* Table4020 */
+    4014 /* Table4014 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4222 /* Table4222 */
+    4216 /* Table4216 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4024 /* Table4024 */
+    4018 /* Table4018 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4026 /* Table4026 */
+    4020 /* Table4020 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4028 /* Table4028 */
+    4022 /* Table4022 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4044 /* Table4044 */
+    4038 /* Table4038 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4060 /* Table4060 */
+    4054 /* Table4054 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4076 /* Table4076 */
+    4070 /* Table4070 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4078 /* Table4078 */
+    4072 /* Table4072 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4080 /* Table4080 */
+    4074 /* Table4074 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -107527,22 +108823,22 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4082 /* Table4082 */
+    4076 /* Table4076 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4084 /* Table4084 */
+    4078 /* Table4078 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4224 /* Table4224 */
+    4218 /* Table4218 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4088 /* Table4088 */
+    4082 /* Table4082 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -107627,22 +108923,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4226 /* Table4226 */
+    4220 /* Table4220 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4228 /* Table4228 */
+    4222 /* Table4222 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4094 /* Table4094 */
+    4088 /* Table4088 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4096 /* Table4096 */
+    4090 /* Table4090 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -107877,7 +109173,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4098 /* Table4098 */
+    4092 /* Table4092 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -107887,17 +109183,17 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4100 /* Table4100 */
+    4094 /* Table4094 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4102 /* Table4102 */
+    4096 /* Table4096 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4104 /* Table4104 */
+    4098 /* Table4098 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -107947,162 +109243,162 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4106 /* Table4106 */
+    4100 /* Table4100 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4108 /* Table4108 */
+    4102 /* Table4102 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4110 /* Table4110 */
+    4104 /* Table4104 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4112 /* Table4112 */
+    4106 /* Table4106 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4114 /* Table4114 */
+    4108 /* Table4108 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4116 /* Table4116 */
+    4110 /* Table4110 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4118 /* Table4118 */
+    4112 /* Table4112 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4120 /* Table4120 */
+    4114 /* Table4114 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4122 /* Table4122 */
+    4116 /* Table4116 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4124 /* Table4124 */
+    4118 /* Table4118 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4126 /* Table4126 */
+    4120 /* Table4120 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4128 /* Table4128 */
+    4122 /* Table4122 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4130 /* Table4130 */
+    4124 /* Table4124 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4132 /* Table4132 */
+    4126 /* Table4126 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4134 /* Table4134 */
+    4128 /* Table4128 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4136 /* Table4136 */
+    4130 /* Table4130 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4138 /* Table4138 */
+    4132 /* Table4132 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4140 /* Table4140 */
+    4134 /* Table4134 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4142 /* Table4142 */
+    4136 /* Table4136 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4144 /* Table4144 */
+    4138 /* Table4138 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4146 /* Table4146 */
+    4140 /* Table4140 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4148 /* Table4148 */
+    4142 /* Table4142 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4150 /* Table4150 */
+    4144 /* Table4144 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4152 /* Table4152 */
+    4146 /* Table4146 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4154 /* Table4154 */
+    4148 /* Table4148 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4156 /* Table4156 */
+    4150 /* Table4150 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4158 /* Table4158 */
+    4152 /* Table4152 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4160 /* Table4160 */
+    4154 /* Table4154 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4162 /* Table4162 */
+    4156 /* Table4156 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4164 /* Table4164 */
+    4158 /* Table4158 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4166 /* Table4166 */
+    4160 /* Table4160 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4168 /* Table4168 */
+    4162 /* Table4162 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -108112,72 +109408,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4170 /* Table4170 */
+    4164 /* Table4164 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4172 /* Table4172 */
+    4166 /* Table4166 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4174 /* Table4174 */
+    4168 /* Table4168 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4176 /* Table4176 */
+    4170 /* Table4170 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4178 /* Table4178 */
+    4172 /* Table4172 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4180 /* Table4180 */
+    4174 /* Table4174 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4182 /* Table4182 */
+    4176 /* Table4176 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4184 /* Table4184 */
+    4178 /* Table4178 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4186 /* Table4186 */
+    4180 /* Table4180 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4188 /* Table4188 */
+    4182 /* Table4182 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4190 /* Table4190 */
+    4184 /* Table4184 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4192 /* Table4192 */
+    4186 /* Table4186 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4194 /* Table4194 */
+    4188 /* Table4188 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4196 /* Table4196 */
+    4190 /* Table4190 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -108272,12 +109568,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4230 /* Table4230 */
+    4224 /* Table4224 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4232 /* Table4232 */
+    4226 /* Table4226 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -108292,12 +109588,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4234 /* Table4234 */
+    4228 /* Table4228 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4236 /* Table4236 */
+    4230 /* Table4230 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -108392,12 +109688,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4238 /* Table4238 */
+    4232 /* Table4232 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4240 /* Table4240 */
+    4234 /* Table4234 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -108407,7 +109703,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4242 /* Table4242 */
+    4236 /* Table4236 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -108422,12 +109718,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3775 /* Table3775 */
+    3769 /* Table3769 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3777 /* Table3777 */
+    3771 /* Table3771 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -108517,12 +109813,12 @@
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4244 /* Table4244 */
+    4238 /* Table4238 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4246 /* Table4246 */
+    4240 /* Table4240 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -108537,17 +109833,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4248 /* Table4248 */
+    4242 /* Table4242 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4250 /* Table4250 */
+    4244 /* Table4244 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4252 /* Table4252 */
+    4246 /* Table4246 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -108592,82 +109888,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4254 /* Table4254 */
+    4248 /* Table4248 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4256 /* Table4256 */
+    4250 /* Table4250 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4258 /* Table4258 */
+    4252 /* Table4252 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4260 /* Table4260 */
+    4254 /* Table4254 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4262 /* Table4262 */
+    4256 /* Table4256 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4264 /* Table4264 */
+    4258 /* Table4258 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4266 /* Table4266 */
+    4260 /* Table4260 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4268 /* Table4268 */
+    4262 /* Table4262 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4270 /* Table4270 */
+    4264 /* Table4264 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4272 /* Table4272 */
+    4266 /* Table4266 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4274 /* Table4274 */
+    4268 /* Table4268 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4276 /* Table4276 */
+    4270 /* Table4270 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4278 /* Table4278 */
+    4272 /* Table4272 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4280 /* Table4280 */
+    4274 /* Table4274 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4282 /* Table4282 */
+    4276 /* Table4276 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4284 /* Table4284 */
+    4278 /* Table4278 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -108787,7 +110083,7 @@
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    4286 /* Table4286 */
+    4280 /* Table4280 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -109162,7 +110458,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4287 /* Table4287 */
+    4281 /* Table4281 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -109182,7 +110478,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4289 /* Table4289 */
+    4283 /* Table4283 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -109557,17 +110853,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3844 /* Table3844 */
+    3838 /* Table3838 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3846 /* Table3846 */
+    3840 /* Table3840 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4291 /* Table4291 */
+    4285 /* Table4285 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -109587,7 +110883,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4293 /* Table4293 */
+    4287 /* Table4287 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -109687,7 +110983,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3852 /* Table3852 */
+    3846 /* Table3846 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -109697,12 +110993,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3854 /* Table3854 */
+    3848 /* Table3848 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3856 /* Table3856 */
+    3850 /* Table3850 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -109882,17 +111178,17 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3858 /* Table3858 */
+    3852 /* Table3852 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3860 /* Table3860 */
+    3854 /* Table3854 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3862 /* Table3862 */
+    3856 /* Table3856 */
    },
    /* 0x54 */
    { /* ModRMDecision */
@@ -109917,42 +111213,42 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3864 /* Table3864 */
+    3858 /* Table3858 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3866 /* Table3866 */
+    3860 /* Table3860 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3868 /* Table3868 */
+    3862 /* Table3862 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4295 /* Table4295 */
+    4289 /* Table4289 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3872 /* Table3872 */
+    3866 /* Table3866 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3874 /* Table3874 */
+    3868 /* Table3868 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3876 /* Table3876 */
+    3870 /* Table3870 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3878 /* Table3878 */
+    3872 /* Table3872 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -110032,12 +111328,12 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4297 /* Table4297 */
+    4291 /* Table4291 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4299 /* Table4299 */
+    4293 /* Table4293 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -110112,7 +111408,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4301 /* Table4301 */
+    4295 /* Table4295 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -110447,7 +111743,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3888 /* Table3888 */
+    3882 /* Table3882 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -110627,7 +111923,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4303 /* Table4303 */
+    4297 /* Table4297 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -110842,17 +112138,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3892 /* Table3892 */
+    3886 /* Table3886 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3894 /* Table3894 */
+    3888 /* Table3888 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4305 /* Table4305 */
+    4299 /* Table4299 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -110972,7 +112268,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3898 /* Table3898 */
+    3892 /* Table3892 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -110982,12 +112278,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3900 /* Table3900 */
+    3894 /* Table3894 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3902 /* Table3902 */
+    3896 /* Table3896 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -111167,7 +112463,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3904 /* Table3904 */
+    3898 /* Table3898 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -111202,17 +112498,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3906 /* Table3906 */
+    3900 /* Table3900 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3908 /* Table3908 */
+    3902 /* Table3902 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3910 /* Table3910 */
+    3904 /* Table3904 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -111222,22 +112518,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3912 /* Table3912 */
+    3906 /* Table3906 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3914 /* Table3914 */
+    3908 /* Table3908 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3916 /* Table3916 */
+    3910 /* Table3910 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3918 /* Table3918 */
+    3912 /* Table3912 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -111322,7 +112618,7 @@
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4307 /* Table4307 */
+    4301 /* Table4301 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -111382,12 +112678,12 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4309 /* Table4309 */
+    4303 /* Table4303 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4311 /* Table4311 */
+    4305 /* Table4305 */
    },
    /* 0x7e */
    { /* ModRMDecision */
@@ -111732,7 +113028,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3930 /* Table3930 */
+    3924 /* Table3924 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -111802,7 +113098,7 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4313 /* Table4313 */
+    4307 /* Table4307 */
    },
    /* 0xd1 */
    { /* ModRMDecision */
@@ -111912,7 +113208,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4315 /* Table4315 */
+    4309 /* Table4309 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -111962,7 +113258,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4317 /* Table4317 */
+    4311 /* Table4311 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -112127,12 +113423,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4319 /* Table4319 */
+    4313 /* Table4313 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4321 /* Table4321 */
+    4315 /* Table4315 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -112147,12 +113443,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4323 /* Table4323 */
+    4317 /* Table4317 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4325 /* Table4325 */
+    4319 /* Table4319 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -112247,12 +113543,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4327 /* Table4327 */
+    4321 /* Table4321 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4329 /* Table4329 */
+    4323 /* Table4323 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -112262,7 +113558,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4331 /* Table4331 */
+    4325 /* Table4325 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -112277,12 +113573,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3960 /* Table3960 */
+    3954 /* Table3954 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3962 /* Table3962 */
+    3956 /* Table3956 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -112372,12 +113668,12 @@
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4333 /* Table4333 */
+    4327 /* Table4327 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4335 /* Table4335 */
+    4329 /* Table4329 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -112392,17 +113688,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4337 /* Table4337 */
+    4331 /* Table4331 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4339 /* Table4339 */
+    4333 /* Table4333 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4341 /* Table4341 */
+    4335 /* Table4335 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -112422,7 +113718,7 @@
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4343 /* Table4343 */
+    4337 /* Table4337 */
    },
    /* 0x4c */
    { /* ModRMDecision */
@@ -112447,12 +113743,12 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4345 /* Table4345 */
+    4339 /* Table4339 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4347 /* Table4347 */
+    4341 /* Table4341 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -112467,132 +113763,132 @@
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4349 /* Table4349 */
+    4343 /* Table4343 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4351 /* Table4351 */
+    4345 /* Table4345 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4353 /* Table4353 */
+    4347 /* Table4347 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4355 /* Table4355 */
+    4349 /* Table4349 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4357 /* Table4357 */
+    4351 /* Table4351 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4359 /* Table4359 */
+    4353 /* Table4353 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4361 /* Table4361 */
+    4355 /* Table4355 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4363 /* Table4363 */
+    4357 /* Table4357 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4365 /* Table4365 */
+    4359 /* Table4359 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4367 /* Table4367 */
+    4361 /* Table4361 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4369 /* Table4369 */
+    4363 /* Table4363 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4371 /* Table4371 */
+    4365 /* Table4365 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4373 /* Table4373 */
+    4367 /* Table4367 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4375 /* Table4375 */
+    4369 /* Table4369 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4377 /* Table4377 */
+    4371 /* Table4371 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4379 /* Table4379 */
+    4373 /* Table4373 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4381 /* Table4381 */
+    4375 /* Table4375 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4383 /* Table4383 */
+    4377 /* Table4377 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4385 /* Table4385 */
+    4379 /* Table4379 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4387 /* Table4387 */
+    4381 /* Table4381 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4389 /* Table4389 */
+    4383 /* Table4383 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4391 /* Table4391 */
+    4385 /* Table4385 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4393 /* Table4393 */
+    4387 /* Table4387 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4395 /* Table4395 */
+    4389 /* Table4389 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4397 /* Table4397 */
+    4391 /* Table4391 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4399 /* Table4399 */
+    4393 /* Table4393 */
    },
    /* 0x6e */
    { /* ModRMDecision */
@@ -112602,42 +113898,42 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4401 /* Table4401 */
+    4395 /* Table4395 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4403 /* Table4403 */
+    4397 /* Table4397 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4405 /* Table4405 */
+    4399 /* Table4399 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4421 /* Table4421 */
+    4415 /* Table4415 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4437 /* Table4437 */
+    4431 /* Table4431 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4453 /* Table4453 */
+    4447 /* Table4447 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4455 /* Table4455 */
+    4449 /* Table4449 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4457 /* Table4457 */
+    4451 /* Table4451 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -112667,12 +113963,12 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4459 /* Table4459 */
+    4453 /* Table4453 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4461 /* Table4461 */
+    4455 /* Table4455 */
    },
    /* 0x7e */
    { /* ModRMDecision */
@@ -112682,7 +113978,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4463 /* Table4463 */
+    4457 /* Table4457 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -113017,7 +114313,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4465 /* Table4465 */
+    4459 /* Table4459 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -113037,7 +114333,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4467 /* Table4467 */
+    4461 /* Table4461 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -113087,32 +114383,32 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4469 /* Table4469 */
+    4463 /* Table4463 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4471 /* Table4471 */
+    4465 /* Table4465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4473 /* Table4473 */
+    4467 /* Table4467 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4475 /* Table4475 */
+    4469 /* Table4469 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4477 /* Table4477 */
+    4471 /* Table4471 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4479 /* Table4479 */
+    4473 /* Table4473 */
    },
    /* 0xd6 */
    { /* ModRMDecision */
@@ -113122,127 +114418,127 @@
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4481 /* Table4481 */
+    4475 /* Table4475 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4483 /* Table4483 */
+    4477 /* Table4477 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4485 /* Table4485 */
+    4479 /* Table4479 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4487 /* Table4487 */
+    4481 /* Table4481 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4489 /* Table4489 */
+    4483 /* Table4483 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4491 /* Table4491 */
+    4485 /* Table4485 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4493 /* Table4493 */
+    4487 /* Table4487 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4495 /* Table4495 */
+    4489 /* Table4489 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4497 /* Table4497 */
+    4491 /* Table4491 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4499 /* Table4499 */
+    4493 /* Table4493 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4501 /* Table4501 */
+    4495 /* Table4495 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4503 /* Table4503 */
+    4497 /* Table4497 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4505 /* Table4505 */
+    4499 /* Table4499 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4507 /* Table4507 */
+    4501 /* Table4501 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4509 /* Table4509 */
+    4503 /* Table4503 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4511 /* Table4511 */
+    4505 /* Table4505 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4513 /* Table4513 */
+    4507 /* Table4507 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4515 /* Table4515 */
+    4509 /* Table4509 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4517 /* Table4517 */
+    4511 /* Table4511 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4519 /* Table4519 */
+    4513 /* Table4513 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4521 /* Table4521 */
+    4515 /* Table4515 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4523 /* Table4523 */
+    4517 /* Table4517 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4525 /* Table4525 */
+    4519 /* Table4519 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4527 /* Table4527 */
+    4521 /* Table4521 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4529 /* Table4529 */
+    4523 /* Table4523 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -113252,32 +114548,32 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4531 /* Table4531 */
+    4525 /* Table4525 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4533 /* Table4533 */
+    4527 /* Table4527 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4535 /* Table4535 */
+    4529 /* Table4529 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4537 /* Table4537 */
+    4531 /* Table4531 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4539 /* Table4539 */
+    4533 /* Table4533 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4541 /* Table4541 */
+    4535 /* Table4535 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -113287,37 +114583,37 @@
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4543 /* Table4543 */
+    4537 /* Table4537 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4545 /* Table4545 */
+    4539 /* Table4539 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4547 /* Table4547 */
+    4541 /* Table4541 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4549 /* Table4549 */
+    4543 /* Table4543 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4551 /* Table4551 */
+    4545 /* Table4545 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4553 /* Table4553 */
+    4547 /* Table4547 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4555 /* Table4555 */
+    4549 /* Table4549 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -113412,12 +114708,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4230 /* Table4230 */
+    4224 /* Table4224 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4232 /* Table4232 */
+    4226 /* Table4226 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -113432,12 +114728,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4234 /* Table4234 */
+    4228 /* Table4228 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4236 /* Table4236 */
+    4230 /* Table4230 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -113532,12 +114828,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4238 /* Table4238 */
+    4232 /* Table4232 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4240 /* Table4240 */
+    4234 /* Table4234 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -113547,7 +114843,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4242 /* Table4242 */
+    4236 /* Table4236 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -113562,12 +114858,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3775 /* Table3775 */
+    3769 /* Table3769 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3777 /* Table3777 */
+    3771 /* Table3771 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -113657,12 +114953,12 @@
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4557 /* Table4557 */
+    4551 /* Table4551 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4559 /* Table4559 */
+    4553 /* Table4553 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -113677,17 +114973,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4561 /* Table4561 */
+    4555 /* Table4555 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4563 /* Table4563 */
+    4557 /* Table4557 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4565 /* Table4565 */
+    4559 /* Table4559 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -113732,82 +115028,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4254 /* Table4254 */
+    4248 /* Table4248 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4256 /* Table4256 */
+    4250 /* Table4250 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4258 /* Table4258 */
+    4252 /* Table4252 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4260 /* Table4260 */
+    4254 /* Table4254 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4262 /* Table4262 */
+    4256 /* Table4256 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4264 /* Table4264 */
+    4258 /* Table4258 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4266 /* Table4266 */
+    4260 /* Table4260 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4268 /* Table4268 */
+    4262 /* Table4262 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4270 /* Table4270 */
+    4264 /* Table4264 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4272 /* Table4272 */
+    4266 /* Table4266 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4274 /* Table4274 */
+    4268 /* Table4268 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4276 /* Table4276 */
+    4270 /* Table4270 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4278 /* Table4278 */
+    4272 /* Table4272 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4280 /* Table4280 */
+    4274 /* Table4274 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4282 /* Table4282 */
+    4276 /* Table4276 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4284 /* Table4284 */
+    4278 /* Table4278 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -113927,7 +115223,7 @@
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    4286 /* Table4286 */
+    4280 /* Table4280 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -114302,7 +115598,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4287 /* Table4287 */
+    4281 /* Table4281 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -114322,7 +115618,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4289 /* Table4289 */
+    4283 /* Table4283 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -114697,17 +115993,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3844 /* Table3844 */
+    3838 /* Table3838 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3846 /* Table3846 */
+    3840 /* Table3840 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4291 /* Table4291 */
+    4285 /* Table4285 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -114727,8 +116023,1293 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
+    4287 /* Table4287 */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4198 /* Table4198 */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4200 /* Table4200 */
+   },
+   /* 0x2d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4202 /* Table4202 */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3852 /* Table3852 */
+   },
+   /* 0x52 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3854 /* Table3854 */
+   },
+   /* 0x53 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3856 /* Table3856 */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3858 /* Table3858 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3860 /* Table3860 */
+   },
+   /* 0x5a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3862 /* Table3862 */
+   },
+   /* 0x5b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4289 /* Table4289 */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3866 /* Table3866 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3868 /* Table3868 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3870 /* Table3870 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3872 /* Table3872 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4291 /* Table4291 */
+   },
+   /* 0x70 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
     4293 /* Table4293 */
    },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4295 /* Table4295 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3882 /* Table3882 */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4297 /* Table4297 */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_VEX_L_W_XD */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3886 /* Table3886 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3888 /* Table3888 */
+   },
+   /* 0x12 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4299 /* Table4299 */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
    /* 0x17 */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
@@ -115022,17 +117603,17 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3858 /* Table3858 */
+    3898 /* Table3898 */
    },
    /* 0x52 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3860 /* Table3860 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x53 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3862 /* Table3862 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x54 */
    { /* ModRMDecision */
@@ -115057,1303 +117638,18 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3864 /* Table3864 */
+    3900 /* Table3900 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3866 /* Table3866 */
+    3902 /* Table3902 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3868 /* Table3868 */
-   },
-   /* 0x5b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4295 /* Table4295 */
-   },
-   /* 0x5c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3872 /* Table3872 */
-   },
-   /* 0x5d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3874 /* Table3874 */
-   },
-   /* 0x5e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3876 /* Table3876 */
-   },
-   /* 0x5f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3878 /* Table3878 */
-   },
-   /* 0x60 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x61 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x62 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x63 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x64 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x65 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x66 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x67 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x68 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x69 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4297 /* Table4297 */
-   },
-   /* 0x70 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4299 /* Table4299 */
-   },
-   /* 0x71 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x72 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x73 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x74 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x75 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x77 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x78 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x79 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4301 /* Table4301 */
-   },
-   /* 0x80 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x81 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x82 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x83 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x84 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x85 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x86 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x87 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x88 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x89 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x8a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x8b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x8c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x8d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x8e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x8f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x90 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x91 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x92 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x93 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x94 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x95 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x96 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x97 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x98 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x99 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x9a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x9b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x9c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x9d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x9e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x9f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa1 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa2 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa3 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa4 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa5 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xa9 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xaa */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xab */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xac */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xad */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xae */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xaf */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb1 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb2 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb3 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb4 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb5 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb9 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xba */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xbb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xbc */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xbd */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xbe */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xbf */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc1 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3888 /* Table3888 */
-   },
-   /* 0xc3 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc4 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc5 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xc9 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xca */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xcb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xcc */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xcd */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xce */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xcf */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd1 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd2 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd3 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd4 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd5 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd9 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xda */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xdb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xdc */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xdd */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xde */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xdf */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe1 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe2 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe3 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe4 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe5 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4303 /* Table4303 */
-   },
-   /* 0xe7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe9 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xea */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xeb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xec */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xed */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xee */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xef */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf1 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf2 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf3 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf4 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf5 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf9 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xfa */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xfb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xfc */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xfd */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xfe */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xff */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   }
-  }
- }
-,  /* IC_VEX_L_W_XD */
- { /* struct OpcodeDecision */
-  {
-   /* 0x00 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x01 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x02 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x03 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x04 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x05 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x06 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x07 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x08 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x09 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x10 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3892 /* Table3892 */
-   },
-   /* 0x11 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3894 /* Table3894 */
-   },
-   /* 0x12 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4305 /* Table4305 */
-   },
-   /* 0x13 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x14 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x15 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x16 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x17 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x18 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x19 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x1a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x1b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x1c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x1d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x1e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x1f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x20 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x21 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x22 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x23 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x24 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x25 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x26 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x27 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x2a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4210 /* Table4210 */
-   },
-   /* 0x2b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x2c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4212 /* Table4212 */
-   },
-   /* 0x2d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4214 /* Table4214 */
-   },
-   /* 0x2e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x2f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x30 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x31 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x32 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x33 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x34 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x35 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x36 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x37 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x38 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x40 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x41 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x42 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x43 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x45 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x46 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x47 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x48 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x49 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x4a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x4b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x4c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x4d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x4e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x4f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x50 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x51 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
     3904 /* Table3904 */
    },
-   /* 0x52 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x53 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x54 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x55 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x56 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x57 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x58 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3906 /* Table3906 */
-   },
-   /* 0x59 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3908 /* Table3908 */
-   },
-   /* 0x5a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3910 /* Table3910 */
-   },
    /* 0x5b */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
@@ -116362,22 +117658,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3912 /* Table3912 */
+    3906 /* Table3906 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3914 /* Table3914 */
+    3908 /* Table3908 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3916 /* Table3916 */
+    3910 /* Table3910 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3918 /* Table3918 */
+    3912 /* Table3912 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -116462,7 +117758,7 @@
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4307 /* Table4307 */
+    4301 /* Table4301 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -116522,12 +117818,12 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4309 /* Table4309 */
+    4303 /* Table4303 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4311 /* Table4311 */
+    4305 /* Table4305 */
    },
    /* 0x7e */
    { /* ModRMDecision */
@@ -116872,7 +118168,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3930 /* Table3930 */
+    3924 /* Table3924 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -116942,7 +118238,7 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4313 /* Table4313 */
+    4307 /* Table4307 */
    },
    /* 0xd1 */
    { /* ModRMDecision */
@@ -117052,7 +118348,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4315 /* Table4315 */
+    4309 /* Table4309 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -117102,7 +118398,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4317 /* Table4317 */
+    4311 /* Table4311 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -117267,12 +118563,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4319 /* Table4319 */
+    4313 /* Table4313 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4321 /* Table4321 */
+    4315 /* Table4315 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -117287,12 +118583,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4323 /* Table4323 */
+    4317 /* Table4317 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4325 /* Table4325 */
+    4319 /* Table4319 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -117387,12 +118683,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4327 /* Table4327 */
+    4321 /* Table4321 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4329 /* Table4329 */
+    4323 /* Table4323 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -117402,7 +118698,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4331 /* Table4331 */
+    4325 /* Table4325 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -117417,12 +118713,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3960 /* Table3960 */
+    3954 /* Table3954 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3962 /* Table3962 */
+    3956 /* Table3956 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -117512,12 +118808,12 @@
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4567 /* Table4567 */
+    4561 /* Table4561 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4569 /* Table4569 */
+    4563 /* Table4563 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -117532,17 +118828,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4571 /* Table4571 */
+    4565 /* Table4565 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4573 /* Table4573 */
+    4567 /* Table4567 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4575 /* Table4575 */
+    4569 /* Table4569 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -117562,7 +118858,7 @@
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4343 /* Table4343 */
+    4337 /* Table4337 */
    },
    /* 0x4c */
    { /* ModRMDecision */
@@ -117587,12 +118883,12 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4345 /* Table4345 */
+    4339 /* Table4339 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4347 /* Table4347 */
+    4341 /* Table4341 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -117607,132 +118903,132 @@
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4349 /* Table4349 */
+    4343 /* Table4343 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4351 /* Table4351 */
+    4345 /* Table4345 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4353 /* Table4353 */
+    4347 /* Table4347 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4355 /* Table4355 */
+    4349 /* Table4349 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4357 /* Table4357 */
+    4351 /* Table4351 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4359 /* Table4359 */
+    4353 /* Table4353 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4361 /* Table4361 */
+    4355 /* Table4355 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4363 /* Table4363 */
+    4357 /* Table4357 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4365 /* Table4365 */
+    4359 /* Table4359 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4367 /* Table4367 */
+    4361 /* Table4361 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4369 /* Table4369 */
+    4363 /* Table4363 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4371 /* Table4371 */
+    4365 /* Table4365 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4373 /* Table4373 */
+    4367 /* Table4367 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4375 /* Table4375 */
+    4369 /* Table4369 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4377 /* Table4377 */
+    4371 /* Table4371 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4379 /* Table4379 */
+    4373 /* Table4373 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4381 /* Table4381 */
+    4375 /* Table4375 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4383 /* Table4383 */
+    4377 /* Table4377 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4385 /* Table4385 */
+    4379 /* Table4379 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4387 /* Table4387 */
+    4381 /* Table4381 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4389 /* Table4389 */
+    4383 /* Table4383 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4391 /* Table4391 */
+    4385 /* Table4385 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4393 /* Table4393 */
+    4387 /* Table4387 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4395 /* Table4395 */
+    4389 /* Table4389 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4397 /* Table4397 */
+    4391 /* Table4391 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4399 /* Table4399 */
+    4393 /* Table4393 */
    },
    /* 0x6e */
    { /* ModRMDecision */
@@ -117742,42 +119038,42 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4401 /* Table4401 */
+    4395 /* Table4395 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4403 /* Table4403 */
+    4397 /* Table4397 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4405 /* Table4405 */
+    4399 /* Table4399 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4421 /* Table4421 */
+    4415 /* Table4415 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4437 /* Table4437 */
+    4431 /* Table4431 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4453 /* Table4453 */
+    4447 /* Table4447 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4455 /* Table4455 */
+    4449 /* Table4449 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4457 /* Table4457 */
+    4451 /* Table4451 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -117807,12 +119103,12 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4459 /* Table4459 */
+    4453 /* Table4453 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4461 /* Table4461 */
+    4455 /* Table4455 */
    },
    /* 0x7e */
    { /* ModRMDecision */
@@ -117822,7 +119118,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4463 /* Table4463 */
+    4457 /* Table4457 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -118157,7 +119453,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4465 /* Table4465 */
+    4459 /* Table4459 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -118177,7 +119473,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4467 /* Table4467 */
+    4461 /* Table4461 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -118227,32 +119523,32 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4469 /* Table4469 */
+    4463 /* Table4463 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4471 /* Table4471 */
+    4465 /* Table4465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4473 /* Table4473 */
+    4467 /* Table4467 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4475 /* Table4475 */
+    4469 /* Table4469 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4477 /* Table4477 */
+    4471 /* Table4471 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4479 /* Table4479 */
+    4473 /* Table4473 */
    },
    /* 0xd6 */
    { /* ModRMDecision */
@@ -118262,127 +119558,127 @@
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4481 /* Table4481 */
+    4475 /* Table4475 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4483 /* Table4483 */
+    4477 /* Table4477 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4485 /* Table4485 */
+    4479 /* Table4479 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4487 /* Table4487 */
+    4481 /* Table4481 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4489 /* Table4489 */
+    4483 /* Table4483 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4491 /* Table4491 */
+    4485 /* Table4485 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4493 /* Table4493 */
+    4487 /* Table4487 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4495 /* Table4495 */
+    4489 /* Table4489 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4497 /* Table4497 */
+    4491 /* Table4491 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4499 /* Table4499 */
+    4493 /* Table4493 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4501 /* Table4501 */
+    4495 /* Table4495 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4503 /* Table4503 */
+    4497 /* Table4497 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4505 /* Table4505 */
+    4499 /* Table4499 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4507 /* Table4507 */
+    4501 /* Table4501 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4509 /* Table4509 */
+    4503 /* Table4503 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4511 /* Table4511 */
+    4505 /* Table4505 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4513 /* Table4513 */
+    4507 /* Table4507 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4515 /* Table4515 */
+    4509 /* Table4509 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4517 /* Table4517 */
+    4511 /* Table4511 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4519 /* Table4519 */
+    4513 /* Table4513 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4521 /* Table4521 */
+    4515 /* Table4515 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4523 /* Table4523 */
+    4517 /* Table4517 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4525 /* Table4525 */
+    4519 /* Table4519 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4527 /* Table4527 */
+    4521 /* Table4521 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4529 /* Table4529 */
+    4523 /* Table4523 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -118392,32 +119688,32 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4531 /* Table4531 */
+    4525 /* Table4525 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4533 /* Table4533 */
+    4527 /* Table4527 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4535 /* Table4535 */
+    4529 /* Table4529 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4537 /* Table4537 */
+    4531 /* Table4531 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4539 /* Table4539 */
+    4533 /* Table4533 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4541 /* Table4541 */
+    4535 /* Table4535 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -118427,37 +119723,37 @@
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4543 /* Table4543 */
+    4537 /* Table4537 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4545 /* Table4545 */
+    4539 /* Table4539 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4547 /* Table4547 */
+    4541 /* Table4541 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4549 /* Table4549 */
+    4543 /* Table4543 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4551 /* Table4551 */
+    4545 /* Table4545 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4553 /* Table4553 */
+    4547 /* Table4547 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4555 /* Table4555 */
+    4549 /* Table4549 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -118552,17 +119848,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4577 /* Table4577 */
+    4571 /* Table4571 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4579 /* Table4579 */
+    4573 /* Table4573 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4581 /* Table4581 */
+    4575 /* Table4575 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -118582,7 +119878,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4583 /* Table4583 */
+    4577 /* Table4577 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -118672,12 +119968,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4585 /* Table4585 */
+    4579 /* Table4579 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4587 /* Table4587 */
+    4581 /* Table4581 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -118685,9 +119981,9 @@
     0 /* EmptyTable */
    },
    /* 0x2b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4583 /* Table4583 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -118702,12 +119998,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4589 /* Table4589 */
+    4585 /* Table4585 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4591 /* Table4591 */
+    4587 /* Table4587 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -119837,12 +121133,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4593 /* Table4593 */
+    4589 /* Table4589 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4595 /* Table4595 */
+    4591 /* Table4591 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -119967,7 +121263,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4597 /* Table4597 */
+    4593 /* Table4593 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -119977,12 +121273,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4599 /* Table4599 */
+    4595 /* Table4595 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4601 /* Table4601 */
+    4597 /* Table4597 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -120162,7 +121458,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4603 /* Table4603 */
+    4599 /* Table4599 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -120197,17 +121493,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4605 /* Table4605 */
+    4601 /* Table4601 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4607 /* Table4607 */
+    4603 /* Table4603 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4609 /* Table4609 */
+    4605 /* Table4605 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -120217,22 +121513,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4611 /* Table4611 */
+    4607 /* Table4607 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4613 /* Table4613 */
+    4609 /* Table4609 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4615 /* Table4615 */
+    4611 /* Table4611 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4617 /* Table4617 */
+    4613 /* Table4613 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -120312,7 +121608,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4619 /* Table4619 */
+    4615 /* Table4615 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -120357,12 +121653,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4621 /* Table4621 */
+    4617 /* Table4617 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4623 /* Table4623 */
+    4619 /* Table4619 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -120372,7 +121668,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4625 /* Table4625 */
+    4621 /* Table4621 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -120392,7 +121688,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4627 /* Table4627 */
+    4623 /* Table4623 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -120727,7 +122023,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4629 /* Table4629 */
+    4625 /* Table4625 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -121252,7 +122548,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4631 /* Table4631 */
+    4627 /* Table4627 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -121262,12 +122558,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4633 /* Table4633 */
+    4629 /* Table4629 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4635 /* Table4635 */
+    4631 /* Table4631 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -121597,7 +122893,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4637 /* Table4637 */
+    4633 /* Table4633 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -121642,12 +122938,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4639 /* Table4639 */
+    4635 /* Table4635 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4641 /* Table4641 */
+    4637 /* Table4637 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -121657,7 +122953,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4643 /* Table4643 */
+    4639 /* Table4639 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -121677,7 +122973,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4645 /* Table4645 */
+    4641 /* Table4641 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -122825,19 +124121,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4643 /* Table4643 */
    },
    /* 0x65 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4645 /* Table4645 */
    },
    /* 0x66 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4647 /* Table4647 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -122877,12 +124173,12 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4647 /* Table4647 */
+    4649 /* Table4649 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4649 /* Table4649 */
+    4651 /* Table4651 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -122905,19 +124201,19 @@
     0 /* EmptyTable */
    },
    /* 0x74 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4653 /* Table4653 */
    },
    /* 0x75 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4655 /* Table4655 */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4657 /* Table4657 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -122957,12 +124253,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4651 /* Table4651 */
+    4659 /* Table4659 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4653 /* Table4653 */
+    4661 /* Table4661 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -123480,9 +124776,9 @@
     0 /* EmptyTable */
    },
    /* 0xe7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4663 /* Table4663 */
    },
    /* 0xe8 */
    { /* ModRMDecision */
@@ -123692,17 +124988,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4577 /* Table4577 */
+    4571 /* Table4571 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4579 /* Table4579 */
+    4573 /* Table4573 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4581 /* Table4581 */
+    4575 /* Table4575 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -123722,7 +125018,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4583 /* Table4583 */
+    4577 /* Table4577 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -123812,12 +125108,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4585 /* Table4585 */
+    4579 /* Table4579 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4587 /* Table4587 */
+    4581 /* Table4581 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -123825,9 +125121,9 @@
     0 /* EmptyTable */
    },
    /* 0x2b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4583 /* Table4583 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -123842,12 +125138,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4589 /* Table4589 */
+    4585 /* Table4585 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4591 /* Table4591 */
+    4587 /* Table4587 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -124977,12 +126273,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4593 /* Table4593 */
+    4589 /* Table4589 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4595 /* Table4595 */
+    4591 /* Table4591 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -125107,7 +126403,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4655 /* Table4655 */
+    4665 /* Table4665 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -125117,12 +126413,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4657 /* Table4657 */
+    4667 /* Table4667 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4659 /* Table4659 */
+    4669 /* Table4669 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -125302,7 +126598,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4603 /* Table4603 */
+    4599 /* Table4599 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -125337,17 +126633,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4605 /* Table4605 */
+    4601 /* Table4601 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4607 /* Table4607 */
+    4603 /* Table4603 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4609 /* Table4609 */
+    4605 /* Table4605 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -125357,22 +126653,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4611 /* Table4611 */
+    4607 /* Table4607 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4613 /* Table4613 */
+    4609 /* Table4609 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4615 /* Table4615 */
+    4611 /* Table4611 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4617 /* Table4617 */
+    4613 /* Table4613 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -125452,7 +126748,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4661 /* Table4661 */
+    4671 /* Table4671 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -125497,12 +126793,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4663 /* Table4663 */
+    4673 /* Table4673 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4665 /* Table4665 */
+    4675 /* Table4675 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -125512,7 +126808,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4667 /* Table4667 */
+    4677 /* Table4677 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -125527,12 +126823,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4669 /* Table4669 */
+    4679 /* Table4679 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4671 /* Table4671 */
+    4681 /* Table4681 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -125867,7 +127163,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4629 /* Table4629 */
+    4625 /* Table4625 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -126262,12 +127558,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4673 /* Table4673 */
+    4683 /* Table4683 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4675 /* Table4675 */
+    4685 /* Table4685 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -126392,7 +127688,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4677 /* Table4677 */
+    4687 /* Table4687 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -126402,12 +127698,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4679 /* Table4679 */
+    4689 /* Table4689 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4681 /* Table4681 */
+    4691 /* Table4691 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -126587,7 +127883,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4683 /* Table4683 */
+    4693 /* Table4693 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -126622,17 +127918,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4685 /* Table4685 */
+    4695 /* Table4695 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4687 /* Table4687 */
+    4697 /* Table4697 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4689 /* Table4689 */
+    4699 /* Table4699 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -126642,22 +127938,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4691 /* Table4691 */
+    4701 /* Table4701 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4693 /* Table4693 */
+    4703 /* Table4703 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4695 /* Table4695 */
+    4705 /* Table4705 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4697 /* Table4697 */
+    4707 /* Table4707 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -126737,7 +128033,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4699 /* Table4699 */
+    4709 /* Table4709 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -126782,12 +128078,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4701 /* Table4701 */
+    4711 /* Table4711 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4703 /* Table4703 */
+    4713 /* Table4713 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -126797,7 +128093,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4705 /* Table4705 */
+    4715 /* Table4715 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -126817,7 +128113,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4707 /* Table4707 */
+    4717 /* Table4717 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -127152,7 +128448,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4709 /* Table4709 */
+    4719 /* Table4719 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -127547,12 +128843,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4711 /* Table4711 */
+    4721 /* Table4721 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4713 /* Table4713 */
+    4723 /* Table4723 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -127667,12 +128963,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4715 /* Table4715 */
+    4725 /* Table4725 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4717 /* Table4717 */
+    4727 /* Table4727 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -127680,9 +128976,9 @@
     0 /* EmptyTable */
    },
    /* 0x2b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4729 /* Table4729 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -127697,12 +128993,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4719 /* Table4719 */
+    4731 /* Table4731 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4721 /* Table4721 */
+    4733 /* Table4733 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -127965,19 +129261,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4643 /* Table4643 */
    },
    /* 0x65 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4645 /* Table4645 */
    },
    /* 0x66 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4647 /* Table4647 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -128017,12 +129313,12 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4723 /* Table4723 */
+    4735 /* Table4735 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4725 /* Table4725 */
+    4737 /* Table4737 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -128045,19 +129341,19 @@
     0 /* EmptyTable */
    },
    /* 0x74 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4653 /* Table4653 */
    },
    /* 0x75 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4655 /* Table4655 */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4657 /* Table4657 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -128097,12 +129393,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4727 /* Table4727 */
+    4739 /* Table4739 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4729 /* Table4729 */
+    4741 /* Table4741 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -128537,7 +129833,7 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4731 /* Table4731 */
+    4743 /* Table4743 */
    },
    /* 0xd7 */
    { /* ModRMDecision */
@@ -128620,9 +129916,9 @@
     0 /* EmptyTable */
    },
    /* 0xe7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4663 /* Table4663 */
    },
    /* 0xe8 */
    { /* ModRMDecision */
@@ -128832,12 +130128,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4733 /* Table4733 */
+    4745 /* Table4745 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4735 /* Table4735 */
+    4747 /* Table4747 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -128952,12 +130248,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4737 /* Table4737 */
+    4749 /* Table4749 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4739 /* Table4739 */
+    4751 /* Table4751 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -128965,9 +130261,9 @@
     0 /* EmptyTable */
    },
    /* 0x2b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4753 /* Table4753 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -130592,7 +131888,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4741 /* Table4741 */
+    4755 /* Table4755 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -130672,7 +131968,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4743 /* Table4743 */
+    4757 /* Table4757 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -131877,7 +133173,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4745 /* Table4745 */
+    4759 /* Table4759 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -131957,7 +133253,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4747 /* Table4747 */
+    4761 /* Table4761 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -133105,19 +134401,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4763 /* Table4763 */
    },
    /* 0x65 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4765 /* Table4765 */
    },
    /* 0x66 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4767 /* Table4767 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -133162,7 +134458,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4749 /* Table4749 */
+    4769 /* Table4769 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -133185,19 +134481,19 @@
     0 /* EmptyTable */
    },
    /* 0x74 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4771 /* Table4771 */
    },
    /* 0x75 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4773 /* Table4773 */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4775 /* Table4775 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -133242,7 +134538,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4751 /* Table4751 */
+    4777 /* Table4777 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -133760,9 +135056,9 @@
     0 /* EmptyTable */
    },
    /* 0xe7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4779 /* Table4779 */
    },
    /* 0xe8 */
    { /* ModRMDecision */
@@ -133972,17 +135268,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4577 /* Table4577 */
+    4571 /* Table4571 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4579 /* Table4579 */
+    4573 /* Table4573 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4581 /* Table4581 */
+    4575 /* Table4575 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -134002,7 +135298,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4583 /* Table4583 */
+    4577 /* Table4577 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -134092,12 +135388,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4585 /* Table4585 */
+    4579 /* Table4579 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4587 /* Table4587 */
+    4581 /* Table4581 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -134105,9 +135401,9 @@
     0 /* EmptyTable */
    },
    /* 0x2b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4583 /* Table4583 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -134122,12 +135418,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4589 /* Table4589 */
+    4585 /* Table4585 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4591 /* Table4591 */
+    4587 /* Table4587 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -135257,12 +136553,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4593 /* Table4593 */
+    4589 /* Table4589 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4595 /* Table4595 */
+    4591 /* Table4591 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -135387,7 +136683,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4597 /* Table4597 */
+    4593 /* Table4593 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -135397,12 +136693,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4599 /* Table4599 */
+    4595 /* Table4595 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4601 /* Table4601 */
+    4597 /* Table4597 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -135582,7 +136878,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4603 /* Table4603 */
+    4599 /* Table4599 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -135617,17 +136913,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4605 /* Table4605 */
+    4601 /* Table4601 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4607 /* Table4607 */
+    4603 /* Table4603 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4609 /* Table4609 */
+    4605 /* Table4605 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -135637,22 +136933,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4611 /* Table4611 */
+    4607 /* Table4607 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4613 /* Table4613 */
+    4609 /* Table4609 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4615 /* Table4615 */
+    4611 /* Table4611 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4617 /* Table4617 */
+    4613 /* Table4613 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -135732,7 +137028,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4753 /* Table4753 */
+    4781 /* Table4781 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -135777,12 +137073,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4621 /* Table4621 */
+    4617 /* Table4617 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4623 /* Table4623 */
+    4619 /* Table4619 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -135792,7 +137088,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4625 /* Table4625 */
+    4621 /* Table4621 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -135812,7 +137108,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4755 /* Table4755 */
+    4783 /* Table4783 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -136147,7 +137443,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4629 /* Table4629 */
+    4625 /* Table4625 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -136672,7 +137968,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4631 /* Table4631 */
+    4627 /* Table4627 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -136682,12 +137978,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4633 /* Table4633 */
+    4629 /* Table4629 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4635 /* Table4635 */
+    4631 /* Table4631 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -137017,7 +138313,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4757 /* Table4757 */
+    4785 /* Table4785 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -137062,12 +138358,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4639 /* Table4639 */
+    4635 /* Table4635 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4641 /* Table4641 */
+    4637 /* Table4637 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -137077,7 +138373,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4643 /* Table4643 */
+    4639 /* Table4639 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -137097,7 +138393,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4759 /* Table4759 */
+    4787 /* Table4787 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -137827,12 +139123,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4761 /* Table4761 */
+    4789 /* Table4789 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4763 /* Table4763 */
+    4791 /* Table4791 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -137947,12 +139243,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4765 /* Table4765 */
+    4793 /* Table4793 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4767 /* Table4767 */
+    4795 /* Table4795 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -137960,9 +139256,9 @@
     0 /* EmptyTable */
    },
    /* 0x2b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4797 /* Table4797 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -138245,19 +139541,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4643 /* Table4643 */
    },
    /* 0x65 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4645 /* Table4645 */
    },
    /* 0x66 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4647 /* Table4647 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -138297,12 +139593,12 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4647 /* Table4647 */
+    4649 /* Table4649 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4769 /* Table4769 */
+    4799 /* Table4799 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -138325,19 +139621,19 @@
     0 /* EmptyTable */
    },
    /* 0x74 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4653 /* Table4653 */
    },
    /* 0x75 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4655 /* Table4655 */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4657 /* Table4657 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -138377,12 +139673,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4651 /* Table4651 */
+    4659 /* Table4659 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4771 /* Table4771 */
+    4801 /* Table4801 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -138900,9 +140196,9 @@
     0 /* EmptyTable */
    },
    /* 0xe7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4663 /* Table4663 */
    },
    /* 0xe8 */
    { /* ModRMDecision */
@@ -139112,12 +140408,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4773 /* Table4773 */
+    4803 /* Table4803 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4775 /* Table4775 */
+    4805 /* Table4805 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -139132,12 +140428,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4777 /* Table4777 */
+    4807 /* Table4807 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4779 /* Table4779 */
+    4809 /* Table4809 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -139232,12 +140528,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4781 /* Table4781 */
+    4811 /* Table4811 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4783 /* Table4783 */
+    4813 /* Table4813 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -139247,7 +140543,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4785 /* Table4785 */
+    4815 /* Table4815 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -139437,7 +140733,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4787 /* Table4787 */
+    4817 /* Table4817 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -139472,42 +140768,42 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4789 /* Table4789 */
+    4819 /* Table4819 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4791 /* Table4791 */
+    4821 /* Table4821 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4793 /* Table4793 */
+    4823 /* Table4823 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4795 /* Table4795 */
+    4825 /* Table4825 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4797 /* Table4797 */
+    4827 /* Table4827 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4799 /* Table4799 */
+    4829 /* Table4829 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4801 /* Table4801 */
+    4831 /* Table4831 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4803 /* Table4803 */
+    4833 /* Table4833 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -139632,12 +140928,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4805 /* Table4805 */
+    4835 /* Table4835 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4807 /* Table4807 */
+    4837 /* Table4837 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -140002,7 +141298,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4809 /* Table4809 */
+    4839 /* Table4839 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -140022,7 +141318,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4811 /* Table4811 */
+    4841 /* Table4841 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -140407,7 +141703,7 @@
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4813 /* Table4813 */
+    4843 /* Table4843 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -140427,7 +141723,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4815 /* Table4815 */
+    4845 /* Table4845 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -140772,7 +142068,7 @@
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4817 /* Table4817 */
+    4847 /* Table4847 */
    },
    /* 0x5c */
    { /* ModRMDecision */
@@ -140872,7 +142168,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4819 /* Table4819 */
+    4849 /* Table4849 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -140927,7 +142223,7 @@
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4821 /* Table4821 */
+    4851 /* Table4851 */
    },
    /* 0x7b */
    { /* ModRMDecision */
@@ -140952,7 +142248,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4823 /* Table4823 */
+    4853 /* Table4853 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -141467,7 +142763,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4825 /* Table4825 */
+    4855 /* Table4855 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -142157,7 +143453,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4827 /* Table4827 */
+    4857 /* Table4857 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -142212,7 +143508,7 @@
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4829 /* Table4829 */
+    4859 /* Table4859 */
    },
    /* 0x7b */
    { /* ModRMDecision */
@@ -142237,7 +143533,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4831 /* Table4831 */
+    4861 /* Table4861 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -143292,7 +144588,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4833 /* Table4833 */
+    4863 /* Table4863 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -143342,7 +144638,7 @@
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4835 /* Table4835 */
+    4865 /* Table4865 */
    },
    /* 0x5c */
    { /* ModRMDecision */
@@ -143377,7 +144673,7 @@
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4837 /* Table4837 */
+    4867 /* Table4867 */
    },
    /* 0x63 */
    { /* ModRMDecision */
@@ -143385,19 +144681,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4869 /* Table4869 */
    },
    /* 0x65 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4871 /* Table4871 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4839 /* Table4839 */
+    4873 /* Table4873 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -143417,7 +144713,7 @@
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4841 /* Table4841 */
+    4875 /* Table4875 */
    },
    /* 0x6b */
    { /* ModRMDecision */
@@ -143442,12 +144738,12 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4843 /* Table4843 */
+    4877 /* Table4877 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4845 /* Table4845 */
+    4879 /* Table4879 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -143457,7 +144753,7 @@
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4847 /* Table4847 */
+    4881 /* Table4881 */
    },
    /* 0x73 */
    { /* ModRMDecision */
@@ -143465,19 +144761,19 @@
     0 /* EmptyTable */
    },
    /* 0x74 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4897 /* Table4897 */
    },
    /* 0x75 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4899 /* Table4899 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4863 /* Table4863 */
+    4901 /* Table4901 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -143522,7 +144818,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4865 /* Table4865 */
+    4903 /* Table4903 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -143937,7 +145233,7 @@
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4867 /* Table4867 */
+    4905 /* Table4905 */
    },
    /* 0xd3 */
    { /* ModRMDecision */
@@ -143982,7 +145278,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4869 /* Table4869 */
+    4907 /* Table4907 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -144002,7 +145298,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4871 /* Table4871 */
+    4909 /* Table4909 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -144017,7 +145313,7 @@
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4873 /* Table4873 */
+    4911 /* Table4911 */
    },
    /* 0xe3 */
    { /* ModRMDecision */
@@ -144042,7 +145338,7 @@
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4875 /* Table4875 */
+    4913 /* Table4913 */
    },
    /* 0xe8 */
    { /* ModRMDecision */
@@ -144062,7 +145358,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4877 /* Table4877 */
+    4915 /* Table4915 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -144082,7 +145378,7 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4879 /* Table4879 */
+    4917 /* Table4917 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -144097,7 +145393,7 @@
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4881 /* Table4881 */
+    4919 /* Table4919 */
    },
    /* 0xf3 */
    { /* ModRMDecision */
@@ -144137,7 +145433,7 @@
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4883 /* Table4883 */
+    4921 /* Table4921 */
    },
    /* 0xfb */
    { /* ModRMDecision */
@@ -144157,7 +145453,7 @@
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4885 /* Table4885 */
+    4923 /* Table4923 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -144772,12 +146068,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4887 /* Table4887 */
+    4925 /* Table4925 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4889 /* Table4889 */
+    4927 /* Table4927 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -146012,7 +147308,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4891 /* Table4891 */
+    4929 /* Table4929 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -146092,7 +147388,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4893 /* Table4893 */
+    4931 /* Table4931 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -147297,7 +148593,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4895 /* Table4895 */
+    4933 /* Table4933 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -147377,7 +148673,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4897 /* Table4897 */
+    4935 /* Table4935 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -147892,7 +149188,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4899 /* Table4899 */
+    4937 /* Table4937 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -148107,17 +149403,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4901 /* Table4901 */
+    4939 /* Table4939 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4903 /* Table4903 */
+    4941 /* Table4941 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4905 /* Table4905 */
+    4943 /* Table4943 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -148127,12 +149423,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4907 /* Table4907 */
+    4945 /* Table4945 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4909 /* Table4909 */
+    4947 /* Table4947 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -148227,12 +149523,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4911 /* Table4911 */
+    4949 /* Table4949 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4913 /* Table4913 */
+    4951 /* Table4951 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -148242,7 +149538,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4915 /* Table4915 */
+    4953 /* Table4953 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -148467,17 +149763,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4917 /* Table4917 */
+    4955 /* Table4955 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4919 /* Table4919 */
+    4957 /* Table4957 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4921 /* Table4921 */
+    4959 /* Table4959 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -148487,22 +149783,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4923 /* Table4923 */
+    4961 /* Table4961 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4925 /* Table4925 */
+    4963 /* Table4963 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4927 /* Table4927 */
+    4965 /* Table4965 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4929 /* Table4929 */
+    4967 /* Table4967 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -148567,12 +149863,12 @@
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4931 /* Table4931 */
+    4969 /* Table4969 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4933 /* Table4933 */
+    4971 /* Table4971 */
    },
    /* 0x6e */
    { /* ModRMDecision */
@@ -148582,7 +149878,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4935 /* Table4935 */
+    4973 /* Table4973 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -148597,12 +149893,12 @@
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4937 /* Table4937 */
+    4975 /* Table4975 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4953 /* Table4953 */
+    4991 /* Table4991 */
    },
    /* 0x74 */
    { /* ModRMDecision */
@@ -148662,7 +149958,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4969 /* Table4969 */
+    5007 /* Table5007 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -148997,7 +150293,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4971 /* Table4971 */
+    5009 /* Table5009 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -149017,7 +150313,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4973 /* Table4973 */
+    5011 /* Table5011 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -149082,12 +150378,12 @@
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4975 /* Table4975 */
+    5013 /* Table5013 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4977 /* Table4977 */
+    5015 /* Table5015 */
    },
    /* 0xd5 */
    { /* ModRMDecision */
@@ -149122,7 +150418,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4979 /* Table4979 */
+    5017 /* Table5017 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -149142,7 +150438,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4981 /* Table4981 */
+    5019 /* Table5019 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -149157,7 +150453,7 @@
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4983 /* Table4983 */
+    5021 /* Table5021 */
    },
    /* 0xe3 */
    { /* ModRMDecision */
@@ -149177,7 +150473,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4985 /* Table4985 */
+    5023 /* Table5023 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -149202,7 +150498,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4987 /* Table4987 */
+    5025 /* Table5025 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -149222,7 +150518,7 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5027 /* Table5027 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -149242,12 +150538,12 @@
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5029 /* Table5029 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5031 /* Table5031 */
    },
    /* 0xf5 */
    { /* ModRMDecision */
@@ -149282,7 +150578,7 @@
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5033 /* Table5033 */
    },
    /* 0xfc */
    { /* ModRMDecision */
@@ -149392,12 +150688,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5035 /* Table5035 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5037 /* Table5037 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -149512,12 +150808,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5039 /* Table5039 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5041 /* Table5041 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -150677,7 +151973,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5043 /* Table5043 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -151152,7 +152448,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5045 /* Table5045 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -151232,7 +152528,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5047 /* Table5047 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -152437,7 +153733,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5049 /* Table5049 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -152517,7 +153813,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5051 /* Table5051 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -153665,19 +154961,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5053 /* Table5053 */
    },
    /* 0x65 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5055 /* Table5055 */
    },
    /* 0x66 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5057 /* Table5057 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -153722,7 +155018,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5059 /* Table5059 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -153745,19 +155041,19 @@
     0 /* EmptyTable */
    },
    /* 0x74 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5061 /* Table5061 */
    },
    /* 0x75 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5063 /* Table5063 */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5065 /* Table5065 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -153802,7 +155098,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5067 /* Table5067 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -154532,12 +155828,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5035 /* Table5035 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5037 /* Table5037 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -154652,12 +155948,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5039 /* Table5039 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5041 /* Table5041 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -155817,7 +157113,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5043 /* Table5043 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -156292,7 +157588,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5069 /* Table5069 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -156372,7 +157668,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5071 /* Table5071 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -157102,7 +158398,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5073 /* Table5073 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -157577,7 +158873,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5075 /* Table5075 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -157657,7 +158953,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5077 /* Table5077 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -158387,12 +159683,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5079 /* Table5079 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5031 /* Table5031 */
+    5081 /* Table5081 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -158507,12 +159803,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5033 /* Table5033 */
+    5083 /* Table5083 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5035 /* Table5035 */
+    5085 /* Table5085 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -158862,7 +160158,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5037 /* Table5037 */
+    5087 /* Table5087 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -158942,7 +160238,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5039 /* Table5039 */
+    5089 /* Table5089 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -159672,12 +160968,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5041 /* Table5041 */
+    5091 /* Table5091 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5043 /* Table5043 */
+    5093 /* Table5093 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -159792,12 +161088,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5045 /* Table5045 */
+    5095 /* Table5095 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5047 /* Table5047 */
+    5097 /* Table5097 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -161432,7 +162728,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5049 /* Table5049 */
+    5099 /* Table5099 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -161512,7 +162808,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5051 /* Table5051 */
+    5101 /* Table5101 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -162717,7 +164013,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5053 /* Table5053 */
+    5103 /* Table5103 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -162797,7 +164093,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5055 /* Table5055 */
+    5105 /* Table5105 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -163945,19 +165241,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5107 /* Table5107 */
    },
    /* 0x65 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5109 /* Table5109 */
    },
    /* 0x66 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5111 /* Table5111 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -164002,7 +165298,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5057 /* Table5057 */
+    5113 /* Table5113 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -164025,19 +165321,19 @@
     0 /* EmptyTable */
    },
    /* 0x74 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5115 /* Table5115 */
    },
    /* 0x75 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5117 /* Table5117 */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5119 /* Table5119 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -164082,7 +165378,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5059 /* Table5059 */
+    5121 /* Table5121 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -164812,12 +166108,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5035 /* Table5035 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5037 /* Table5037 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -164932,12 +166228,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5039 /* Table5039 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5041 /* Table5041 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -166097,7 +167393,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5043 /* Table5043 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -166572,7 +167868,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5061 /* Table5061 */
+    5123 /* Table5123 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -166652,7 +167948,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5063 /* Table5063 */
+    5125 /* Table5125 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -167857,7 +169153,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5065 /* Table5065 */
+    5127 /* Table5127 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -167937,7 +169233,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5067 /* Table5067 */
+    5129 /* Table5129 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -168667,12 +169963,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5069 /* Table5069 */
+    5131 /* Table5131 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5071 /* Table5071 */
+    5133 /* Table5133 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -168787,12 +170083,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5073 /* Table5073 */
+    5135 /* Table5135 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5075 /* Table5075 */
+    5137 /* Table5137 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -169142,7 +170438,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5077 /* Table5077 */
+    5139 /* Table5139 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -169222,7 +170518,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5079 /* Table5079 */
+    5141 /* Table5141 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -169952,12 +171248,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5081 /* Table5081 */
+    5143 /* Table5143 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5083 /* Table5083 */
+    5145 /* Table5145 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -170072,12 +171368,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5085 /* Table5085 */
+    5147 /* Table5147 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5087 /* Table5087 */
+    5149 /* Table5149 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -170312,12 +171608,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5089 /* Table5089 */
+    5151 /* Table5151 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5091 /* Table5091 */
+    5153 /* Table5153 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -170332,22 +171628,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5093 /* Table5093 */
+    5155 /* Table5155 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5095 /* Table5095 */
+    5157 /* Table5157 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5097 /* Table5097 */
+    5159 /* Table5159 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5099 /* Table5099 */
+    5161 /* Table5161 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -171712,7 +173008,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5101 /* Table5101 */
+    5163 /* Table5163 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -171792,7 +173088,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5103 /* Table5103 */
+    5165 /* Table5165 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -172997,7 +174293,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5105 /* Table5105 */
+    5167 /* Table5167 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -173077,7 +174373,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5107 /* Table5107 */
+    5169 /* Table5169 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -174225,19 +175521,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5171 /* Table5171 */
    },
    /* 0x65 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5173 /* Table5173 */
    },
    /* 0x66 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5175 /* Table5175 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -174282,7 +175578,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5109 /* Table5109 */
+    5177 /* Table5177 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -174297,7 +175593,7 @@
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    5111 /* Table5111 */
+    5179 /* Table5179 */
    },
    /* 0x73 */
    { /* ModRMDecision */
@@ -174305,19 +175601,19 @@
     0 /* EmptyTable */
    },
    /* 0x74 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5195 /* Table5195 */
    },
    /* 0x75 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5197 /* Table5197 */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5199 /* Table5199 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -174362,7 +175658,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5127 /* Table5127 */
+    5201 /* Table5201 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -174777,7 +176073,7 @@
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5129 /* Table5129 */
+    5203 /* Table5203 */
    },
    /* 0xd3 */
    { /* ModRMDecision */
@@ -174822,7 +176118,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5131 /* Table5131 */
+    5205 /* Table5205 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -174842,7 +176138,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5133 /* Table5133 */
+    5207 /* Table5207 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -174857,7 +176153,7 @@
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5135 /* Table5135 */
+    5209 /* Table5209 */
    },
    /* 0xe3 */
    { /* ModRMDecision */
@@ -174902,7 +176198,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5137 /* Table5137 */
+    5211 /* Table5211 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -174922,7 +176218,7 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5139 /* Table5139 */
+    5213 /* Table5213 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -174937,7 +176233,7 @@
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5141 /* Table5141 */
+    5215 /* Table5215 */
    },
    /* 0xf3 */
    { /* ModRMDecision */
@@ -174977,7 +176273,7 @@
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5143 /* Table5143 */
+    5217 /* Table5217 */
    },
    /* 0xfb */
    { /* ModRMDecision */
@@ -174997,7 +176293,7 @@
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5145 /* Table5145 */
+    5219 /* Table5219 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -175567,7 +176863,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5147 /* Table5147 */
+    5221 /* Table5221 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -175647,7 +176943,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5149 /* Table5149 */
+    5223 /* Table5223 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -176852,7 +178148,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5151 /* Table5151 */
+    5225 /* Table5225 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -176932,7 +178228,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5153 /* Table5153 */
+    5227 /* Table5227 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -177662,12 +178958,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5155 /* Table5155 */
+    5229 /* Table5229 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5157 /* Table5157 */
+    5231 /* Table5231 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -177782,12 +179078,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5159 /* Table5159 */
+    5233 /* Table5233 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5161 /* Table5161 */
+    5235 /* Table5235 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -178022,12 +179318,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5163 /* Table5163 */
+    5237 /* Table5237 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5165 /* Table5165 */
+    5239 /* Table5239 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -178042,22 +179338,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5167 /* Table5167 */
+    5241 /* Table5241 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5169 /* Table5169 */
+    5243 /* Table5243 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5171 /* Table5171 */
+    5245 /* Table5245 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5173 /* Table5173 */
+    5247 /* Table5247 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -178137,7 +179433,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5175 /* Table5175 */
+    5249 /* Table5249 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -178152,12 +179448,12 @@
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    5177 /* Table5177 */
+    5251 /* Table5251 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    5193 /* Table5193 */
+    5267 /* Table5267 */
    },
    /* 0x74 */
    { /* ModRMDecision */
@@ -178217,7 +179513,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5209 /* Table5209 */
+    5283 /* Table5283 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -178637,12 +179933,12 @@
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5211 /* Table5211 */
+    5285 /* Table5285 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5213 /* Table5213 */
+    5287 /* Table5287 */
    },
    /* 0xd5 */
    { /* ModRMDecision */
@@ -178677,7 +179973,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5215 /* Table5215 */
+    5289 /* Table5289 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -178697,7 +179993,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5217 /* Table5217 */
+    5291 /* Table5291 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -178712,7 +180008,7 @@
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5219 /* Table5219 */
+    5293 /* Table5293 */
    },
    /* 0xe3 */
    { /* ModRMDecision */
@@ -178757,7 +180053,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5221 /* Table5221 */
+    5295 /* Table5295 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -178777,7 +180073,7 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5223 /* Table5223 */
+    5297 /* Table5297 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -178797,12 +180093,12 @@
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5225 /* Table5225 */
+    5299 /* Table5299 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5227 /* Table5227 */
+    5301 /* Table5301 */
    },
    /* 0xf5 */
    { /* ModRMDecision */
@@ -178837,7 +180133,2577 @@
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5229 /* Table5229 */
+    5303 /* Table5303 */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_OPSIZE_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5305 /* Table5305 */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5307 /* Table5307 */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_OPSIZE_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5309 /* Table5309 */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5311 /* Table5311 */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xfc */
    { /* ModRMDecision */
@@ -179307,12 +183173,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5231 /* Table5231 */
+    5313 /* Table5313 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5233 /* Table5233 */
+    5315 /* Table5315 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -179322,27 +183188,27 @@
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5235 /* Table5235 */
+    5317 /* Table5317 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5237 /* Table5237 */
+    5319 /* Table5319 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5239 /* Table5239 */
+    5321 /* Table5321 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5241 /* Table5241 */
+    5323 /* Table5323 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5243 /* Table5243 */
+    5325 /* Table5325 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -179472,7 +183338,7 @@
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5245 /* Table5245 */
+    5327 /* Table5327 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -179837,7 +183703,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5247 /* Table5247 */
+    5329 /* Table5329 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -180762,7 +184628,7 @@
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5249 /* Table5249 */
+    5331 /* Table5331 */
    },
    /* 0x7b */
    { /* ModRMDecision */
@@ -181892,7 +185758,7 @@
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5251 /* Table5251 */
+    5333 /* Table5333 */
    },
    /* 0x5c */
    { /* ModRMDecision */
@@ -181945,9 +185811,9 @@
     0 /* EmptyTable */
    },
    /* 0x66 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5335 /* Table5335 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -182025,9 +185891,9 @@
     0 /* EmptyTable */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5337 /* Table5337 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -182532,7 +186398,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5253 /* Table5253 */
+    5339 /* Table5339 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -182552,7 +186418,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5255 /* Table5255 */
+    5341 /* Table5341 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -182612,7 +186478,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5257 /* Table5257 */
+    5343 /* Table5343 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -182632,7 +186498,7 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5259 /* Table5259 */
+    5345 /* Table5345 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -182687,7 +186553,7 @@
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5261 /* Table5261 */
+    5347 /* Table5347 */
    },
    /* 0xfb */
    { /* ModRMDecision */
@@ -182707,7 +186573,7 @@
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5263 /* Table5263 */
+    5349 /* Table5349 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -183327,7 +187193,7 @@
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5265 /* Table5265 */
+    5351 /* Table5351 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -185157,7 +189023,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5267 /* Table5267 */
+    5353 /* Table5353 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -185732,17 +189598,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5269 /* Table5269 */
+    5355 /* Table5355 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5271 /* Table5271 */
+    5357 /* Table5357 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5273 /* Table5273 */
+    5359 /* Table5359 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -185752,22 +189618,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5275 /* Table5275 */
+    5361 /* Table5361 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5277 /* Table5277 */
+    5363 /* Table5363 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5279 /* Table5279 */
+    5365 /* Table5365 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5281 /* Table5281 */
+    5367 /* Table5367 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -186262,7 +190128,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5283 /* Table5283 */
+    5369 /* Table5369 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -186352,7 +190218,7 @@
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5285 /* Table5285 */
+    5371 /* Table5371 */
    },
    /* 0xd5 */
    { /* ModRMDecision */
@@ -186387,7 +190253,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5287 /* Table5287 */
+    5373 /* Table5373 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -186407,7 +190273,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5289 /* Table5289 */
+    5375 /* Table5375 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -186467,7 +190333,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5291 /* Table5291 */
+    5377 /* Table5377 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -186487,7 +190353,7 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5293 /* Table5293 */
+    5379 /* Table5379 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -186512,7 +190378,7 @@
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5295 /* Table5295 */
+    5381 /* Table5381 */
    },
    /* 0xf5 */
    { /* ModRMDecision */
@@ -186547,7 +190413,2577 @@
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5297 /* Table5297 */
+    5383 /* Table5383 */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_OPSIZE_K_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5385 /* Table5385 */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5387 /* Table5387 */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_OPSIZE_K_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5389 /* Table5389 */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5391 /* Table5391 */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xfc */
    { /* ModRMDecision */
@@ -187017,12 +193453,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5299 /* Table5299 */
+    5393 /* Table5393 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5301 /* Table5301 */
+    5395 /* Table5395 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -187037,22 +193473,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5303 /* Table5303 */
+    5397 /* Table5397 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5305 /* Table5305 */
+    5399 /* Table5399 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5307 /* Table5307 */
+    5401 /* Table5401 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5309 /* Table5309 */
+    5403 /* Table5403 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -188370,9 +194806,9 @@
     0 /* EmptyTable */
    },
    /* 0x66 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5405 /* Table5405 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -188450,9 +194886,9 @@
     0 /* EmptyTable */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5407 /* Table5407 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -188957,7 +195393,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5311 /* Table5311 */
+    5409 /* Table5409 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -188977,7 +195413,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5313 /* Table5313 */
+    5411 /* Table5411 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -189037,7 +195473,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5315 /* Table5315 */
+    5413 /* Table5413 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -189057,7 +195493,7 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5317 /* Table5317 */
+    5415 /* Table5415 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -189112,7 +195548,7 @@
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5319 /* Table5319 */
+    5417 /* Table5417 */
    },
    /* 0xfb */
    { /* ModRMDecision */
@@ -189132,7 +195568,7 @@
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5321 /* Table5321 */
+    5419 /* Table5419 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -189587,12 +196023,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5323 /* Table5323 */
+    5421 /* Table5421 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5325 /* Table5325 */
+    5423 /* Table5423 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -189607,22 +196043,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5327 /* Table5327 */
+    5425 /* Table5425 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5329 /* Table5329 */
+    5427 /* Table5427 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5331 /* Table5331 */
+    5429 /* Table5429 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5333 /* Table5333 */
+    5431 /* Table5431 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -190207,7 +196643,7 @@
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5335 /* Table5335 */
+    5433 /* Table5433 */
    },
    /* 0xd5 */
    { /* ModRMDecision */
@@ -190242,7 +196678,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5337 /* Table5337 */
+    5435 /* Table5435 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -190262,7 +196698,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5339 /* Table5339 */
+    5437 /* Table5437 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -190322,7 +196758,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5341 /* Table5341 */
+    5439 /* Table5439 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -190342,7 +196778,7 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5343 /* Table5343 */
+    5441 /* Table5441 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -190367,7 +196803,7 @@
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5345 /* Table5345 */
+    5443 /* Table5443 */
    },
    /* 0xf5 */
    { /* ModRMDecision */
@@ -190402,7 +196838,7 @@
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5347 /* Table5347 */
+    5445 /* Table5445 */
    },
    /* 0xfc */
    { /* ModRMDecision */
@@ -190872,12 +197308,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5349 /* Table5349 */
+    5447 /* Table5447 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5351 /* Table5351 */
+    5449 /* Table5449 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -190892,22 +197328,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5353 /* Table5353 */
+    5451 /* Table5451 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5355 /* Table5355 */
+    5453 /* Table5453 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5357 /* Table5357 */
+    5455 /* Table5455 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5359 /* Table5359 */
+    5457 /* Table5457 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -192812,7 +199248,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5361 /* Table5361 */
+    5459 /* Table5459 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -192832,7 +199268,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5363 /* Table5363 */
+    5461 /* Table5461 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -192892,7 +199328,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5365 /* Table5365 */
+    5463 /* Table5463 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -192912,7 +199348,7 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5367 /* Table5367 */
+    5465 /* Table5465 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -192967,7 +199403,7 @@
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5369 /* Table5369 */
+    5467 /* Table5467 */
    },
    /* 0xfb */
    { /* ModRMDecision */
@@ -192987,7 +199423,7 @@
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5371 /* Table5371 */
+    5469 /* Table5469 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -193442,12 +199878,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5373 /* Table5373 */
+    5471 /* Table5471 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5375 /* Table5375 */
+    5473 /* Table5473 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -193462,22 +199898,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5377 /* Table5377 */
+    5475 /* Table5475 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5379 /* Table5379 */
+    5477 /* Table5477 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5381 /* Table5381 */
+    5479 /* Table5479 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5383 /* Table5383 */
+    5481 /* Table5481 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -194062,7 +200498,7 @@
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5385 /* Table5385 */
+    5483 /* Table5483 */
    },
    /* 0xd5 */
    { /* ModRMDecision */
@@ -194097,7 +200533,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5387 /* Table5387 */
+    5485 /* Table5485 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -194117,7 +200553,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5389 /* Table5389 */
+    5487 /* Table5487 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -194177,7 +200613,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5391 /* Table5391 */
+    5489 /* Table5489 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -194197,7 +200633,7 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5393 /* Table5393 */
+    5491 /* Table5491 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -194222,7 +200658,7 @@
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5395 /* Table5395 */
+    5493 /* Table5493 */
    },
    /* 0xf5 */
    { /* ModRMDecision */
@@ -194257,7 +200693,7 @@
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5397 /* Table5397 */
+    5495 /* Table5495 */
    },
    /* 0xfc */
    { /* ModRMDecision */
@@ -194367,7 +200803,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5399 /* Table5399 */
+    5497 /* Table5497 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -194487,7 +200923,7 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5401 /* Table5401 */
+    5499 /* Table5499 */
    },
    /* 0x29 */
    { /* ModRMDecision */
@@ -196127,7 +202563,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5403 /* Table5403 */
+    5501 /* Table5501 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -197412,7 +203848,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5405 /* Table5405 */
+    5503 /* Table5503 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -198697,7 +205133,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5407 /* Table5407 */
+    5505 /* Table5505 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -199982,7 +206418,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5409 /* Table5409 */
+    5507 /* Table5507 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -201267,7 +207703,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5411 /* Table5411 */
+    5509 /* Table5509 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -202077,7 +208513,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5413 /* Table5413 */
+    5511 /* Table5511 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -202197,7 +208633,7 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5415 /* Table5415 */
+    5513 /* Table5513 */
    },
    /* 0x29 */
    { /* ModRMDecision */
@@ -202552,7 +208988,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5417 /* Table5417 */
+    5515 /* Table5515 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -203362,7 +209798,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5419 /* Table5419 */
+    5517 /* Table5517 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -203482,7 +209918,7 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5421 /* Table5421 */
+    5519 /* Table5519 */
    },
    /* 0x29 */
    { /* ModRMDecision */
@@ -205122,7 +211558,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5423 /* Table5423 */
+    5521 /* Table5521 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -206407,7 +212843,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5425 /* Table5425 */
+    5523 /* Table5523 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -207692,7 +214128,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5427 /* Table5427 */
+    5525 /* Table5525 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -208977,7 +215413,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5429 /* Table5429 */
+    5527 /* Table5527 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -210262,7 +216698,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5431 /* Table5431 */
+    5529 /* Table5529 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -211072,7 +217508,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5433 /* Table5433 */
+    5531 /* Table5531 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -211192,7 +217628,7 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5435 /* Table5435 */
+    5533 /* Table5533 */
    },
    /* 0x29 */
    { /* ModRMDecision */
@@ -211547,7 +217983,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5437 /* Table5437 */
+    5535 /* Table5535 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -212357,7 +218793,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5439 /* Table5439 */
+    5537 /* Table5537 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -212477,7 +218913,7 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5441 /* Table5441 */
+    5539 /* Table5539 */
    },
    /* 0x29 */
    { /* ModRMDecision */
@@ -212717,12 +219153,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5443 /* Table5443 */
+    5541 /* Table5541 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5445 /* Table5445 */
+    5543 /* Table5543 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -212737,22 +219173,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5447 /* Table5447 */
+    5545 /* Table5545 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5449 /* Table5449 */
+    5547 /* Table5547 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5451 /* Table5451 */
+    5549 /* Table5549 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5453 /* Table5453 */
+    5551 /* Table5551 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -214117,7 +220553,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5455 /* Table5455 */
+    5553 /* Table5553 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -215402,7 +221838,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5457 /* Table5457 */
+    5555 /* Table5555 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -216687,7 +223123,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5459 /* Table5459 */
+    5557 /* Table5557 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -217227,7 +223663,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5461 /* Table5461 */
+    5559 /* Table5559 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -217247,7 +223683,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5463 /* Table5463 */
+    5561 /* Table5561 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -217307,7 +223743,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5465 /* Table5465 */
+    5563 /* Table5563 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -217327,7 +223763,7 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5467 /* Table5467 */
+    5565 /* Table5565 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -217382,7 +223818,7 @@
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5469 /* Table5469 */
+    5567 /* Table5567 */
    },
    /* 0xfb */
    { /* ModRMDecision */
@@ -217402,7 +223838,7 @@
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5471 /* Table5471 */
+    5569 /* Table5569 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -217972,7 +224408,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5473 /* Table5473 */
+    5571 /* Table5571 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -219257,7 +225693,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5475 /* Table5475 */
+    5573 /* Table5573 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -220067,7 +226503,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5477 /* Table5477 */
+    5575 /* Table5575 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -220187,7 +226623,7 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5479 /* Table5479 */
+    5577 /* Table5577 */
    },
    /* 0x29 */
    { /* ModRMDecision */
@@ -220427,12 +226863,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5481 /* Table5481 */
+    5579 /* Table5579 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5483 /* Table5483 */
+    5581 /* Table5581 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -220447,22 +226883,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5485 /* Table5485 */
+    5583 /* Table5583 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5487 /* Table5487 */
+    5585 /* Table5585 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5489 /* Table5489 */
+    5587 /* Table5587 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5491 /* Table5491 */
+    5589 /* Table5589 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -220542,7 +226978,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5493 /* Table5493 */
+    5591 /* Table5591 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -221047,7 +227483,7 @@
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5495 /* Table5495 */
+    5593 /* Table5593 */
    },
    /* 0xd5 */
    { /* ModRMDecision */
@@ -221082,7 +227518,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5497 /* Table5497 */
+    5595 /* Table5595 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -221102,7 +227538,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5499 /* Table5499 */
+    5597 /* Table5597 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -221162,7 +227598,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5501 /* Table5501 */
+    5599 /* Table5599 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -221182,7 +227618,7 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5503 /* Table5503 */
+    5601 /* Table5601 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -221207,7 +227643,7 @@
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5505 /* Table5505 */
+    5603 /* Table5603 */
    },
    /* 0xf5 */
    { /* ModRMDecision */
@@ -221242,7 +227678,7 @@
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5507 /* Table5507 */
+    5605 /* Table5605 */
    },
    /* 0xfc */
    { /* ModRMDecision */
@@ -222553,7 +228989,7 @@
  }
 , };
 static const uint8_t index_x86DisassemblerTwoByteOpcodes[] = {
-1, 2, 3, 4, 0, 5, 6, 0, 7, 8, 9, 10, 11, 12, 0, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 0, 77, 78, 79, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 80, 0, 81, 82, 83, 0, 84, 85, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 86, 0, 0, 87, 0, 0, 0, 88, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 89, 0, 0, 90, 0, 0, 0, 91, 92, 93, 94, 95, 0, 96, 97, 98, 99, 100, 101, 102, 0, 103, 104, 105, 106, 107, 108, 109, 0, 110, 111, 112, };
+1, 2, 3, 4, 0, 5, 6, 0, 7, 8, 9, 10, 11, 12, 0, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 0, 77, 78, 79, 0, 0, 0, 80, 0, 0, 0, 0, 0, 0, 0, 81, 0, 0, 0, 0, 82, 0, 83, 84, 85, 0, 86, 87, 0, 0, 0, 88, 0, 0, 0, 0, 0, 0, 0, 89, 0, 0, 0, 0, 90, 0, 0, 91, 0, 0, 0, 92, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 93, 0, 0, 94, 0, 0, 0, 95, 96, 97, 98, 99, 0, 100, 101, 102, 103, 104, 105, 106, 0, 107, 108, 109, 110, 111, 112, 113, 0, 114, 115, 116, };
 static const struct OpcodeDecision x86DisassemblerThreeByte38Opcodes[] = {
  /* IC */
  { /* struct OpcodeDecision */
@@ -222561,62 +228997,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5509 /* Table5509 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5511 /* Table5511 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5513 /* Table5513 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5515 /* Table5515 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5517 /* Table5517 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5519 /* Table5519 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5521 /* Table5521 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5523 /* Table5523 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5525 /* Table5525 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5527 /* Table5527 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5529 /* Table5529 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5531 /* Table5531 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -222701,17 +229137,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5533 /* Table5533 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5535 /* Table5535 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5537 /* Table5537 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -223561,32 +229997,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -223761,12 +230197,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5551 /* Table5551 */
+    5649 /* Table5649 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5553 /* Table5553 */
+    5651 /* Table5651 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -223846,62 +230282,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5509 /* Table5509 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5511 /* Table5511 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5513 /* Table5513 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5515 /* Table5515 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5517 /* Table5517 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5519 /* Table5519 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5521 /* Table5521 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5523 /* Table5523 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5525 /* Table5525 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5527 /* Table5527 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5529 /* Table5529 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5531 /* Table5531 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -223986,17 +230422,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5533 /* Table5533 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5535 /* Table5535 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5537 /* Table5537 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -224846,32 +231282,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -225046,12 +231482,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5551 /* Table5551 */
+    5649 /* Table5649 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5553 /* Table5553 */
+    5651 /* Table5651 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -225131,62 +231567,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5555 /* Table5555 */
+    5653 /* Table5653 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5557 /* Table5557 */
+    5655 /* Table5655 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5559 /* Table5559 */
+    5657 /* Table5657 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5561 /* Table5561 */
+    5659 /* Table5659 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5563 /* Table5563 */
+    5661 /* Table5661 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5565 /* Table5565 */
+    5663 /* Table5663 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5567 /* Table5567 */
+    5665 /* Table5665 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5569 /* Table5569 */
+    5667 /* Table5667 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5571 /* Table5571 */
+    5669 /* Table5669 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5573 /* Table5573 */
+    5671 /* Table5671 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5575 /* Table5575 */
+    5673 /* Table5673 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5577 /* Table5577 */
+    5675 /* Table5675 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -225211,7 +231647,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5579 /* Table5579 */
+    5677 /* Table5677 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -225231,12 +231667,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5581 /* Table5581 */
+    5679 /* Table5679 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5583 /* Table5583 */
+    5681 /* Table5681 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -225246,7 +231682,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5585 /* Table5585 */
+    5683 /* Table5683 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -225271,17 +231707,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5587 /* Table5587 */
+    5685 /* Table5685 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5589 /* Table5589 */
+    5687 /* Table5687 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5591 /* Table5591 */
+    5689 /* Table5689 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -225291,32 +231727,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5593 /* Table5593 */
+    5691 /* Table5691 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5595 /* Table5595 */
+    5693 /* Table5693 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5597 /* Table5597 */
+    5695 /* Table5695 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5599 /* Table5599 */
+    5697 /* Table5697 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5601 /* Table5601 */
+    5699 /* Table5699 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5603 /* Table5603 */
+    5701 /* Table5701 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -225331,22 +231767,22 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5605 /* Table5605 */
+    5703 /* Table5703 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5607 /* Table5607 */
+    5705 /* Table5705 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5609 /* Table5609 */
+    5707 /* Table5707 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5611 /* Table5611 */
+    5709 /* Table5709 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -225371,32 +231807,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5613 /* Table5613 */
+    5711 /* Table5711 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5615 /* Table5615 */
+    5713 /* Table5713 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5617 /* Table5617 */
+    5715 /* Table5715 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5619 /* Table5619 */
+    5717 /* Table5717 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5621 /* Table5621 */
+    5719 /* Table5719 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5623 /* Table5623 */
+    5721 /* Table5721 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -225406,57 +231842,57 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5625 /* Table5625 */
+    5723 /* Table5723 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5627 /* Table5627 */
+    5725 /* Table5725 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5629 /* Table5629 */
+    5727 /* Table5727 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5631 /* Table5631 */
+    5729 /* Table5729 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5633 /* Table5633 */
+    5731 /* Table5731 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5635 /* Table5635 */
+    5733 /* Table5733 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5637 /* Table5637 */
+    5735 /* Table5735 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5639 /* Table5639 */
+    5737 /* Table5737 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5641 /* Table5641 */
+    5739 /* Table5739 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5643 /* Table5643 */
+    5741 /* Table5741 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5645 /* Table5645 */
+    5743 /* Table5743 */
    },
    /* 0x42 */
    { /* ModRMDecision */
@@ -225771,17 +232207,17 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5647 /* Table5647 */
+    5745 /* Table5745 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5649 /* Table5649 */
+    5747 /* Table5747 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5651 /* Table5651 */
+    5749 /* Table5749 */
    },
    /* 0x83 */
    { /* ModRMDecision */
@@ -226131,32 +232567,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -226226,27 +232662,27 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5653 /* Table5653 */
+    5751 /* Table5751 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5655 /* Table5655 */
+    5753 /* Table5753 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5657 /* Table5657 */
+    5755 /* Table5755 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5659 /* Table5659 */
+    5757 /* Table5757 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5661 /* Table5661 */
+    5759 /* Table5759 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -226331,12 +232767,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5663 /* Table5663 */
+    5761 /* Table5761 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5665 /* Table5665 */
+    5763 /* Table5763 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -226361,7 +232797,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5667 /* Table5667 */
+    5765 /* Table5765 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -226416,62 +232852,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5509 /* Table5509 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5511 /* Table5511 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5513 /* Table5513 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5515 /* Table5515 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5517 /* Table5517 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5519 /* Table5519 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5521 /* Table5521 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5523 /* Table5523 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5525 /* Table5525 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5527 /* Table5527 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5529 /* Table5529 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5531 /* Table5531 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -226556,17 +232992,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5533 /* Table5533 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5535 /* Table5535 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5537 /* Table5537 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -227416,32 +233852,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -227616,12 +234052,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5551 /* Table5551 */
+    5649 /* Table5649 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5553 /* Table5553 */
+    5651 /* Table5651 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -227701,62 +234137,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5509 /* Table5509 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5511 /* Table5511 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5513 /* Table5513 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5515 /* Table5515 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5517 /* Table5517 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5519 /* Table5519 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5521 /* Table5521 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5523 /* Table5523 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5525 /* Table5525 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5527 /* Table5527 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5529 /* Table5529 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5531 /* Table5531 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -227841,17 +234277,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5533 /* Table5533 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5535 /* Table5535 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5537 /* Table5537 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -228701,32 +235137,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -228901,12 +235337,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5669 /* Table5669 */
+    5767 /* Table5767 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5671 /* Table5671 */
+    5769 /* Table5769 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -228986,62 +235422,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5509 /* Table5509 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5511 /* Table5511 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5513 /* Table5513 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5515 /* Table5515 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5517 /* Table5517 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5519 /* Table5519 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5521 /* Table5521 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5523 /* Table5523 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5525 /* Table5525 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5527 /* Table5527 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5529 /* Table5529 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5531 /* Table5531 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -229126,17 +235562,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5533 /* Table5533 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5535 /* Table5535 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5537 /* Table5537 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -229986,32 +236422,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -230186,12 +236622,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5551 /* Table5551 */
+    5649 /* Table5649 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5553 /* Table5553 */
+    5651 /* Table5651 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -230216,7 +236652,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5673 /* Table5673 */
+    5771 /* Table5771 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -231476,7 +237912,7 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5675 /* Table5675 */
+    5773 /* Table5773 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -231556,62 +237992,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5509 /* Table5509 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5511 /* Table5511 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5513 /* Table5513 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5515 /* Table5515 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5517 /* Table5517 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5519 /* Table5519 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5521 /* Table5521 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5523 /* Table5523 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5525 /* Table5525 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5527 /* Table5527 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5529 /* Table5529 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5531 /* Table5531 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -231696,17 +238132,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5533 /* Table5533 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5535 /* Table5535 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5537 /* Table5537 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -232556,32 +238992,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -232756,12 +239192,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5677 /* Table5677 */
+    5775 /* Table5775 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5679 /* Table5679 */
+    5777 /* Table5777 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -232841,62 +239277,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5555 /* Table5555 */
+    5653 /* Table5653 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5557 /* Table5557 */
+    5655 /* Table5655 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5559 /* Table5559 */
+    5657 /* Table5657 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5561 /* Table5561 */
+    5659 /* Table5659 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5563 /* Table5563 */
+    5661 /* Table5661 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5565 /* Table5565 */
+    5663 /* Table5663 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5567 /* Table5567 */
+    5665 /* Table5665 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5569 /* Table5569 */
+    5667 /* Table5667 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5571 /* Table5571 */
+    5669 /* Table5669 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5573 /* Table5573 */
+    5671 /* Table5671 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5575 /* Table5575 */
+    5673 /* Table5673 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5577 /* Table5577 */
+    5675 /* Table5675 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -232921,7 +239357,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5579 /* Table5579 */
+    5677 /* Table5677 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -232941,12 +239377,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5581 /* Table5581 */
+    5679 /* Table5679 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5583 /* Table5583 */
+    5681 /* Table5681 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -232956,7 +239392,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5585 /* Table5585 */
+    5683 /* Table5683 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -232981,17 +239417,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5587 /* Table5587 */
+    5685 /* Table5685 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5589 /* Table5589 */
+    5687 /* Table5687 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5591 /* Table5591 */
+    5689 /* Table5689 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -233001,32 +239437,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5593 /* Table5593 */
+    5691 /* Table5691 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5595 /* Table5595 */
+    5693 /* Table5693 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5597 /* Table5597 */
+    5695 /* Table5695 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5599 /* Table5599 */
+    5697 /* Table5697 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5601 /* Table5601 */
+    5699 /* Table5699 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5603 /* Table5603 */
+    5701 /* Table5701 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -233041,22 +239477,22 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5605 /* Table5605 */
+    5703 /* Table5703 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5607 /* Table5607 */
+    5705 /* Table5705 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5609 /* Table5609 */
+    5707 /* Table5707 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5611 /* Table5611 */
+    5709 /* Table5709 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -233081,32 +239517,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5613 /* Table5613 */
+    5711 /* Table5711 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5615 /* Table5615 */
+    5713 /* Table5713 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5617 /* Table5617 */
+    5715 /* Table5715 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5619 /* Table5619 */
+    5717 /* Table5717 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5621 /* Table5621 */
+    5719 /* Table5719 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5623 /* Table5623 */
+    5721 /* Table5721 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -233116,57 +239552,57 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5625 /* Table5625 */
+    5723 /* Table5723 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5627 /* Table5627 */
+    5725 /* Table5725 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5629 /* Table5629 */
+    5727 /* Table5727 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5631 /* Table5631 */
+    5729 /* Table5729 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5633 /* Table5633 */
+    5731 /* Table5731 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5635 /* Table5635 */
+    5733 /* Table5733 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5637 /* Table5637 */
+    5735 /* Table5735 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5639 /* Table5639 */
+    5737 /* Table5737 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5641 /* Table5641 */
+    5739 /* Table5739 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5643 /* Table5643 */
+    5741 /* Table5741 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5645 /* Table5645 */
+    5743 /* Table5743 */
    },
    /* 0x42 */
    { /* ModRMDecision */
@@ -233481,17 +239917,17 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5681 /* Table5681 */
+    5779 /* Table5779 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5683 /* Table5683 */
+    5781 /* Table5781 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5685 /* Table5685 */
+    5783 /* Table5783 */
    },
    /* 0x83 */
    { /* ModRMDecision */
@@ -233841,32 +240277,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -233936,27 +240372,27 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5653 /* Table5653 */
+    5751 /* Table5751 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5655 /* Table5655 */
+    5753 /* Table5753 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5657 /* Table5657 */
+    5755 /* Table5755 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5659 /* Table5659 */
+    5757 /* Table5757 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5661 /* Table5661 */
+    5759 /* Table5759 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -234041,12 +240477,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5663 /* Table5663 */
+    5761 /* Table5761 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5665 /* Table5665 */
+    5763 /* Table5763 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -234071,7 +240507,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5667 /* Table5667 */
+    5765 /* Table5765 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -234126,62 +240562,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5509 /* Table5509 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5511 /* Table5511 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5513 /* Table5513 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5515 /* Table5515 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5517 /* Table5517 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5519 /* Table5519 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5521 /* Table5521 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5523 /* Table5523 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5525 /* Table5525 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5527 /* Table5527 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5529 /* Table5529 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5531 /* Table5531 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -234266,17 +240702,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5533 /* Table5533 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5535 /* Table5535 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5537 /* Table5537 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -235126,32 +241562,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -235326,12 +241762,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5551 /* Table5551 */
+    5649 /* Table5649 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5553 /* Table5553 */
+    5651 /* Table5651 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -235411,62 +241847,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5509 /* Table5509 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5511 /* Table5511 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5513 /* Table5513 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5515 /* Table5515 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5517 /* Table5517 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5519 /* Table5519 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5521 /* Table5521 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5523 /* Table5523 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5525 /* Table5525 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5527 /* Table5527 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5529 /* Table5529 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5531 /* Table5531 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -235551,17 +241987,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5533 /* Table5533 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5535 /* Table5535 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5537 /* Table5537 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -236411,32 +242847,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -236611,12 +243047,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5669 /* Table5669 */
+    5767 /* Table5767 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5671 /* Table5671 */
+    5769 /* Table5769 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -236696,62 +243132,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5509 /* Table5509 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5511 /* Table5511 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5513 /* Table5513 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5515 /* Table5515 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5517 /* Table5517 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5519 /* Table5519 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5521 /* Table5521 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5523 /* Table5523 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5525 /* Table5525 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5527 /* Table5527 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5529 /* Table5529 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5531 /* Table5531 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -236836,17 +243272,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5533 /* Table5533 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5535 /* Table5535 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5537 /* Table5537 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -237696,32 +244132,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -237896,12 +244332,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5551 /* Table5551 */
+    5649 /* Table5649 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5553 /* Table5553 */
+    5651 /* Table5651 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -237926,7 +244362,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5673 /* Table5673 */
+    5771 /* Table5771 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -239186,7 +245622,7 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5675 /* Table5675 */
+    5773 /* Table5773 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -239266,62 +245702,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5509 /* Table5509 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5511 /* Table5511 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5513 /* Table5513 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5515 /* Table5515 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5517 /* Table5517 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5519 /* Table5519 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5521 /* Table5521 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5523 /* Table5523 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5525 /* Table5525 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5527 /* Table5527 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5529 /* Table5529 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5531 /* Table5531 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -239406,17 +245842,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5533 /* Table5533 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5535 /* Table5535 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5537 /* Table5537 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -240266,32 +246702,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -240466,12 +246902,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5677 /* Table5677 */
+    5775 /* Table5775 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5679 /* Table5679 */
+    5777 /* Table5777 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -240496,7 +246932,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5687 /* Table5687 */
+    5785 /* Table5785 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -240551,62 +246987,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5509 /* Table5509 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5511 /* Table5511 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5513 /* Table5513 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5515 /* Table5515 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5517 /* Table5517 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5519 /* Table5519 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5521 /* Table5521 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5523 /* Table5523 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5525 /* Table5525 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5527 /* Table5527 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5529 /* Table5529 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5531 /* Table5531 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -240691,17 +247127,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5533 /* Table5533 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5535 /* Table5535 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5537 /* Table5537 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -241551,32 +247987,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -241751,12 +248187,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5689 /* Table5689 */
+    5787 /* Table5787 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5691 /* Table5691 */
+    5789 /* Table5789 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -241836,62 +248272,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5555 /* Table5555 */
+    5653 /* Table5653 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5557 /* Table5557 */
+    5655 /* Table5655 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5559 /* Table5559 */
+    5657 /* Table5657 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5561 /* Table5561 */
+    5659 /* Table5659 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5563 /* Table5563 */
+    5661 /* Table5661 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5565 /* Table5565 */
+    5663 /* Table5663 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5567 /* Table5567 */
+    5665 /* Table5665 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5569 /* Table5569 */
+    5667 /* Table5667 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5571 /* Table5571 */
+    5669 /* Table5669 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5573 /* Table5573 */
+    5671 /* Table5671 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5575 /* Table5575 */
+    5673 /* Table5673 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5577 /* Table5577 */
+    5675 /* Table5675 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -241916,7 +248352,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5579 /* Table5579 */
+    5677 /* Table5677 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -241936,12 +248372,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5581 /* Table5581 */
+    5679 /* Table5679 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5583 /* Table5583 */
+    5681 /* Table5681 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -241951,7 +248387,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5585 /* Table5585 */
+    5683 /* Table5683 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -241976,17 +248412,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5587 /* Table5587 */
+    5685 /* Table5685 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5589 /* Table5589 */
+    5687 /* Table5687 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5591 /* Table5591 */
+    5689 /* Table5689 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -241996,32 +248432,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5593 /* Table5593 */
+    5691 /* Table5691 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5595 /* Table5595 */
+    5693 /* Table5693 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5597 /* Table5597 */
+    5695 /* Table5695 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5599 /* Table5599 */
+    5697 /* Table5697 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5601 /* Table5601 */
+    5699 /* Table5699 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5603 /* Table5603 */
+    5701 /* Table5701 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -242036,22 +248472,22 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5605 /* Table5605 */
+    5703 /* Table5703 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5607 /* Table5607 */
+    5705 /* Table5705 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5609 /* Table5609 */
+    5707 /* Table5707 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5611 /* Table5611 */
+    5709 /* Table5709 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -242076,32 +248512,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5613 /* Table5613 */
+    5711 /* Table5711 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5615 /* Table5615 */
+    5713 /* Table5713 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5617 /* Table5617 */
+    5715 /* Table5715 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5619 /* Table5619 */
+    5717 /* Table5717 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5621 /* Table5621 */
+    5719 /* Table5719 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5623 /* Table5623 */
+    5721 /* Table5721 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -242111,57 +248547,57 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5625 /* Table5625 */
+    5723 /* Table5723 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5627 /* Table5627 */
+    5725 /* Table5725 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5629 /* Table5629 */
+    5727 /* Table5727 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5631 /* Table5631 */
+    5729 /* Table5729 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5633 /* Table5633 */
+    5731 /* Table5731 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5635 /* Table5635 */
+    5733 /* Table5733 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5637 /* Table5637 */
+    5735 /* Table5735 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5639 /* Table5639 */
+    5737 /* Table5737 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5641 /* Table5641 */
+    5739 /* Table5739 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5643 /* Table5643 */
+    5741 /* Table5741 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5645 /* Table5645 */
+    5743 /* Table5743 */
    },
    /* 0x42 */
    { /* ModRMDecision */
@@ -242476,17 +248912,17 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5681 /* Table5681 */
+    5779 /* Table5779 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5683 /* Table5683 */
+    5781 /* Table5781 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5685 /* Table5685 */
+    5783 /* Table5783 */
    },
    /* 0x83 */
    { /* ModRMDecision */
@@ -242836,32 +249272,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -242931,27 +249367,27 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5653 /* Table5653 */
+    5751 /* Table5751 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5655 /* Table5655 */
+    5753 /* Table5753 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5657 /* Table5657 */
+    5755 /* Table5755 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5659 /* Table5659 */
+    5757 /* Table5757 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5661 /* Table5661 */
+    5759 /* Table5759 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -243036,12 +249472,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5677 /* Table5677 */
+    5775 /* Table5775 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5679 /* Table5679 */
+    5777 /* Table5777 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -243066,7 +249502,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5693 /* Table5693 */
+    5791 /* Table5791 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -244331,12 +250767,12 @@
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5695 /* Table5695 */
+    5793 /* Table5793 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    5697 /* Table5697 */
+    5795 /* Table5795 */
    },
    /* 0xf4 */
    { /* ModRMDecision */
@@ -244346,7 +250782,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5713 /* Table5713 */
+    5811 /* Table5811 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -244356,7 +250792,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5715 /* Table5715 */
+    5813 /* Table5813 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -245631,7 +252067,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5717 /* Table5717 */
+    5815 /* Table5815 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -245641,7 +252077,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5719 /* Table5719 */
+    5817 /* Table5817 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -246916,17 +253352,17 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5721 /* Table5721 */
+    5819 /* Table5819 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5723 /* Table5723 */
+    5821 /* Table5821 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5725 /* Table5725 */
+    5823 /* Table5823 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -246976,82 +253412,82 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5727 /* Table5727 */
+    5825 /* Table5825 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5729 /* Table5729 */
+    5827 /* Table5827 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5731 /* Table5731 */
+    5829 /* Table5829 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5733 /* Table5733 */
+    5831 /* Table5831 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5735 /* Table5735 */
+    5833 /* Table5833 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5737 /* Table5737 */
+    5835 /* Table5835 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5739 /* Table5739 */
+    5837 /* Table5837 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5741 /* Table5741 */
+    5839 /* Table5839 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5743 /* Table5743 */
+    5841 /* Table5841 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5745 /* Table5745 */
+    5843 /* Table5843 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5747 /* Table5747 */
+    5845 /* Table5845 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5749 /* Table5749 */
+    5847 /* Table5847 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5751 /* Table5751 */
+    5849 /* Table5849 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5753 /* Table5753 */
+    5851 /* Table5851 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5755 /* Table5755 */
+    5853 /* Table5853 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5757 /* Table5757 */
+    5855 /* Table5855 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -247071,7 +253507,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5759 /* Table5759 */
+    5857 /* Table5857 */
    },
    /* 0x14 */
    { /* ModRMDecision */
@@ -247091,12 +253527,12 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5761 /* Table5761 */
+    5859 /* Table5859 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5763 /* Table5763 */
+    5861 /* Table5861 */
    },
    /* 0x19 */
    { /* ModRMDecision */
@@ -247116,17 +253552,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5765 /* Table5765 */
+    5863 /* Table5863 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5767 /* Table5767 */
+    5865 /* Table5865 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5769 /* Table5769 */
+    5867 /* Table5867 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -247136,32 +253572,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5771 /* Table5771 */
+    5869 /* Table5869 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5773 /* Table5773 */
+    5871 /* Table5871 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5775 /* Table5775 */
+    5873 /* Table5873 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5777 /* Table5777 */
+    5875 /* Table5875 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5779 /* Table5779 */
+    5877 /* Table5877 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5781 /* Table5781 */
+    5879 /* Table5879 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -247176,72 +253612,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5783 /* Table5783 */
+    5881 /* Table5881 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5785 /* Table5785 */
+    5883 /* Table5883 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5787 /* Table5787 */
+    5885 /* Table5885 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5789 /* Table5789 */
+    5887 /* Table5887 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5791 /* Table5791 */
+    5889 /* Table5889 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5793 /* Table5793 */
+    5891 /* Table5891 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5795 /* Table5795 */
+    5893 /* Table5893 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5797 /* Table5797 */
+    5895 /* Table5895 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5799 /* Table5799 */
+    5897 /* Table5897 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5801 /* Table5801 */
+    5899 /* Table5899 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5803 /* Table5803 */
+    5901 /* Table5901 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5805 /* Table5805 */
+    5903 /* Table5903 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5807 /* Table5807 */
+    5905 /* Table5905 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5809 /* Table5809 */
+    5907 /* Table5907 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -247251,57 +253687,57 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5811 /* Table5811 */
+    5909 /* Table5909 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5813 /* Table5813 */
+    5911 /* Table5911 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5815 /* Table5815 */
+    5913 /* Table5913 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5817 /* Table5817 */
+    5915 /* Table5915 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5819 /* Table5819 */
+    5917 /* Table5917 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5821 /* Table5821 */
+    5919 /* Table5919 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5823 /* Table5823 */
+    5921 /* Table5921 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5825 /* Table5825 */
+    5923 /* Table5923 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5827 /* Table5827 */
+    5925 /* Table5925 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5829 /* Table5829 */
+    5927 /* Table5927 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5831 /* Table5831 */
+    5929 /* Table5929 */
    },
    /* 0x42 */
    { /* ModRMDecision */
@@ -247321,17 +253757,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5833 /* Table5833 */
+    5931 /* Table5931 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5835 /* Table5835 */
+    5933 /* Table5933 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5837 /* Table5837 */
+    5935 /* Table5935 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -247416,12 +253852,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5839 /* Table5839 */
+    5937 /* Table5937 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5841 /* Table5841 */
+    5939 /* Table5939 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -247576,12 +254012,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5843 /* Table5843 */
+    5941 /* Table5941 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5845 /* Table5845 */
+    5943 /* Table5943 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -247676,7 +254112,7 @@
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5847 /* Table5847 */
+    5945 /* Table5945 */
    },
    /* 0x8d */
    { /* ModRMDecision */
@@ -247686,7 +254122,7 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5849 /* Table5849 */
+    5947 /* Table5947 */
    },
    /* 0x8f */
    { /* ModRMDecision */
@@ -247696,22 +254132,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5851 /* Table5851 */
+    5949 /* Table5949 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5853 /* Table5853 */
+    5951 /* Table5951 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5855 /* Table5855 */
+    5953 /* Table5953 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5857 /* Table5857 */
+    5955 /* Table5955 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -247726,52 +254162,52 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5859 /* Table5859 */
+    5957 /* Table5957 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5861 /* Table5861 */
+    5959 /* Table5959 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5863 /* Table5863 */
+    5961 /* Table5961 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5865 /* Table5865 */
+    5963 /* Table5963 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5867 /* Table5867 */
+    5965 /* Table5965 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5869 /* Table5869 */
+    5967 /* Table5967 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5871 /* Table5871 */
+    5969 /* Table5969 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5873 /* Table5873 */
+    5971 /* Table5971 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5875 /* Table5875 */
+    5973 /* Table5973 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5877 /* Table5877 */
+    5975 /* Table5975 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -247806,52 +254242,52 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5879 /* Table5879 */
+    5977 /* Table5977 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5881 /* Table5881 */
+    5979 /* Table5979 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5883 /* Table5883 */
+    5981 /* Table5981 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5885 /* Table5885 */
+    5983 /* Table5983 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5887 /* Table5887 */
+    5985 /* Table5985 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5889 /* Table5889 */
+    5987 /* Table5987 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5891 /* Table5891 */
+    5989 /* Table5989 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5893 /* Table5893 */
+    5991 /* Table5991 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5895 /* Table5895 */
+    5993 /* Table5993 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5897 /* Table5897 */
+    5995 /* Table5995 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -247886,52 +254322,52 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5899 /* Table5899 */
+    5997 /* Table5997 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5901 /* Table5901 */
+    5999 /* Table5999 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5903 /* Table5903 */
+    6001 /* Table6001 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5905 /* Table5905 */
+    6003 /* Table6003 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5907 /* Table5907 */
+    6005 /* Table6005 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5909 /* Table5909 */
+    6007 /* Table6007 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5911 /* Table5911 */
+    6009 /* Table6009 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5913 /* Table5913 */
+    6011 /* Table6011 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5915 /* Table5915 */
+    6013 /* Table6013 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5917 /* Table5917 */
+    6015 /* Table6015 */
    },
    /* 0xc0 */
    { /* ModRMDecision */
@@ -248071,27 +254507,27 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5919 /* Table5919 */
+    6017 /* Table6017 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5921 /* Table5921 */
+    6019 /* Table6019 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5923 /* Table5923 */
+    6021 /* Table6021 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5925 /* Table5925 */
+    6023 /* Table6023 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5927 /* Table5927 */
+    6025 /* Table6025 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -248211,7 +254647,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5929 /* Table5929 */
+    6027 /* Table6027 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -249471,12 +255907,12 @@
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5931 /* Table5931 */
+    6029 /* Table6029 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    5933 /* Table5933 */
+    6031 /* Table6031 */
    },
    /* 0xf4 */
    { /* ModRMDecision */
@@ -249486,7 +255922,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5949 /* Table5949 */
+    6047 /* Table6047 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -249496,7 +255932,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5951 /* Table5951 */
+    6049 /* Table6049 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -250771,7 +257207,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5953 /* Table5953 */
+    6051 /* Table6051 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -250781,7 +257217,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5955 /* Table5955 */
+    6053 /* Table6053 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -252056,17 +258492,17 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5957 /* Table5957 */
+    6055 /* Table6055 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5959 /* Table5959 */
+    6057 /* Table6057 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5961 /* Table5961 */
+    6059 /* Table6059 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -252116,82 +258552,82 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5727 /* Table5727 */
+    5825 /* Table5825 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5729 /* Table5729 */
+    5827 /* Table5827 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5731 /* Table5731 */
+    5829 /* Table5829 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5733 /* Table5733 */
+    5831 /* Table5831 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5735 /* Table5735 */
+    5833 /* Table5833 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5737 /* Table5737 */
+    5835 /* Table5835 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5739 /* Table5739 */
+    5837 /* Table5837 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5741 /* Table5741 */
+    5839 /* Table5839 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5743 /* Table5743 */
+    5841 /* Table5841 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5745 /* Table5745 */
+    5843 /* Table5843 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5747 /* Table5747 */
+    5845 /* Table5845 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5749 /* Table5749 */
+    5847 /* Table5847 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5751 /* Table5751 */
+    5849 /* Table5849 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5753 /* Table5753 */
+    5851 /* Table5851 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5755 /* Table5755 */
+    5853 /* Table5853 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5757 /* Table5757 */
+    5855 /* Table5855 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -252211,7 +258647,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5759 /* Table5759 */
+    5857 /* Table5857 */
    },
    /* 0x14 */
    { /* ModRMDecision */
@@ -252231,12 +258667,12 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5761 /* Table5761 */
+    5859 /* Table5859 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5763 /* Table5763 */
+    5861 /* Table5861 */
    },
    /* 0x19 */
    { /* ModRMDecision */
@@ -252256,17 +258692,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5765 /* Table5765 */
+    5863 /* Table5863 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5767 /* Table5767 */
+    5865 /* Table5865 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5769 /* Table5769 */
+    5867 /* Table5867 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -252276,32 +258712,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5771 /* Table5771 */
+    5869 /* Table5869 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5773 /* Table5773 */
+    5871 /* Table5871 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5775 /* Table5775 */
+    5873 /* Table5873 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5777 /* Table5777 */
+    5875 /* Table5875 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5779 /* Table5779 */
+    5877 /* Table5877 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5781 /* Table5781 */
+    5879 /* Table5879 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -252316,72 +258752,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5783 /* Table5783 */
+    5881 /* Table5881 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5785 /* Table5785 */
+    5883 /* Table5883 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5787 /* Table5787 */
+    5885 /* Table5885 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5789 /* Table5789 */
+    5887 /* Table5887 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5791 /* Table5791 */
+    5889 /* Table5889 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5793 /* Table5793 */
+    5891 /* Table5891 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5795 /* Table5795 */
+    5893 /* Table5893 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5797 /* Table5797 */
+    5895 /* Table5895 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5799 /* Table5799 */
+    5897 /* Table5897 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5801 /* Table5801 */
+    5899 /* Table5899 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5803 /* Table5803 */
+    5901 /* Table5901 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5805 /* Table5805 */
+    5903 /* Table5903 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5807 /* Table5807 */
+    5905 /* Table5905 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5809 /* Table5809 */
+    5907 /* Table5907 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -252391,57 +258827,57 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5811 /* Table5811 */
+    5909 /* Table5909 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5813 /* Table5813 */
+    5911 /* Table5911 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5815 /* Table5815 */
+    5913 /* Table5913 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5817 /* Table5817 */
+    5915 /* Table5915 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5819 /* Table5819 */
+    5917 /* Table5917 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5821 /* Table5821 */
+    5919 /* Table5919 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5823 /* Table5823 */
+    5921 /* Table5921 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5825 /* Table5825 */
+    5923 /* Table5923 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5827 /* Table5827 */
+    5925 /* Table5925 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5829 /* Table5829 */
+    5927 /* Table5927 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5831 /* Table5831 */
+    5929 /* Table5929 */
    },
    /* 0x42 */
    { /* ModRMDecision */
@@ -252461,17 +258897,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5963 /* Table5963 */
+    6061 /* Table6061 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5835 /* Table5835 */
+    5933 /* Table5933 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5965 /* Table5965 */
+    6063 /* Table6063 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -252556,12 +258992,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5839 /* Table5839 */
+    5937 /* Table5937 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5841 /* Table5841 */
+    5939 /* Table5939 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -252716,12 +259152,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5843 /* Table5843 */
+    5941 /* Table5941 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5845 /* Table5845 */
+    5943 /* Table5943 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -252816,7 +259252,7 @@
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5967 /* Table5967 */
+    6065 /* Table6065 */
    },
    /* 0x8d */
    { /* ModRMDecision */
@@ -252826,7 +259262,7 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5969 /* Table5969 */
+    6067 /* Table6067 */
    },
    /* 0x8f */
    { /* ModRMDecision */
@@ -252836,22 +259272,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5971 /* Table5971 */
+    6069 /* Table6069 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5973 /* Table5973 */
+    6071 /* Table6071 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5975 /* Table5975 */
+    6073 /* Table6073 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5977 /* Table5977 */
+    6075 /* Table6075 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -252866,52 +259302,52 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5979 /* Table5979 */
+    6077 /* Table6077 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5981 /* Table5981 */
+    6079 /* Table6079 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5983 /* Table5983 */
+    6081 /* Table6081 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5985 /* Table5985 */
+    6083 /* Table6083 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5987 /* Table5987 */
+    6085 /* Table6085 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5989 /* Table5989 */
+    6087 /* Table6087 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5991 /* Table5991 */
+    6089 /* Table6089 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5993 /* Table5993 */
+    6091 /* Table6091 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5995 /* Table5995 */
+    6093 /* Table6093 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5997 /* Table5997 */
+    6095 /* Table6095 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -252946,52 +259382,52 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5999 /* Table5999 */
+    6097 /* Table6097 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6001 /* Table6001 */
+    6099 /* Table6099 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6003 /* Table6003 */
+    6101 /* Table6101 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6005 /* Table6005 */
+    6103 /* Table6103 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6007 /* Table6007 */
+    6105 /* Table6105 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6009 /* Table6009 */
+    6107 /* Table6107 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6011 /* Table6011 */
+    6109 /* Table6109 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6013 /* Table6013 */
+    6111 /* Table6111 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6015 /* Table6015 */
+    6113 /* Table6113 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6017 /* Table6017 */
+    6115 /* Table6115 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -253026,52 +259462,52 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6019 /* Table6019 */
+    6117 /* Table6117 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6021 /* Table6021 */
+    6119 /* Table6119 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6023 /* Table6023 */
+    6121 /* Table6121 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6025 /* Table6025 */
+    6123 /* Table6123 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6027 /* Table6027 */
+    6125 /* Table6125 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6029 /* Table6029 */
+    6127 /* Table6127 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6031 /* Table6031 */
+    6129 /* Table6129 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6033 /* Table6033 */
+    6131 /* Table6131 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6035 /* Table6035 */
+    6133 /* Table6133 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6037 /* Table6037 */
+    6135 /* Table6135 */
    },
    /* 0xc0 */
    { /* ModRMDecision */
@@ -253211,27 +259647,27 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5919 /* Table5919 */
+    6017 /* Table6017 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5921 /* Table5921 */
+    6019 /* Table6019 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5923 /* Table5923 */
+    6021 /* Table6021 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5925 /* Table5925 */
+    6023 /* Table6023 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5927 /* Table5927 */
+    6025 /* Table6025 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -253351,7 +259787,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6039 /* Table6039 */
+    6137 /* Table6137 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -253401,82 +259837,82 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6041 /* Table6041 */
+    6139 /* Table6139 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6043 /* Table6043 */
+    6141 /* Table6141 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6045 /* Table6045 */
+    6143 /* Table6143 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6047 /* Table6047 */
+    6145 /* Table6145 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6049 /* Table6049 */
+    6147 /* Table6147 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6051 /* Table6051 */
+    6149 /* Table6149 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6053 /* Table6053 */
+    6151 /* Table6151 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6055 /* Table6055 */
+    6153 /* Table6153 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6057 /* Table6057 */
+    6155 /* Table6155 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6059 /* Table6059 */
+    6157 /* Table6157 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6061 /* Table6061 */
+    6159 /* Table6159 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6063 /* Table6063 */
+    6161 /* Table6161 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6065 /* Table6065 */
+    6163 /* Table6163 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6067 /* Table6067 */
+    6165 /* Table6165 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6069 /* Table6069 */
+    6167 /* Table6167 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6071 /* Table6071 */
+    6169 /* Table6169 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -253496,7 +259932,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6073 /* Table6073 */
+    6171 /* Table6171 */
    },
    /* 0x14 */
    { /* ModRMDecision */
@@ -253511,27 +259947,27 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6075 /* Table6075 */
+    6173 /* Table6173 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6077 /* Table6077 */
+    6175 /* Table6175 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6079 /* Table6079 */
+    6177 /* Table6177 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6081 /* Table6081 */
+    6179 /* Table6179 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6083 /* Table6083 */
+    6181 /* Table6181 */
    },
    /* 0x1b */
    { /* ModRMDecision */
@@ -253541,17 +259977,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6085 /* Table6085 */
+    6183 /* Table6183 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6087 /* Table6087 */
+    6185 /* Table6185 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6089 /* Table6089 */
+    6187 /* Table6187 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -253561,32 +259997,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6091 /* Table6091 */
+    6189 /* Table6189 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6093 /* Table6093 */
+    6191 /* Table6191 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6095 /* Table6095 */
+    6193 /* Table6193 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6097 /* Table6097 */
+    6195 /* Table6195 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6099 /* Table6099 */
+    6197 /* Table6197 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6101 /* Table6101 */
+    6199 /* Table6199 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -253601,127 +260037,127 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6103 /* Table6103 */
+    6201 /* Table6201 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6105 /* Table6105 */
+    6203 /* Table6203 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6107 /* Table6107 */
+    6205 /* Table6205 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6109 /* Table6109 */
+    6207 /* Table6207 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6111 /* Table6111 */
+    6209 /* Table6209 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6113 /* Table6113 */
+    6211 /* Table6211 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6115 /* Table6115 */
+    6213 /* Table6213 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6117 /* Table6117 */
+    6215 /* Table6215 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6119 /* Table6119 */
+    6217 /* Table6217 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6121 /* Table6121 */
+    6219 /* Table6219 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6123 /* Table6123 */
+    6221 /* Table6221 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6125 /* Table6125 */
+    6223 /* Table6223 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6127 /* Table6127 */
+    6225 /* Table6225 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6129 /* Table6129 */
+    6227 /* Table6227 */
    },
    /* 0x36 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6131 /* Table6131 */
+    6229 /* Table6229 */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6133 /* Table6133 */
+    6231 /* Table6231 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6135 /* Table6135 */
+    6233 /* Table6233 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6137 /* Table6137 */
+    6235 /* Table6235 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6139 /* Table6139 */
+    6237 /* Table6237 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6141 /* Table6141 */
+    6239 /* Table6239 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6143 /* Table6143 */
+    6241 /* Table6241 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6145 /* Table6145 */
+    6243 /* Table6243 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6147 /* Table6147 */
+    6245 /* Table6245 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6149 /* Table6149 */
+    6247 /* Table6247 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6151 /* Table6151 */
+    6249 /* Table6249 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -253746,17 +260182,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6153 /* Table6153 */
+    6251 /* Table6251 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6155 /* Table6155 */
+    6253 /* Table6253 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6157 /* Table6157 */
+    6255 /* Table6255 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -253841,17 +260277,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6159 /* Table6159 */
+    6257 /* Table6257 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6161 /* Table6161 */
+    6259 /* Table6259 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6163 /* Table6163 */
+    6261 /* Table6261 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -254001,12 +260437,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6165 /* Table6165 */
+    6263 /* Table6263 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6167 /* Table6167 */
+    6265 /* Table6265 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -254101,7 +260537,7 @@
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    6267 /* Table6267 */
    },
    /* 0x8d */
    { /* ModRMDecision */
@@ -254111,7 +260547,7 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    6269 /* Table6269 */
    },
    /* 0x8f */
    { /* ModRMDecision */
@@ -254121,22 +260557,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6173 /* Table6173 */
+    6271 /* Table6271 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6175 /* Table6175 */
+    6273 /* Table6273 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6177 /* Table6177 */
+    6275 /* Table6275 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6179 /* Table6179 */
+    6277 /* Table6277 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -254151,52 +260587,52 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6181 /* Table6181 */
+    6279 /* Table6279 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6183 /* Table6183 */
+    6281 /* Table6281 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6185 /* Table6185 */
+    6283 /* Table6283 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5865 /* Table5865 */
+    5963 /* Table5963 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6187 /* Table6187 */
+    6285 /* Table6285 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5869 /* Table5869 */
+    5967 /* Table5967 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6189 /* Table6189 */
+    6287 /* Table6287 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5873 /* Table5873 */
+    5971 /* Table5971 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6191 /* Table6191 */
+    6289 /* Table6289 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5877 /* Table5877 */
+    5975 /* Table5975 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -254231,52 +260667,52 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6193 /* Table6193 */
+    6291 /* Table6291 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6195 /* Table6195 */
+    6293 /* Table6293 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6197 /* Table6197 */
+    6295 /* Table6295 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5885 /* Table5885 */
+    5983 /* Table5983 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6199 /* Table6199 */
+    6297 /* Table6297 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5889 /* Table5889 */
+    5987 /* Table5987 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6201 /* Table6201 */
+    6299 /* Table6299 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5893 /* Table5893 */
+    5991 /* Table5991 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6203 /* Table6203 */
+    6301 /* Table6301 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5897 /* Table5897 */
+    5995 /* Table5995 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -254311,52 +260747,52 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6205 /* Table6205 */
+    6303 /* Table6303 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6207 /* Table6207 */
+    6305 /* Table6305 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6209 /* Table6209 */
+    6307 /* Table6307 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5905 /* Table5905 */
+    6003 /* Table6003 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6211 /* Table6211 */
+    6309 /* Table6309 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5909 /* Table5909 */
+    6007 /* Table6007 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6213 /* Table6213 */
+    6311 /* Table6311 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5913 /* Table5913 */
+    6011 /* Table6011 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6215 /* Table6215 */
+    6313 /* Table6313 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5917 /* Table5917 */
+    6015 /* Table6015 */
    },
    /* 0xc0 */
    { /* ModRMDecision */
@@ -254686,82 +261122,82 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6041 /* Table6041 */
+    6139 /* Table6139 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6043 /* Table6043 */
+    6141 /* Table6141 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6045 /* Table6045 */
+    6143 /* Table6143 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6047 /* Table6047 */
+    6145 /* Table6145 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6049 /* Table6049 */
+    6147 /* Table6147 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6051 /* Table6051 */
+    6149 /* Table6149 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6053 /* Table6053 */
+    6151 /* Table6151 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6055 /* Table6055 */
+    6153 /* Table6153 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6057 /* Table6057 */
+    6155 /* Table6155 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6059 /* Table6059 */
+    6157 /* Table6157 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6061 /* Table6061 */
+    6159 /* Table6159 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6063 /* Table6063 */
+    6161 /* Table6161 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6065 /* Table6065 */
+    6163 /* Table6163 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6067 /* Table6067 */
+    6165 /* Table6165 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6069 /* Table6069 */
+    6167 /* Table6167 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6071 /* Table6071 */
+    6169 /* Table6169 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -254781,7 +261217,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6073 /* Table6073 */
+    6171 /* Table6171 */
    },
    /* 0x14 */
    { /* ModRMDecision */
@@ -254796,27 +261232,27 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6075 /* Table6075 */
+    6173 /* Table6173 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6077 /* Table6077 */
+    6175 /* Table6175 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6079 /* Table6079 */
+    6177 /* Table6177 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6081 /* Table6081 */
+    6179 /* Table6179 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6083 /* Table6083 */
+    6181 /* Table6181 */
    },
    /* 0x1b */
    { /* ModRMDecision */
@@ -254826,17 +261262,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6085 /* Table6085 */
+    6183 /* Table6183 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6087 /* Table6087 */
+    6185 /* Table6185 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6089 /* Table6089 */
+    6187 /* Table6187 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -254846,32 +261282,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6091 /* Table6091 */
+    6189 /* Table6189 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6093 /* Table6093 */
+    6191 /* Table6191 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6095 /* Table6095 */
+    6193 /* Table6193 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6097 /* Table6097 */
+    6195 /* Table6195 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6099 /* Table6099 */
+    6197 /* Table6197 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6101 /* Table6101 */
+    6199 /* Table6199 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -254886,127 +261322,127 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6103 /* Table6103 */
+    6201 /* Table6201 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6105 /* Table6105 */
+    6203 /* Table6203 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6107 /* Table6107 */
+    6205 /* Table6205 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6109 /* Table6109 */
+    6207 /* Table6207 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6111 /* Table6111 */
+    6209 /* Table6209 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6113 /* Table6113 */
+    6211 /* Table6211 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6115 /* Table6115 */
+    6213 /* Table6213 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6117 /* Table6117 */
+    6215 /* Table6215 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6119 /* Table6119 */
+    6217 /* Table6217 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6121 /* Table6121 */
+    6219 /* Table6219 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6123 /* Table6123 */
+    6221 /* Table6221 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6125 /* Table6125 */
+    6223 /* Table6223 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6127 /* Table6127 */
+    6225 /* Table6225 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6129 /* Table6129 */
+    6227 /* Table6227 */
    },
    /* 0x36 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6131 /* Table6131 */
+    6229 /* Table6229 */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6133 /* Table6133 */
+    6231 /* Table6231 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6135 /* Table6135 */
+    6233 /* Table6233 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6137 /* Table6137 */
+    6235 /* Table6235 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6139 /* Table6139 */
+    6237 /* Table6237 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6141 /* Table6141 */
+    6239 /* Table6239 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6143 /* Table6143 */
+    6241 /* Table6241 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6145 /* Table6145 */
+    6243 /* Table6243 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6147 /* Table6147 */
+    6245 /* Table6245 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6149 /* Table6149 */
+    6247 /* Table6247 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6151 /* Table6151 */
+    6249 /* Table6249 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -255031,17 +261467,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6217 /* Table6217 */
+    6315 /* Table6315 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6155 /* Table6155 */
+    6253 /* Table6253 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6219 /* Table6219 */
+    6317 /* Table6317 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -255126,17 +261562,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6159 /* Table6159 */
+    6257 /* Table6257 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6161 /* Table6161 */
+    6259 /* Table6259 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6163 /* Table6163 */
+    6261 /* Table6261 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -255286,12 +261722,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6165 /* Table6165 */
+    6263 /* Table6263 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6167 /* Table6167 */
+    6265 /* Table6265 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -255386,7 +261822,7 @@
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6221 /* Table6221 */
+    6319 /* Table6319 */
    },
    /* 0x8d */
    { /* ModRMDecision */
@@ -255396,7 +261832,7 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6223 /* Table6223 */
+    6321 /* Table6321 */
    },
    /* 0x8f */
    { /* ModRMDecision */
@@ -255406,22 +261842,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6225 /* Table6225 */
+    6323 /* Table6323 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6227 /* Table6227 */
+    6325 /* Table6325 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6229 /* Table6229 */
+    6327 /* Table6327 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6231 /* Table6231 */
+    6329 /* Table6329 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -255436,52 +261872,52 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6233 /* Table6233 */
+    6331 /* Table6331 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6235 /* Table6235 */
+    6333 /* Table6333 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6237 /* Table6237 */
+    6335 /* Table6335 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5985 /* Table5985 */
+    6083 /* Table6083 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6239 /* Table6239 */
+    6337 /* Table6337 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5989 /* Table5989 */
+    6087 /* Table6087 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6241 /* Table6241 */
+    6339 /* Table6339 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5993 /* Table5993 */
+    6091 /* Table6091 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6243 /* Table6243 */
+    6341 /* Table6341 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5997 /* Table5997 */
+    6095 /* Table6095 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -255516,52 +261952,52 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6245 /* Table6245 */
+    6343 /* Table6343 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6247 /* Table6247 */
+    6345 /* Table6345 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6249 /* Table6249 */
+    6347 /* Table6347 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6005 /* Table6005 */
+    6103 /* Table6103 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6251 /* Table6251 */
+    6349 /* Table6349 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6009 /* Table6009 */
+    6107 /* Table6107 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6253 /* Table6253 */
+    6351 /* Table6351 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6013 /* Table6013 */
+    6111 /* Table6111 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6255 /* Table6255 */
+    6353 /* Table6353 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6017 /* Table6017 */
+    6115 /* Table6115 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -255596,52 +262032,52 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6257 /* Table6257 */
+    6355 /* Table6355 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6259 /* Table6259 */
+    6357 /* Table6357 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6261 /* Table6261 */
+    6359 /* Table6359 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6025 /* Table6025 */
+    6123 /* Table6123 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6263 /* Table6263 */
+    6361 /* Table6361 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6029 /* Table6029 */
+    6127 /* Table6127 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6265 /* Table6265 */
+    6363 /* Table6363 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6033 /* Table6033 */
+    6131 /* Table6131 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6267 /* Table6267 */
+    6365 /* Table6365 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6037 /* Table6037 */
+    6135 /* Table6135 */
    },
    /* 0xc0 */
    { /* ModRMDecision */
@@ -256179,9 +262615,9 @@
     0 /* EmptyTable */
    },
    /* 0x2a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6367 /* Table6367 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -256356,7 +262792,7 @@
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6269 /* Table6269 */
+    6369 /* Table6369 */
    },
    /* 0x4e */
    { /* ModRMDecision */
@@ -256366,7 +262802,7 @@
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6271 /* Table6271 */
+    6371 /* Table6371 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -256816,7 +263252,7 @@
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6273 /* Table6273 */
+    6373 /* Table6373 */
    },
    /* 0xaa */
    { /* ModRMDecision */
@@ -256826,7 +263262,7 @@
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6275 /* Table6275 */
+    6375 /* Table6375 */
    },
    /* 0xac */
    { /* ModRMDecision */
@@ -256836,7 +263272,7 @@
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6277 /* Table6277 */
+    6377 /* Table6377 */
    },
    /* 0xae */
    { /* ModRMDecision */
@@ -256846,7 +263282,7 @@
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6279 /* Table6279 */
+    6379 /* Table6379 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -256986,7 +263422,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6281 /* Table6281 */
+    6381 /* Table6381 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -256996,7 +263432,7 @@
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6283 /* Table6283 */
+    6383 /* Table6383 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -257459,14 +263895,14 @@
     0 /* EmptyTable */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6385 /* Table6385 */
    },
    /* 0x2a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6367 /* Table6367 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -257529,9 +263965,9 @@
     0 /* EmptyTable */
    },
    /* 0x37 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6387 /* Table6387 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -257641,7 +264077,7 @@
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6285 /* Table6285 */
+    6389 /* Table6389 */
    },
    /* 0x4e */
    { /* ModRMDecision */
@@ -257651,7 +264087,7 @@
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6287 /* Table6287 */
+    6391 /* Table6391 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -258101,7 +264537,7 @@
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6289 /* Table6289 */
+    6393 /* Table6393 */
    },
    /* 0xaa */
    { /* ModRMDecision */
@@ -258111,7 +264547,7 @@
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6291 /* Table6291 */
+    6395 /* Table6395 */
    },
    /* 0xac */
    { /* ModRMDecision */
@@ -258121,7 +264557,7 @@
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6293 /* Table6293 */
+    6397 /* Table6397 */
    },
    /* 0xae */
    { /* ModRMDecision */
@@ -258131,7 +264567,7 @@
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6295 /* Table6295 */
+    6399 /* Table6399 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -258271,7 +264707,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6297 /* Table6297 */
+    6401 /* Table6401 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -258281,7 +264717,1292 @@
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6299 /* Table6299 */
+    6403 /* Table6403 */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_OPSIZE */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6405 /* Table6405 */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -258744,14 +266465,14 @@
     0 /* EmptyTable */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6407 /* Table6407 */
    },
    /* 0x2a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6367 /* Table6367 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -258814,9 +266535,9 @@
     0 /* EmptyTable */
    },
    /* 0x37 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6409 /* Table6409 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -258926,7 +266647,7 @@
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6269 /* Table6269 */
+    6369 /* Table6369 */
    },
    /* 0x4e */
    { /* ModRMDecision */
@@ -258936,7 +266657,7 @@
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6271 /* Table6271 */
+    6371 /* Table6371 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -259386,7 +267107,7 @@
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6273 /* Table6273 */
+    6373 /* Table6373 */
    },
    /* 0xaa */
    { /* ModRMDecision */
@@ -259396,7 +267117,7 @@
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6275 /* Table6275 */
+    6375 /* Table6375 */
    },
    /* 0xac */
    { /* ModRMDecision */
@@ -259406,7 +267127,7 @@
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6277 /* Table6277 */
+    6377 /* Table6377 */
    },
    /* 0xae */
    { /* ModRMDecision */
@@ -259416,7 +267137,7 @@
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6279 /* Table6279 */
+    6379 /* Table6379 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -259556,7 +267277,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6281 /* Table6281 */
+    6381 /* Table6381 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -259566,7 +267287,7 @@
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6283 /* Table6283 */
+    6383 /* Table6383 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -259911,27 +267632,27 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6301 /* Table6301 */
+    6411 /* Table6411 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6303 /* Table6303 */
+    6413 /* Table6413 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6305 /* Table6305 */
+    6415 /* Table6415 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6307 /* Table6307 */
+    6417 /* Table6417 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6309 /* Table6309 */
+    6419 /* Table6419 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -259991,27 +267712,27 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6311 /* Table6311 */
+    6421 /* Table6421 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6313 /* Table6313 */
+    6423 /* Table6423 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6315 /* Table6315 */
+    6425 /* Table6425 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6317 /* Table6317 */
+    6427 /* Table6427 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6319 /* Table6319 */
+    6429 /* Table6429 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -260021,7 +267742,7 @@
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6321 /* Table6321 */
+    6431 /* Table6431 */
    },
    /* 0x28 */
    { /* ModRMDecision */
@@ -260071,27 +267792,27 @@
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6323 /* Table6323 */
+    6433 /* Table6433 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6325 /* Table6325 */
+    6435 /* Table6435 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6327 /* Table6327 */
+    6437 /* Table6437 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6329 /* Table6329 */
+    6439 /* Table6439 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6331 /* Table6331 */
+    6441 /* Table6441 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -260116,7 +267837,7 @@
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6333 /* Table6333 */
+    6443 /* Table6443 */
    },
    /* 0x3b */
    { /* ModRMDecision */
@@ -261206,7 +268927,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6335 /* Table6335 */
+    6445 /* Table6445 */
    },
    /* 0x14 */
    { /* ModRMDecision */
@@ -261221,7 +268942,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6337 /* Table6337 */
+    6447 /* Table6447 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -261231,7 +268952,7 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6339 /* Table6339 */
+    6449 /* Table6449 */
    },
    /* 0x19 */
    { /* ModRMDecision */
@@ -261261,7 +268982,7 @@
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6341 /* Table6341 */
+    6451 /* Table6451 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -261276,27 +268997,27 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6343 /* Table6343 */
+    6453 /* Table6453 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6345 /* Table6345 */
+    6455 /* Table6455 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6347 /* Table6347 */
+    6457 /* Table6457 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6349 /* Table6349 */
+    6459 /* Table6459 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6351 /* Table6351 */
+    6461 /* Table6461 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -261306,7 +269027,7 @@
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6353 /* Table6353 */
+    6463 /* Table6463 */
    },
    /* 0x28 */
    { /* ModRMDecision */
@@ -261321,7 +269042,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6355 /* Table6355 */
+    6465 /* Table6465 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -261356,32 +269077,32 @@
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6357 /* Table6357 */
+    6467 /* Table6467 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6359 /* Table6359 */
+    6469 /* Table6469 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6361 /* Table6361 */
+    6471 /* Table6471 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6363 /* Table6363 */
+    6473 /* Table6473 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6365 /* Table6365 */
+    6475 /* Table6475 */
    },
    /* 0x36 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6367 /* Table6367 */
+    6477 /* Table6477 */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -261396,7 +269117,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6369 /* Table6369 */
+    6479 /* Table6479 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -261406,7 +269127,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6371 /* Table6371 */
+    6481 /* Table6481 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -261416,7 +269137,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6373 /* Table6373 */
+    6483 /* Table6483 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -261426,12 +269147,12 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6375 /* Table6375 */
+    6485 /* Table6485 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6377 /* Table6377 */
+    6487 /* Table6487 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -261451,22 +269172,22 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6379 /* Table6379 */
+    6489 /* Table6489 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6381 /* Table6381 */
+    6491 /* Table6491 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6383 /* Table6383 */
+    6493 /* Table6493 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6385 /* Table6385 */
+    6495 /* Table6495 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -261491,7 +269212,7 @@
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6387 /* Table6387 */
+    6497 /* Table6497 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -261501,7 +269222,7 @@
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6389 /* Table6389 */
+    6499 /* Table6499 */
    },
    /* 0x4f */
    { /* ModRMDecision */
@@ -261551,7 +269272,7 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6391 /* Table6391 */
+    6501 /* Table6501 */
    },
    /* 0x59 */
    { /* ModRMDecision */
@@ -261561,7 +269282,7 @@
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6393 /* Table6393 */
+    6503 /* Table6503 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -261701,12 +269422,12 @@
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6395 /* Table6395 */
+    6505 /* Table6505 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6397 /* Table6397 */
+    6507 /* Table6507 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -261731,7 +269452,7 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6399 /* Table6399 */
+    6509 /* Table6509 */
    },
    /* 0x7d */
    { /* ModRMDecision */
@@ -261741,12 +269462,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6401 /* Table6401 */
+    6511 /* Table6511 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6403 /* Table6403 */
+    6513 /* Table6513 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -261861,17 +269582,17 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6405 /* Table6405 */
+    6515 /* Table6515 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6407 /* Table6407 */
+    6517 /* Table6517 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6409 /* Table6409 */
+    6519 /* Table6519 */
    },
    /* 0x99 */
    { /* ModRMDecision */
@@ -261881,7 +269602,7 @@
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6411 /* Table6411 */
+    6521 /* Table6521 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -261891,7 +269612,7 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6413 /* Table6413 */
+    6523 /* Table6523 */
    },
    /* 0x9d */
    { /* ModRMDecision */
@@ -261901,7 +269622,7 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6415 /* Table6415 */
+    6525 /* Table6525 */
    },
    /* 0x9f */
    { /* ModRMDecision */
@@ -261941,17 +269662,17 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6417 /* Table6417 */
+    6527 /* Table6527 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6419 /* Table6419 */
+    6529 /* Table6529 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6421 /* Table6421 */
+    6531 /* Table6531 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -261961,7 +269682,7 @@
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6423 /* Table6423 */
+    6533 /* Table6533 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -261971,7 +269692,7 @@
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6425 /* Table6425 */
+    6535 /* Table6535 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -261981,7 +269702,7 @@
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6427 /* Table6427 */
+    6537 /* Table6537 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -262091,7 +269812,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6429 /* Table6429 */
+    6539 /* Table6539 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -262121,7 +269842,7 @@
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6431 /* Table6431 */
+    6541 /* Table6541 */
    },
    /* 0xcb */
    { /* ModRMDecision */
@@ -262131,7 +269852,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6433 /* Table6433 */
+    6543 /* Table6543 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -262591,7 +270312,7 @@
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6435 /* Table6435 */
+    6545 /* Table6545 */
    },
    /* 0x28 */
    { /* ModRMDecision */
@@ -262606,7 +270327,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6437 /* Table6437 */
+    6547 /* Table6547 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -263791,7 +271512,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6439 /* Table6439 */
+    6549 /* Table6549 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -263806,7 +271527,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6441 /* Table6441 */
+    6551 /* Table6551 */
    },
    /* 0x1a */
    { /* ModRMDecision */
@@ -263836,7 +271557,7 @@
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6443 /* Table6443 */
+    6553 /* Table6553 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -263876,17 +271597,17 @@
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6445 /* Table6445 */
+    6555 /* Table6555 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6447 /* Table6447 */
+    6557 /* Table6557 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6449 /* Table6449 */
+    6559 /* Table6559 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -263951,12 +271672,12 @@
    /* 0x36 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6451 /* Table6451 */
+    6561 /* Table6561 */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6453 /* Table6453 */
+    6563 /* Table6563 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -263966,7 +271687,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6455 /* Table6455 */
+    6565 /* Table6565 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -263976,7 +271697,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6457 /* Table6457 */
+    6567 /* Table6567 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -263986,7 +271707,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6459 /* Table6459 */
+    6569 /* Table6569 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -263996,7 +271717,7 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6461 /* Table6461 */
+    6571 /* Table6571 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -264021,22 +271742,22 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6463 /* Table6463 */
+    6573 /* Table6573 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6465 /* Table6465 */
+    6575 /* Table6575 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6467 /* Table6467 */
+    6577 /* Table6577 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6469 /* Table6469 */
+    6579 /* Table6579 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -264061,7 +271782,7 @@
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6471 /* Table6471 */
+    6581 /* Table6581 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -264071,7 +271792,7 @@
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6473 /* Table6473 */
+    6583 /* Table6583 */
    },
    /* 0x4f */
    { /* ModRMDecision */
@@ -264126,7 +271847,7 @@
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6475 /* Table6475 */
+    6585 /* Table6585 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -264136,7 +271857,7 @@
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6477 /* Table6477 */
+    6587 /* Table6587 */
    },
    /* 0x5c */
    { /* ModRMDecision */
@@ -264271,12 +271992,12 @@
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6479 /* Table6479 */
+    6589 /* Table6589 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6481 /* Table6481 */
+    6591 /* Table6591 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -264301,7 +272022,7 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6483 /* Table6483 */
+    6593 /* Table6593 */
    },
    /* 0x7d */
    { /* ModRMDecision */
@@ -264311,12 +272032,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6485 /* Table6485 */
+    6595 /* Table6595 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6487 /* Table6487 */
+    6597 /* Table6597 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -264431,17 +272152,17 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6489 /* Table6489 */
+    6599 /* Table6599 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6491 /* Table6491 */
+    6601 /* Table6601 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6493 /* Table6493 */
+    6603 /* Table6603 */
    },
    /* 0x99 */
    { /* ModRMDecision */
@@ -264451,7 +272172,7 @@
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6495 /* Table6495 */
+    6605 /* Table6605 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -264461,7 +272182,7 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6497 /* Table6497 */
+    6607 /* Table6607 */
    },
    /* 0x9d */
    { /* ModRMDecision */
@@ -264471,7 +272192,7 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6499 /* Table6499 */
+    6609 /* Table6609 */
    },
    /* 0x9f */
    { /* ModRMDecision */
@@ -264511,17 +272232,17 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6501 /* Table6501 */
+    6611 /* Table6611 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6503 /* Table6503 */
+    6613 /* Table6613 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6505 /* Table6505 */
+    6615 /* Table6615 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -264531,7 +272252,7 @@
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6507 /* Table6507 */
+    6617 /* Table6617 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -264541,7 +272262,7 @@
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6509 /* Table6509 */
+    6619 /* Table6619 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -264551,7 +272272,7 @@
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6511 /* Table6511 */
+    6621 /* Table6621 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -264661,7 +272382,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6513 /* Table6513 */
+    6623 /* Table6623 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -264691,7 +272412,7 @@
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6515 /* Table6515 */
+    6625 /* Table6625 */
    },
    /* 0xcb */
    { /* ModRMDecision */
@@ -264701,7 +272422,2577 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6517 /* Table6517 */
+    6627 /* Table6627 */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_W_OPSIZE_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6629 /* Table6629 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6631 /* Table6631 */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_OPSIZE_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6633 /* Table6633 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6635 /* Table6635 */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -265051,27 +275342,27 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6519 /* Table6519 */
+    6637 /* Table6637 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6521 /* Table6521 */
+    6639 /* Table6639 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6523 /* Table6523 */
+    6641 /* Table6641 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6525 /* Table6525 */
+    6643 /* Table6643 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6527 /* Table6527 */
+    6645 /* Table6645 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -265131,27 +275422,27 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6529 /* Table6529 */
+    6647 /* Table6647 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6531 /* Table6531 */
+    6649 /* Table6649 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6533 /* Table6533 */
+    6651 /* Table6651 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6535 /* Table6535 */
+    6653 /* Table6653 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6537 /* Table6537 */
+    6655 /* Table6655 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -265211,27 +275502,27 @@
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6539 /* Table6539 */
+    6657 /* Table6657 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6541 /* Table6541 */
+    6659 /* Table6659 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6543 /* Table6543 */
+    6661 /* Table6661 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6545 /* Table6545 */
+    6663 /* Table6663 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6547 /* Table6547 */
+    6665 /* Table6665 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -266401,7 +276692,7 @@
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6549 /* Table6549 */
+    6667 /* Table6667 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -266416,27 +276707,27 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6551 /* Table6551 */
+    6669 /* Table6669 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6553 /* Table6553 */
+    6671 /* Table6671 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6555 /* Table6555 */
+    6673 /* Table6673 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6557 /* Table6557 */
+    6675 /* Table6675 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6559 /* Table6559 */
+    6677 /* Table6677 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -266496,27 +276787,27 @@
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6561 /* Table6561 */
+    6679 /* Table6679 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6563 /* Table6563 */
+    6681 /* Table6681 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6565 /* Table6565 */
+    6683 /* Table6683 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6567 /* Table6567 */
+    6685 /* Table6685 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6569 /* Table6569 */
+    6687 /* Table6687 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -266536,7 +276827,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6571 /* Table6571 */
+    6689 /* Table6689 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -266546,7 +276837,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6573 /* Table6573 */
+    6691 /* Table6691 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -266556,7 +276847,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6575 /* Table6575 */
+    6693 /* Table6693 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -266566,12 +276857,12 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6577 /* Table6577 */
+    6695 /* Table6695 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6579 /* Table6579 */
+    6697 /* Table6697 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -266591,7 +276882,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6581 /* Table6581 */
+    6699 /* Table6699 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -266751,12 +277042,12 @@
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6583 /* Table6583 */
+    6701 /* Table6701 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6585 /* Table6585 */
+    6703 /* Table6703 */
    },
    /* 0x66 */
    { /* ModRMDecision */
@@ -266841,12 +277132,12 @@
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6587 /* Table6587 */
+    6705 /* Table6705 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6589 /* Table6589 */
+    6707 /* Table6707 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -266881,12 +277172,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6591 /* Table6591 */
+    6709 /* Table6709 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6593 /* Table6593 */
+    6711 /* Table6711 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -266971,22 +277262,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6595 /* Table6595 */
+    6713 /* Table6713 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6597 /* Table6597 */
+    6715 /* Table6715 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6599 /* Table6599 */
+    6717 /* Table6717 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6601 /* Table6601 */
+    6719 /* Table6719 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -267051,22 +277342,22 @@
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6603 /* Table6603 */
+    6721 /* Table6721 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6605 /* Table6605 */
+    6723 /* Table6723 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6607 /* Table6607 */
+    6725 /* Table6725 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6609 /* Table6609 */
+    6727 /* Table6727 */
    },
    /* 0xa4 */
    { /* ModRMDecision */
@@ -267079,19 +277370,19 @@
     0 /* EmptyTable */
    },
    /* 0xa6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6729 /* Table6729 */
    },
    /* 0xa7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6731 /* Table6731 */
    },
    /* 0xa8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6733 /* Table6733 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -267099,9 +277390,9 @@
     0 /* EmptyTable */
    },
    /* 0xaa */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6735 /* Table6735 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -267109,9 +277400,9 @@
     0 /* EmptyTable */
    },
    /* 0xac */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6737 /* Table6737 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -267119,9 +277410,9 @@
     0 /* EmptyTable */
    },
    /* 0xae */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6739 /* Table6739 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -267231,7 +277522,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6611 /* Table6611 */
+    6741 /* Table6741 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -267241,12 +277532,12 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6613 /* Table6613 */
+    6743 /* Table6743 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6629 /* Table6629 */
+    6759 /* Table6759 */
    },
    /* 0xc8 */
    { /* ModRMDecision */
@@ -267691,7 +277982,7 @@
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6645 /* Table6645 */
+    6775 /* Table6775 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -267736,12 +278027,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6647 /* Table6647 */
+    6777 /* Table6777 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6779 /* Table6779 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -267809,9 +278100,9 @@
     0 /* EmptyTable */
    },
    /* 0x37 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6781 /* Table6781 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -267821,7 +278112,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6649 /* Table6649 */
+    6783 /* Table6783 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -267831,7 +278122,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6651 /* Table6651 */
+    6785 /* Table6785 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -267841,7 +278132,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6653 /* Table6653 */
+    6787 /* Table6787 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -267851,7 +278142,7 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6655 /* Table6655 */
+    6789 /* Table6789 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -267876,7 +278167,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6657 /* Table6657 */
+    6791 /* Table6791 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -268036,12 +278327,12 @@
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6659 /* Table6659 */
+    6793 /* Table6793 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6661 /* Table6661 */
+    6795 /* Table6795 */
    },
    /* 0x66 */
    { /* ModRMDecision */
@@ -268126,12 +278417,12 @@
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6663 /* Table6663 */
+    6797 /* Table6797 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6665 /* Table6665 */
+    6799 /* Table6799 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -268166,12 +278457,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6667 /* Table6667 */
+    6801 /* Table6801 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6669 /* Table6669 */
+    6803 /* Table6803 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -268256,22 +278547,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6671 /* Table6671 */
+    6805 /* Table6805 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6673 /* Table6673 */
+    6807 /* Table6807 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6675 /* Table6675 */
+    6809 /* Table6809 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6677 /* Table6677 */
+    6811 /* Table6811 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -268336,22 +278627,22 @@
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6679 /* Table6679 */
+    6813 /* Table6813 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6681 /* Table6681 */
+    6815 /* Table6815 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6683 /* Table6683 */
+    6817 /* Table6817 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6685 /* Table6685 */
+    6819 /* Table6819 */
    },
    /* 0xa4 */
    { /* ModRMDecision */
@@ -268364,19 +278655,19 @@
     0 /* EmptyTable */
    },
    /* 0xa6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6821 /* Table6821 */
    },
    /* 0xa7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6823 /* Table6823 */
    },
    /* 0xa8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6825 /* Table6825 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -268384,9 +278675,9 @@
     0 /* EmptyTable */
    },
    /* 0xaa */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6827 /* Table6827 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -268394,9 +278685,9 @@
     0 /* EmptyTable */
    },
    /* 0xac */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6829 /* Table6829 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -268404,9 +278695,9 @@
     0 /* EmptyTable */
    },
    /* 0xae */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6831 /* Table6831 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -268516,7 +278807,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6687 /* Table6687 */
+    6833 /* Table6833 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -268526,12 +278817,12 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6689 /* Table6689 */
+    6835 /* Table6835 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6705 /* Table6705 */
+    6851 /* Table6851 */
    },
    /* 0xc8 */
    { /* ModRMDecision */
@@ -269836,7 +280127,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6721 /* Table6721 */
+    6867 /* Table6867 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -269846,7 +280137,7 @@
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6723 /* Table6723 */
+    6869 /* Table6869 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -270309,9 +280600,9 @@
     0 /* EmptyTable */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6871 /* Table6871 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -270379,9 +280670,9 @@
     0 /* EmptyTable */
    },
    /* 0x37 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6873 /* Table6873 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -271121,7 +281412,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6725 /* Table6725 */
+    6875 /* Table6875 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -271131,7 +281422,1292 @@
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6727 /* Table6727 */
+    6877 /* Table6877 */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_OPSIZE_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6879 /* Table6879 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6881 /* Table6881 */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -271541,7 +283117,7 @@
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6729 /* Table6729 */
+    6883 /* Table6883 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -271676,7 +283252,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6731 /* Table6731 */
+    6885 /* Table6885 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -271686,7 +283262,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6733 /* Table6733 */
+    6887 /* Table6887 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -271696,7 +283272,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6735 /* Table6735 */
+    6889 /* Table6889 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -271706,12 +283282,12 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6737 /* Table6737 */
+    6891 /* Table6891 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6739 /* Table6739 */
+    6893 /* Table6893 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -271731,7 +283307,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6741 /* Table6741 */
+    6895 /* Table6895 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -272141,17 +283717,17 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6743 /* Table6743 */
+    6897 /* Table6897 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6745 /* Table6745 */
+    6899 /* Table6899 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6747 /* Table6747 */
+    6901 /* Table6901 */
    },
    /* 0x99 */
    { /* ModRMDecision */
@@ -272161,7 +283737,7 @@
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6749 /* Table6749 */
+    6903 /* Table6903 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -272171,7 +283747,7 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6751 /* Table6751 */
+    6905 /* Table6905 */
    },
    /* 0x9d */
    { /* ModRMDecision */
@@ -272181,7 +283757,7 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6753 /* Table6753 */
+    6907 /* Table6907 */
    },
    /* 0x9f */
    { /* ModRMDecision */
@@ -272221,17 +283797,17 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6755 /* Table6755 */
+    6909 /* Table6909 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6757 /* Table6757 */
+    6911 /* Table6911 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6759 /* Table6759 */
+    6913 /* Table6913 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -272241,7 +283817,7 @@
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6761 /* Table6761 */
+    6915 /* Table6915 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -272251,7 +283827,7 @@
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6763 /* Table6763 */
+    6917 /* Table6917 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -272261,7 +283837,7 @@
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6765 /* Table6765 */
+    6919 /* Table6919 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -272371,7 +283947,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6767 /* Table6767 */
+    6921 /* Table6921 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -272401,7 +283977,7 @@
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6769 /* Table6769 */
+    6923 /* Table6923 */
    },
    /* 0xcb */
    { /* ModRMDecision */
@@ -272411,7 +283987,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6771 /* Table6771 */
+    6925 /* Table6925 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -272831,7 +284407,7 @@
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6773 /* Table6773 */
+    6927 /* Table6927 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -272876,12 +284452,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6775 /* Table6775 */
+    6929 /* Table6929 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6931 /* Table6931 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -272949,9 +284525,9 @@
     0 /* EmptyTable */
    },
    /* 0x37 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6933 /* Table6933 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -272961,7 +284537,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6777 /* Table6777 */
+    6935 /* Table6935 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -272971,7 +284547,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6779 /* Table6779 */
+    6937 /* Table6937 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -272981,7 +284557,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6781 /* Table6781 */
+    6939 /* Table6939 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -272991,7 +284567,7 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6783 /* Table6783 */
+    6941 /* Table6941 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -273016,7 +284592,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6785 /* Table6785 */
+    6943 /* Table6943 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -273426,17 +285002,17 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6787 /* Table6787 */
+    6945 /* Table6945 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6789 /* Table6789 */
+    6947 /* Table6947 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6791 /* Table6791 */
+    6949 /* Table6949 */
    },
    /* 0x99 */
    { /* ModRMDecision */
@@ -273446,7 +285022,7 @@
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6793 /* Table6793 */
+    6951 /* Table6951 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -273456,7 +285032,7 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6795 /* Table6795 */
+    6953 /* Table6953 */
    },
    /* 0x9d */
    { /* ModRMDecision */
@@ -273466,7 +285042,7 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6797 /* Table6797 */
+    6955 /* Table6955 */
    },
    /* 0x9f */
    { /* ModRMDecision */
@@ -273506,17 +285082,17 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6799 /* Table6799 */
+    6957 /* Table6957 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6801 /* Table6801 */
+    6959 /* Table6959 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6803 /* Table6803 */
+    6961 /* Table6961 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -273526,7 +285102,7 @@
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6805 /* Table6805 */
+    6963 /* Table6963 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -273536,7 +285112,7 @@
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6807 /* Table6807 */
+    6965 /* Table6965 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -273546,7 +285122,7 @@
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6809 /* Table6809 */
+    6967 /* Table6967 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -273656,7 +285232,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6811 /* Table6811 */
+    6969 /* Table6969 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -273686,7 +285262,7 @@
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6813 /* Table6813 */
+    6971 /* Table6971 */
    },
    /* 0xcb */
    { /* ModRMDecision */
@@ -273696,7 +285272,2577 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6815 /* Table6815 */
+    6973 /* Table6973 */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_W_OPSIZE_K_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6975 /* Table6975 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6977 /* Table6977 */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_OPSIZE_K_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6979 /* Table6979 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6981 /* Table6981 */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -274111,7 +288257,7 @@
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6817 /* Table6817 */
+    6983 /* Table6983 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -274246,7 +288392,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6819 /* Table6819 */
+    6985 /* Table6985 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -274256,7 +288402,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6821 /* Table6821 */
+    6987 /* Table6987 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -274266,7 +288412,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6823 /* Table6823 */
+    6989 /* Table6989 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -274276,12 +288422,12 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6825 /* Table6825 */
+    6991 /* Table6991 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6827 /* Table6827 */
+    6993 /* Table6993 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -274301,7 +288447,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6829 /* Table6829 */
+    6995 /* Table6995 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -274941,7 +289087,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6831 /* Table6831 */
+    6997 /* Table6997 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -275401,7 +289547,7 @@
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6833 /* Table6833 */
+    6999 /* Table6999 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -275446,12 +289592,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6835 /* Table6835 */
+    7001 /* Table7001 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7003 /* Table7003 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -275519,9 +289665,9 @@
     0 /* EmptyTable */
    },
    /* 0x37 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7005 /* Table7005 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -275531,7 +289677,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6837 /* Table6837 */
+    7007 /* Table7007 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -275541,7 +289687,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6839 /* Table6839 */
+    7009 /* Table7009 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -275551,7 +289697,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6841 /* Table6841 */
+    7011 /* Table7011 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -275561,7 +289707,7 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6843 /* Table6843 */
+    7013 /* Table7013 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -275586,7 +289732,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6845 /* Table6845 */
+    7015 /* Table7015 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -276226,7 +290372,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6847 /* Table6847 */
+    7017 /* Table7017 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -276681,7 +290827,7 @@
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6849 /* Table6849 */
+    7019 /* Table7019 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -276816,7 +290962,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6851 /* Table6851 */
+    7021 /* Table7021 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -276826,7 +290972,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6853 /* Table6853 */
+    7023 /* Table7023 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -276836,7 +290982,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6855 /* Table6855 */
+    7025 /* Table7025 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -276846,12 +290992,12 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6857 /* Table6857 */
+    7027 /* Table7027 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6859 /* Table6859 */
+    7029 /* Table7029 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -276871,7 +291017,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6861 /* Table6861 */
+    7031 /* Table7031 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -277511,7 +291657,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6863 /* Table6863 */
+    7033 /* Table7033 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -277971,7 +292117,7 @@
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6865 /* Table6865 */
+    7035 /* Table7035 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -278016,7 +292162,7 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6867 /* Table6867 */
+    7037 /* Table7037 */
    },
    /* 0x29 */
    { /* ModRMDecision */
@@ -278101,7 +292247,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6869 /* Table6869 */
+    7039 /* Table7039 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -278111,7 +292257,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6871 /* Table6871 */
+    7041 /* Table7041 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -278121,7 +292267,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6873 /* Table6873 */
+    7043 /* Table7043 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -278131,7 +292277,7 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6875 /* Table6875 */
+    7045 /* Table7045 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -278156,7 +292302,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6877 /* Table6877 */
+    7047 /* Table7047 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -278796,7 +292942,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6879 /* Table6879 */
+    7049 /* Table7049 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -279186,27 +293332,27 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6881 /* Table6881 */
+    7051 /* Table7051 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6883 /* Table6883 */
+    7053 /* Table7053 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6885 /* Table6885 */
+    7055 /* Table7055 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6887 /* Table6887 */
+    7057 /* Table7057 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6889 /* Table6889 */
+    7059 /* Table7059 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -279266,27 +293412,27 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6891 /* Table6891 */
+    7061 /* Table7061 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6893 /* Table6893 */
+    7063 /* Table7063 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6895 /* Table6895 */
+    7065 /* Table7065 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6897 /* Table6897 */
+    7067 /* Table7067 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6899 /* Table6899 */
+    7069 /* Table7069 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -279346,27 +293492,27 @@
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6901 /* Table6901 */
+    7071 /* Table7071 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6903 /* Table6903 */
+    7073 /* Table7073 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6905 /* Table6905 */
+    7075 /* Table7075 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6907 /* Table6907 */
+    7077 /* Table7077 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6909 /* Table6909 */
+    7079 /* Table7079 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -280536,7 +294682,7 @@
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6911 /* Table6911 */
+    7081 /* Table7081 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -280551,27 +294697,27 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6913 /* Table6913 */
+    7083 /* Table7083 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6915 /* Table6915 */
+    7085 /* Table7085 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6917 /* Table6917 */
+    7087 /* Table7087 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6919 /* Table6919 */
+    7089 /* Table7089 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6921 /* Table6921 */
+    7091 /* Table7091 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -280631,27 +294777,27 @@
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6923 /* Table6923 */
+    7093 /* Table7093 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6925 /* Table6925 */
+    7095 /* Table7095 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6927 /* Table6927 */
+    7097 /* Table7097 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6929 /* Table6929 */
+    7099 /* Table7099 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6931 /* Table6931 */
+    7101 /* Table7101 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -280671,7 +294817,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6933 /* Table6933 */
+    7103 /* Table7103 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -280681,7 +294827,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6935 /* Table6935 */
+    7105 /* Table7105 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -280691,7 +294837,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6937 /* Table6937 */
+    7107 /* Table7107 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -280701,12 +294847,12 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6939 /* Table6939 */
+    7109 /* Table7109 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6941 /* Table6941 */
+    7111 /* Table7111 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -280726,7 +294872,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6943 /* Table6943 */
+    7113 /* Table7113 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -280826,7 +294972,7 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6945 /* Table6945 */
+    7115 /* Table7115 */
    },
    /* 0x59 */
    { /* ModRMDecision */
@@ -280836,7 +294982,7 @@
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6947 /* Table6947 */
+    7117 /* Table7117 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -280976,12 +295122,12 @@
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6949 /* Table6949 */
+    7119 /* Table7119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6951 /* Table6951 */
+    7121 /* Table7121 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -281006,7 +295152,7 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6953 /* Table6953 */
+    7123 /* Table7123 */
    },
    /* 0x7d */
    { /* ModRMDecision */
@@ -281016,12 +295162,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6955 /* Table6955 */
+    7125 /* Table7125 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6957 /* Table6957 */
+    7127 /* Table7127 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -281214,19 +295360,19 @@
     0 /* EmptyTable */
    },
    /* 0xa6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7129 /* Table7129 */
    },
    /* 0xa7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7131 /* Table7131 */
    },
    /* 0xa8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7133 /* Table7133 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -281234,9 +295380,9 @@
     0 /* EmptyTable */
    },
    /* 0xaa */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7135 /* Table7135 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -281244,9 +295390,9 @@
     0 /* EmptyTable */
    },
    /* 0xac */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7137 /* Table7137 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -281254,9 +295400,9 @@
     0 /* EmptyTable */
    },
    /* 0xae */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7139 /* Table7139 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -281366,7 +295512,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6959 /* Table6959 */
+    7141 /* Table7141 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -281826,7 +295972,7 @@
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6961 /* Table6961 */
+    7143 /* Table7143 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -281871,7 +296017,7 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6963 /* Table6963 */
+    7145 /* Table7145 */
    },
    /* 0x29 */
    { /* ModRMDecision */
@@ -281956,7 +296102,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6965 /* Table6965 */
+    7147 /* Table7147 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -281966,7 +296112,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6967 /* Table6967 */
+    7149 /* Table7149 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -281976,7 +296122,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6969 /* Table6969 */
+    7151 /* Table7151 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -281986,7 +296132,7 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6971 /* Table6971 */
+    7153 /* Table7153 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -282011,7 +296157,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6973 /* Table6973 */
+    7155 /* Table7155 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -282116,7 +296262,7 @@
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6975 /* Table6975 */
+    7157 /* Table7157 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -282126,7 +296272,7 @@
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6977 /* Table6977 */
+    7159 /* Table7159 */
    },
    /* 0x5c */
    { /* ModRMDecision */
@@ -282261,12 +296407,12 @@
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6979 /* Table6979 */
+    7161 /* Table7161 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6981 /* Table6981 */
+    7163 /* Table7163 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -282291,7 +296437,7 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6983 /* Table6983 */
+    7165 /* Table7165 */
    },
    /* 0x7d */
    { /* ModRMDecision */
@@ -282301,12 +296447,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6985 /* Table6985 */
+    7167 /* Table7167 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6987 /* Table6987 */
+    7169 /* Table7169 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -282499,19 +296645,19 @@
     0 /* EmptyTable */
    },
    /* 0xa6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7171 /* Table7171 */
    },
    /* 0xa7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7173 /* Table7173 */
    },
    /* 0xa8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7175 /* Table7175 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -282519,9 +296665,9 @@
     0 /* EmptyTable */
    },
    /* 0xaa */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7177 /* Table7177 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -282529,9 +296675,9 @@
     0 /* EmptyTable */
    },
    /* 0xac */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7179 /* Table7179 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -282539,9 +296685,9 @@
     0 /* EmptyTable */
    },
    /* 0xae */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7181 /* Table7181 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -282651,7 +296797,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6989 /* Table6989 */
+    7183 /* Table7183 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -284237,7 +298383,7 @@
  }
 , };
 static const uint8_t index_x86DisassemblerThreeByte38Opcodes[] = {
-1, 2, 3, 4, 0, 5, 6, 7, 0, 8, 9, 10, 11, 12, 13, 0, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 0, 0, 0, 25, 0, 0, 0, 26, 0, 0, 0, 27, 0, 0, 0, 28, 0, 0, 0, 0, 0, 0, 0, 29, 0, 30, 0, 31, 0, 32, 0, 33, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 34, 0, 35, 0, 0, 0, 36, 0, 0, 0, 37, 0, 0, 0, 38, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 39, 0, 0, 0, 40, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 41, 0, 0, 0, 42, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 43, 0, 0, 0, 44, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 45, 0, 46, 0, 0, 0, 47, };
+1, 2, 3, 4, 0, 5, 6, 7, 0, 8, 9, 10, 11, 12, 13, 0, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 0, 0, 0, 25, 0, 0, 0, 26, 0, 0, 0, 27, 0, 0, 0, 28, 0, 0, 0, 29, 0, 0, 0, 30, 0, 31, 0, 32, 0, 33, 0, 34, 0, 0, 0, 0, 0, 0, 0, 35, 0, 0, 0, 0, 0, 0, 0, 36, 0, 37, 0, 38, 0, 0, 0, 39, 0, 0, 0, 40, 0, 0, 0, 41, 0, 0, 0, 0, 0, 0, 0, 42, 0, 0, 0, 43, 0, 0, 0, 44, 0, 0, 0, 0, 0, 0, 0, 45, 0, 0, 0, 0, 0, 0, 0, 46, 0, 0, 0, 47, 0, 0, 0, 48, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 49, 0, 0, 0, 50, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 51, 0, 52, 0, 0, 0, 53, };
 static const struct OpcodeDecision x86DisassemblerThreeByte3AOpcodes[] = {
  /* IC */
  { /* struct OpcodeDecision */
@@ -284320,7 +298466,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6991 /* Table6991 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -285265,7 +299411,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6993 /* Table6993 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -285605,7 +299751,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6991 /* Table6991 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -286550,7 +300696,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6993 /* Table6993 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -286855,42 +301001,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6995 /* Table6995 */
+    7189 /* Table7189 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6997 /* Table6997 */
+    7191 /* Table7191 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6999 /* Table6999 */
+    7193 /* Table7193 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7001 /* Table7001 */
+    7195 /* Table7195 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7003 /* Table7003 */
+    7197 /* Table7197 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7005 /* Table7005 */
+    7199 /* Table7199 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7007 /* Table7007 */
+    7201 /* Table7201 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7009 /* Table7009 */
+    7203 /* Table7203 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -286915,22 +301061,22 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7011 /* Table7011 */
+    7205 /* Table7205 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7013 /* Table7013 */
+    7207 /* Table7207 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7015 /* Table7015 */
+    7209 /* Table7209 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7017 /* Table7017 */
+    7211 /* Table7211 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -286975,17 +301121,17 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7019 /* Table7019 */
+    7213 /* Table7213 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7021 /* Table7021 */
+    7215 /* Table7215 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7023 /* Table7023 */
+    7217 /* Table7217 */
    },
    /* 0x23 */
    { /* ModRMDecision */
@@ -287135,17 +301281,17 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7025 /* Table7025 */
+    7219 /* Table7219 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7027 /* Table7027 */
+    7221 /* Table7221 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7029 /* Table7029 */
+    7223 /* Table7223 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -287155,7 +301301,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7031 /* Table7031 */
+    7225 /* Table7225 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -287295,22 +301441,22 @@
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7033 /* Table7033 */
+    7227 /* Table7227 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7035 /* Table7035 */
+    7229 /* Table7229 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7037 /* Table7037 */
+    7231 /* Table7231 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7039 /* Table7039 */
+    7233 /* Table7233 */
    },
    /* 0x64 */
    { /* ModRMDecision */
@@ -287835,7 +301981,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6993 /* Table6993 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -287930,7 +302076,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7041 /* Table7041 */
+    7235 /* Table7235 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -288175,7 +302321,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6991 /* Table6991 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -289120,7 +303266,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6993 /* Table6993 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -289460,7 +303606,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6991 /* Table6991 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -290405,7 +304551,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6993 /* Table6993 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -290745,7 +304891,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6991 /* Table6991 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -291690,7 +305836,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6993 /* Table6993 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -292030,7 +306176,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6991 /* Table6991 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -292975,7 +307121,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6993 /* Table6993 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -293280,42 +307426,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6995 /* Table6995 */
+    7189 /* Table7189 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6997 /* Table6997 */
+    7191 /* Table7191 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6999 /* Table6999 */
+    7193 /* Table7193 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7001 /* Table7001 */
+    7195 /* Table7195 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7003 /* Table7003 */
+    7197 /* Table7197 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7005 /* Table7005 */
+    7199 /* Table7199 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7007 /* Table7007 */
+    7201 /* Table7201 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7009 /* Table7009 */
+    7203 /* Table7203 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -293340,22 +307486,22 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7011 /* Table7011 */
+    7205 /* Table7205 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7013 /* Table7013 */
+    7207 /* Table7207 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7015 /* Table7015 */
+    7209 /* Table7209 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7017 /* Table7017 */
+    7211 /* Table7211 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -293400,17 +307546,17 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7019 /* Table7019 */
+    7213 /* Table7213 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7021 /* Table7021 */
+    7215 /* Table7215 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7023 /* Table7023 */
+    7217 /* Table7217 */
    },
    /* 0x23 */
    { /* ModRMDecision */
@@ -293560,17 +307706,17 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7025 /* Table7025 */
+    7219 /* Table7219 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7027 /* Table7027 */
+    7221 /* Table7221 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7029 /* Table7029 */
+    7223 /* Table7223 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -293580,7 +307726,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7031 /* Table7031 */
+    7225 /* Table7225 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -293720,22 +307866,22 @@
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7033 /* Table7033 */
+    7227 /* Table7227 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7035 /* Table7035 */
+    7229 /* Table7229 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7037 /* Table7037 */
+    7231 /* Table7231 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7039 /* Table7039 */
+    7233 /* Table7233 */
    },
    /* 0x64 */
    { /* ModRMDecision */
@@ -294260,7 +308406,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6993 /* Table6993 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -294355,7 +308501,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7041 /* Table7041 */
+    7235 /* Table7235 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -294600,7 +308746,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6991 /* Table6991 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -295545,7 +309691,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6993 /* Table6993 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -295885,7 +310031,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6991 /* Table6991 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -296830,7 +310976,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6993 /* Table6993 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -297170,7 +311316,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6991 /* Table6991 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -298115,7 +312261,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6993 /* Table6993 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -298455,7 +312601,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6991 /* Table6991 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -299400,7 +313546,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6993 /* Table6993 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -299740,7 +313886,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6991 /* Table6991 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -300685,7 +314831,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6993 /* Table6993 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -300990,42 +315136,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6995 /* Table6995 */
+    7189 /* Table7189 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6997 /* Table6997 */
+    7191 /* Table7191 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6999 /* Table6999 */
+    7193 /* Table7193 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7001 /* Table7001 */
+    7195 /* Table7195 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7003 /* Table7003 */
+    7197 /* Table7197 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7005 /* Table7005 */
+    7199 /* Table7199 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7007 /* Table7007 */
+    7201 /* Table7201 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7009 /* Table7009 */
+    7203 /* Table7203 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -301050,22 +315196,22 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7011 /* Table7011 */
+    7205 /* Table7205 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7013 /* Table7013 */
+    7207 /* Table7207 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7043 /* Table7043 */
+    7237 /* Table7237 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7017 /* Table7017 */
+    7211 /* Table7211 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -301110,17 +315256,17 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7019 /* Table7019 */
+    7213 /* Table7213 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7021 /* Table7021 */
+    7215 /* Table7215 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7045 /* Table7045 */
+    7239 /* Table7239 */
    },
    /* 0x23 */
    { /* ModRMDecision */
@@ -301270,17 +315416,17 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7025 /* Table7025 */
+    7219 /* Table7219 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7027 /* Table7027 */
+    7221 /* Table7221 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7029 /* Table7029 */
+    7223 /* Table7223 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -301290,7 +315436,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7031 /* Table7031 */
+    7225 /* Table7225 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -301430,22 +315576,22 @@
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7033 /* Table7033 */
+    7227 /* Table7227 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7035 /* Table7035 */
+    7229 /* Table7229 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7037 /* Table7037 */
+    7231 /* Table7231 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7039 /* Table7039 */
+    7233 /* Table7233 */
    },
    /* 0x64 */
    { /* ModRMDecision */
@@ -301970,7 +316116,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6993 /* Table6993 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -302065,7 +316211,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7041 /* Table7041 */
+    7235 /* Table7235 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -303435,7 +317581,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7047 /* Table7047 */
+    7241 /* Table7241 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -303530,7 +317676,7 @@
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7049 /* Table7049 */
+    7243 /* Table7243 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -303540,12 +317686,12 @@
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7051 /* Table7051 */
+    7245 /* Table7245 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7053 /* Table7053 */
+    7247 /* Table7247 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -303560,42 +317706,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7055 /* Table7055 */
+    7249 /* Table7249 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7057 /* Table7057 */
+    7251 /* Table7251 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7059 /* Table7059 */
+    7253 /* Table7253 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7061 /* Table7061 */
+    7255 /* Table7255 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7063 /* Table7063 */
+    7257 /* Table7257 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7065 /* Table7065 */
+    7259 /* Table7259 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7067 /* Table7067 */
+    7261 /* Table7261 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7069 /* Table7069 */
+    7263 /* Table7263 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -303620,22 +317766,22 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7071 /* Table7071 */
+    7265 /* Table7265 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7073 /* Table7073 */
+    7267 /* Table7267 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7075 /* Table7075 */
+    7269 /* Table7269 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7077 /* Table7077 */
+    7271 /* Table7271 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -303665,7 +317811,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7079 /* Table7079 */
+    7273 /* Table7273 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -303680,17 +317826,17 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7081 /* Table7081 */
+    7275 /* Table7275 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7083 /* Table7083 */
+    7277 /* Table7277 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7085 /* Table7085 */
+    7279 /* Table7279 */
    },
    /* 0x23 */
    { /* ModRMDecision */
@@ -303840,17 +317986,17 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7087 /* Table7087 */
+    7281 /* Table7281 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7089 /* Table7089 */
+    7283 /* Table7283 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7091 /* Table7091 */
+    7285 /* Table7285 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -303860,7 +318006,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7093 /* Table7093 */
+    7287 /* Table7287 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -303880,27 +318026,27 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7095 /* Table7095 */
+    7289 /* Table7289 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7097 /* Table7097 */
+    7291 /* Table7291 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7099 /* Table7099 */
+    7293 /* Table7293 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7101 /* Table7101 */
+    7295 /* Table7295 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7103 /* Table7103 */
+    7297 /* Table7297 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -303980,42 +318126,42 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7105 /* Table7105 */
+    7299 /* Table7299 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7107 /* Table7107 */
+    7301 /* Table7301 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7109 /* Table7109 */
+    7303 /* Table7303 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7111 /* Table7111 */
+    7305 /* Table7305 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7113 /* Table7113 */
+    7307 /* Table7307 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7115 /* Table7115 */
+    7309 /* Table7309 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7117 /* Table7117 */
+    7311 /* Table7311 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7119 /* Table7119 */
+    7313 /* Table7313 */
    },
    /* 0x64 */
    { /* ModRMDecision */
@@ -304040,42 +318186,42 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7121 /* Table7121 */
+    7315 /* Table7315 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7123 /* Table7123 */
+    7317 /* Table7317 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7125 /* Table7125 */
+    7319 /* Table7319 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7127 /* Table7127 */
+    7321 /* Table7321 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7129 /* Table7129 */
+    7323 /* Table7323 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7131 /* Table7131 */
+    7325 /* Table7325 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7133 /* Table7133 */
+    7327 /* Table7327 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7135 /* Table7135 */
+    7329 /* Table7329 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -304120,42 +318266,42 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7137 /* Table7137 */
+    7331 /* Table7331 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7139 /* Table7139 */
+    7333 /* Table7333 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7141 /* Table7141 */
+    7335 /* Table7335 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7143 /* Table7143 */
+    7337 /* Table7337 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7145 /* Table7145 */
+    7339 /* Table7339 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7147 /* Table7147 */
+    7341 /* Table7341 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7149 /* Table7149 */
+    7343 /* Table7343 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7151 /* Table7151 */
+    7345 /* Table7345 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -304635,7 +318781,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7153 /* Table7153 */
+    7347 /* Table7347 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -306005,7 +320151,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7155 /* Table7155 */
+    7349 /* Table7349 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -306100,7 +320246,7 @@
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7049 /* Table7049 */
+    7243 /* Table7243 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -306110,12 +320256,12 @@
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7051 /* Table7051 */
+    7245 /* Table7245 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7053 /* Table7053 */
+    7247 /* Table7247 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -306130,42 +320276,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7055 /* Table7055 */
+    7249 /* Table7249 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7057 /* Table7057 */
+    7251 /* Table7251 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7059 /* Table7059 */
+    7253 /* Table7253 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7061 /* Table7061 */
+    7255 /* Table7255 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7063 /* Table7063 */
+    7257 /* Table7257 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7065 /* Table7065 */
+    7259 /* Table7259 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7067 /* Table7067 */
+    7261 /* Table7261 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7069 /* Table7069 */
+    7263 /* Table7263 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -306190,22 +320336,22 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7071 /* Table7071 */
+    7265 /* Table7265 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7073 /* Table7073 */
+    7267 /* Table7267 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7157 /* Table7157 */
+    7351 /* Table7351 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7077 /* Table7077 */
+    7271 /* Table7271 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -306235,7 +320381,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7079 /* Table7079 */
+    7273 /* Table7273 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -306250,17 +320396,17 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7081 /* Table7081 */
+    7275 /* Table7275 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7083 /* Table7083 */
+    7277 /* Table7277 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7159 /* Table7159 */
+    7353 /* Table7353 */
    },
    /* 0x23 */
    { /* ModRMDecision */
@@ -306330,7 +320476,7 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7161 /* Table7161 */
+    7355 /* Table7355 */
    },
    /* 0x31 */
    { /* ModRMDecision */
@@ -306340,7 +320486,7 @@
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7163 /* Table7163 */
+    7357 /* Table7357 */
    },
    /* 0x33 */
    { /* ModRMDecision */
@@ -306410,17 +320556,17 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7087 /* Table7087 */
+    7281 /* Table7281 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7089 /* Table7089 */
+    7283 /* Table7283 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7091 /* Table7091 */
+    7285 /* Table7285 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -306430,7 +320576,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7093 /* Table7093 */
+    7287 /* Table7287 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -306450,27 +320596,27 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7165 /* Table7165 */
+    7359 /* Table7359 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7167 /* Table7167 */
+    7361 /* Table7361 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7099 /* Table7099 */
+    7293 /* Table7293 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7101 /* Table7101 */
+    7295 /* Table7295 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7103 /* Table7103 */
+    7297 /* Table7297 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -306550,42 +320696,42 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7169 /* Table7169 */
+    7363 /* Table7363 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7171 /* Table7171 */
+    7365 /* Table7365 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7173 /* Table7173 */
+    7367 /* Table7367 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7175 /* Table7175 */
+    7369 /* Table7369 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7113 /* Table7113 */
+    7307 /* Table7307 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7115 /* Table7115 */
+    7309 /* Table7309 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7117 /* Table7117 */
+    7311 /* Table7311 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7119 /* Table7119 */
+    7313 /* Table7313 */
    },
    /* 0x64 */
    { /* ModRMDecision */
@@ -306610,42 +320756,42 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7177 /* Table7177 */
+    7371 /* Table7371 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7179 /* Table7179 */
+    7373 /* Table7373 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7181 /* Table7181 */
+    7375 /* Table7375 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7183 /* Table7183 */
+    7377 /* Table7377 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7185 /* Table7185 */
+    7379 /* Table7379 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7187 /* Table7187 */
+    7381 /* Table7381 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7189 /* Table7189 */
+    7383 /* Table7383 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7191 /* Table7191 */
+    7385 /* Table7385 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -306690,42 +320836,42 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7193 /* Table7193 */
+    7387 /* Table7387 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7195 /* Table7195 */
+    7389 /* Table7389 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7197 /* Table7197 */
+    7391 /* Table7391 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7199 /* Table7199 */
+    7393 /* Table7393 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7201 /* Table7201 */
+    7395 /* Table7395 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7203 /* Table7203 */
+    7397 /* Table7397 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7205 /* Table7205 */
+    7399 /* Table7399 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7207 /* Table7207 */
+    7401 /* Table7401 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -307205,7 +321351,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7153 /* Table7153 */
+    7347 /* Table7347 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -307385,7 +321531,7 @@
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7209 /* Table7209 */
+    7403 /* Table7403 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -307395,17 +321541,17 @@
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7211 /* Table7211 */
+    7405 /* Table7405 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7213 /* Table7213 */
+    7407 /* Table7407 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7215 /* Table7215 */
+    7409 /* Table7409 */
    },
    /* 0x07 */
    { /* ModRMDecision */
@@ -307415,42 +321561,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7217 /* Table7217 */
+    7411 /* Table7411 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7219 /* Table7219 */
+    7413 /* Table7413 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7059 /* Table7059 */
+    7253 /* Table7253 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7061 /* Table7061 */
+    7255 /* Table7255 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7221 /* Table7221 */
+    7415 /* Table7415 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7223 /* Table7223 */
+    7417 /* Table7417 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7225 /* Table7225 */
+    7419 /* Table7419 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7227 /* Table7227 */
+    7421 /* Table7421 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -307495,12 +321641,12 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7229 /* Table7229 */
+    7423 /* Table7423 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7231 /* Table7231 */
+    7425 /* Table7425 */
    },
    /* 0x1a */
    { /* ModRMDecision */
@@ -307520,7 +321666,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7233 /* Table7233 */
+    7427 /* Table7427 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -307655,12 +321801,12 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7235 /* Table7235 */
+    7429 /* Table7429 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7237 /* Table7237 */
+    7431 /* Table7431 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -307695,7 +321841,7 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7239 /* Table7239 */
+    7433 /* Table7433 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -307705,7 +321851,7 @@
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7241 /* Table7241 */
+    7435 /* Table7435 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -307725,7 +321871,7 @@
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7243 /* Table7243 */
+    7437 /* Table7437 */
    },
    /* 0x47 */
    { /* ModRMDecision */
@@ -307735,27 +321881,27 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7245 /* Table7245 */
+    7439 /* Table7439 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7247 /* Table7247 */
+    7441 /* Table7441 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7249 /* Table7249 */
+    7443 /* Table7443 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7251 /* Table7251 */
+    7445 /* Table7445 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7253 /* Table7253 */
+    7447 /* Table7447 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -307835,22 +321981,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7255 /* Table7255 */
+    7449 /* Table7449 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7257 /* Table7257 */
+    7451 /* Table7451 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7259 /* Table7259 */
+    7453 /* Table7453 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7261 /* Table7261 */
+    7455 /* Table7455 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -307895,42 +322041,42 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7263 /* Table7263 */
+    7457 /* Table7457 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7265 /* Table7265 */
+    7459 /* Table7459 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7125 /* Table7125 */
+    7319 /* Table7319 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7127 /* Table7127 */
+    7321 /* Table7321 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7267 /* Table7267 */
+    7461 /* Table7461 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7269 /* Table7269 */
+    7463 /* Table7463 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7133 /* Table7133 */
+    7327 /* Table7327 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7135 /* Table7135 */
+    7329 /* Table7329 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -307975,42 +322121,42 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7271 /* Table7271 */
+    7465 /* Table7465 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7273 /* Table7273 */
+    7467 /* Table7467 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7141 /* Table7141 */
+    7335 /* Table7335 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7143 /* Table7143 */
+    7337 /* Table7337 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7275 /* Table7275 */
+    7469 /* Table7469 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7277 /* Table7277 */
+    7471 /* Table7471 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7149 /* Table7149 */
+    7343 /* Table7343 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7151 /* Table7151 */
+    7345 /* Table7345 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -308660,17 +322806,17 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7279 /* Table7279 */
+    7473 /* Table7473 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7281 /* Table7281 */
+    7475 /* Table7475 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7209 /* Table7209 */
+    7403 /* Table7403 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -308680,17 +322826,17 @@
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7211 /* Table7211 */
+    7405 /* Table7405 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7213 /* Table7213 */
+    7407 /* Table7407 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7215 /* Table7215 */
+    7409 /* Table7409 */
    },
    /* 0x07 */
    { /* ModRMDecision */
@@ -308700,42 +322846,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7217 /* Table7217 */
+    7411 /* Table7411 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7219 /* Table7219 */
+    7413 /* Table7413 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7059 /* Table7059 */
+    7253 /* Table7253 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7061 /* Table7061 */
+    7255 /* Table7255 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7221 /* Table7221 */
+    7415 /* Table7415 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7223 /* Table7223 */
+    7417 /* Table7417 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7225 /* Table7225 */
+    7419 /* Table7419 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7227 /* Table7227 */
+    7421 /* Table7421 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -308780,12 +322926,12 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7229 /* Table7229 */
+    7423 /* Table7423 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7231 /* Table7231 */
+    7425 /* Table7425 */
    },
    /* 0x1a */
    { /* ModRMDecision */
@@ -308805,7 +322951,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7233 /* Table7233 */
+    7427 /* Table7427 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -308940,12 +323086,12 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7235 /* Table7235 */
+    7429 /* Table7429 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7237 /* Table7237 */
+    7431 /* Table7431 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -308980,7 +323126,7 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7239 /* Table7239 */
+    7433 /* Table7433 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -308990,7 +323136,7 @@
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7241 /* Table7241 */
+    7435 /* Table7435 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -309010,7 +323156,7 @@
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7243 /* Table7243 */
+    7437 /* Table7437 */
    },
    /* 0x47 */
    { /* ModRMDecision */
@@ -309020,27 +323166,27 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7283 /* Table7283 */
+    7477 /* Table7477 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7285 /* Table7285 */
+    7479 /* Table7479 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7249 /* Table7249 */
+    7443 /* Table7443 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7251 /* Table7251 */
+    7445 /* Table7445 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7253 /* Table7253 */
+    7447 /* Table7447 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -309120,22 +323266,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7287 /* Table7287 */
+    7481 /* Table7481 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7289 /* Table7289 */
+    7483 /* Table7483 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7291 /* Table7291 */
+    7485 /* Table7485 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7293 /* Table7293 */
+    7487 /* Table7487 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -309180,42 +323326,42 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7295 /* Table7295 */
+    7489 /* Table7489 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7297 /* Table7297 */
+    7491 /* Table7491 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7181 /* Table7181 */
+    7375 /* Table7375 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7183 /* Table7183 */
+    7377 /* Table7377 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7299 /* Table7299 */
+    7493 /* Table7493 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7301 /* Table7301 */
+    7495 /* Table7495 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7189 /* Table7189 */
+    7383 /* Table7383 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7191 /* Table7191 */
+    7385 /* Table7385 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -309260,42 +323406,42 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7303 /* Table7303 */
+    7497 /* Table7497 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7305 /* Table7305 */
+    7499 /* Table7499 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7197 /* Table7197 */
+    7391 /* Table7391 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7199 /* Table7199 */
+    7393 /* Table7393 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7307 /* Table7307 */
+    7501 /* Table7501 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7309 /* Table7309 */
+    7503 /* Table7503 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7205 /* Table7205 */
+    7399 /* Table7399 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7207 /* Table7207 */
+    7401 /* Table7401 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -309995,12 +324141,12 @@
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7311 /* Table7311 */
+    7505 /* Table7505 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7313 /* Table7313 */
+    7507 /* Table7507 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -310060,7 +324206,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7315 /* Table7315 */
+    7509 /* Table7509 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -310110,7 +324256,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7317 /* Table7317 */
+    7511 /* Table7511 */
    },
    /* 0x22 */
    { /* ModRMDecision */
@@ -311280,12 +325426,12 @@
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7311 /* Table7311 */
+    7505 /* Table7505 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7313 /* Table7313 */
+    7507 /* Table7507 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -311345,7 +325491,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7315 /* Table7315 */
+    7509 /* Table7509 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -311395,7 +325541,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7317 /* Table7317 */
+    7511 /* Table7511 */
    },
    /* 0x22 */
    { /* ModRMDecision */
@@ -312565,12 +326711,12 @@
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7311 /* Table7311 */
+    7505 /* Table7505 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7313 /* Table7313 */
+    7507 /* Table7507 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -312630,7 +326776,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7315 /* Table7315 */
+    7509 /* Table7509 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -312680,7 +326826,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7317 /* Table7317 */
+    7511 /* Table7511 */
    },
    /* 0x22 */
    { /* ModRMDecision */
@@ -313815,12 +327961,12 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7319 /* Table7319 */
+    7513 /* Table7513 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7321 /* Table7321 */
+    7515 /* Table7515 */
    },
    /* 0x05 */
    { /* ModRMDecision */
@@ -313840,7 +327986,7 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7323 /* Table7323 */
+    7517 /* Table7517 */
    },
    /* 0x09 */
    { /* ModRMDecision */
@@ -313920,12 +328066,12 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7325 /* Table7325 */
+    7519 /* Table7519 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7327 /* Table7327 */
+    7521 /* Table7521 */
    },
    /* 0x1a */
    { /* ModRMDecision */
@@ -313945,17 +328091,17 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7329 /* Table7329 */
+    7523 /* Table7523 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7331 /* Table7331 */
+    7525 /* Table7525 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7333 /* Table7333 */
+    7527 /* Table7527 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -314080,12 +328226,12 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7335 /* Table7335 */
+    7529 /* Table7529 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7337 /* Table7337 */
+    7531 /* Table7531 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -315085,12 +329231,12 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7339 /* Table7339 */
+    7533 /* Table7533 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7341 /* Table7341 */
+    7535 /* Table7535 */
    },
    /* 0x02 */
    { /* ModRMDecision */
@@ -315100,7 +329246,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7343 /* Table7343 */
+    7537 /* Table7537 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -315110,7 +329256,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7345 /* Table7345 */
+    7539 /* Table7539 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -315130,7 +329276,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7347 /* Table7347 */
+    7541 /* Table7541 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -315215,12 +329361,12 @@
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7349 /* Table7349 */
+    7543 /* Table7543 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7351 /* Table7351 */
+    7545 /* Table7545 */
    },
    /* 0x1c */
    { /* ModRMDecision */
@@ -315235,12 +329381,12 @@
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7353 /* Table7353 */
+    7547 /* Table7547 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7355 /* Table7355 */
+    7549 /* Table7549 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -315375,12 +329521,12 @@
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7357 /* Table7357 */
+    7551 /* Table7551 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7359 /* Table7359 */
+    7553 /* Table7553 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -316385,7 +330531,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7361 /* Table7361 */
+    7555 /* Table7555 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -317670,7 +331816,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7363 /* Table7363 */
+    7557 /* Table7557 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -318955,7 +333101,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7365 /* Table7365 */
+    7559 /* Table7559 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -320240,7 +334386,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7367 /* Table7367 */
+    7561 /* Table7561 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -323464,17 +337610,17 @@
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7369 /* Table7369 */
+    7563 /* Table7563 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7371 /* Table7371 */
+    7565 /* Table7565 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7373 /* Table7373 */
+    7567 /* Table7567 */
    },
    /* 0x88 */
    { /* ModRMDecision */
@@ -323509,12 +337655,12 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7375 /* Table7375 */
+    7569 /* Table7569 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7377 /* Table7377 */
+    7571 /* Table7571 */
    },
    /* 0x90 */
    { /* ModRMDecision */
@@ -323544,17 +337690,17 @@
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7379 /* Table7379 */
+    7573 /* Table7573 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7381 /* Table7381 */
+    7575 /* Table7575 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7383 /* Table7383 */
+    7577 /* Table7577 */
    },
    /* 0x98 */
    { /* ModRMDecision */
@@ -323589,12 +337735,12 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7385 /* Table7385 */
+    7579 /* Table7579 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7387 /* Table7387 */
+    7581 /* Table7581 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -323609,12 +337755,12 @@
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7389 /* Table7389 */
+    7583 /* Table7583 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7391 /* Table7391 */
+    7585 /* Table7585 */
    },
    /* 0xa4 */
    { /* ModRMDecision */
@@ -323629,7 +337775,7 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7393 /* Table7393 */
+    7587 /* Table7587 */
    },
    /* 0xa7 */
    { /* ModRMDecision */
@@ -323709,7 +337855,7 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7395 /* Table7395 */
+    7589 /* Table7589 */
    },
    /* 0xb7 */
    { /* ModRMDecision */
@@ -323759,22 +337905,22 @@
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7397 /* Table7397 */
+    7591 /* Table7591 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7399 /* Table7399 */
+    7593 /* Table7593 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7401 /* Table7401 */
+    7595 /* Table7595 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7403 /* Table7403 */
+    7597 /* Table7597 */
    },
    /* 0xc4 */
    { /* ModRMDecision */
@@ -323819,22 +337965,22 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7405 /* Table7405 */
+    7599 /* Table7599 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7407 /* Table7407 */
+    7601 /* Table7601 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7409 /* Table7409 */
+    7603 /* Table7603 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7411 /* Table7411 */
+    7605 /* Table7605 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -323979,22 +338125,22 @@
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7413 /* Table7413 */
+    7607 /* Table7607 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7415 /* Table7415 */
+    7609 /* Table7609 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7417 /* Table7417 */
+    7611 /* Table7611 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7419 /* Table7419 */
+    7613 /* Table7613 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -324749,17 +338895,17 @@
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7369 /* Table7369 */
+    7563 /* Table7563 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7371 /* Table7371 */
+    7565 /* Table7565 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7373 /* Table7373 */
+    7567 /* Table7567 */
    },
    /* 0x88 */
    { /* ModRMDecision */
@@ -324794,12 +338940,12 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7375 /* Table7375 */
+    7569 /* Table7569 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7377 /* Table7377 */
+    7571 /* Table7571 */
    },
    /* 0x90 */
    { /* ModRMDecision */
@@ -324829,17 +338975,17 @@
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7379 /* Table7379 */
+    7573 /* Table7573 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7381 /* Table7381 */
+    7575 /* Table7575 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7383 /* Table7383 */
+    7577 /* Table7577 */
    },
    /* 0x98 */
    { /* ModRMDecision */
@@ -324874,12 +339020,12 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7385 /* Table7385 */
+    7579 /* Table7579 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7387 /* Table7387 */
+    7581 /* Table7581 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -324894,12 +339040,12 @@
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7421 /* Table7421 */
+    7615 /* Table7615 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7423 /* Table7423 */
+    7617 /* Table7617 */
    },
    /* 0xa4 */
    { /* ModRMDecision */
@@ -324914,7 +339060,7 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7393 /* Table7393 */
+    7587 /* Table7587 */
    },
    /* 0xa7 */
    { /* ModRMDecision */
@@ -324994,7 +339140,7 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7395 /* Table7395 */
+    7589 /* Table7589 */
    },
    /* 0xb7 */
    { /* ModRMDecision */
@@ -325044,22 +339190,22 @@
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7397 /* Table7397 */
+    7591 /* Table7591 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7399 /* Table7399 */
+    7593 /* Table7593 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7401 /* Table7401 */
+    7595 /* Table7595 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7403 /* Table7403 */
+    7597 /* Table7597 */
    },
    /* 0xc4 */
    { /* ModRMDecision */
@@ -325104,22 +339250,22 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7405 /* Table7405 */
+    7599 /* Table7599 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7407 /* Table7407 */
+    7601 /* Table7601 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7409 /* Table7409 */
+    7603 /* Table7603 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7411 /* Table7411 */
+    7605 /* Table7605 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -325264,22 +339410,22 @@
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7413 /* Table7413 */
+    7607 /* Table7607 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7415 /* Table7415 */
+    7609 /* Table7609 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7417 /* Table7417 */
+    7611 /* Table7611 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7419 /* Table7419 */
+    7613 /* Table7613 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -326179,7 +340325,7 @@
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7425 /* Table7425 */
+    7619 /* Table7619 */
    },
    /* 0xa3 */
    { /* ModRMDecision */
@@ -327464,7 +341610,7 @@
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7427 /* Table7427 */
+    7621 /* Table7621 */
    },
    /* 0xa3 */
    { /* ModRMDecision */
@@ -329233,12 +343379,12 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7429 /* Table7429 */
+    7623 /* Table7623 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7445 /* Table7445 */
+    7639 /* Table7639 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -329868,22 +344014,22 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7461 /* Table7461 */
+    7655 /* Table7655 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7463 /* Table7463 */
+    7657 /* Table7657 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7465 /* Table7465 */
+    7659 /* Table7659 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7467 /* Table7467 */
+    7661 /* Table7661 */
    },
    /* 0x84 */
    { /* ModRMDecision */
@@ -329948,62 +344094,62 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7469 /* Table7469 */
+    7663 /* Table7663 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7471 /* Table7471 */
+    7665 /* Table7665 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7473 /* Table7473 */
+    7667 /* Table7667 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7475 /* Table7475 */
+    7669 /* Table7669 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7477 /* Table7477 */
+    7671 /* Table7671 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7479 /* Table7479 */
+    7673 /* Table7673 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7481 /* Table7481 */
+    7675 /* Table7675 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7483 /* Table7483 */
+    7677 /* Table7677 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7485 /* Table7485 */
+    7679 /* Table7679 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7487 /* Table7487 */
+    7681 /* Table7681 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7489 /* Table7489 */
+    7683 /* Table7683 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7491 /* Table7491 */
+    7685 /* Table7685 */
    },
    /* 0x9c */
    { /* ModRMDecision */
@@ -330193,17 +344339,17 @@
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7493 /* Table7493 */
+    7687 /* Table7687 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7495 /* Table7495 */
+    7689 /* Table7689 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7497 /* Table7497 */
+    7691 /* Table7691 */
    },
    /* 0xc4 */
    { /* ModRMDecision */
@@ -330218,12 +344364,12 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7499 /* Table7499 */
+    7693 /* Table7693 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7501 /* Table7501 */
+    7695 /* Table7695 */
    },
    /* 0xc8 */
    { /* ModRMDecision */
@@ -330243,7 +344389,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7503 /* Table7503 */
+    7697 /* Table7697 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -330273,17 +344419,17 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7505 /* Table7505 */
+    7699 /* Table7699 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7507 /* Table7507 */
+    7701 /* Table7701 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7509 /* Table7509 */
+    7703 /* Table7703 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -330298,12 +344444,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7511 /* Table7511 */
+    7705 /* Table7705 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7513 /* Table7513 */
+    7707 /* Table7707 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -330323,7 +344469,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7515 /* Table7515 */
+    7709 /* Table7709 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -330353,17 +344499,17 @@
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7517 /* Table7517 */
+    7711 /* Table7711 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7519 /* Table7519 */
+    7713 /* Table7713 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7521 /* Table7521 */
+    7715 /* Table7715 */
    },
    /* 0xe4 */
    { /* ModRMDecision */
@@ -330518,12 +344664,12 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7523 /* Table7523 */
+    7717 /* Table7717 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7539 /* Table7539 */
+    7733 /* Table7733 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -331153,22 +345299,22 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7461 /* Table7461 */
+    7655 /* Table7655 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7463 /* Table7463 */
+    7657 /* Table7657 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7465 /* Table7465 */
+    7659 /* Table7659 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7467 /* Table7467 */
+    7661 /* Table7661 */
    },
    /* 0x84 */
    { /* ModRMDecision */
@@ -331233,62 +345379,62 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7555 /* Table7555 */
+    7749 /* Table7749 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7557 /* Table7557 */
+    7751 /* Table7751 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7559 /* Table7559 */
+    7753 /* Table7753 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7561 /* Table7561 */
+    7755 /* Table7755 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7563 /* Table7563 */
+    7757 /* Table7757 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7565 /* Table7565 */
+    7759 /* Table7759 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7567 /* Table7567 */
+    7761 /* Table7761 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7569 /* Table7569 */
+    7763 /* Table7763 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7571 /* Table7571 */
+    7765 /* Table7765 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7573 /* Table7573 */
+    7767 /* Table7767 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7575 /* Table7575 */
+    7769 /* Table7769 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7577 /* Table7577 */
+    7771 /* Table7771 */
    },
    /* 0x9c */
    { /* ModRMDecision */
@@ -331478,17 +345624,17 @@
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7493 /* Table7493 */
+    7687 /* Table7687 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7495 /* Table7495 */
+    7689 /* Table7689 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7497 /* Table7497 */
+    7691 /* Table7691 */
    },
    /* 0xc4 */
    { /* ModRMDecision */
@@ -331503,12 +345649,12 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7499 /* Table7499 */
+    7693 /* Table7693 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7501 /* Table7501 */
+    7695 /* Table7695 */
    },
    /* 0xc8 */
    { /* ModRMDecision */
@@ -331528,7 +345674,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7503 /* Table7503 */
+    7697 /* Table7697 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -331558,17 +345704,17 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7505 /* Table7505 */
+    7699 /* Table7699 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7507 /* Table7507 */
+    7701 /* Table7701 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7509 /* Table7509 */
+    7703 /* Table7703 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -331583,12 +345729,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7511 /* Table7511 */
+    7705 /* Table7705 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7513 /* Table7513 */
+    7707 /* Table7707 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -331608,7 +345754,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7515 /* Table7515 */
+    7709 /* Table7709 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -331638,17 +345784,17 @@
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7517 /* Table7517 */
+    7711 /* Table7711 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7519 /* Table7519 */
+    7713 /* Table7713 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7521 /* Table7521 */
+    7715 /* Table7715 */
    },
    /* 0xe4 */
    { /* ModRMDecision */
@@ -332438,12 +346584,12 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7579 /* Table7579 */
+    7773 /* Table7773 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7581 /* Table7581 */
+    7775 /* Table7775 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -333723,12 +347869,12 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7579 /* Table7579 */
+    7773 /* Table7773 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7581 /* Table7581 */
+    7775 /* Table7775 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -335737,7 +349883,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7583 /* Table7583 */
+    7777 /* Table7777 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -337022,7 +351168,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7585 /* Table7585 */
+    7779 /* Table7779 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -339581,12 +353727,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7587 /* Table7587 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    7603 /* Table7603 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -340866,12 +355012,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7587 /* Table7587 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    7603 /* Table7603 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -342151,12 +356297,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7587 /* Table7587 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    7603 /* Table7603 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -343436,12 +357582,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7587 /* Table7587 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    7603 /* Table7603 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -344716,12 +358862,12 @@
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7604 /* Table7604 */
+    7798 /* Table7798 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7606 /* Table7606 */
+    7800 /* Table7800 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -344796,12 +358942,12 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7608 /* Table7608 */
+    7802 /* Table7802 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7610 /* Table7610 */
+    7804 /* Table7804 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -345346,7 +359492,7 @@
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7612 /* Table7612 */
+    7806 /* Table7806 */
    },
    /* 0x8b */
    { /* ModRMDecision */
@@ -345366,7 +359512,7 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7614 /* Table7614 */
+    7808 /* Table7808 */
    },
    /* 0x8f */
    { /* ModRMDecision */
@@ -345376,7 +359522,7 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7616 /* Table7616 */
+    7810 /* Table7810 */
    },
    /* 0x91 */
    { /* ModRMDecision */
@@ -345396,7 +359542,7 @@
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7618 /* Table7618 */
+    7812 /* Table7812 */
    },
    /* 0x95 */
    { /* ModRMDecision */
@@ -345406,12 +359552,12 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7620 /* Table7620 */
+    7814 /* Table7814 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7622 /* Table7622 */
+    7816 /* Table7816 */
    },
    /* 0x98 */
    { /* ModRMDecision */
@@ -345426,7 +359572,7 @@
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7624 /* Table7624 */
+    7818 /* Table7818 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -345446,7 +359592,7 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7626 /* Table7626 */
+    7820 /* Table7820 */
    },
    /* 0x9f */
    { /* ModRMDecision */
@@ -345456,7 +359602,7 @@
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7628 /* Table7628 */
+    7822 /* Table7822 */
    },
    /* 0xa1 */
    { /* ModRMDecision */
@@ -345476,7 +359622,7 @@
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7630 /* Table7630 */
+    7824 /* Table7824 */
    },
    /* 0xa5 */
    { /* ModRMDecision */
@@ -345486,12 +359632,12 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7632 /* Table7632 */
+    7826 /* Table7826 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7634 /* Table7634 */
+    7828 /* Table7828 */
    },
    /* 0xa8 */
    { /* ModRMDecision */
@@ -345506,7 +359652,7 @@
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7636 /* Table7636 */
+    7830 /* Table7830 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -345526,7 +359672,7 @@
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7638 /* Table7638 */
+    7832 /* Table7832 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -345536,7 +359682,7 @@
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7640 /* Table7640 */
+    7834 /* Table7834 */
    },
    /* 0xb1 */
    { /* ModRMDecision */
@@ -345556,7 +359702,7 @@
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7642 /* Table7642 */
+    7836 /* Table7836 */
    },
    /* 0xb5 */
    { /* ModRMDecision */
@@ -345566,12 +359712,12 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7644 /* Table7644 */
+    7838 /* Table7838 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7646 /* Table7646 */
+    7840 /* Table7840 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -345591,7 +359737,7 @@
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7648 /* Table7648 */
+    7842 /* Table7842 */
    },
    /* 0xbc */
    { /* ModRMDecision */
@@ -345611,7 +359757,7 @@
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    7650 /* Table7650 */
+    7844 /* Table7844 */
    },
    /* 0xc0 */
    { /* ModRMDecision */
@@ -346006,12 +360152,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7587 /* Table7587 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    7603 /* Table7603 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -347291,12 +361437,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7587 /* Table7587 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    7603 /* Table7603 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -348576,12 +362722,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7587 /* Table7587 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    7603 /* Table7603 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -349861,12 +364007,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7587 /* Table7587 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    7603 /* Table7603 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -351146,12 +365292,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7587 /* Table7587 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    7603 /* Table7603 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -352431,12 +366577,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7587 /* Table7587 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    7603 /* Table7603 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -353716,12 +367862,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7587 /* Table7587 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    7603 /* Table7603 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -355001,12 +369147,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7587 /* Table7587 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    7603 /* Table7603 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -356286,12 +370432,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7587 /* Table7587 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    7603 /* Table7603 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -357571,12 +371717,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    7587 /* Table7587 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    7603 /* Table7603 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -360074,3 +374220,4 @@
 static const uint8_t index_x86DisassemblerT3DNOWOpcodes[] = {
 1, 2, 3, 4, 5, 6, 7, 0, 0, 8, 9, 10, 11, 12, 0, 0, 13, 14, 15, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, };
 
+
diff --git a/arch/X86/X86GenDisassemblerTables_reduce.inc b/arch/X86/X86GenDisassemblerTables_reduce.inc
index 028520e..545599f 100644
--- a/arch/X86/X86GenDisassemblerTables_reduce.inc
+++ b/arch/X86/X86GenDisassemblerTables_reduce.inc
@@ -1521,23 +1521,23 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 28 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 29 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 30 */
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1545,6 +1545,22 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 31 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 32 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 33 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1552,7 +1568,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 32 */
+  { /* 34 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
@@ -1560,7 +1576,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 33 */
+  { /* 35 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_RM, TYPE_R32 },
@@ -1568,7 +1584,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 34 */
+  { /* 36 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_RM, TYPE_Mv },
@@ -1576,7 +1592,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 35 */
+  { /* 37 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_RM, TYPE_R64 },
@@ -1584,7 +1600,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 36 */
+  { /* 38 */
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_R16 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1592,7 +1608,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 37 */
+  { /* 39 */
     { ENCODING_RM, TYPE_R16 },
     { ENCODING_REG, TYPE_R16 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1600,39 +1616,39 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 38 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_VVVV, TYPE_R32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 39 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_VVVV, TYPE_R32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 40 */
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
-    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 41 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 42 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 43 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 44 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_Iv, TYPE_IMMv },
@@ -1640,7 +1656,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 43 */
+  { /* 45 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_Iv, TYPE_IMMv },
@@ -1648,7 +1664,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 44 */
+  { /* 46 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_ID, TYPE_IMM64 },
@@ -1656,7 +1672,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 45 */
+  { /* 47 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_ID, TYPE_IMM64 },
@@ -1664,24 +1680,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 46 */
-    { ENCODING_VVVV, TYPE_R32 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 47 */
-    { ENCODING_VVVV, TYPE_R32 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 48 */
-    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1689,15 +1689,15 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 49 */
-    { ENCODING_VVVV, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_VVVV, TYPE_R32 },
+    { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 50 */
-    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1705,6 +1705,22 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 51 */
+    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 52 */
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 53 */
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
@@ -1712,7 +1728,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 52 */
+  { /* 54 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_Rv, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
@@ -1720,7 +1736,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 53 */
+  { /* 55 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RO, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1728,31 +1744,31 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 54 */
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMMv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 55 */
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 56 */
     { ENCODING_RM, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_IB, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 57 */
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 58 */
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 59 */
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1760,7 +1776,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 58 */
+  { /* 60 */
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1768,24 +1784,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 59 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 60 */
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 61 */
-    { ENCODING_ID, TYPE_REL64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1793,7 +1793,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 62 */
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1801,7 +1801,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 63 */
-    { ENCODING_IW, TYPE_REL16 },
+    { ENCODING_ID, TYPE_REL64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1809,7 +1809,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 64 */
-    { ENCODING_ID, TYPE_REL32 },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1817,6 +1817,22 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 65 */
+    { ENCODING_IW, TYPE_REL16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 66 */
+    { ENCODING_ID, TYPE_REL32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 67 */
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
@@ -1824,7 +1840,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 66 */
+  { /* 68 */
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_ID, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1832,25 +1848,9 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 67 */
-    { ENCODING_RM, TYPE_R8 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 68 */
-    { ENCODING_REG, TYPE_R8 },
-    { ENCODING_RM, TYPE_M8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 69 */
     { ENCODING_RM, TYPE_R8 },
-    { ENCODING_REG, TYPE_R8 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1858,13 +1858,29 @@
   },
   { /* 70 */
     { ENCODING_REG, TYPE_R8 },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 71 */
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_REG, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 72 */
+    { ENCODING_REG, TYPE_R8 },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 73 */
     { ENCODING_DI, TYPE_DSTIDX8 },
     { ENCODING_SI, TYPE_SRCIDX8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1872,7 +1888,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 72 */
+  { /* 74 */
     { ENCODING_DI, TYPE_DSTIDX32 },
     { ENCODING_SI, TYPE_SRCIDX32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1880,7 +1896,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 73 */
+  { /* 75 */
     { ENCODING_DI, TYPE_DSTIDX64 },
     { ENCODING_SI, TYPE_SRCIDX64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1888,7 +1904,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 74 */
+  { /* 76 */
     { ENCODING_DI, TYPE_DSTIDX16 },
     { ENCODING_SI, TYPE_SRCIDX16 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1896,7 +1912,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 75 */
+  { /* 77 */
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1904,7 +1920,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 76 */
+  { /* 78 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
@@ -1912,32 +1928,16 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 77 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 78 */
-    { ENCODING_RM, TYPE_M8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 79 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 80 */
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1945,24 +1945,24 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 81 */
-    { ENCODING_IW, TYPE_IMM16 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 82 */
-    { ENCODING_Iv, TYPE_IMMv },
-    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 83 */
-    { ENCODING_RM, TYPE_M1616 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1970,14 +1970,14 @@
   },
   { /* 84 */
     { ENCODING_Iv, TYPE_IMMv },
-    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 85 */
-    { ENCODING_RM, TYPE_M1632 },
+    { ENCODING_RM, TYPE_M1616 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1985,6 +1985,22 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 86 */
+    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 87 */
+    { ENCODING_RM, TYPE_M1632 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 88 */
     { ENCODING_RM, TYPE_M1664 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1992,25 +2008,9 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 87 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_Iv, TYPE_IMMv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 88 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMMv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 89 */
     { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2018,7 +2018,7 @@
   },
   { /* 90 */
     { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2026,8 +2026,8 @@
   },
   { /* 91 */
     { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2035,12 +2035,28 @@
   { /* 92 */
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_IB, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 93 */
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 94 */
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 95 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM64 },
@@ -2048,7 +2064,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 94 */
+  { /* 96 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_IB, TYPE_IMM64 },
@@ -2056,7 +2072,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 95 */
+  { /* 97 */
     { ENCODING_DI, TYPE_DSTIDX8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2064,7 +2080,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 96 */
+  { /* 98 */
     { ENCODING_DI, TYPE_DSTIDX32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2072,7 +2088,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 97 */
+  { /* 99 */
     { ENCODING_DI, TYPE_DSTIDX16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2080,7 +2096,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 98 */
+  { /* 100 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2088,7 +2104,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 99 */
+  { /* 101 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2096,7 +2112,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 100 */
+  { /* 102 */
     { ENCODING_IB, TYPE_REL8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2104,7 +2120,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 101 */
+  { /* 103 */
     { ENCODING_Iv, TYPE_RELv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2112,7 +2128,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 102 */
+  { /* 104 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2120,31 +2136,31 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 103 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_M1616 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 104 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_M1632 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 105 */
     { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_LEA },
+    { ENCODING_RM, TYPE_M1616 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 106 */
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_M1632 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 107 */
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_LEA },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 108 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_LEA },
     { ENCODING_NONE, TYPE_NONE },
@@ -2152,7 +2168,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 107 */
+  { /* 109 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_M1664 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2160,24 +2176,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 108 */
-    { ENCODING_RM, TYPE_R16 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 109 */
-    { ENCODING_SI, TYPE_SRCIDX8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 110 */
-    { ENCODING_SI, TYPE_SRCIDX32 },
+    { ENCODING_RM, TYPE_R16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2185,7 +2185,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 111 */
-    { ENCODING_SI, TYPE_SRCIDX64 },
+    { ENCODING_SI, TYPE_SRCIDX8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2193,7 +2193,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 112 */
-    { ENCODING_SI, TYPE_SRCIDX16 },
+    { ENCODING_SI, TYPE_SRCIDX32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2201,7 +2201,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 113 */
-    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_SI, TYPE_SRCIDX64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2209,7 +2209,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 114 */
-    { ENCODING_Ia, TYPE_MOFFS16 },
+    { ENCODING_SI, TYPE_SRCIDX16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2217,23 +2217,23 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 115 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 116 */
-    { ENCODING_Rv, TYPE_Rv },
-    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_Ia, TYPE_MOFFS16 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 117 */
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_SEGMENTREG },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2241,6 +2241,22 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 118 */
+    { ENCODING_Rv, TYPE_Rv },
+    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 119 */
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 120 */
     { ENCODING_REG, TYPE_SEGMENTREG },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
@@ -2248,7 +2264,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 119 */
+  { /* 121 */
     { ENCODING_REG, TYPE_SEGMENTREG },
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
@@ -2256,7 +2272,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 120 */
+  { /* 122 */
     { ENCODING_Ia, TYPE_MOFFS32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2264,31 +2280,31 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 121 */
-    { ENCODING_REG, TYPE_CONTROLREG },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 122 */
-    { ENCODING_REG, TYPE_DEBUGREG },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 123 */
-    { ENCODING_RM, TYPE_R32 },
     { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 124 */
+    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 125 */
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 126 */
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_REG, TYPE_DEBUGREG },
     { ENCODING_NONE, TYPE_NONE },
@@ -2296,7 +2312,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 125 */
+  { /* 127 */
     { ENCODING_Ia, TYPE_MOFFS64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2304,7 +2320,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 126 */
+  { /* 128 */
     { ENCODING_Ia, TYPE_MOFFS8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2312,41 +2328,25 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 127 */
-    { ENCODING_REG, TYPE_CONTROLREG },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 128 */
-    { ENCODING_REG, TYPE_DEBUGREG },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 129 */
-    { ENCODING_RM, TYPE_R64 },
     { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 130 */
-    { ENCODING_RM, TYPE_R64 },
     { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 131 */
-    { ENCODING_RO, TYPE_R64 },
-    { ENCODING_IO, TYPE_IMMv },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_CONTROLREG },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2354,13 +2354,29 @@
   },
   { /* 132 */
     { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_REG, TYPE_DEBUGREG },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 133 */
+    { ENCODING_RO, TYPE_R64 },
+    { ENCODING_IO, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 134 */
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 135 */
     { ENCODING_REG, TYPE_SEGMENTREG },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2368,7 +2384,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 134 */
+  { /* 136 */
     { ENCODING_RB, TYPE_R8 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2376,7 +2392,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 135 */
+  { /* 137 */
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2384,7 +2400,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 136 */
+  { /* 138 */
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2392,24 +2408,8 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 137 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_R16 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 138 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_M8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 139 */
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_R16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2418,13 +2418,29 @@
   },
   { /* 140 */
     { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 141 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 142 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 143 */
     { ENCODING_Rv, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2432,7 +2448,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 142 */
+  { /* 144 */
     { ENCODING_RO, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2440,7 +2456,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 143 */
+  { /* 145 */
     { ENCODING_IB, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2448,7 +2464,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 144 */
+  { /* 146 */
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2456,7 +2472,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 145 */
+  { /* 147 */
     { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2464,7 +2480,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 146 */
+  { /* 148 */
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2472,7 +2488,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 147 */
+  { /* 149 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_IB, TYPE_IMM8 },
@@ -2480,7 +2496,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 148 */
+  { /* 150 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_IB, TYPE_IMM8 },
@@ -2488,32 +2504,16 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 149 */
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 150 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 151 */
-    { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_R32 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 152 */
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2521,6 +2521,22 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 153 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 154 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 155 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_IB, TYPE_IMM8 },
@@ -2528,7 +2544,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 154 */
+  { /* 156 */
     { ENCODING_DI, TYPE_DSTIDX64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2536,25 +2552,9 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 155 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 156 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
   { /* 157 */
     { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_REG, TYPE_Rv },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2562,13 +2562,29 @@
   },
   { /* 158 */
     { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 159 */
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 160 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 159 */
+  { /* 161 */
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2576,7 +2592,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 160 */
+  { /* 162 */
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -2584,7 +2600,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 161 */
+  { /* 163 */
     { ENCODING_RM, TYPE_M512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2594,7 +2610,7 @@
   },
 };
 
-static const struct InstructionSpecifier x86DisassemblerInstrSpecifiers[1675] = {
+static const struct InstructionSpecifier x86DisassemblerInstrSpecifiers[1669] = {
   { /* 0 */
     0,
     /*  */
@@ -2852,11 +2868,11 @@
     /* ADCX32rr */
   },
   { /* 64 */
-    30,
+    19,
     /* ADCX64rm */
   },
   { /* 65 */
-    31,
+    21,
     /* ADCX64rr */
   },
   { /* 66 */
@@ -3052,19 +3068,19 @@
     /*  */
   },
   { /* 114 */
-    28,
+    30,
     /* ADOX32rm */
   },
   { /* 115 */
-    29,
+    31,
     /* ADOX32rr */
   },
   { /* 116 */
-    30,
+    32,
     /* ADOX64rm */
   },
   { /* 117 */
-    31,
+    33,
     /* ADOX64rr */
   },
   { /* 118 */
@@ -3208,283 +3224,283 @@
     /* AND8rr_REV */
   },
   { /* 153 */
-    32,
+    34,
     /* ANDN32rm */
   },
   { /* 154 */
-    33,
+    35,
     /* ANDN32rr */
   },
   { /* 155 */
-    34,
+    36,
     /* ANDN64rm */
   },
   { /* 156 */
-    35,
+    37,
     /* ANDN64rr */
   },
   { /* 157 */
-    36,
+    38,
     /* ARPL16mr */
   },
   { /* 158 */
-    37,
+    39,
     /* ARPL16rr */
   },
   { /* 159 */
-    38,
+    40,
     /* BEXTR32rm */
   },
   { /* 160 */
-    39,
+    41,
     /* BEXTR32rr */
   },
   { /* 161 */
-    40,
+    42,
     /* BEXTR64rm */
   },
   { /* 162 */
-    41,
+    43,
     /* BEXTR64rr */
   },
   { /* 163 */
-    42,
+    44,
     /* BEXTRI32mi */
   },
   { /* 164 */
-    43,
+    45,
     /* BEXTRI32ri */
   },
   { /* 165 */
-    44,
+    46,
     /* BEXTRI64mi */
   },
   { /* 166 */
-    45,
+    47,
     /* BEXTRI64ri */
   },
   { /* 167 */
-    46,
+    48,
     /* BLCFILL32rm */
   },
   { /* 168 */
-    47,
+    49,
     /* BLCFILL32rr */
   },
   { /* 169 */
-    48,
+    50,
     /* BLCFILL64rm */
   },
   { /* 170 */
-    49,
+    51,
     /* BLCFILL64rr */
   },
   { /* 171 */
-    46,
+    48,
     /* BLCI32rm */
   },
   { /* 172 */
-    47,
+    49,
     /* BLCI32rr */
   },
   { /* 173 */
-    48,
+    50,
     /* BLCI64rm */
   },
   { /* 174 */
-    49,
+    51,
     /* BLCI64rr */
   },
   { /* 175 */
-    46,
+    48,
     /* BLCIC32rm */
   },
   { /* 176 */
-    47,
+    49,
     /* BLCIC32rr */
   },
   { /* 177 */
-    48,
+    50,
     /* BLCIC64rm */
   },
   { /* 178 */
-    49,
+    51,
     /* BLCIC64rr */
   },
   { /* 179 */
-    46,
+    48,
     /* BLCMSK32rm */
   },
   { /* 180 */
-    47,
+    49,
     /* BLCMSK32rr */
   },
   { /* 181 */
-    48,
+    50,
     /* BLCMSK64rm */
   },
   { /* 182 */
-    49,
+    51,
     /* BLCMSK64rr */
   },
   { /* 183 */
-    46,
+    48,
     /* BLCS32rm */
   },
   { /* 184 */
-    47,
+    49,
     /* BLCS32rr */
   },
   { /* 185 */
-    48,
+    50,
     /* BLCS64rm */
   },
   { /* 186 */
-    49,
+    51,
     /* BLCS64rr */
   },
   { /* 187 */
-    46,
+    48,
     /* BLSFILL32rm */
   },
   { /* 188 */
-    47,
+    49,
     /* BLSFILL32rr */
   },
   { /* 189 */
-    48,
+    50,
     /* BLSFILL64rm */
   },
   { /* 190 */
-    49,
+    51,
     /* BLSFILL64rr */
   },
   { /* 191 */
-    46,
+    48,
     /* BLSI32rm */
   },
   { /* 192 */
-    47,
+    49,
     /* BLSI32rr */
   },
   { /* 193 */
-    48,
+    50,
     /* BLSI64rm */
   },
   { /* 194 */
-    49,
+    51,
     /* BLSI64rr */
   },
   { /* 195 */
-    46,
+    48,
     /* BLSIC32rm */
   },
   { /* 196 */
-    47,
+    49,
     /* BLSIC32rr */
   },
   { /* 197 */
-    48,
+    50,
     /* BLSIC64rm */
   },
   { /* 198 */
-    49,
+    51,
     /* BLSIC64rr */
   },
   { /* 199 */
-    46,
+    48,
     /* BLSMSK32rm */
   },
   { /* 200 */
-    47,
+    49,
     /* BLSMSK32rr */
   },
   { /* 201 */
-    48,
+    50,
     /* BLSMSK64rm */
   },
   { /* 202 */
-    49,
+    51,
     /* BLSMSK64rr */
   },
   { /* 203 */
-    46,
+    48,
     /* BLSR32rm */
   },
   { /* 204 */
-    47,
+    49,
     /* BLSR32rr */
   },
   { /* 205 */
-    48,
+    50,
     /* BLSR64rm */
   },
   { /* 206 */
-    49,
+    51,
     /* BLSR64rr */
   },
   { /* 207 */
-    50,
+    52,
     /* BOUNDS16rm */
   },
   { /* 208 */
-    50,
+    52,
     /* BOUNDS32rm */
   },
   { /* 209 */
-    50,
+    52,
     /* BSF16rm */
   },
   { /* 210 */
-    51,
+    53,
     /* BSF16rr */
   },
   { /* 211 */
-    50,
+    52,
     /* BSF32rm */
   },
   { /* 212 */
-    51,
+    53,
     /* BSF32rr */
   },
   { /* 213 */
-    30,
+    32,
     /* BSF64rm */
   },
   { /* 214 */
-    31,
+    33,
     /* BSF64rr */
   },
   { /* 215 */
-    50,
+    52,
     /* BSR16rm */
   },
   { /* 216 */
-    51,
+    53,
     /* BSR16rr */
   },
   { /* 217 */
-    50,
+    52,
     /* BSR32rm */
   },
   { /* 218 */
-    51,
+    53,
     /* BSR32rr */
   },
   { /* 219 */
-    30,
+    32,
     /* BSR64rm */
   },
   { /* 220 */
-    31,
+    33,
     /* BSR64rr */
   },
   { /* 221 */
-    52,
+    54,
     /* BSWAP32r */
   },
   { /* 222 */
-    53,
+    55,
     /* BSWAP64r */
   },
   { /* 223 */
@@ -3496,11 +3512,11 @@
     /* BT16mr */
   },
   { /* 225 */
-    54,
+    56,
     /* BT16ri8 */
   },
   { /* 226 */
-    55,
+    57,
     /* BT16rr */
   },
   { /* 227 */
@@ -3512,11 +3528,11 @@
     /* BT32mr */
   },
   { /* 229 */
-    56,
+    58,
     /* BT32ri8 */
   },
   { /* 230 */
-    55,
+    57,
     /* BT32rr */
   },
   { /* 231 */
@@ -3528,11 +3544,11 @@
     /* BT64mr */
   },
   { /* 233 */
-    57,
+    59,
     /* BT64ri8 */
   },
   { /* 234 */
-    58,
+    60,
     /* BT64rr */
   },
   { /* 235 */
@@ -3544,11 +3560,11 @@
     /* BTC16mr */
   },
   { /* 237 */
-    54,
+    56,
     /* BTC16ri8 */
   },
   { /* 238 */
-    55,
+    57,
     /* BTC16rr */
   },
   { /* 239 */
@@ -3560,11 +3576,11 @@
     /* BTC32mr */
   },
   { /* 241 */
-    56,
+    58,
     /* BTC32ri8 */
   },
   { /* 242 */
-    55,
+    57,
     /* BTC32rr */
   },
   { /* 243 */
@@ -3576,11 +3592,11 @@
     /* BTC64mr */
   },
   { /* 245 */
-    57,
+    59,
     /* BTC64ri8 */
   },
   { /* 246 */
-    58,
+    60,
     /* BTC64rr */
   },
   { /* 247 */
@@ -3592,11 +3608,11 @@
     /* BTR16mr */
   },
   { /* 249 */
-    54,
+    56,
     /* BTR16ri8 */
   },
   { /* 250 */
-    55,
+    57,
     /* BTR16rr */
   },
   { /* 251 */
@@ -3608,11 +3624,11 @@
     /* BTR32mr */
   },
   { /* 253 */
-    56,
+    58,
     /* BTR32ri8 */
   },
   { /* 254 */
-    55,
+    57,
     /* BTR32rr */
   },
   { /* 255 */
@@ -3624,11 +3640,11 @@
     /* BTR64mr */
   },
   { /* 257 */
-    57,
+    59,
     /* BTR64ri8 */
   },
   { /* 258 */
-    58,
+    60,
     /* BTR64rr */
   },
   { /* 259 */
@@ -3640,11 +3656,11 @@
     /* BTS16mr */
   },
   { /* 261 */
-    54,
+    56,
     /* BTS16ri8 */
   },
   { /* 262 */
-    55,
+    57,
     /* BTS16rr */
   },
   { /* 263 */
@@ -3656,11 +3672,11 @@
     /* BTS32mr */
   },
   { /* 265 */
-    56,
+    58,
     /* BTS32ri8 */
   },
   { /* 266 */
-    55,
+    57,
     /* BTS32rr */
   },
   { /* 267 */
@@ -3672,63 +3688,63 @@
     /* BTS64mr */
   },
   { /* 269 */
-    57,
+    59,
     /* BTS64ri8 */
   },
   { /* 270 */
-    58,
+    60,
     /* BTS64rr */
   },
   { /* 271 */
-    38,
+    40,
     /* BZHI32rm */
   },
   { /* 272 */
-    39,
+    41,
     /* BZHI32rr */
   },
   { /* 273 */
-    40,
+    42,
     /* BZHI64rm */
   },
   { /* 274 */
-    41,
+    43,
     /* BZHI64rr */
   },
   { /* 275 */
-    59,
+    61,
     /* CALL16m */
   },
   { /* 276 */
-    60,
+    62,
     /* CALL16r */
   },
   { /* 277 */
-    59,
+    61,
     /* CALL32m */
   },
   { /* 278 */
-    60,
+    62,
     /* CALL32r */
   },
   { /* 279 */
-    59,
+    61,
     /* CALL64m */
   },
   { /* 280 */
-    61,
+    63,
     /* CALL64pcrel32 */
   },
   { /* 281 */
-    62,
+    64,
     /* CALL64r */
   },
   { /* 282 */
-    63,
+    65,
     /* CALLpcrel16 */
   },
   { /* 283 */
-    64,
+    66,
     /* CALLpcrel32 */
   },
   { /* 284 */
@@ -4240,23 +4256,23 @@
     /* CMP16mr */
   },
   { /* 411 */
-    65,
+    67,
     /* CMP16ri */
   },
   { /* 412 */
-    54,
+    56,
     /* CMP16ri8 */
   },
   { /* 413 */
-    50,
+    52,
     /* CMP16rm */
   },
   { /* 414 */
-    55,
+    57,
     /* CMP16rr */
   },
   { /* 415 */
-    51,
+    53,
     /* CMP16rr_REV */
   },
   { /* 416 */
@@ -4276,23 +4292,23 @@
     /* CMP32mr */
   },
   { /* 420 */
-    65,
+    67,
     /* CMP32ri */
   },
   { /* 421 */
-    56,
+    58,
     /* CMP32ri8 */
   },
   { /* 422 */
-    50,
+    52,
     /* CMP32rm */
   },
   { /* 423 */
-    55,
+    57,
     /* CMP32rr */
   },
   { /* 424 */
-    51,
+    53,
     /* CMP32rr_REV */
   },
   { /* 425 */
@@ -4312,23 +4328,23 @@
     /* CMP64mr */
   },
   { /* 429 */
-    66,
+    68,
     /* CMP64ri32 */
   },
   { /* 430 */
-    57,
+    59,
     /* CMP64ri8 */
   },
   { /* 431 */
-    30,
+    32,
     /* CMP64rm */
   },
   { /* 432 */
-    58,
+    60,
     /* CMP64rr */
   },
   { /* 433 */
-    31,
+    33,
     /* CMP64rr_REV */
   },
   { /* 434 */
@@ -4344,39 +4360,39 @@
     /* CMP8mr */
   },
   { /* 437 */
-    67,
+    69,
     /* CMP8ri */
   },
   { /* 438 */
-    68,
+    70,
     /* CMP8rm */
   },
   { /* 439 */
-    69,
+    71,
     /* CMP8rr */
   },
   { /* 440 */
-    70,
+    72,
     /* CMP8rr_REV */
   },
   { /* 441 */
-    71,
+    73,
     /* CMPSB */
   },
   { /* 442 */
-    72,
+    74,
     /* CMPSL */
   },
   { /* 443 */
-    73,
+    75,
     /* CMPSQ */
   },
   { /* 444 */
-    74,
+    76,
     /* CMPSW */
   },
   { /* 445 */
-    75,
+    77,
     /* CMPXCHG16B */
   },
   { /* 446 */
@@ -4384,7 +4400,7 @@
     /* CMPXCHG16rm */
   },
   { /* 447 */
-    55,
+    57,
     /* CMPXCHG16rr */
   },
   { /* 448 */
@@ -4392,7 +4408,7 @@
     /* CMPXCHG32rm */
   },
   { /* 449 */
-    55,
+    57,
     /* CMPXCHG32rr */
   },
   { /* 450 */
@@ -4400,11 +4416,11 @@
     /* CMPXCHG64rm */
   },
   { /* 451 */
-    58,
+    60,
     /* CMPXCHG64rr */
   },
   { /* 452 */
-    59,
+    61,
     /* CMPXCHG8B */
   },
   { /* 453 */
@@ -4412,7 +4428,7 @@
     /* CMPXCHG8rm */
   },
   { /* 454 */
-    69,
+    71,
     /* CMPXCHG8rr */
   },
   { /* 455 */
@@ -4429,119 +4445,119 @@
   },
   { /* 458 */
     0,
-    /* CS_PREFIX */
+    /* CWD */
   },
   { /* 459 */
     0,
-    /* CWD */
+    /* CWDE */
   },
   { /* 460 */
     0,
-    /* CWDE */
+    /* DAA */
   },
   { /* 461 */
     0,
-    /* DAA */
+    /* DAS */
   },
   { /* 462 */
     0,
-    /* DAS */
-  },
-  { /* 463 */
-    0,
     /* DATA16_PREFIX */
   },
-  { /* 464 */
-    59,
+  { /* 463 */
+    61,
     /* DEC16m */
   },
-  { /* 465 */
-    52,
+  { /* 464 */
+    54,
     /* DEC16r */
   },
-  { /* 466 */
-    76,
+  { /* 465 */
+    78,
     /* DEC32_16r */
   },
-  { /* 467 */
-    76,
+  { /* 466 */
+    78,
     /* DEC32_32r */
   },
-  { /* 468 */
-    59,
+  { /* 467 */
+    61,
     /* DEC32m */
   },
-  { /* 469 */
-    52,
+  { /* 468 */
+    54,
     /* DEC32r */
   },
-  { /* 470 */
-    59,
+  { /* 469 */
+    61,
     /* DEC64_16m */
   },
-  { /* 471 */
-    76,
+  { /* 470 */
+    78,
     /* DEC64_16r */
   },
-  { /* 472 */
-    59,
+  { /* 471 */
+    61,
     /* DEC64_32m */
   },
-  { /* 473 */
-    76,
+  { /* 472 */
+    78,
     /* DEC64_32r */
   },
-  { /* 474 */
-    59,
+  { /* 473 */
+    61,
     /* DEC64m */
   },
-  { /* 475 */
-    77,
+  { /* 474 */
+    79,
     /* DEC64r */
   },
-  { /* 476 */
-    78,
+  { /* 475 */
+    80,
     /* DEC8m */
   },
-  { /* 477 */
-    79,
+  { /* 476 */
+    81,
     /* DEC8r */
   },
-  { /* 478 */
-    59,
+  { /* 477 */
+    61,
     /* DIV16m */
   },
-  { /* 479 */
-    60,
+  { /* 478 */
+    62,
     /* DIV16r */
   },
-  { /* 480 */
-    59,
+  { /* 479 */
+    61,
     /* DIV32m */
   },
-  { /* 481 */
-    60,
+  { /* 480 */
+    62,
     /* DIV32r */
   },
-  { /* 482 */
-    59,
+  { /* 481 */
+    61,
     /* DIV64m */
   },
-  { /* 483 */
-    62,
+  { /* 482 */
+    64,
     /* DIV64r */
   },
-  { /* 484 */
-    78,
+  { /* 483 */
+    80,
     /* DIV8m */
   },
-  { /* 485 */
-    80,
+  { /* 484 */
+    82,
     /* DIV8r */
   },
+  { /* 485 */
+    0,
+    /*  */
+  },
   { /* 486 */
     0,
-    /* DS_PREFIX */
+    /*  */
   },
   { /* 487 */
     0,
@@ -4564,781 +4580,781 @@
     /*  */
   },
   { /* 492 */
-    0,
-    /*  */
-  },
-  { /* 493 */
-    0,
-    /*  */
-  },
-  { /* 494 */
-    81,
+    83,
     /* ENTER */
   },
-  { /* 495 */
-    0,
-    /* ES_PREFIX */
-  },
-  { /* 496 */
-    82,
+  { /* 493 */
+    84,
     /* FARCALL16i */
   },
-  { /* 497 */
-    83,
+  { /* 494 */
+    85,
     /* FARCALL16m */
   },
+  { /* 495 */
+    86,
+    /* FARCALL32i */
+  },
+  { /* 496 */
+    87,
+    /* FARCALL32m */
+  },
+  { /* 497 */
+    88,
+    /* FARCALL64 */
+  },
   { /* 498 */
     84,
-    /* FARCALL32i */
+    /* FARJMP16i */
   },
   { /* 499 */
     85,
-    /* FARCALL32m */
+    /* FARJMP16m */
   },
   { /* 500 */
     86,
-    /* FARCALL64 */
-  },
-  { /* 501 */
-    82,
-    /* FARJMP16i */
-  },
-  { /* 502 */
-    83,
-    /* FARJMP16m */
-  },
-  { /* 503 */
-    84,
     /* FARJMP32i */
   },
-  { /* 504 */
-    85,
+  { /* 501 */
+    87,
     /* FARJMP32m */
   },
-  { /* 505 */
-    86,
+  { /* 502 */
+    88,
     /* FARJMP64 */
   },
-  { /* 506 */
+  { /* 503 */
     0,
     /* FSETPM */
   },
-  { /* 507 */
-    0,
-    /* FS_PREFIX */
-  },
-  { /* 508 */
+  { /* 504 */
     0,
     /* GETSEC */
   },
-  { /* 509 */
-    0,
-    /* GS_PREFIX */
-  },
-  { /* 510 */
+  { /* 505 */
     0,
     /* HLT */
   },
-  { /* 511 */
-    59,
+  { /* 506 */
+    61,
     /* IDIV16m */
   },
-  { /* 512 */
-    60,
+  { /* 507 */
+    62,
     /* IDIV16r */
   },
-  { /* 513 */
-    59,
+  { /* 508 */
+    61,
     /* IDIV32m */
   },
-  { /* 514 */
-    60,
+  { /* 509 */
+    62,
     /* IDIV32r */
   },
-  { /* 515 */
-    59,
+  { /* 510 */
+    61,
     /* IDIV64m */
   },
-  { /* 516 */
-    62,
+  { /* 511 */
+    64,
     /* IDIV64r */
   },
-  { /* 517 */
-    78,
+  { /* 512 */
+    80,
     /* IDIV8m */
   },
-  { /* 518 */
-    80,
+  { /* 513 */
+    82,
     /* IDIV8r */
   },
-  { /* 519 */
-    59,
+  { /* 514 */
+    61,
     /* IMUL16m */
   },
-  { /* 520 */
-    60,
+  { /* 515 */
+    62,
     /* IMUL16r */
   },
-  { /* 521 */
+  { /* 516 */
     8,
     /* IMUL16rm */
   },
-  { /* 522 */
-    87,
+  { /* 517 */
+    89,
     /* IMUL16rmi */
   },
-  { /* 523 */
-    88,
+  { /* 518 */
+    90,
     /* IMUL16rmi8 */
   },
-  { /* 524 */
+  { /* 519 */
     10,
     /* IMUL16rr */
   },
-  { /* 525 */
-    89,
+  { /* 520 */
+    91,
     /* IMUL16rri */
   },
-  { /* 526 */
-    90,
+  { /* 521 */
+    92,
     /* IMUL16rri8 */
   },
-  { /* 527 */
-    59,
+  { /* 522 */
+    61,
     /* IMUL32m */
   },
-  { /* 528 */
-    60,
+  { /* 523 */
+    62,
     /* IMUL32r */
   },
-  { /* 529 */
+  { /* 524 */
     8,
     /* IMUL32rm */
   },
-  { /* 530 */
-    87,
+  { /* 525 */
+    89,
     /* IMUL32rmi */
   },
-  { /* 531 */
-    91,
+  { /* 526 */
+    93,
     /* IMUL32rmi8 */
   },
-  { /* 532 */
+  { /* 527 */
     10,
     /* IMUL32rr */
   },
-  { /* 533 */
-    89,
+  { /* 528 */
+    91,
     /* IMUL32rri */
   },
-  { /* 534 */
-    92,
+  { /* 529 */
+    94,
     /* IMUL32rri8 */
   },
-  { /* 535 */
-    59,
+  { /* 530 */
+    61,
     /* IMUL64m */
   },
-  { /* 536 */
-    62,
+  { /* 531 */
+    64,
     /* IMUL64r */
   },
-  { /* 537 */
+  { /* 532 */
     19,
     /* IMUL64rm */
   },
-  { /* 538 */
-    44,
+  { /* 533 */
+    46,
     /* IMUL64rmi32 */
   },
-  { /* 539 */
-    93,
+  { /* 534 */
+    95,
     /* IMUL64rmi8 */
   },
-  { /* 540 */
+  { /* 535 */
     21,
     /* IMUL64rr */
   },
-  { /* 541 */
-    45,
+  { /* 536 */
+    47,
     /* IMUL64rri32 */
   },
-  { /* 542 */
-    94,
+  { /* 537 */
+    96,
     /* IMUL64rri8 */
   },
-  { /* 543 */
-    78,
+  { /* 538 */
+    80,
     /* IMUL8m */
   },
-  { /* 544 */
-    80,
+  { /* 539 */
+    82,
     /* IMUL8r */
   },
-  { /* 545 */
+  { /* 540 */
     1,
     /* IN16ri */
   },
-  { /* 546 */
+  { /* 541 */
     0,
     /* IN16rr */
   },
-  { /* 547 */
+  { /* 542 */
     1,
     /* IN32ri */
   },
-  { /* 548 */
+  { /* 543 */
     0,
     /* IN32rr */
   },
-  { /* 549 */
+  { /* 544 */
     1,
     /* IN8ri */
   },
-  { /* 550 */
+  { /* 545 */
     0,
     /* IN8rr */
   },
-  { /* 551 */
-    59,
+  { /* 546 */
+    61,
     /* INC16m */
   },
-  { /* 552 */
-    52,
+  { /* 547 */
+    54,
     /* INC16r */
   },
-  { /* 553 */
-    76,
+  { /* 548 */
+    78,
     /* INC32_16r */
   },
-  { /* 554 */
-    76,
+  { /* 549 */
+    78,
     /* INC32_32r */
   },
-  { /* 555 */
-    59,
+  { /* 550 */
+    61,
     /* INC32m */
   },
-  { /* 556 */
-    52,
+  { /* 551 */
+    54,
     /* INC32r */
   },
-  { /* 557 */
-    59,
+  { /* 552 */
+    61,
     /* INC64_16m */
   },
-  { /* 558 */
-    76,
+  { /* 553 */
+    78,
     /* INC64_16r */
   },
-  { /* 559 */
-    59,
+  { /* 554 */
+    61,
     /* INC64_32m */
   },
-  { /* 560 */
-    76,
+  { /* 555 */
+    78,
     /* INC64_32r */
   },
-  { /* 561 */
-    59,
+  { /* 556 */
+    61,
     /* INC64m */
   },
-  { /* 562 */
-    77,
+  { /* 557 */
+    79,
     /* INC64r */
   },
-  { /* 563 */
-    78,
+  { /* 558 */
+    80,
     /* INC8m */
   },
-  { /* 564 */
-    79,
+  { /* 559 */
+    81,
     /* INC8r */
   },
-  { /* 565 */
-    95,
+  { /* 560 */
+    97,
     /* INSB */
   },
-  { /* 566 */
-    96,
+  { /* 561 */
+    98,
     /* INSL */
   },
-  { /* 567 */
-    97,
+  { /* 562 */
+    99,
     /* INSW */
   },
-  { /* 568 */
+  { /* 563 */
     1,
     /* INT */
   },
-  { /* 569 */
+  { /* 564 */
     0,
     /* INT1 */
   },
-  { /* 570 */
+  { /* 565 */
     0,
     /* INT3 */
   },
-  { /* 571 */
+  { /* 566 */
     0,
     /* INTO */
   },
-  { /* 572 */
+  { /* 567 */
     0,
     /* INVD */
   },
-  { /* 573 */
-    98,
+  { /* 568 */
+    100,
     /* INVEPT32 */
   },
-  { /* 574 */
-    99,
+  { /* 569 */
+    101,
     /* INVEPT64 */
   },
-  { /* 575 */
-    78,
+  { /* 570 */
+    80,
     /* INVLPG */
   },
-  { /* 576 */
+  { /* 571 */
     0,
     /* INVLPGA32 */
   },
-  { /* 577 */
+  { /* 572 */
     0,
     /* INVLPGA64 */
   },
-  { /* 578 */
-    98,
+  { /* 573 */
+    100,
     /* INVPCID32 */
   },
-  { /* 579 */
-    99,
+  { /* 574 */
+    101,
     /* INVPCID64 */
   },
-  { /* 580 */
-    98,
+  { /* 575 */
+    100,
     /* INVVPID32 */
   },
-  { /* 581 */
-    99,
+  { /* 576 */
+    101,
     /* INVVPID64 */
   },
-  { /* 582 */
+  { /* 577 */
     0,
     /* IRET16 */
   },
-  { /* 583 */
+  { /* 578 */
     0,
     /* IRET32 */
   },
-  { /* 584 */
+  { /* 579 */
     0,
     /* IRET64 */
   },
-  { /* 585 */
+  { /* 580 */
     0,
     /*  */
   },
-  { /* 586 */
-    100,
+  { /* 581 */
+    102,
     /* JAE_1 */
   },
-  { /* 587 */
-    101,
+  { /* 582 */
+    103,
     /* JAE_2 */
   },
-  { /* 588 */
-    101,
+  { /* 583 */
+    103,
     /* JAE_4 */
   },
-  { /* 589 */
-    100,
+  { /* 584 */
+    102,
     /* JA_1 */
   },
-  { /* 590 */
-    101,
+  { /* 585 */
+    103,
     /* JA_2 */
   },
-  { /* 591 */
-    101,
+  { /* 586 */
+    103,
     /* JA_4 */
   },
-  { /* 592 */
-    100,
+  { /* 587 */
+    102,
     /* JBE_1 */
   },
-  { /* 593 */
-    101,
+  { /* 588 */
+    103,
     /* JBE_2 */
   },
-  { /* 594 */
-    101,
+  { /* 589 */
+    103,
     /* JBE_4 */
   },
-  { /* 595 */
-    100,
+  { /* 590 */
+    102,
     /* JB_1 */
   },
-  { /* 596 */
-    101,
+  { /* 591 */
+    103,
     /* JB_2 */
   },
-  { /* 597 */
-    101,
+  { /* 592 */
+    103,
     /* JB_4 */
   },
-  { /* 598 */
-    100,
+  { /* 593 */
+    102,
     /* JCXZ */
   },
-  { /* 599 */
-    100,
+  { /* 594 */
+    102,
     /* JECXZ_32 */
   },
-  { /* 600 */
-    100,
+  { /* 595 */
+    102,
     /* JECXZ_64 */
   },
-  { /* 601 */
-    100,
+  { /* 596 */
+    102,
     /* JE_1 */
   },
-  { /* 602 */
-    101,
+  { /* 597 */
+    103,
     /* JE_2 */
   },
-  { /* 603 */
-    101,
+  { /* 598 */
+    103,
     /* JE_4 */
   },
-  { /* 604 */
-    100,
+  { /* 599 */
+    102,
     /* JGE_1 */
   },
-  { /* 605 */
-    101,
+  { /* 600 */
+    103,
     /* JGE_2 */
   },
-  { /* 606 */
-    101,
+  { /* 601 */
+    103,
     /* JGE_4 */
   },
-  { /* 607 */
-    100,
+  { /* 602 */
+    102,
     /* JG_1 */
   },
-  { /* 608 */
-    101,
+  { /* 603 */
+    103,
     /* JG_2 */
   },
-  { /* 609 */
-    101,
+  { /* 604 */
+    103,
     /* JG_4 */
   },
-  { /* 610 */
-    100,
+  { /* 605 */
+    102,
     /* JLE_1 */
   },
-  { /* 611 */
-    101,
+  { /* 606 */
+    103,
     /* JLE_2 */
   },
-  { /* 612 */
-    101,
+  { /* 607 */
+    103,
     /* JLE_4 */
   },
-  { /* 613 */
-    100,
+  { /* 608 */
+    102,
     /* JL_1 */
   },
-  { /* 614 */
-    101,
+  { /* 609 */
+    103,
     /* JL_2 */
   },
-  { /* 615 */
-    101,
+  { /* 610 */
+    103,
     /* JL_4 */
   },
-  { /* 616 */
-    59,
+  { /* 611 */
+    61,
     /* JMP16m */
   },
-  { /* 617 */
-    60,
+  { /* 612 */
+    62,
     /* JMP16r */
   },
-  { /* 618 */
-    59,
+  { /* 613 */
+    61,
     /* JMP32m */
   },
-  { /* 619 */
-    60,
+  { /* 614 */
+    62,
     /* JMP32r */
   },
-  { /* 620 */
-    59,
+  { /* 615 */
+    61,
     /* JMP64m */
   },
-  { /* 621 */
-    62,
+  { /* 616 */
+    64,
     /* JMP64r */
   },
-  { /* 622 */
-    100,
+  { /* 617 */
+    102,
     /* JMP_1 */
   },
-  { /* 623 */
-    101,
+  { /* 618 */
+    103,
     /* JMP_2 */
   },
-  { /* 624 */
-    101,
+  { /* 619 */
+    103,
     /* JMP_4 */
   },
-  { /* 625 */
-    100,
+  { /* 620 */
+    102,
     /* JNE_1 */
   },
-  { /* 626 */
-    101,
+  { /* 621 */
+    103,
     /* JNE_2 */
   },
-  { /* 627 */
-    101,
+  { /* 622 */
+    103,
     /* JNE_4 */
   },
-  { /* 628 */
-    100,
+  { /* 623 */
+    102,
     /* JNO_1 */
   },
-  { /* 629 */
-    101,
+  { /* 624 */
+    103,
     /* JNO_2 */
   },
-  { /* 630 */
-    101,
+  { /* 625 */
+    103,
     /* JNO_4 */
   },
-  { /* 631 */
-    100,
+  { /* 626 */
+    102,
     /* JNP_1 */
   },
-  { /* 632 */
-    101,
+  { /* 627 */
+    103,
     /* JNP_2 */
   },
-  { /* 633 */
-    101,
+  { /* 628 */
+    103,
     /* JNP_4 */
   },
-  { /* 634 */
-    100,
+  { /* 629 */
+    102,
     /* JNS_1 */
   },
-  { /* 635 */
-    101,
+  { /* 630 */
+    103,
     /* JNS_2 */
   },
-  { /* 636 */
-    101,
+  { /* 631 */
+    103,
     /* JNS_4 */
   },
-  { /* 637 */
-    100,
+  { /* 632 */
+    102,
     /* JO_1 */
   },
-  { /* 638 */
-    101,
+  { /* 633 */
+    103,
     /* JO_2 */
   },
-  { /* 639 */
-    101,
+  { /* 634 */
+    103,
     /* JO_4 */
   },
-  { /* 640 */
-    100,
+  { /* 635 */
+    102,
     /* JP_1 */
   },
-  { /* 641 */
-    101,
+  { /* 636 */
+    103,
     /* JP_2 */
   },
-  { /* 642 */
-    101,
+  { /* 637 */
+    103,
     /* JP_4 */
   },
-  { /* 643 */
-    100,
+  { /* 638 */
+    102,
     /* JRCXZ */
   },
-  { /* 644 */
-    100,
+  { /* 639 */
+    102,
     /* JS_1 */
   },
-  { /* 645 */
-    101,
+  { /* 640 */
+    103,
     /* JS_2 */
   },
-  { /* 646 */
-    101,
+  { /* 641 */
+    103,
     /* JS_4 */
   },
-  { /* 647 */
+  { /* 642 */
     0,
     /* LAHF */
   },
-  { /* 648 */
-    50,
+  { /* 643 */
+    52,
     /* LAR16rm */
   },
-  { /* 649 */
-    51,
+  { /* 644 */
+    53,
     /* LAR16rr */
   },
-  { /* 650 */
-    50,
+  { /* 645 */
+    52,
     /* LAR32rm */
   },
-  { /* 651 */
-    51,
+  { /* 646 */
+    53,
     /* LAR32rr */
   },
-  { /* 652 */
-    30,
+  { /* 647 */
+    32,
     /* LAR64rm */
   },
-  { /* 653 */
-    102,
+  { /* 648 */
+    104,
     /* LAR64rr */
   },
+  { /* 649 */
+    0,
+    /*  */
+  },
+  { /* 650 */
+    0,
+    /*  */
+  },
+  { /* 651 */
+    0,
+    /*  */
+  },
+  { /* 652 */
+    0,
+    /*  */
+  },
+  { /* 653 */
+    0,
+    /*  */
+  },
   { /* 654 */
     0,
     /*  */
   },
   { /* 655 */
-    0,
-    /*  */
-  },
-  { /* 656 */
-    0,
-    /*  */
-  },
-  { /* 657 */
-    0,
-    /*  */
-  },
-  { /* 658 */
-    0,
-    /*  */
-  },
-  { /* 659 */
-    0,
-    /*  */
-  },
-  { /* 660 */
-    103,
+    105,
     /* LDS16rm */
   },
-  { /* 661 */
-    104,
+  { /* 656 */
+    106,
     /* LDS32rm */
   },
-  { /* 662 */
-    50,
+  { /* 657 */
+    52,
     /* LEA16r */
   },
-  { /* 663 */
-    50,
+  { /* 658 */
+    52,
     /* LEA32r */
   },
-  { /* 664 */
-    105,
+  { /* 659 */
+    107,
     /* LEA64_32r */
   },
-  { /* 665 */
-    106,
+  { /* 660 */
+    108,
     /* LEA64r */
   },
-  { /* 666 */
+  { /* 661 */
     0,
     /* LEAVE */
   },
-  { /* 667 */
+  { /* 662 */
     0,
     /* LEAVE64 */
   },
-  { /* 668 */
-    103,
+  { /* 663 */
+    105,
     /* LES16rm */
   },
-  { /* 669 */
-    104,
+  { /* 664 */
+    106,
     /* LES32rm */
   },
-  { /* 670 */
-    103,
+  { /* 665 */
+    105,
     /* LFS16rm */
   },
-  { /* 671 */
-    104,
+  { /* 666 */
+    106,
     /* LFS32rm */
   },
-  { /* 672 */
-    107,
+  { /* 667 */
+    109,
     /* LFS64rm */
   },
-  { /* 673 */
-    85,
+  { /* 668 */
+    87,
     /* LGDT16m */
   },
-  { /* 674 */
-    85,
+  { /* 669 */
+    87,
     /* LGDT32m */
   },
-  { /* 675 */
-    86,
+  { /* 670 */
+    88,
     /* LGDT64m */
   },
-  { /* 676 */
-    103,
+  { /* 671 */
+    105,
     /* LGS16rm */
   },
-  { /* 677 */
-    104,
+  { /* 672 */
+    106,
     /* LGS32rm */
   },
-  { /* 678 */
-    107,
+  { /* 673 */
+    109,
     /* LGS64rm */
   },
-  { /* 679 */
-    85,
+  { /* 674 */
+    87,
     /* LIDT16m */
   },
-  { /* 680 */
-    85,
+  { /* 675 */
+    87,
     /* LIDT32m */
   },
-  { /* 681 */
-    86,
+  { /* 676 */
+    88,
     /* LIDT64m */
   },
-  { /* 682 */
-    59,
+  { /* 677 */
+    61,
     /* LLDT16m */
   },
-  { /* 683 */
-    108,
+  { /* 678 */
+    110,
     /* LLDT16r */
   },
-  { /* 684 */
-    59,
+  { /* 679 */
+    61,
     /* LMSW16m */
   },
-  { /* 685 */
-    108,
+  { /* 680 */
+    110,
     /* LMSW16r */
   },
+  { /* 681 */
+    0,
+    /*  */
+  },
+  { /* 682 */
+    0,
+    /*  */
+  },
+  { /* 683 */
+    0,
+    /*  */
+  },
+  { /* 684 */
+    0,
+    /*  */
+  },
+  { /* 685 */
+    0,
+    /*  */
+  },
   { /* 686 */
     0,
     /*  */
@@ -5485,7 +5501,7 @@
   },
   { /* 722 */
     0,
-    /*  */
+    /* LOCK_PREFIX */
   },
   { /* 723 */
     0,
@@ -5505,7 +5521,7 @@
   },
   { /* 727 */
     0,
-    /* LOCK_PREFIX */
+    /*  */
   },
   { /* 728 */
     0,
@@ -5576,1545 +5592,1545 @@
     /*  */
   },
   { /* 745 */
-    0,
-    /*  */
-  },
-  { /* 746 */
-    0,
-    /*  */
-  },
-  { /* 747 */
-    0,
-    /*  */
-  },
-  { /* 748 */
-    0,
-    /*  */
-  },
-  { /* 749 */
-    0,
-    /*  */
-  },
-  { /* 750 */
-    109,
+    111,
     /* LODSB */
   },
-  { /* 751 */
-    110,
+  { /* 746 */
+    112,
     /* LODSL */
   },
-  { /* 752 */
-    111,
+  { /* 747 */
+    113,
     /* LODSQ */
   },
-  { /* 753 */
-    112,
+  { /* 748 */
+    114,
     /* LODSW */
   },
-  { /* 754 */
-    100,
+  { /* 749 */
+    102,
     /* LOOP */
   },
-  { /* 755 */
-    100,
+  { /* 750 */
+    102,
     /* LOOPE */
   },
-  { /* 756 */
-    100,
+  { /* 751 */
+    102,
     /* LOOPNE */
   },
-  { /* 757 */
-    113,
+  { /* 752 */
+    115,
     /* LRETIL */
   },
-  { /* 758 */
-    113,
+  { /* 753 */
+    115,
     /* LRETIQ */
   },
-  { /* 759 */
+  { /* 754 */
     2,
     /* LRETIW */
   },
-  { /* 760 */
+  { /* 755 */
     0,
     /* LRETL */
   },
-  { /* 761 */
+  { /* 756 */
     0,
     /* LRETQ */
   },
-  { /* 762 */
+  { /* 757 */
     0,
     /* LRETW */
   },
-  { /* 763 */
-    50,
+  { /* 758 */
+    52,
     /* LSL16rm */
   },
-  { /* 764 */
-    51,
+  { /* 759 */
+    53,
     /* LSL16rr */
   },
-  { /* 765 */
-    50,
+  { /* 760 */
+    52,
     /* LSL32rm */
   },
-  { /* 766 */
-    51,
+  { /* 761 */
+    53,
     /* LSL32rr */
   },
-  { /* 767 */
-    30,
+  { /* 762 */
+    32,
     /* LSL64rm */
   },
-  { /* 768 */
-    31,
+  { /* 763 */
+    33,
     /* LSL64rr */
   },
-  { /* 769 */
-    103,
+  { /* 764 */
+    105,
     /* LSS16rm */
   },
-  { /* 770 */
-    104,
+  { /* 765 */
+    106,
     /* LSS32rm */
   },
-  { /* 771 */
-    107,
+  { /* 766 */
+    109,
     /* LSS64rm */
   },
-  { /* 772 */
-    59,
+  { /* 767 */
+    61,
     /* LTRm */
   },
-  { /* 773 */
-    108,
+  { /* 768 */
+    110,
     /* LTRr */
   },
-  { /* 774 */
+  { /* 769 */
     0,
     /*  */
   },
-  { /* 775 */
+  { /* 770 */
     0,
     /*  */
   },
-  { /* 776 */
+  { /* 771 */
     0,
     /*  */
   },
-  { /* 777 */
+  { /* 772 */
     0,
     /*  */
   },
-  { /* 778 */
-    50,
+  { /* 773 */
+    52,
     /* LZCNT16rm */
   },
-  { /* 779 */
-    51,
+  { /* 774 */
+    53,
     /* LZCNT16rr */
   },
-  { /* 780 */
-    50,
+  { /* 775 */
+    52,
     /* LZCNT32rm */
   },
-  { /* 781 */
-    51,
+  { /* 776 */
+    53,
     /* LZCNT32rr */
   },
-  { /* 782 */
-    30,
+  { /* 777 */
+    32,
     /* LZCNT64rm */
   },
-  { /* 783 */
-    31,
+  { /* 778 */
+    33,
     /* LZCNT64rr */
   },
-  { /* 784 */
+  { /* 779 */
     0,
     /* MONTMUL */
   },
-  { /* 785 */
+  { /* 780 */
     0,
     /*  */
   },
-  { /* 786 */
+  { /* 781 */
     0,
     /*  */
   },
-  { /* 787 */
-    114,
+  { /* 782 */
+    116,
     /* MOV16ao16 */
   },
-  { /* 788 */
-    114,
+  { /* 783 */
+    116,
     /* MOV16ao16_16 */
   },
-  { /* 789 */
+  { /* 784 */
     3,
     /* MOV16mi */
   },
-  { /* 790 */
+  { /* 785 */
     5,
     /* MOV16mr */
   },
-  { /* 791 */
-    115,
+  { /* 786 */
+    117,
     /* MOV16ms */
   },
-  { /* 792 */
-    114,
+  { /* 787 */
+    116,
     /* MOV16o16a */
   },
-  { /* 793 */
-    114,
+  { /* 788 */
+    116,
     /* MOV16o16a_16 */
   },
-  { /* 794 */
-    116,
+  { /* 789 */
+    118,
     /* MOV16ri */
   },
-  { /* 795 */
-    65,
+  { /* 790 */
+    67,
     /* MOV16ri_alt */
   },
-  { /* 796 */
-    50,
+  { /* 791 */
+    52,
     /* MOV16rm */
   },
-  { /* 797 */
-    55,
+  { /* 792 */
+    57,
     /* MOV16rr */
   },
-  { /* 798 */
-    51,
+  { /* 793 */
+    53,
     /* MOV16rr_REV */
   },
-  { /* 799 */
-    117,
+  { /* 794 */
+    119,
     /* MOV16rs */
   },
-  { /* 800 */
-    118,
+  { /* 795 */
+    120,
     /* MOV16sm */
   },
-  { /* 801 */
-    119,
+  { /* 796 */
+    121,
     /* MOV16sr */
   },
-  { /* 802 */
-    120,
+  { /* 797 */
+    122,
     /* MOV32ao32 */
   },
-  { /* 803 */
-    120,
+  { /* 798 */
+    122,
     /* MOV32ao32_16 */
   },
-  { /* 804 */
-    121,
+  { /* 799 */
+    123,
     /* MOV32cr */
   },
-  { /* 805 */
-    122,
+  { /* 800 */
+    124,
     /* MOV32dr */
   },
-  { /* 806 */
+  { /* 801 */
     3,
     /* MOV32mi */
   },
-  { /* 807 */
+  { /* 802 */
     5,
     /* MOV32mr */
   },
-  { /* 808 */
-    115,
+  { /* 803 */
+    117,
     /* MOV32ms */
   },
-  { /* 809 */
-    120,
+  { /* 804 */
+    122,
     /* MOV32o32a */
   },
-  { /* 810 */
-    120,
+  { /* 805 */
+    122,
     /* MOV32o32a_16 */
   },
-  { /* 811 */
+  { /* 806 */
     0,
     /*  */
   },
-  { /* 812 */
-    123,
+  { /* 807 */
+    125,
     /* MOV32rc */
   },
-  { /* 813 */
-    124,
+  { /* 808 */
+    126,
     /* MOV32rd */
   },
-  { /* 814 */
-    116,
+  { /* 809 */
+    118,
     /* MOV32ri */
   },
-  { /* 815 */
+  { /* 810 */
     0,
     /*  */
   },
-  { /* 816 */
-    65,
+  { /* 811 */
+    67,
     /* MOV32ri_alt */
   },
-  { /* 817 */
-    50,
+  { /* 812 */
+    52,
     /* MOV32rm */
   },
-  { /* 818 */
-    55,
+  { /* 813 */
+    57,
     /* MOV32rr */
   },
-  { /* 819 */
-    51,
+  { /* 814 */
+    53,
     /* MOV32rr_REV */
   },
-  { /* 820 */
-    117,
+  { /* 815 */
+    119,
     /* MOV32rs */
   },
-  { /* 821 */
-    118,
+  { /* 816 */
+    120,
     /* MOV32sm */
   },
-  { /* 822 */
-    119,
+  { /* 817 */
+    121,
     /* MOV32sr */
   },
-  { /* 823 */
-    114,
+  { /* 818 */
+    116,
     /* MOV64ao16 */
   },
-  { /* 824 */
-    120,
+  { /* 819 */
+    122,
     /* MOV64ao32 */
   },
-  { /* 825 */
-    125,
+  { /* 820 */
+    127,
     /* MOV64ao64 */
   },
-  { /* 826 */
-    126,
+  { /* 821 */
+    128,
     /* MOV64ao8 */
   },
-  { /* 827 */
-    127,
+  { /* 822 */
+    129,
     /* MOV64cr */
   },
-  { /* 828 */
-    128,
+  { /* 823 */
+    130,
     /* MOV64dr */
   },
-  { /* 829 */
+  { /* 824 */
     14,
     /* MOV64mi32 */
   },
-  { /* 830 */
+  { /* 825 */
     16,
     /* MOV64mr */
   },
-  { /* 831 */
-    115,
+  { /* 826 */
+    117,
     /* MOV64ms */
   },
-  { /* 832 */
-    114,
+  { /* 827 */
+    116,
     /* MOV64o16a */
   },
-  { /* 833 */
-    120,
+  { /* 828 */
+    122,
     /* MOV64o32a */
   },
-  { /* 834 */
-    125,
+  { /* 829 */
+    127,
     /* MOV64o64a */
   },
-  { /* 835 */
-    126,
+  { /* 830 */
+    128,
     /* MOV64o8a */
   },
-  { /* 836 */
-    129,
+  { /* 831 */
+    131,
     /* MOV64rc */
   },
-  { /* 837 */
-    130,
+  { /* 832 */
+    132,
     /* MOV64rd */
   },
-  { /* 838 */
-    131,
+  { /* 833 */
+    133,
     /* MOV64ri */
   },
-  { /* 839 */
-    66,
+  { /* 834 */
+    68,
     /* MOV64ri32 */
   },
-  { /* 840 */
-    30,
+  { /* 835 */
+    32,
     /* MOV64rm */
   },
-  { /* 841 */
-    58,
+  { /* 836 */
+    60,
     /* MOV64rr */
   },
-  { /* 842 */
-    31,
+  { /* 837 */
+    33,
     /* MOV64rr_REV */
   },
-  { /* 843 */
-    132,
+  { /* 838 */
+    134,
     /* MOV64rs */
   },
-  { /* 844 */
-    118,
+  { /* 839 */
+    120,
     /* MOV64sm */
   },
-  { /* 845 */
-    133,
+  { /* 840 */
+    135,
     /* MOV64sr */
   },
-  { /* 846 */
-    126,
+  { /* 841 */
+    128,
     /* MOV8ao8 */
   },
-  { /* 847 */
-    126,
+  { /* 842 */
+    128,
     /* MOV8ao8_16 */
   },
-  { /* 848 */
+  { /* 843 */
     22,
     /* MOV8mi */
   },
-  { /* 849 */
+  { /* 844 */
     23,
     /* MOV8mr */
   },
-  { /* 850 */
+  { /* 845 */
     0,
     /*  */
   },
-  { /* 851 */
-    126,
+  { /* 846 */
+    128,
     /* MOV8o8a */
   },
-  { /* 852 */
-    126,
+  { /* 847 */
+    128,
     /* MOV8o8a_16 */
   },
-  { /* 853 */
-    134,
+  { /* 848 */
+    136,
     /* MOV8ri */
   },
-  { /* 854 */
-    67,
+  { /* 849 */
+    69,
     /* MOV8ri_alt */
   },
-  { /* 855 */
-    68,
+  { /* 850 */
+    70,
     /* MOV8rm */
   },
-  { /* 856 */
+  { /* 851 */
     0,
     /*  */
   },
-  { /* 857 */
-    69,
+  { /* 852 */
+    71,
     /* MOV8rr */
   },
-  { /* 858 */
+  { /* 853 */
     0,
     /*  */
   },
-  { /* 859 */
-    70,
+  { /* 854 */
+    72,
     /* MOV8rr_REV */
   },
-  { /* 860 */
+  { /* 855 */
     5,
     /* MOVBE16mr */
   },
-  { /* 861 */
-    50,
+  { /* 856 */
+    52,
     /* MOVBE16rm */
   },
-  { /* 862 */
+  { /* 857 */
     5,
     /* MOVBE32mr */
   },
-  { /* 863 */
-    50,
+  { /* 858 */
+    52,
     /* MOVBE32rm */
   },
-  { /* 864 */
+  { /* 859 */
     16,
     /* MOVBE64mr */
   },
-  { /* 865 */
-    30,
+  { /* 860 */
+    32,
     /* MOVBE64rm */
   },
-  { /* 866 */
+  { /* 861 */
     0,
     /*  */
   },
-  { /* 867 */
-    71,
+  { /* 862 */
+    73,
     /* MOVSB */
   },
-  { /* 868 */
-    72,
+  { /* 863 */
+    74,
     /* MOVSL */
   },
-  { /* 869 */
-    73,
+  { /* 864 */
+    75,
     /* MOVSQ */
   },
-  { /* 870 */
-    74,
+  { /* 865 */
+    76,
     /* MOVSW */
   },
-  { /* 871 */
-    135,
+  { /* 866 */
+    137,
     /* MOVSX16rm8 */
   },
-  { /* 872 */
-    136,
+  { /* 867 */
+    138,
     /* MOVSX16rr8 */
   },
-  { /* 873 */
-    50,
+  { /* 868 */
+    52,
     /* MOVSX32rm16 */
   },
-  { /* 874 */
-    135,
+  { /* 869 */
+    137,
     /* MOVSX32rm8 */
   },
-  { /* 875 */
-    137,
+  { /* 870 */
+    139,
     /* MOVSX32rr16 */
   },
-  { /* 876 */
-    136,
+  { /* 871 */
+    138,
     /* MOVSX32rr8 */
   },
-  { /* 877 */
-    30,
+  { /* 872 */
+    32,
     /* MOVSX64rm16 */
   },
-  { /* 878 */
-    30,
+  { /* 873 */
+    32,
     /* MOVSX64rm32 */
   },
+  { /* 874 */
+    140,
+    /* MOVSX64rm8 */
+  },
+  { /* 875 */
+    141,
+    /* MOVSX64rr16 */
+  },
+  { /* 876 */
+    104,
+    /* MOVSX64rr32 */
+  },
+  { /* 877 */
+    142,
+    /* MOVSX64rr8 */
+  },
+  { /* 878 */
+    137,
+    /* MOVZX16rm8 */
+  },
   { /* 879 */
     138,
-    /* MOVSX64rm8 */
-  },
-  { /* 880 */
-    139,
-    /* MOVSX64rr16 */
-  },
-  { /* 881 */
-    102,
-    /* MOVSX64rr32 */
-  },
-  { /* 882 */
-    140,
-    /* MOVSX64rr8 */
-  },
-  { /* 883 */
-    135,
-    /* MOVZX16rm8 */
-  },
-  { /* 884 */
-    136,
     /* MOVZX16rr8 */
   },
-  { /* 885 */
+  { /* 880 */
     0,
     /*  */
   },
-  { /* 886 */
+  { /* 881 */
     0,
     /*  */
   },
-  { /* 887 */
-    50,
+  { /* 882 */
+    52,
     /* MOVZX32rm16 */
   },
-  { /* 888 */
-    135,
+  { /* 883 */
+    137,
     /* MOVZX32rm8 */
   },
-  { /* 889 */
-    137,
+  { /* 884 */
+    139,
     /* MOVZX32rr16 */
   },
-  { /* 890 */
-    136,
+  { /* 885 */
+    138,
     /* MOVZX32rr8 */
   },
-  { /* 891 */
-    30,
+  { /* 886 */
+    32,
     /* MOVZX64rm16_Q */
   },
-  { /* 892 */
-    138,
+  { /* 887 */
+    140,
     /* MOVZX64rm8_Q */
   },
-  { /* 893 */
-    139,
+  { /* 888 */
+    141,
     /* MOVZX64rr16_Q */
   },
-  { /* 894 */
-    140,
+  { /* 889 */
+    142,
     /* MOVZX64rr8_Q */
   },
-  { /* 895 */
-    59,
+  { /* 890 */
+    61,
     /* MUL16m */
   },
-  { /* 896 */
-    60,
+  { /* 891 */
+    62,
     /* MUL16r */
   },
-  { /* 897 */
-    59,
+  { /* 892 */
+    61,
     /* MUL32m */
   },
-  { /* 898 */
-    60,
+  { /* 893 */
+    62,
     /* MUL32r */
   },
-  { /* 899 */
-    59,
+  { /* 894 */
+    61,
     /* MUL64m */
   },
-  { /* 900 */
-    62,
+  { /* 895 */
+    64,
     /* MUL64r */
   },
-  { /* 901 */
-    78,
+  { /* 896 */
+    80,
     /* MUL8m */
   },
-  { /* 902 */
-    80,
+  { /* 897 */
+    82,
     /* MUL8r */
   },
-  { /* 903 */
-    32,
+  { /* 898 */
+    34,
     /* MULX32rm */
   },
-  { /* 904 */
-    33,
+  { /* 899 */
+    35,
     /* MULX32rr */
   },
-  { /* 905 */
-    34,
+  { /* 900 */
+    36,
     /* MULX64rm */
   },
-  { /* 906 */
-    35,
+  { /* 901 */
+    37,
     /* MULX64rr */
   },
-  { /* 907 */
-    59,
+  { /* 902 */
+    61,
     /* NEG16m */
   },
-  { /* 908 */
-    76,
+  { /* 903 */
+    78,
     /* NEG16r */
   },
-  { /* 909 */
-    59,
+  { /* 904 */
+    61,
     /* NEG32m */
   },
-  { /* 910 */
-    76,
+  { /* 905 */
+    78,
     /* NEG32r */
   },
-  { /* 911 */
-    59,
+  { /* 906 */
+    61,
     /* NEG64m */
   },
-  { /* 912 */
-    77,
+  { /* 907 */
+    79,
     /* NEG64r */
   },
-  { /* 913 */
-    78,
+  { /* 908 */
+    80,
     /* NEG8m */
   },
-  { /* 914 */
-    79,
+  { /* 909 */
+    81,
     /* NEG8r */
   },
-  { /* 915 */
+  { /* 910 */
     0,
     /* NOOP */
   },
-  { /* 916 */
-    59,
+  { /* 911 */
+    61,
     /* NOOP18_16m4 */
   },
-  { /* 917 */
-    59,
+  { /* 912 */
+    61,
     /* NOOP18_16m5 */
   },
-  { /* 918 */
-    59,
+  { /* 913 */
+    61,
     /* NOOP18_16m6 */
   },
-  { /* 919 */
-    59,
+  { /* 914 */
+    61,
     /* NOOP18_16m7 */
   },
-  { /* 920 */
-    60,
+  { /* 915 */
+    62,
     /* NOOP18_16r4 */
   },
-  { /* 921 */
-    60,
+  { /* 916 */
+    62,
     /* NOOP18_16r5 */
   },
-  { /* 922 */
-    60,
+  { /* 917 */
+    62,
     /* NOOP18_16r6 */
   },
-  { /* 923 */
-    60,
+  { /* 918 */
+    62,
     /* NOOP18_16r7 */
   },
-  { /* 924 */
-    59,
+  { /* 919 */
+    61,
     /* NOOP18_m4 */
   },
-  { /* 925 */
-    59,
+  { /* 920 */
+    61,
     /* NOOP18_m5 */
   },
-  { /* 926 */
-    59,
+  { /* 921 */
+    61,
     /* NOOP18_m6 */
   },
-  { /* 927 */
-    59,
+  { /* 922 */
+    61,
     /* NOOP18_m7 */
   },
-  { /* 928 */
-    60,
+  { /* 923 */
+    62,
     /* NOOP18_r4 */
   },
-  { /* 929 */
-    60,
+  { /* 924 */
+    62,
     /* NOOP18_r5 */
   },
-  { /* 930 */
-    60,
+  { /* 925 */
+    62,
     /* NOOP18_r6 */
   },
-  { /* 931 */
-    60,
+  { /* 926 */
+    62,
     /* NOOP18_r7 */
   },
-  { /* 932 */
-    59,
+  { /* 927 */
+    61,
     /* NOOPL */
   },
-  { /* 933 */
-    59,
+  { /* 928 */
+    61,
     /* NOOPL_19 */
   },
-  { /* 934 */
-    59,
+  { /* 929 */
+    61,
     /* NOOPL_1a */
   },
-  { /* 935 */
-    59,
+  { /* 930 */
+    61,
     /* NOOPL_1b */
   },
-  { /* 936 */
-    59,
+  { /* 931 */
+    61,
     /* NOOPL_1c */
   },
-  { /* 937 */
-    59,
+  { /* 932 */
+    61,
     /* NOOPL_1d */
   },
-  { /* 938 */
-    59,
+  { /* 933 */
+    61,
     /* NOOPL_1e */
   },
-  { /* 939 */
-    59,
+  { /* 934 */
+    61,
     /* NOOPW */
   },
-  { /* 940 */
-    59,
+  { /* 935 */
+    61,
     /* NOOPW_19 */
   },
-  { /* 941 */
-    59,
+  { /* 936 */
+    61,
     /* NOOPW_1a */
   },
-  { /* 942 */
-    59,
+  { /* 937 */
+    61,
     /* NOOPW_1b */
   },
-  { /* 943 */
-    59,
+  { /* 938 */
+    61,
     /* NOOPW_1c */
   },
-  { /* 944 */
-    59,
+  { /* 939 */
+    61,
     /* NOOPW_1d */
   },
-  { /* 945 */
-    59,
+  { /* 940 */
+    61,
     /* NOOPW_1e */
   },
-  { /* 946 */
-    59,
+  { /* 941 */
+    61,
     /* NOT16m */
   },
-  { /* 947 */
-    76,
+  { /* 942 */
+    78,
     /* NOT16r */
   },
-  { /* 948 */
-    59,
+  { /* 943 */
+    61,
     /* NOT32m */
   },
-  { /* 949 */
-    76,
+  { /* 944 */
+    78,
     /* NOT32r */
   },
-  { /* 950 */
-    59,
+  { /* 945 */
+    61,
     /* NOT64m */
   },
-  { /* 951 */
-    77,
+  { /* 946 */
+    79,
     /* NOT64r */
   },
-  { /* 952 */
-    78,
+  { /* 947 */
+    80,
     /* NOT8m */
   },
-  { /* 953 */
-    79,
+  { /* 948 */
+    81,
     /* NOT8r */
   },
-  { /* 954 */
+  { /* 949 */
     2,
     /* OR16i16 */
   },
-  { /* 955 */
+  { /* 950 */
     3,
     /* OR16mi */
   },
-  { /* 956 */
+  { /* 951 */
     4,
     /* OR16mi8 */
   },
-  { /* 957 */
+  { /* 952 */
     5,
     /* OR16mr */
   },
-  { /* 958 */
+  { /* 953 */
     6,
     /* OR16ri */
   },
-  { /* 959 */
+  { /* 954 */
     7,
     /* OR16ri8 */
   },
-  { /* 960 */
+  { /* 955 */
     8,
     /* OR16rm */
   },
-  { /* 961 */
+  { /* 956 */
     9,
     /* OR16rr */
   },
-  { /* 962 */
+  { /* 957 */
     10,
     /* OR16rr_REV */
   },
-  { /* 963 */
+  { /* 958 */
     2,
     /* OR32i32 */
   },
-  { /* 964 */
+  { /* 959 */
     3,
     /* OR32mi */
   },
-  { /* 965 */
+  { /* 960 */
     11,
     /* OR32mi8 */
   },
-  { /* 966 */
+  { /* 961 */
     5,
     /* OR32mr */
   },
-  { /* 967 */
+  { /* 962 */
     0,
     /*  */
   },
-  { /* 968 */
+  { /* 963 */
     6,
     /* OR32ri */
   },
-  { /* 969 */
+  { /* 964 */
     12,
     /* OR32ri8 */
   },
-  { /* 970 */
+  { /* 965 */
     8,
     /* OR32rm */
   },
-  { /* 971 */
+  { /* 966 */
     9,
     /* OR32rr */
   },
-  { /* 972 */
+  { /* 967 */
     10,
     /* OR32rr_REV */
   },
-  { /* 973 */
+  { /* 968 */
     13,
     /* OR64i32 */
   },
-  { /* 974 */
+  { /* 969 */
     14,
     /* OR64mi32 */
   },
-  { /* 975 */
+  { /* 970 */
     15,
     /* OR64mi8 */
   },
-  { /* 976 */
+  { /* 971 */
     16,
     /* OR64mr */
   },
-  { /* 977 */
+  { /* 972 */
     17,
     /* OR64ri32 */
   },
-  { /* 978 */
+  { /* 973 */
     18,
     /* OR64ri8 */
   },
-  { /* 979 */
+  { /* 974 */
     19,
     /* OR64rm */
   },
-  { /* 980 */
+  { /* 975 */
     20,
     /* OR64rr */
   },
-  { /* 981 */
+  { /* 976 */
     21,
     /* OR64rr_REV */
   },
-  { /* 982 */
+  { /* 977 */
     1,
     /* OR8i8 */
   },
-  { /* 983 */
+  { /* 978 */
     22,
     /* OR8mi */
   },
-  { /* 984 */
+  { /* 979 */
     23,
     /* OR8mr */
   },
-  { /* 985 */
+  { /* 980 */
     24,
     /* OR8ri */
   },
-  { /* 986 */
+  { /* 981 */
     24,
     /* OR8ri8 */
   },
-  { /* 987 */
+  { /* 982 */
     25,
     /* OR8rm */
   },
-  { /* 988 */
+  { /* 983 */
     26,
     /* OR8rr */
   },
-  { /* 989 */
+  { /* 984 */
     27,
     /* OR8rr_REV */
   },
-  { /* 990 */
+  { /* 985 */
     1,
     /* OUT16ir */
   },
-  { /* 991 */
+  { /* 986 */
     0,
     /* OUT16rr */
   },
-  { /* 992 */
+  { /* 987 */
     1,
     /* OUT32ir */
   },
-  { /* 993 */
+  { /* 988 */
     0,
     /* OUT32rr */
   },
-  { /* 994 */
+  { /* 989 */
     1,
     /* OUT8ir */
   },
-  { /* 995 */
+  { /* 990 */
     0,
     /* OUT8rr */
   },
-  { /* 996 */
-    109,
+  { /* 991 */
+    111,
     /* OUTSB */
   },
-  { /* 997 */
-    110,
+  { /* 992 */
+    112,
     /* OUTSL */
   },
-  { /* 998 */
-    112,
+  { /* 993 */
+    114,
     /* OUTSW */
   },
-  { /* 999 */
-    32,
+  { /* 994 */
+    34,
     /* PDEP32rm */
   },
-  { /* 1000 */
-    33,
+  { /* 995 */
+    35,
     /* PDEP32rr */
   },
-  { /* 1001 */
-    34,
+  { /* 996 */
+    36,
     /* PDEP64rm */
   },
-  { /* 1002 */
-    35,
+  { /* 997 */
+    37,
     /* PDEP64rr */
   },
-  { /* 1003 */
-    32,
+  { /* 998 */
+    34,
     /* PEXT32rm */
   },
-  { /* 1004 */
-    33,
+  { /* 999 */
+    35,
     /* PEXT32rr */
   },
-  { /* 1005 */
-    34,
+  { /* 1000 */
+    36,
     /* PEXT64rm */
   },
-  { /* 1006 */
-    35,
+  { /* 1001 */
+    37,
     /* PEXT64rr */
   },
-  { /* 1007 */
-    141,
+  { /* 1002 */
+    143,
     /* POP16r */
   },
-  { /* 1008 */
-    59,
+  { /* 1003 */
+    61,
     /* POP16rmm */
   },
-  { /* 1009 */
-    60,
+  { /* 1004 */
+    62,
     /* POP16rmr */
   },
-  { /* 1010 */
-    141,
+  { /* 1005 */
+    143,
     /* POP32r */
   },
-  { /* 1011 */
-    59,
+  { /* 1006 */
+    61,
     /* POP32rmm */
   },
-  { /* 1012 */
-    60,
+  { /* 1007 */
+    62,
     /* POP32rmr */
   },
-  { /* 1013 */
-    142,
+  { /* 1008 */
+    144,
     /* POP64r */
   },
-  { /* 1014 */
-    59,
+  { /* 1009 */
+    61,
     /* POP64rmm */
   },
-  { /* 1015 */
-    62,
+  { /* 1010 */
+    64,
     /* POP64rmr */
   },
-  { /* 1016 */
+  { /* 1011 */
     0,
     /* POPA16 */
   },
-  { /* 1017 */
+  { /* 1012 */
     0,
     /* POPA32 */
   },
-  { /* 1018 */
+  { /* 1013 */
     0,
     /* POPDS16 */
   },
-  { /* 1019 */
+  { /* 1014 */
     0,
     /* POPDS32 */
   },
-  { /* 1020 */
+  { /* 1015 */
     0,
     /* POPES16 */
   },
-  { /* 1021 */
+  { /* 1016 */
     0,
     /* POPES32 */
   },
-  { /* 1022 */
+  { /* 1017 */
     0,
     /* POPF16 */
   },
-  { /* 1023 */
+  { /* 1018 */
     0,
     /* POPF32 */
   },
-  { /* 1024 */
+  { /* 1019 */
     0,
     /* POPF64 */
   },
-  { /* 1025 */
+  { /* 1020 */
     0,
     /* POPFS16 */
   },
-  { /* 1026 */
+  { /* 1021 */
     0,
     /* POPFS32 */
   },
-  { /* 1027 */
+  { /* 1022 */
     0,
     /* POPFS64 */
   },
-  { /* 1028 */
+  { /* 1023 */
     0,
     /* POPGS16 */
   },
-  { /* 1029 */
+  { /* 1024 */
     0,
     /* POPGS32 */
   },
-  { /* 1030 */
+  { /* 1025 */
     0,
     /* POPGS64 */
   },
-  { /* 1031 */
+  { /* 1026 */
     0,
     /* POPSS16 */
   },
-  { /* 1032 */
+  { /* 1027 */
     0,
     /* POPSS32 */
   },
-  { /* 1033 */
-    143,
+  { /* 1028 */
+    145,
     /* PUSH16i8 */
   },
-  { /* 1034 */
-    141,
+  { /* 1029 */
+    143,
     /* PUSH16r */
   },
-  { /* 1035 */
-    59,
+  { /* 1030 */
+    61,
     /* PUSH16rmm */
   },
-  { /* 1036 */
-    60,
+  { /* 1031 */
+    62,
     /* PUSH16rmr */
   },
-  { /* 1037 */
-    144,
+  { /* 1032 */
+    146,
     /* PUSH32i8 */
   },
-  { /* 1038 */
-    141,
+  { /* 1033 */
+    143,
     /* PUSH32r */
   },
-  { /* 1039 */
-    59,
+  { /* 1034 */
+    61,
     /* PUSH32rmm */
   },
-  { /* 1040 */
-    60,
+  { /* 1035 */
+    62,
     /* PUSH32rmr */
   },
-  { /* 1041 */
+  { /* 1036 */
     2,
     /* PUSH64i16 */
   },
-  { /* 1042 */
+  { /* 1037 */
     13,
     /* PUSH64i32 */
   },
-  { /* 1043 */
-    145,
+  { /* 1038 */
+    147,
     /* PUSH64i8 */
   },
-  { /* 1044 */
-    142,
+  { /* 1039 */
+    144,
     /* PUSH64r */
   },
-  { /* 1045 */
-    59,
+  { /* 1040 */
+    61,
     /* PUSH64rmm */
   },
-  { /* 1046 */
-    62,
+  { /* 1041 */
+    64,
     /* PUSH64rmr */
   },
-  { /* 1047 */
+  { /* 1042 */
     0,
     /* PUSHA16 */
   },
-  { /* 1048 */
+  { /* 1043 */
     0,
     /* PUSHA32 */
   },
-  { /* 1049 */
+  { /* 1044 */
     0,
     /* PUSHCS16 */
   },
-  { /* 1050 */
+  { /* 1045 */
     0,
     /* PUSHCS32 */
   },
-  { /* 1051 */
+  { /* 1046 */
     0,
     /* PUSHDS16 */
   },
-  { /* 1052 */
+  { /* 1047 */
     0,
     /* PUSHDS32 */
   },
-  { /* 1053 */
+  { /* 1048 */
     0,
     /* PUSHES16 */
   },
-  { /* 1054 */
+  { /* 1049 */
     0,
     /* PUSHES32 */
   },
-  { /* 1055 */
+  { /* 1050 */
     0,
     /* PUSHF16 */
   },
-  { /* 1056 */
+  { /* 1051 */
     0,
     /* PUSHF32 */
   },
-  { /* 1057 */
+  { /* 1052 */
     0,
     /* PUSHF64 */
   },
-  { /* 1058 */
+  { /* 1053 */
     0,
     /* PUSHFS16 */
   },
-  { /* 1059 */
+  { /* 1054 */
     0,
     /* PUSHFS32 */
   },
-  { /* 1060 */
+  { /* 1055 */
     0,
     /* PUSHFS64 */
   },
-  { /* 1061 */
+  { /* 1056 */
     0,
     /* PUSHGS16 */
   },
-  { /* 1062 */
+  { /* 1057 */
     0,
     /* PUSHGS32 */
   },
-  { /* 1063 */
+  { /* 1058 */
     0,
     /* PUSHGS64 */
   },
-  { /* 1064 */
+  { /* 1059 */
     0,
     /* PUSHSS16 */
   },
-  { /* 1065 */
+  { /* 1060 */
     0,
     /* PUSHSS32 */
   },
-  { /* 1066 */
+  { /* 1061 */
     2,
     /* PUSHi16 */
   },
-  { /* 1067 */
+  { /* 1062 */
     2,
     /* PUSHi32 */
   },
-  { /* 1068 */
-    59,
+  { /* 1063 */
+    61,
     /* RCL16m1 */
   },
-  { /* 1069 */
-    59,
+  { /* 1064 */
+    61,
     /* RCL16mCL */
   },
-  { /* 1070 */
-    146,
+  { /* 1065 */
+    148,
     /* RCL16mi */
   },
-  { /* 1071 */
-    76,
+  { /* 1066 */
+    78,
     /* RCL16r1 */
   },
-  { /* 1072 */
-    76,
+  { /* 1067 */
+    78,
     /* RCL16rCL */
   },
-  { /* 1073 */
-    147,
+  { /* 1068 */
+    149,
     /* RCL16ri */
   },
-  { /* 1074 */
-    59,
+  { /* 1069 */
+    61,
     /* RCL32m1 */
   },
-  { /* 1075 */
-    59,
+  { /* 1070 */
+    61,
     /* RCL32mCL */
   },
-  { /* 1076 */
-    146,
+  { /* 1071 */
+    148,
     /* RCL32mi */
   },
-  { /* 1077 */
-    76,
+  { /* 1072 */
+    78,
     /* RCL32r1 */
   },
-  { /* 1078 */
-    76,
+  { /* 1073 */
+    78,
     /* RCL32rCL */
   },
-  { /* 1079 */
-    147,
+  { /* 1074 */
+    149,
     /* RCL32ri */
   },
-  { /* 1080 */
-    59,
+  { /* 1075 */
+    61,
     /* RCL64m1 */
   },
-  { /* 1081 */
-    59,
+  { /* 1076 */
+    61,
     /* RCL64mCL */
   },
-  { /* 1082 */
-    146,
+  { /* 1077 */
+    148,
     /* RCL64mi */
   },
-  { /* 1083 */
-    77,
+  { /* 1078 */
+    79,
     /* RCL64r1 */
   },
-  { /* 1084 */
-    77,
+  { /* 1079 */
+    79,
     /* RCL64rCL */
   },
-  { /* 1085 */
-    148,
+  { /* 1080 */
+    150,
     /* RCL64ri */
   },
-  { /* 1086 */
-    78,
+  { /* 1081 */
+    80,
     /* RCL8m1 */
   },
-  { /* 1087 */
-    78,
+  { /* 1082 */
+    80,
     /* RCL8mCL */
   },
-  { /* 1088 */
+  { /* 1083 */
     22,
     /* RCL8mi */
   },
-  { /* 1089 */
-    79,
+  { /* 1084 */
+    81,
     /* RCL8r1 */
   },
-  { /* 1090 */
-    79,
+  { /* 1085 */
+    81,
     /* RCL8rCL */
   },
-  { /* 1091 */
+  { /* 1086 */
     24,
     /* RCL8ri */
   },
-  { /* 1092 */
-    59,
+  { /* 1087 */
+    61,
     /* RCR16m1 */
   },
-  { /* 1093 */
-    59,
+  { /* 1088 */
+    61,
     /* RCR16mCL */
   },
-  { /* 1094 */
-    146,
+  { /* 1089 */
+    148,
     /* RCR16mi */
   },
-  { /* 1095 */
-    76,
+  { /* 1090 */
+    78,
     /* RCR16r1 */
   },
-  { /* 1096 */
-    76,
+  { /* 1091 */
+    78,
     /* RCR16rCL */
   },
-  { /* 1097 */
-    147,
+  { /* 1092 */
+    149,
     /* RCR16ri */
   },
-  { /* 1098 */
-    59,
+  { /* 1093 */
+    61,
     /* RCR32m1 */
   },
-  { /* 1099 */
-    59,
+  { /* 1094 */
+    61,
     /* RCR32mCL */
   },
-  { /* 1100 */
-    146,
+  { /* 1095 */
+    148,
     /* RCR32mi */
   },
-  { /* 1101 */
-    76,
+  { /* 1096 */
+    78,
     /* RCR32r1 */
   },
-  { /* 1102 */
-    76,
+  { /* 1097 */
+    78,
     /* RCR32rCL */
   },
-  { /* 1103 */
-    147,
+  { /* 1098 */
+    149,
     /* RCR32ri */
   },
-  { /* 1104 */
-    59,
+  { /* 1099 */
+    61,
     /* RCR64m1 */
   },
-  { /* 1105 */
-    59,
+  { /* 1100 */
+    61,
     /* RCR64mCL */
   },
-  { /* 1106 */
-    146,
+  { /* 1101 */
+    148,
     /* RCR64mi */
   },
-  { /* 1107 */
-    77,
+  { /* 1102 */
+    79,
     /* RCR64r1 */
   },
-  { /* 1108 */
-    77,
+  { /* 1103 */
+    79,
     /* RCR64rCL */
   },
-  { /* 1109 */
-    148,
+  { /* 1104 */
+    150,
     /* RCR64ri */
   },
-  { /* 1110 */
-    78,
+  { /* 1105 */
+    80,
     /* RCR8m1 */
   },
-  { /* 1111 */
-    78,
+  { /* 1106 */
+    80,
     /* RCR8mCL */
   },
-  { /* 1112 */
+  { /* 1107 */
     22,
     /* RCR8mi */
   },
-  { /* 1113 */
-    79,
+  { /* 1108 */
+    81,
     /* RCR8r1 */
   },
-  { /* 1114 */
-    79,
+  { /* 1109 */
+    81,
     /* RCR8rCL */
   },
-  { /* 1115 */
+  { /* 1110 */
     24,
     /* RCR8ri */
   },
-  { /* 1116 */
-    149,
+  { /* 1111 */
+    151,
     /* RDFSBASE */
   },
-  { /* 1117 */
-    62,
+  { /* 1112 */
+    64,
     /* RDFSBASE64 */
   },
-  { /* 1118 */
-    149,
+  { /* 1113 */
+    151,
     /* RDGSBASE */
   },
-  { /* 1119 */
-    62,
+  { /* 1114 */
+    64,
     /* RDGSBASE64 */
   },
-  { /* 1120 */
+  { /* 1115 */
     0,
     /* RDMSR */
   },
-  { /* 1121 */
+  { /* 1116 */
     0,
     /* RDPMC */
   },
-  { /* 1122 */
-    60,
+  { /* 1117 */
+    62,
     /* RDRAND16r */
   },
-  { /* 1123 */
-    60,
+  { /* 1118 */
+    62,
     /* RDRAND32r */
   },
-  { /* 1124 */
-    62,
+  { /* 1119 */
+    64,
     /* RDRAND64r */
   },
-  { /* 1125 */
-    60,
+  { /* 1120 */
+    62,
     /* RDSEED16r */
   },
-  { /* 1126 */
-    60,
+  { /* 1121 */
+    62,
     /* RDSEED32r */
   },
-  { /* 1127 */
-    62,
+  { /* 1122 */
+    64,
     /* RDSEED64r */
   },
-  { /* 1128 */
+  { /* 1123 */
     0,
     /* RDTSC */
   },
-  { /* 1129 */
+  { /* 1124 */
     0,
     /* RDTSCP */
   },
+  { /* 1125 */
+    0,
+    /*  */
+  },
+  { /* 1126 */
+    0,
+    /*  */
+  },
+  { /* 1127 */
+    0,
+    /*  */
+  },
+  { /* 1128 */
+    0,
+    /*  */
+  },
+  { /* 1129 */
+    0,
+    /* REPNE_PREFIX */
+  },
   { /* 1130 */
     0,
     /*  */
@@ -7133,7 +7149,7 @@
   },
   { /* 1134 */
     0,
-    /* REPNE_PREFIX */
+    /*  */
   },
   { /* 1135 */
     0,
@@ -7145,7 +7161,7 @@
   },
   { /* 1137 */
     0,
-    /*  */
+    /* REP_PREFIX */
   },
   { /* 1138 */
     0,
@@ -7165,7 +7181,7 @@
   },
   { /* 1142 */
     0,
-    /* REP_PREFIX */
+    /*  */
   },
   { /* 1143 */
     0,
@@ -7176,633 +7192,633 @@
     /*  */
   },
   { /* 1145 */
-    0,
-    /*  */
-  },
-  { /* 1146 */
-    0,
-    /*  */
-  },
-  { /* 1147 */
-    0,
-    /*  */
-  },
-  { /* 1148 */
-    0,
-    /*  */
-  },
-  { /* 1149 */
-    0,
-    /*  */
-  },
-  { /* 1150 */
-    113,
+    115,
     /* RETIL */
   },
-  { /* 1151 */
-    113,
+  { /* 1146 */
+    115,
     /* RETIQ */
   },
-  { /* 1152 */
+  { /* 1147 */
     2,
     /* RETIW */
   },
-  { /* 1153 */
+  { /* 1148 */
     0,
     /* RETL */
   },
-  { /* 1154 */
+  { /* 1149 */
     0,
     /* RETQ */
   },
-  { /* 1155 */
+  { /* 1150 */
     0,
     /* RETW */
   },
-  { /* 1156 */
+  { /* 1151 */
     0,
     /* REX64_PREFIX */
   },
-  { /* 1157 */
-    59,
+  { /* 1152 */
+    61,
     /* ROL16m1 */
   },
-  { /* 1158 */
-    59,
+  { /* 1153 */
+    61,
     /* ROL16mCL */
   },
-  { /* 1159 */
-    146,
+  { /* 1154 */
+    148,
     /* ROL16mi */
   },
-  { /* 1160 */
-    76,
+  { /* 1155 */
+    78,
     /* ROL16r1 */
   },
-  { /* 1161 */
-    76,
+  { /* 1156 */
+    78,
     /* ROL16rCL */
   },
-  { /* 1162 */
-    147,
+  { /* 1157 */
+    149,
     /* ROL16ri */
   },
-  { /* 1163 */
-    59,
+  { /* 1158 */
+    61,
     /* ROL32m1 */
   },
-  { /* 1164 */
-    59,
+  { /* 1159 */
+    61,
     /* ROL32mCL */
   },
-  { /* 1165 */
-    146,
+  { /* 1160 */
+    148,
     /* ROL32mi */
   },
-  { /* 1166 */
-    76,
+  { /* 1161 */
+    78,
     /* ROL32r1 */
   },
-  { /* 1167 */
-    76,
+  { /* 1162 */
+    78,
     /* ROL32rCL */
   },
-  { /* 1168 */
-    147,
+  { /* 1163 */
+    149,
     /* ROL32ri */
   },
-  { /* 1169 */
-    59,
+  { /* 1164 */
+    61,
     /* ROL64m1 */
   },
-  { /* 1170 */
-    59,
+  { /* 1165 */
+    61,
     /* ROL64mCL */
   },
-  { /* 1171 */
-    146,
+  { /* 1166 */
+    148,
     /* ROL64mi */
   },
-  { /* 1172 */
-    77,
+  { /* 1167 */
+    79,
     /* ROL64r1 */
   },
-  { /* 1173 */
-    77,
+  { /* 1168 */
+    79,
     /* ROL64rCL */
   },
-  { /* 1174 */
-    148,
+  { /* 1169 */
+    150,
     /* ROL64ri */
   },
-  { /* 1175 */
-    78,
+  { /* 1170 */
+    80,
     /* ROL8m1 */
   },
-  { /* 1176 */
-    78,
+  { /* 1171 */
+    80,
     /* ROL8mCL */
   },
-  { /* 1177 */
+  { /* 1172 */
     22,
     /* ROL8mi */
   },
-  { /* 1178 */
-    79,
+  { /* 1173 */
+    81,
     /* ROL8r1 */
   },
-  { /* 1179 */
-    79,
+  { /* 1174 */
+    81,
     /* ROL8rCL */
   },
-  { /* 1180 */
+  { /* 1175 */
     24,
     /* ROL8ri */
   },
-  { /* 1181 */
-    59,
+  { /* 1176 */
+    61,
     /* ROR16m1 */
   },
-  { /* 1182 */
-    59,
+  { /* 1177 */
+    61,
     /* ROR16mCL */
   },
-  { /* 1183 */
-    146,
+  { /* 1178 */
+    148,
     /* ROR16mi */
   },
-  { /* 1184 */
-    76,
+  { /* 1179 */
+    78,
     /* ROR16r1 */
   },
-  { /* 1185 */
-    76,
+  { /* 1180 */
+    78,
     /* ROR16rCL */
   },
-  { /* 1186 */
-    147,
+  { /* 1181 */
+    149,
     /* ROR16ri */
   },
-  { /* 1187 */
-    59,
+  { /* 1182 */
+    61,
     /* ROR32m1 */
   },
-  { /* 1188 */
-    59,
+  { /* 1183 */
+    61,
     /* ROR32mCL */
   },
-  { /* 1189 */
-    146,
+  { /* 1184 */
+    148,
     /* ROR32mi */
   },
-  { /* 1190 */
-    76,
+  { /* 1185 */
+    78,
     /* ROR32r1 */
   },
-  { /* 1191 */
-    76,
+  { /* 1186 */
+    78,
     /* ROR32rCL */
   },
-  { /* 1192 */
-    147,
+  { /* 1187 */
+    149,
     /* ROR32ri */
   },
-  { /* 1193 */
-    59,
+  { /* 1188 */
+    61,
     /* ROR64m1 */
   },
-  { /* 1194 */
-    59,
+  { /* 1189 */
+    61,
     /* ROR64mCL */
   },
-  { /* 1195 */
-    146,
+  { /* 1190 */
+    148,
     /* ROR64mi */
   },
-  { /* 1196 */
-    77,
+  { /* 1191 */
+    79,
     /* ROR64r1 */
   },
-  { /* 1197 */
-    77,
+  { /* 1192 */
+    79,
     /* ROR64rCL */
   },
-  { /* 1198 */
-    148,
+  { /* 1193 */
+    150,
     /* ROR64ri */
   },
-  { /* 1199 */
-    78,
+  { /* 1194 */
+    80,
     /* ROR8m1 */
   },
-  { /* 1200 */
-    78,
+  { /* 1195 */
+    80,
     /* ROR8mCL */
   },
-  { /* 1201 */
+  { /* 1196 */
     22,
     /* ROR8mi */
   },
-  { /* 1202 */
-    79,
+  { /* 1197 */
+    81,
     /* ROR8r1 */
   },
-  { /* 1203 */
-    79,
+  { /* 1198 */
+    81,
     /* ROR8rCL */
   },
-  { /* 1204 */
+  { /* 1199 */
     24,
     /* ROR8ri */
   },
-  { /* 1205 */
-    150,
+  { /* 1200 */
+    152,
     /* RORX32mi */
   },
-  { /* 1206 */
-    151,
+  { /* 1201 */
+    153,
     /* RORX32ri */
   },
-  { /* 1207 */
-    152,
+  { /* 1202 */
+    154,
     /* RORX64mi */
   },
-  { /* 1208 */
-    153,
+  { /* 1203 */
+    155,
     /* RORX64ri */
   },
-  { /* 1209 */
+  { /* 1204 */
     0,
     /* RSM */
   },
-  { /* 1210 */
+  { /* 1205 */
     0,
     /* SAHF */
   },
-  { /* 1211 */
-    59,
+  { /* 1206 */
+    61,
     /* SAL16m1 */
   },
-  { /* 1212 */
-    59,
+  { /* 1207 */
+    61,
     /* SAL16mCL */
   },
-  { /* 1213 */
-    146,
+  { /* 1208 */
+    148,
     /* SAL16mi */
   },
-  { /* 1214 */
-    76,
+  { /* 1209 */
+    78,
     /* SAL16r1 */
   },
-  { /* 1215 */
-    76,
+  { /* 1210 */
+    78,
     /* SAL16rCL */
   },
-  { /* 1216 */
-    147,
+  { /* 1211 */
+    149,
     /* SAL16ri */
   },
-  { /* 1217 */
-    59,
+  { /* 1212 */
+    61,
     /* SAL32m1 */
   },
-  { /* 1218 */
-    59,
+  { /* 1213 */
+    61,
     /* SAL32mCL */
   },
-  { /* 1219 */
-    146,
+  { /* 1214 */
+    148,
     /* SAL32mi */
   },
-  { /* 1220 */
-    76,
+  { /* 1215 */
+    78,
     /* SAL32r1 */
   },
-  { /* 1221 */
-    76,
+  { /* 1216 */
+    78,
     /* SAL32rCL */
   },
-  { /* 1222 */
-    147,
+  { /* 1217 */
+    149,
     /* SAL32ri */
   },
-  { /* 1223 */
-    59,
+  { /* 1218 */
+    61,
     /* SAL64m1 */
   },
-  { /* 1224 */
-    59,
+  { /* 1219 */
+    61,
     /* SAL64mCL */
   },
-  { /* 1225 */
-    146,
+  { /* 1220 */
+    148,
     /* SAL64mi */
   },
-  { /* 1226 */
-    77,
+  { /* 1221 */
+    79,
     /* SAL64r1 */
   },
-  { /* 1227 */
-    77,
+  { /* 1222 */
+    79,
     /* SAL64rCL */
   },
-  { /* 1228 */
-    148,
+  { /* 1223 */
+    150,
     /* SAL64ri */
   },
-  { /* 1229 */
-    78,
+  { /* 1224 */
+    80,
     /* SAL8m1 */
   },
-  { /* 1230 */
-    78,
+  { /* 1225 */
+    80,
     /* SAL8mCL */
   },
-  { /* 1231 */
+  { /* 1226 */
     22,
     /* SAL8mi */
   },
-  { /* 1232 */
-    79,
+  { /* 1227 */
+    81,
     /* SAL8r1 */
   },
-  { /* 1233 */
-    79,
+  { /* 1228 */
+    81,
     /* SAL8rCL */
   },
-  { /* 1234 */
+  { /* 1229 */
     24,
     /* SAL8ri */
   },
-  { /* 1235 */
+  { /* 1230 */
     0,
     /* SALC */
   },
-  { /* 1236 */
-    59,
+  { /* 1231 */
+    61,
     /* SAR16m1 */
   },
-  { /* 1237 */
-    59,
+  { /* 1232 */
+    61,
     /* SAR16mCL */
   },
-  { /* 1238 */
-    146,
+  { /* 1233 */
+    148,
     /* SAR16mi */
   },
-  { /* 1239 */
-    76,
+  { /* 1234 */
+    78,
     /* SAR16r1 */
   },
-  { /* 1240 */
-    76,
+  { /* 1235 */
+    78,
     /* SAR16rCL */
   },
-  { /* 1241 */
-    147,
+  { /* 1236 */
+    149,
     /* SAR16ri */
   },
-  { /* 1242 */
-    59,
+  { /* 1237 */
+    61,
     /* SAR32m1 */
   },
-  { /* 1243 */
-    59,
+  { /* 1238 */
+    61,
     /* SAR32mCL */
   },
-  { /* 1244 */
-    146,
+  { /* 1239 */
+    148,
     /* SAR32mi */
   },
-  { /* 1245 */
-    76,
+  { /* 1240 */
+    78,
     /* SAR32r1 */
   },
-  { /* 1246 */
-    76,
+  { /* 1241 */
+    78,
     /* SAR32rCL */
   },
-  { /* 1247 */
-    147,
+  { /* 1242 */
+    149,
     /* SAR32ri */
   },
-  { /* 1248 */
-    59,
+  { /* 1243 */
+    61,
     /* SAR64m1 */
   },
-  { /* 1249 */
-    59,
+  { /* 1244 */
+    61,
     /* SAR64mCL */
   },
-  { /* 1250 */
-    146,
+  { /* 1245 */
+    148,
     /* SAR64mi */
   },
-  { /* 1251 */
-    77,
+  { /* 1246 */
+    79,
     /* SAR64r1 */
   },
-  { /* 1252 */
-    77,
+  { /* 1247 */
+    79,
     /* SAR64rCL */
   },
-  { /* 1253 */
-    148,
+  { /* 1248 */
+    150,
     /* SAR64ri */
   },
-  { /* 1254 */
-    78,
+  { /* 1249 */
+    80,
     /* SAR8m1 */
   },
-  { /* 1255 */
-    78,
+  { /* 1250 */
+    80,
     /* SAR8mCL */
   },
-  { /* 1256 */
+  { /* 1251 */
     22,
     /* SAR8mi */
   },
-  { /* 1257 */
-    79,
+  { /* 1252 */
+    81,
     /* SAR8r1 */
   },
-  { /* 1258 */
-    79,
+  { /* 1253 */
+    81,
     /* SAR8rCL */
   },
-  { /* 1259 */
+  { /* 1254 */
     24,
     /* SAR8ri */
   },
-  { /* 1260 */
-    38,
+  { /* 1255 */
+    40,
     /* SARX32rm */
   },
-  { /* 1261 */
-    39,
+  { /* 1256 */
+    41,
     /* SARX32rr */
   },
-  { /* 1262 */
-    40,
+  { /* 1257 */
+    42,
     /* SARX64rm */
   },
-  { /* 1263 */
-    41,
+  { /* 1258 */
+    43,
     /* SARX64rr */
   },
-  { /* 1264 */
+  { /* 1259 */
     2,
     /* SBB16i16 */
   },
-  { /* 1265 */
+  { /* 1260 */
     3,
     /* SBB16mi */
   },
-  { /* 1266 */
+  { /* 1261 */
     4,
     /* SBB16mi8 */
   },
-  { /* 1267 */
+  { /* 1262 */
     5,
     /* SBB16mr */
   },
-  { /* 1268 */
+  { /* 1263 */
     6,
     /* SBB16ri */
   },
-  { /* 1269 */
+  { /* 1264 */
     7,
     /* SBB16ri8 */
   },
-  { /* 1270 */
+  { /* 1265 */
     8,
     /* SBB16rm */
   },
-  { /* 1271 */
+  { /* 1266 */
     9,
     /* SBB16rr */
   },
-  { /* 1272 */
+  { /* 1267 */
     10,
     /* SBB16rr_REV */
   },
-  { /* 1273 */
+  { /* 1268 */
     2,
     /* SBB32i32 */
   },
-  { /* 1274 */
+  { /* 1269 */
     3,
     /* SBB32mi */
   },
-  { /* 1275 */
+  { /* 1270 */
     11,
     /* SBB32mi8 */
   },
-  { /* 1276 */
+  { /* 1271 */
     5,
     /* SBB32mr */
   },
-  { /* 1277 */
+  { /* 1272 */
     6,
     /* SBB32ri */
   },
-  { /* 1278 */
+  { /* 1273 */
     12,
     /* SBB32ri8 */
   },
-  { /* 1279 */
+  { /* 1274 */
     8,
     /* SBB32rm */
   },
-  { /* 1280 */
+  { /* 1275 */
     9,
     /* SBB32rr */
   },
-  { /* 1281 */
+  { /* 1276 */
     10,
     /* SBB32rr_REV */
   },
-  { /* 1282 */
+  { /* 1277 */
     13,
     /* SBB64i32 */
   },
-  { /* 1283 */
+  { /* 1278 */
     14,
     /* SBB64mi32 */
   },
-  { /* 1284 */
+  { /* 1279 */
     15,
     /* SBB64mi8 */
   },
-  { /* 1285 */
+  { /* 1280 */
     16,
     /* SBB64mr */
   },
-  { /* 1286 */
+  { /* 1281 */
     17,
     /* SBB64ri32 */
   },
-  { /* 1287 */
+  { /* 1282 */
     18,
     /* SBB64ri8 */
   },
-  { /* 1288 */
+  { /* 1283 */
     19,
     /* SBB64rm */
   },
-  { /* 1289 */
+  { /* 1284 */
     20,
     /* SBB64rr */
   },
-  { /* 1290 */
+  { /* 1285 */
     21,
     /* SBB64rr_REV */
   },
-  { /* 1291 */
+  { /* 1286 */
     1,
     /* SBB8i8 */
   },
-  { /* 1292 */
+  { /* 1287 */
     22,
     /* SBB8mi */
   },
-  { /* 1293 */
+  { /* 1288 */
     23,
     /* SBB8mr */
   },
-  { /* 1294 */
+  { /* 1289 */
     24,
     /* SBB8ri */
   },
-  { /* 1295 */
+  { /* 1290 */
     25,
     /* SBB8rm */
   },
-  { /* 1296 */
+  { /* 1291 */
     26,
     /* SBB8rr */
   },
-  { /* 1297 */
+  { /* 1292 */
     27,
     /* SBB8rr_REV */
   },
-  { /* 1298 */
-    95,
+  { /* 1293 */
+    97,
     /* SCASB */
   },
-  { /* 1299 */
-    96,
+  { /* 1294 */
+    98,
     /* SCASL */
   },
-  { /* 1300 */
-    154,
+  { /* 1295 */
+    156,
     /* SCASQ */
   },
-  { /* 1301 */
-    97,
+  { /* 1296 */
+    99,
     /* SCASW */
   },
+  { /* 1297 */
+    0,
+    /*  */
+  },
+  { /* 1298 */
+    0,
+    /*  */
+  },
+  { /* 1299 */
+    0,
+    /*  */
+  },
+  { /* 1300 */
+    0,
+    /*  */
+  },
+  { /* 1301 */
+    0,
+    /*  */
+  },
   { /* 1302 */
     0,
     /*  */
@@ -7824,793 +7840,793 @@
     /*  */
   },
   { /* 1307 */
-    0,
-    /*  */
-  },
-  { /* 1308 */
-    0,
-    /*  */
-  },
-  { /* 1309 */
-    0,
-    /*  */
-  },
-  { /* 1310 */
-    0,
-    /*  */
-  },
-  { /* 1311 */
-    0,
-    /*  */
-  },
-  { /* 1312 */
-    78,
+    80,
     /* SETAEm */
   },
-  { /* 1313 */
-    80,
+  { /* 1308 */
+    82,
     /* SETAEr */
   },
-  { /* 1314 */
-    78,
+  { /* 1309 */
+    80,
     /* SETAm */
   },
-  { /* 1315 */
-    80,
+  { /* 1310 */
+    82,
     /* SETAr */
   },
-  { /* 1316 */
-    78,
+  { /* 1311 */
+    80,
     /* SETBEm */
   },
+  { /* 1312 */
+    82,
+    /* SETBEr */
+  },
+  { /* 1313 */
+    0,
+    /*  */
+  },
+  { /* 1314 */
+    0,
+    /*  */
+  },
+  { /* 1315 */
+    0,
+    /*  */
+  },
+  { /* 1316 */
+    0,
+    /*  */
+  },
   { /* 1317 */
     80,
-    /* SETBEr */
+    /* SETBm */
   },
   { /* 1318 */
-    0,
-    /*  */
+    82,
+    /* SETBr */
   },
   { /* 1319 */
-    0,
-    /*  */
+    80,
+    /* SETEm */
   },
   { /* 1320 */
-    0,
-    /*  */
+    82,
+    /* SETEr */
   },
   { /* 1321 */
-    0,
-    /*  */
+    80,
+    /* SETGEm */
   },
   { /* 1322 */
-    78,
-    /* SETBm */
+    82,
+    /* SETGEr */
   },
   { /* 1323 */
     80,
-    /* SETBr */
+    /* SETGm */
   },
   { /* 1324 */
-    78,
-    /* SETEm */
+    82,
+    /* SETGr */
   },
   { /* 1325 */
     80,
-    /* SETEr */
+    /* SETLEm */
   },
   { /* 1326 */
-    78,
-    /* SETGEm */
+    82,
+    /* SETLEr */
   },
   { /* 1327 */
     80,
-    /* SETGEr */
+    /* SETLm */
   },
   { /* 1328 */
-    78,
-    /* SETGm */
+    82,
+    /* SETLr */
   },
   { /* 1329 */
     80,
-    /* SETGr */
+    /* SETNEm */
   },
   { /* 1330 */
-    78,
-    /* SETLEm */
+    82,
+    /* SETNEr */
   },
   { /* 1331 */
     80,
-    /* SETLEr */
+    /* SETNOm */
   },
   { /* 1332 */
-    78,
-    /* SETLm */
+    82,
+    /* SETNOr */
   },
   { /* 1333 */
     80,
-    /* SETLr */
+    /* SETNPm */
   },
   { /* 1334 */
-    78,
-    /* SETNEm */
+    82,
+    /* SETNPr */
   },
   { /* 1335 */
     80,
-    /* SETNEr */
+    /* SETNSm */
   },
   { /* 1336 */
-    78,
-    /* SETNOm */
+    82,
+    /* SETNSr */
   },
   { /* 1337 */
     80,
-    /* SETNOr */
+    /* SETOm */
   },
   { /* 1338 */
-    78,
-    /* SETNPm */
+    82,
+    /* SETOr */
   },
   { /* 1339 */
     80,
-    /* SETNPr */
+    /* SETPm */
   },
   { /* 1340 */
-    78,
-    /* SETNSm */
+    82,
+    /* SETPr */
   },
   { /* 1341 */
     80,
-    /* SETNSr */
-  },
-  { /* 1342 */
-    78,
-    /* SETOm */
-  },
-  { /* 1343 */
-    80,
-    /* SETOr */
-  },
-  { /* 1344 */
-    78,
-    /* SETPm */
-  },
-  { /* 1345 */
-    80,
-    /* SETPr */
-  },
-  { /* 1346 */
-    78,
     /* SETSm */
   },
-  { /* 1347 */
-    80,
+  { /* 1342 */
+    82,
     /* SETSr */
   },
-  { /* 1348 */
-    85,
+  { /* 1343 */
+    87,
     /* SGDT16m */
   },
-  { /* 1349 */
-    85,
+  { /* 1344 */
+    87,
     /* SGDT32m */
   },
-  { /* 1350 */
-    86,
+  { /* 1345 */
+    88,
     /* SGDT64m */
   },
-  { /* 1351 */
-    59,
+  { /* 1346 */
+    61,
     /* SHL16m1 */
   },
-  { /* 1352 */
-    59,
+  { /* 1347 */
+    61,
     /* SHL16mCL */
   },
-  { /* 1353 */
-    146,
+  { /* 1348 */
+    148,
     /* SHL16mi */
   },
-  { /* 1354 */
-    76,
+  { /* 1349 */
+    78,
     /* SHL16r1 */
   },
-  { /* 1355 */
-    76,
+  { /* 1350 */
+    78,
     /* SHL16rCL */
   },
-  { /* 1356 */
-    147,
+  { /* 1351 */
+    149,
     /* SHL16ri */
   },
-  { /* 1357 */
-    59,
+  { /* 1352 */
+    61,
     /* SHL32m1 */
   },
-  { /* 1358 */
-    59,
+  { /* 1353 */
+    61,
     /* SHL32mCL */
   },
-  { /* 1359 */
-    146,
+  { /* 1354 */
+    148,
     /* SHL32mi */
   },
-  { /* 1360 */
-    76,
+  { /* 1355 */
+    78,
     /* SHL32r1 */
   },
-  { /* 1361 */
-    76,
+  { /* 1356 */
+    78,
     /* SHL32rCL */
   },
-  { /* 1362 */
-    147,
+  { /* 1357 */
+    149,
     /* SHL32ri */
   },
-  { /* 1363 */
-    59,
+  { /* 1358 */
+    61,
     /* SHL64m1 */
   },
-  { /* 1364 */
-    59,
+  { /* 1359 */
+    61,
     /* SHL64mCL */
   },
-  { /* 1365 */
-    146,
+  { /* 1360 */
+    148,
     /* SHL64mi */
   },
-  { /* 1366 */
-    77,
+  { /* 1361 */
+    79,
     /* SHL64r1 */
   },
-  { /* 1367 */
-    77,
+  { /* 1362 */
+    79,
     /* SHL64rCL */
   },
-  { /* 1368 */
-    148,
+  { /* 1363 */
+    150,
     /* SHL64ri */
   },
-  { /* 1369 */
-    78,
+  { /* 1364 */
+    80,
     /* SHL8m1 */
   },
-  { /* 1370 */
-    78,
+  { /* 1365 */
+    80,
     /* SHL8mCL */
   },
-  { /* 1371 */
+  { /* 1366 */
     22,
     /* SHL8mi */
   },
-  { /* 1372 */
-    79,
+  { /* 1367 */
+    81,
     /* SHL8r1 */
   },
-  { /* 1373 */
-    79,
+  { /* 1368 */
+    81,
     /* SHL8rCL */
   },
-  { /* 1374 */
+  { /* 1369 */
     24,
     /* SHL8ri */
   },
-  { /* 1375 */
+  { /* 1370 */
     5,
     /* SHLD16mrCL */
   },
-  { /* 1376 */
-    155,
+  { /* 1371 */
+    157,
     /* SHLD16mri8 */
   },
-  { /* 1377 */
+  { /* 1372 */
     9,
     /* SHLD16rrCL */
   },
-  { /* 1378 */
-    156,
+  { /* 1373 */
+    158,
     /* SHLD16rri8 */
   },
-  { /* 1379 */
+  { /* 1374 */
     5,
     /* SHLD32mrCL */
   },
-  { /* 1380 */
-    155,
+  { /* 1375 */
+    157,
     /* SHLD32mri8 */
   },
-  { /* 1381 */
+  { /* 1376 */
     9,
     /* SHLD32rrCL */
   },
-  { /* 1382 */
-    156,
+  { /* 1377 */
+    158,
     /* SHLD32rri8 */
   },
-  { /* 1383 */
+  { /* 1378 */
     16,
     /* SHLD64mrCL */
   },
-  { /* 1384 */
-    157,
+  { /* 1379 */
+    159,
     /* SHLD64mri8 */
   },
-  { /* 1385 */
+  { /* 1380 */
     20,
     /* SHLD64rrCL */
   },
-  { /* 1386 */
-    158,
+  { /* 1381 */
+    160,
     /* SHLD64rri8 */
   },
-  { /* 1387 */
-    38,
+  { /* 1382 */
+    40,
     /* SHLX32rm */
   },
-  { /* 1388 */
-    39,
+  { /* 1383 */
+    41,
     /* SHLX32rr */
   },
-  { /* 1389 */
-    40,
+  { /* 1384 */
+    42,
     /* SHLX64rm */
   },
-  { /* 1390 */
-    41,
+  { /* 1385 */
+    43,
     /* SHLX64rr */
   },
-  { /* 1391 */
-    59,
+  { /* 1386 */
+    61,
     /* SHR16m1 */
   },
-  { /* 1392 */
-    59,
+  { /* 1387 */
+    61,
     /* SHR16mCL */
   },
-  { /* 1393 */
-    146,
+  { /* 1388 */
+    148,
     /* SHR16mi */
   },
-  { /* 1394 */
-    76,
+  { /* 1389 */
+    78,
     /* SHR16r1 */
   },
-  { /* 1395 */
-    76,
+  { /* 1390 */
+    78,
     /* SHR16rCL */
   },
-  { /* 1396 */
-    147,
+  { /* 1391 */
+    149,
     /* SHR16ri */
   },
-  { /* 1397 */
-    59,
+  { /* 1392 */
+    61,
     /* SHR32m1 */
   },
-  { /* 1398 */
-    59,
+  { /* 1393 */
+    61,
     /* SHR32mCL */
   },
-  { /* 1399 */
-    146,
+  { /* 1394 */
+    148,
     /* SHR32mi */
   },
-  { /* 1400 */
-    76,
+  { /* 1395 */
+    78,
     /* SHR32r1 */
   },
-  { /* 1401 */
-    76,
+  { /* 1396 */
+    78,
     /* SHR32rCL */
   },
-  { /* 1402 */
-    147,
+  { /* 1397 */
+    149,
     /* SHR32ri */
   },
-  { /* 1403 */
-    59,
+  { /* 1398 */
+    61,
     /* SHR64m1 */
   },
-  { /* 1404 */
-    59,
+  { /* 1399 */
+    61,
     /* SHR64mCL */
   },
-  { /* 1405 */
-    146,
+  { /* 1400 */
+    148,
     /* SHR64mi */
   },
-  { /* 1406 */
-    77,
+  { /* 1401 */
+    79,
     /* SHR64r1 */
   },
-  { /* 1407 */
-    77,
+  { /* 1402 */
+    79,
     /* SHR64rCL */
   },
-  { /* 1408 */
-    148,
+  { /* 1403 */
+    150,
     /* SHR64ri */
   },
-  { /* 1409 */
-    78,
+  { /* 1404 */
+    80,
     /* SHR8m1 */
   },
-  { /* 1410 */
-    78,
+  { /* 1405 */
+    80,
     /* SHR8mCL */
   },
-  { /* 1411 */
+  { /* 1406 */
     22,
     /* SHR8mi */
   },
-  { /* 1412 */
-    79,
+  { /* 1407 */
+    81,
     /* SHR8r1 */
   },
-  { /* 1413 */
-    79,
+  { /* 1408 */
+    81,
     /* SHR8rCL */
   },
-  { /* 1414 */
+  { /* 1409 */
     24,
     /* SHR8ri */
   },
-  { /* 1415 */
+  { /* 1410 */
     5,
     /* SHRD16mrCL */
   },
-  { /* 1416 */
-    155,
+  { /* 1411 */
+    157,
     /* SHRD16mri8 */
   },
-  { /* 1417 */
+  { /* 1412 */
     9,
     /* SHRD16rrCL */
   },
-  { /* 1418 */
-    156,
+  { /* 1413 */
+    158,
     /* SHRD16rri8 */
   },
-  { /* 1419 */
+  { /* 1414 */
     5,
     /* SHRD32mrCL */
   },
-  { /* 1420 */
-    155,
+  { /* 1415 */
+    157,
     /* SHRD32mri8 */
   },
-  { /* 1421 */
+  { /* 1416 */
     9,
     /* SHRD32rrCL */
   },
-  { /* 1422 */
-    156,
+  { /* 1417 */
+    158,
     /* SHRD32rri8 */
   },
-  { /* 1423 */
+  { /* 1418 */
     16,
     /* SHRD64mrCL */
   },
-  { /* 1424 */
-    157,
+  { /* 1419 */
+    159,
     /* SHRD64mri8 */
   },
-  { /* 1425 */
+  { /* 1420 */
     20,
     /* SHRD64rrCL */
   },
-  { /* 1426 */
-    158,
+  { /* 1421 */
+    160,
     /* SHRD64rri8 */
   },
-  { /* 1427 */
-    38,
+  { /* 1422 */
+    40,
     /* SHRX32rm */
   },
-  { /* 1428 */
-    39,
+  { /* 1423 */
+    41,
     /* SHRX32rr */
   },
-  { /* 1429 */
-    40,
+  { /* 1424 */
+    42,
     /* SHRX64rm */
   },
-  { /* 1430 */
-    41,
+  { /* 1425 */
+    43,
     /* SHRX64rr */
   },
-  { /* 1431 */
-    85,
+  { /* 1426 */
+    87,
     /* SIDT16m */
   },
-  { /* 1432 */
-    85,
+  { /* 1427 */
+    87,
     /* SIDT32m */
   },
-  { /* 1433 */
-    86,
+  { /* 1428 */
+    88,
     /* SIDT64m */
   },
-  { /* 1434 */
+  { /* 1429 */
     0,
     /* SKINIT */
   },
-  { /* 1435 */
-    59,
+  { /* 1430 */
+    61,
     /* SLDT16m */
   },
-  { /* 1436 */
-    60,
+  { /* 1431 */
+    62,
     /* SLDT16r */
   },
-  { /* 1437 */
-    60,
+  { /* 1432 */
+    62,
     /* SLDT32r */
   },
-  { /* 1438 */
-    59,
+  { /* 1433 */
+    61,
     /* SLDT64m */
   },
-  { /* 1439 */
-    62,
+  { /* 1434 */
+    64,
     /* SLDT64r */
   },
-  { /* 1440 */
-    59,
+  { /* 1435 */
+    61,
     /* SMSW16m */
   },
-  { /* 1441 */
-    60,
+  { /* 1436 */
+    62,
     /* SMSW16r */
   },
-  { /* 1442 */
-    60,
+  { /* 1437 */
+    62,
     /* SMSW32r */
   },
-  { /* 1443 */
-    62,
+  { /* 1438 */
+    64,
     /* SMSW64r */
   },
-  { /* 1444 */
-    0,
-    /* SS_PREFIX */
-  },
-  { /* 1445 */
+  { /* 1439 */
     0,
     /* STAC */
   },
-  { /* 1446 */
+  { /* 1440 */
     0,
     /* STC */
   },
-  { /* 1447 */
+  { /* 1441 */
     0,
     /* STD */
   },
-  { /* 1448 */
+  { /* 1442 */
     0,
     /* STGI */
   },
-  { /* 1449 */
+  { /* 1443 */
     0,
     /* STI */
   },
-  { /* 1450 */
-    95,
+  { /* 1444 */
+    97,
     /* STOSB */
   },
-  { /* 1451 */
-    96,
+  { /* 1445 */
+    98,
     /* STOSL */
   },
-  { /* 1452 */
-    154,
+  { /* 1446 */
+    156,
     /* STOSQ */
   },
-  { /* 1453 */
-    97,
+  { /* 1447 */
+    99,
     /* STOSW */
   },
-  { /* 1454 */
-    60,
+  { /* 1448 */
+    62,
     /* STR16r */
   },
-  { /* 1455 */
-    60,
+  { /* 1449 */
+    62,
     /* STR32r */
   },
-  { /* 1456 */
-    62,
+  { /* 1450 */
+    64,
     /* STR64r */
   },
-  { /* 1457 */
-    59,
+  { /* 1451 */
+    61,
     /* STRm */
   },
-  { /* 1458 */
+  { /* 1452 */
     2,
     /* SUB16i16 */
   },
-  { /* 1459 */
+  { /* 1453 */
     3,
     /* SUB16mi */
   },
-  { /* 1460 */
+  { /* 1454 */
     4,
     /* SUB16mi8 */
   },
-  { /* 1461 */
+  { /* 1455 */
     5,
     /* SUB16mr */
   },
-  { /* 1462 */
+  { /* 1456 */
     6,
     /* SUB16ri */
   },
-  { /* 1463 */
+  { /* 1457 */
     7,
     /* SUB16ri8 */
   },
-  { /* 1464 */
+  { /* 1458 */
     8,
     /* SUB16rm */
   },
-  { /* 1465 */
+  { /* 1459 */
     9,
     /* SUB16rr */
   },
-  { /* 1466 */
+  { /* 1460 */
     10,
     /* SUB16rr_REV */
   },
-  { /* 1467 */
+  { /* 1461 */
     2,
     /* SUB32i32 */
   },
-  { /* 1468 */
+  { /* 1462 */
     3,
     /* SUB32mi */
   },
-  { /* 1469 */
+  { /* 1463 */
     11,
     /* SUB32mi8 */
   },
-  { /* 1470 */
+  { /* 1464 */
     5,
     /* SUB32mr */
   },
-  { /* 1471 */
+  { /* 1465 */
     6,
     /* SUB32ri */
   },
-  { /* 1472 */
+  { /* 1466 */
     12,
     /* SUB32ri8 */
   },
-  { /* 1473 */
+  { /* 1467 */
     8,
     /* SUB32rm */
   },
-  { /* 1474 */
+  { /* 1468 */
     9,
     /* SUB32rr */
   },
-  { /* 1475 */
+  { /* 1469 */
     10,
     /* SUB32rr_REV */
   },
-  { /* 1476 */
+  { /* 1470 */
     13,
     /* SUB64i32 */
   },
-  { /* 1477 */
+  { /* 1471 */
     14,
     /* SUB64mi32 */
   },
-  { /* 1478 */
+  { /* 1472 */
     15,
     /* SUB64mi8 */
   },
-  { /* 1479 */
+  { /* 1473 */
     16,
     /* SUB64mr */
   },
-  { /* 1480 */
+  { /* 1474 */
     17,
     /* SUB64ri32 */
   },
-  { /* 1481 */
+  { /* 1475 */
     18,
     /* SUB64ri8 */
   },
-  { /* 1482 */
+  { /* 1476 */
     19,
     /* SUB64rm */
   },
-  { /* 1483 */
+  { /* 1477 */
     20,
     /* SUB64rr */
   },
-  { /* 1484 */
+  { /* 1478 */
     21,
     /* SUB64rr_REV */
   },
-  { /* 1485 */
+  { /* 1479 */
     1,
     /* SUB8i8 */
   },
-  { /* 1486 */
+  { /* 1480 */
     22,
     /* SUB8mi */
   },
-  { /* 1487 */
+  { /* 1481 */
     23,
     /* SUB8mr */
   },
-  { /* 1488 */
+  { /* 1482 */
     24,
     /* SUB8ri */
   },
-  { /* 1489 */
+  { /* 1483 */
     24,
     /* SUB8ri8 */
   },
-  { /* 1490 */
+  { /* 1484 */
     25,
     /* SUB8rm */
   },
-  { /* 1491 */
+  { /* 1485 */
     26,
     /* SUB8rr */
   },
-  { /* 1492 */
+  { /* 1486 */
     27,
     /* SUB8rr_REV */
   },
-  { /* 1493 */
+  { /* 1487 */
     0,
     /* SWAPGS */
   },
-  { /* 1494 */
+  { /* 1488 */
     0,
     /* SYSCALL */
   },
-  { /* 1495 */
+  { /* 1489 */
     0,
     /* SYSENTER */
   },
-  { /* 1496 */
+  { /* 1490 */
     0,
     /* SYSEXIT */
   },
-  { /* 1497 */
+  { /* 1491 */
     0,
     /* SYSEXIT64 */
   },
-  { /* 1498 */
+  { /* 1492 */
     0,
     /* SYSRET */
   },
-  { /* 1499 */
+  { /* 1493 */
     0,
     /* SYSRET64 */
   },
-  { /* 1500 */
-    46,
+  { /* 1494 */
+    48,
     /* T1MSKC32rm */
   },
-  { /* 1501 */
-    47,
+  { /* 1495 */
+    49,
     /* T1MSKC32rr */
   },
-  { /* 1502 */
-    48,
+  { /* 1496 */
+    50,
     /* T1MSKC64rm */
   },
-  { /* 1503 */
-    49,
+  { /* 1497 */
+    51,
     /* T1MSKC64rr */
   },
+  { /* 1498 */
+    0,
+    /*  */
+  },
+  { /* 1499 */
+    0,
+    /*  */
+  },
+  { /* 1500 */
+    0,
+    /*  */
+  },
+  { /* 1501 */
+    0,
+    /*  */
+  },
+  { /* 1502 */
+    0,
+    /*  */
+  },
+  { /* 1503 */
+    0,
+    /*  */
+  },
   { /* 1504 */
     0,
     /*  */
@@ -8636,662 +8652,638 @@
     /*  */
   },
   { /* 1510 */
-    0,
-    /*  */
-  },
-  { /* 1511 */
-    0,
-    /*  */
-  },
-  { /* 1512 */
-    0,
-    /*  */
-  },
-  { /* 1513 */
-    0,
-    /*  */
-  },
-  { /* 1514 */
-    0,
-    /*  */
-  },
-  { /* 1515 */
-    0,
-    /*  */
-  },
-  { /* 1516 */
     2,
     /* TEST16i16 */
   },
-  { /* 1517 */
+  { /* 1511 */
     3,
     /* TEST16mi */
   },
-  { /* 1518 */
+  { /* 1512 */
     3,
     /* TEST16mi_alt */
   },
-  { /* 1519 */
-    65,
+  { /* 1513 */
+    67,
     /* TEST16ri */
   },
-  { /* 1520 */
-    65,
+  { /* 1514 */
+    67,
     /* TEST16ri_alt */
   },
-  { /* 1521 */
+  { /* 1515 */
     5,
     /* TEST16rm */
   },
-  { /* 1522 */
-    51,
+  { /* 1516 */
+    53,
     /* TEST16rr */
   },
-  { /* 1523 */
+  { /* 1517 */
     2,
     /* TEST32i32 */
   },
-  { /* 1524 */
+  { /* 1518 */
     3,
     /* TEST32mi */
   },
-  { /* 1525 */
+  { /* 1519 */
     3,
     /* TEST32mi_alt */
   },
-  { /* 1526 */
-    65,
+  { /* 1520 */
+    67,
     /* TEST32ri */
   },
-  { /* 1527 */
-    65,
+  { /* 1521 */
+    67,
     /* TEST32ri_alt */
   },
-  { /* 1528 */
+  { /* 1522 */
     5,
     /* TEST32rm */
   },
-  { /* 1529 */
-    51,
+  { /* 1523 */
+    53,
     /* TEST32rr */
   },
-  { /* 1530 */
+  { /* 1524 */
     13,
     /* TEST64i32 */
   },
-  { /* 1531 */
+  { /* 1525 */
     14,
     /* TEST64mi32 */
   },
-  { /* 1532 */
+  { /* 1526 */
     14,
     /* TEST64mi32_alt */
   },
-  { /* 1533 */
-    66,
+  { /* 1527 */
+    68,
     /* TEST64ri32 */
   },
-  { /* 1534 */
-    66,
+  { /* 1528 */
+    68,
     /* TEST64ri32_alt */
   },
-  { /* 1535 */
+  { /* 1529 */
     16,
     /* TEST64rm */
   },
-  { /* 1536 */
-    31,
+  { /* 1530 */
+    33,
     /* TEST64rr */
   },
-  { /* 1537 */
+  { /* 1531 */
     1,
     /* TEST8i8 */
   },
-  { /* 1538 */
+  { /* 1532 */
     22,
     /* TEST8mi */
   },
-  { /* 1539 */
+  { /* 1533 */
     22,
     /* TEST8mi_alt */
   },
-  { /* 1540 */
-    67,
+  { /* 1534 */
+    69,
     /* TEST8ri */
   },
+  { /* 1535 */
+    0,
+    /*  */
+  },
+  { /* 1536 */
+    69,
+    /* TEST8ri_alt */
+  },
+  { /* 1537 */
+    23,
+    /* TEST8rm */
+  },
+  { /* 1538 */
+    72,
+    /* TEST8rr */
+  },
+  { /* 1539 */
+    0,
+    /*  */
+  },
+  { /* 1540 */
+    0,
+    /*  */
+  },
   { /* 1541 */
     0,
     /*  */
   },
   { /* 1542 */
-    67,
-    /* TEST8ri_alt */
+    0,
+    /*  */
   },
   { /* 1543 */
-    23,
-    /* TEST8rm */
+    0,
+    /*  */
   },
   { /* 1544 */
-    70,
-    /* TEST8rr */
+    0,
+    /*  */
   },
   { /* 1545 */
     0,
-    /*  */
-  },
-  { /* 1546 */
-    0,
-    /*  */
-  },
-  { /* 1547 */
-    0,
-    /*  */
-  },
-  { /* 1548 */
-    0,
-    /*  */
-  },
-  { /* 1549 */
-    0,
-    /*  */
-  },
-  { /* 1550 */
-    0,
-    /*  */
-  },
-  { /* 1551 */
-    0,
     /* TRAP */
   },
-  { /* 1552 */
-    50,
+  { /* 1546 */
+    52,
     /* TZCNT16rm */
   },
-  { /* 1553 */
-    51,
+  { /* 1547 */
+    53,
     /* TZCNT16rr */
   },
+  { /* 1548 */
+    52,
+    /* TZCNT32rm */
+  },
+  { /* 1549 */
+    53,
+    /* TZCNT32rr */
+  },
+  { /* 1550 */
+    32,
+    /* TZCNT64rm */
+  },
+  { /* 1551 */
+    33,
+    /* TZCNT64rr */
+  },
+  { /* 1552 */
+    48,
+    /* TZMSK32rm */
+  },
+  { /* 1553 */
+    49,
+    /* TZMSK32rr */
+  },
   { /* 1554 */
     50,
-    /* TZCNT32rm */
+    /* TZMSK64rm */
   },
   { /* 1555 */
     51,
-    /* TZCNT32rr */
-  },
-  { /* 1556 */
-    30,
-    /* TZCNT64rm */
-  },
-  { /* 1557 */
-    31,
-    /* TZCNT64rr */
-  },
-  { /* 1558 */
-    46,
-    /* TZMSK32rm */
-  },
-  { /* 1559 */
-    47,
-    /* TZMSK32rr */
-  },
-  { /* 1560 */
-    48,
-    /* TZMSK64rm */
-  },
-  { /* 1561 */
-    49,
     /* TZMSK64rr */
   },
-  { /* 1562 */
+  { /* 1556 */
     0,
     /* UD2B */
   },
-  { /* 1563 */
+  { /* 1557 */
     0,
     /*  */
   },
-  { /* 1564 */
+  { /* 1558 */
     0,
     /*  */
   },
-  { /* 1565 */
-    59,
+  { /* 1559 */
+    61,
     /* VERRm */
   },
-  { /* 1566 */
-    108,
+  { /* 1560 */
+    110,
     /* VERRr */
   },
-  { /* 1567 */
-    59,
+  { /* 1561 */
+    61,
     /* VERWm */
   },
-  { /* 1568 */
-    108,
+  { /* 1562 */
+    110,
     /* VERWr */
   },
-  { /* 1569 */
+  { /* 1563 */
     0,
     /* VMCALL */
   },
-  { /* 1570 */
-    59,
+  { /* 1564 */
+    61,
     /* VMCLEARm */
   },
-  { /* 1571 */
+  { /* 1565 */
     0,
     /* VMFUNC */
   },
-  { /* 1572 */
+  { /* 1566 */
     0,
     /* VMLAUNCH */
   },
-  { /* 1573 */
+  { /* 1567 */
     0,
     /* VMLOAD32 */
   },
-  { /* 1574 */
+  { /* 1568 */
     0,
     /* VMLOAD64 */
   },
-  { /* 1575 */
+  { /* 1569 */
     0,
     /* VMMCALL */
   },
-  { /* 1576 */
-    59,
+  { /* 1570 */
+    61,
     /* VMPTRLDm */
   },
-  { /* 1577 */
-    59,
+  { /* 1571 */
+    61,
     /* VMPTRSTm */
   },
-  { /* 1578 */
-    159,
+  { /* 1572 */
+    161,
     /* VMREAD32rm */
   },
-  { /* 1579 */
-    160,
+  { /* 1573 */
+    162,
     /* VMREAD32rr */
   },
-  { /* 1580 */
+  { /* 1574 */
     16,
     /* VMREAD64rm */
   },
-  { /* 1581 */
-    58,
+  { /* 1575 */
+    60,
     /* VMREAD64rr */
   },
-  { /* 1582 */
+  { /* 1576 */
     0,
     /* VMRESUME */
   },
-  { /* 1583 */
+  { /* 1577 */
     0,
     /* VMRUN32 */
   },
-  { /* 1584 */
+  { /* 1578 */
     0,
     /* VMRUN64 */
   },
-  { /* 1585 */
+  { /* 1579 */
     0,
     /* VMSAVE32 */
   },
-  { /* 1586 */
+  { /* 1580 */
     0,
     /* VMSAVE64 */
   },
-  { /* 1587 */
-    28,
+  { /* 1581 */
+    30,
     /* VMWRITE32rm */
   },
-  { /* 1588 */
-    29,
+  { /* 1582 */
+    31,
     /* VMWRITE32rr */
   },
-  { /* 1589 */
-    30,
+  { /* 1583 */
+    32,
     /* VMWRITE64rm */
   },
-  { /* 1590 */
-    31,
+  { /* 1584 */
+    33,
     /* VMWRITE64rr */
   },
-  { /* 1591 */
+  { /* 1585 */
     0,
     /* VMXOFF */
   },
-  { /* 1592 */
-    59,
+  { /* 1586 */
+    61,
     /* VMXON */
   },
-  { /* 1593 */
+  { /* 1587 */
     0,
     /*  */
   },
-  { /* 1594 */
+  { /* 1588 */
     0,
     /* WBINVD */
   },
-  { /* 1595 */
+  { /* 1589 */
     0,
     /*  */
   },
+  { /* 1590 */
+    0,
+    /*  */
+  },
+  { /* 1591 */
+    0,
+    /*  */
+  },
+  { /* 1592 */
+    151,
+    /* WRFSBASE */
+  },
+  { /* 1593 */
+    64,
+    /* WRFSBASE64 */
+  },
+  { /* 1594 */
+    151,
+    /* WRGSBASE */
+  },
+  { /* 1595 */
+    64,
+    /* WRGSBASE64 */
+  },
   { /* 1596 */
     0,
-    /*  */
-  },
-  { /* 1597 */
-    0,
-    /*  */
-  },
-  { /* 1598 */
-    149,
-    /* WRFSBASE */
-  },
-  { /* 1599 */
-    62,
-    /* WRFSBASE64 */
-  },
-  { /* 1600 */
-    149,
-    /* WRGSBASE */
-  },
-  { /* 1601 */
-    62,
-    /* WRGSBASE64 */
-  },
-  { /* 1602 */
-    0,
     /* WRMSR */
   },
-  { /* 1603 */
+  { /* 1597 */
     5,
     /* XADD16rm */
   },
-  { /* 1604 */
-    55,
+  { /* 1598 */
+    57,
     /* XADD16rr */
   },
-  { /* 1605 */
+  { /* 1599 */
     5,
     /* XADD32rm */
   },
-  { /* 1606 */
-    55,
+  { /* 1600 */
+    57,
     /* XADD32rr */
   },
-  { /* 1607 */
+  { /* 1601 */
     16,
     /* XADD64rm */
   },
-  { /* 1608 */
-    58,
+  { /* 1602 */
+    60,
     /* XADD64rr */
   },
-  { /* 1609 */
+  { /* 1603 */
     23,
     /* XADD8rm */
   },
-  { /* 1610 */
-    69,
+  { /* 1604 */
+    71,
     /* XADD8rr */
   },
-  { /* 1611 */
-    141,
+  { /* 1605 */
+    143,
     /* XCHG16ar */
   },
-  { /* 1612 */
+  { /* 1606 */
     8,
     /* XCHG16rm */
   },
-  { /* 1613 */
+  { /* 1607 */
     10,
     /* XCHG16rr */
   },
-  { /* 1614 */
-    141,
+  { /* 1608 */
+    143,
     /* XCHG32ar */
   },
-  { /* 1615 */
-    141,
+  { /* 1609 */
+    143,
     /* XCHG32ar64 */
   },
-  { /* 1616 */
+  { /* 1610 */
     8,
     /* XCHG32rm */
   },
-  { /* 1617 */
+  { /* 1611 */
     10,
     /* XCHG32rr */
   },
-  { /* 1618 */
-    142,
+  { /* 1612 */
+    144,
     /* XCHG64ar */
   },
-  { /* 1619 */
+  { /* 1613 */
     19,
     /* XCHG64rm */
   },
-  { /* 1620 */
+  { /* 1614 */
     21,
     /* XCHG64rr */
   },
-  { /* 1621 */
+  { /* 1615 */
     25,
     /* XCHG8rm */
   },
-  { /* 1622 */
+  { /* 1616 */
     27,
     /* XCHG8rr */
   },
-  { /* 1623 */
+  { /* 1617 */
     0,
     /* XCRYPTCBC */
   },
-  { /* 1624 */
+  { /* 1618 */
     0,
     /* XCRYPTCFB */
   },
-  { /* 1625 */
+  { /* 1619 */
     0,
     /* XCRYPTCTR */
   },
-  { /* 1626 */
+  { /* 1620 */
     0,
     /* XCRYPTECB */
   },
-  { /* 1627 */
+  { /* 1621 */
     0,
     /* XCRYPTOFB */
   },
-  { /* 1628 */
+  { /* 1622 */
     0,
     /* XGETBV */
   },
-  { /* 1629 */
+  { /* 1623 */
     0,
     /* XLAT */
   },
-  { /* 1630 */
+  { /* 1624 */
     2,
     /* XOR16i16 */
   },
-  { /* 1631 */
+  { /* 1625 */
     3,
     /* XOR16mi */
   },
-  { /* 1632 */
+  { /* 1626 */
     4,
     /* XOR16mi8 */
   },
-  { /* 1633 */
+  { /* 1627 */
     5,
     /* XOR16mr */
   },
-  { /* 1634 */
+  { /* 1628 */
     6,
     /* XOR16ri */
   },
-  { /* 1635 */
+  { /* 1629 */
     7,
     /* XOR16ri8 */
   },
-  { /* 1636 */
+  { /* 1630 */
     8,
     /* XOR16rm */
   },
-  { /* 1637 */
+  { /* 1631 */
     9,
     /* XOR16rr */
   },
-  { /* 1638 */
+  { /* 1632 */
     10,
     /* XOR16rr_REV */
   },
-  { /* 1639 */
+  { /* 1633 */
     2,
     /* XOR32i32 */
   },
-  { /* 1640 */
+  { /* 1634 */
     3,
     /* XOR32mi */
   },
-  { /* 1641 */
+  { /* 1635 */
     11,
     /* XOR32mi8 */
   },
-  { /* 1642 */
+  { /* 1636 */
     5,
     /* XOR32mr */
   },
-  { /* 1643 */
+  { /* 1637 */
     6,
     /* XOR32ri */
   },
-  { /* 1644 */
+  { /* 1638 */
     12,
     /* XOR32ri8 */
   },
-  { /* 1645 */
+  { /* 1639 */
     8,
     /* XOR32rm */
   },
-  { /* 1646 */
+  { /* 1640 */
     9,
     /* XOR32rr */
   },
-  { /* 1647 */
+  { /* 1641 */
     10,
     /* XOR32rr_REV */
   },
-  { /* 1648 */
+  { /* 1642 */
     13,
     /* XOR64i32 */
   },
-  { /* 1649 */
+  { /* 1643 */
     14,
     /* XOR64mi32 */
   },
-  { /* 1650 */
+  { /* 1644 */
     15,
     /* XOR64mi8 */
   },
-  { /* 1651 */
+  { /* 1645 */
     16,
     /* XOR64mr */
   },
-  { /* 1652 */
+  { /* 1646 */
     17,
     /* XOR64ri32 */
   },
-  { /* 1653 */
+  { /* 1647 */
     18,
     /* XOR64ri8 */
   },
-  { /* 1654 */
+  { /* 1648 */
     19,
     /* XOR64rm */
   },
-  { /* 1655 */
+  { /* 1649 */
     20,
     /* XOR64rr */
   },
-  { /* 1656 */
+  { /* 1650 */
     21,
     /* XOR64rr_REV */
   },
-  { /* 1657 */
+  { /* 1651 */
     1,
     /* XOR8i8 */
   },
-  { /* 1658 */
+  { /* 1652 */
     22,
     /* XOR8mi */
   },
-  { /* 1659 */
+  { /* 1653 */
     23,
     /* XOR8mr */
   },
-  { /* 1660 */
+  { /* 1654 */
     24,
     /* XOR8ri */
   },
-  { /* 1661 */
+  { /* 1655 */
     24,
     /* XOR8ri8 */
   },
-  { /* 1662 */
+  { /* 1656 */
     25,
     /* XOR8rm */
   },
-  { /* 1663 */
+  { /* 1657 */
     26,
     /* XOR8rr */
   },
-  { /* 1664 */
+  { /* 1658 */
     27,
     /* XOR8rr_REV */
   },
-  { /* 1665 */
-    161,
+  { /* 1659 */
+    163,
     /* XRSTOR */
   },
-  { /* 1666 */
-    161,
+  { /* 1660 */
+    163,
     /* XRSTOR64 */
   },
-  { /* 1667 */
-    161,
+  { /* 1661 */
+    163,
     /* XSAVE */
   },
-  { /* 1668 */
-    161,
+  { /* 1662 */
+    163,
     /* XSAVE64 */
   },
-  { /* 1669 */
-    161,
+  { /* 1663 */
+    163,
     /* XSAVEOPT */
   },
-  { /* 1670 */
-    161,
+  { /* 1664 */
+    163,
     /* XSAVEOPT64 */
   },
-  { /* 1671 */
+  { /* 1665 */
     0,
     /* XSETBV */
   },
-  { /* 1672 */
+  { /* 1666 */
     0,
     /* XSHA1 */
   },
-  { /* 1673 */
+  { /* 1667 */
     0,
     /* XSHA256 */
   },
-  { /* 1674 */
+  { /* 1668 */
     0,
     /* XSTORE */
   }
@@ -25704,27 +25696,27 @@
 /* Table10 */
   0x4e, /* ADD32i32 */
 /* Table11 */
-  0x41e, /* PUSHES32 */
+  0x419, /* PUSHES32 */
 /* Table12 */
-  0x3fd, /* POPES32 */
+  0x3f8, /* POPES32 */
 /* Table13 */
-  0x3d8, /* OR8mr */
-  0x3dc, /* OR8rr */
+  0x3d3, /* OR8mr */
+  0x3d7, /* OR8rr */
 /* Table15 */
-  0x3c6, /* OR32mr */
-  0x3cb, /* OR32rr */
+  0x3c1, /* OR32mr */
+  0x3c6, /* OR32rr */
 /* Table17 */
-  0x3db, /* OR8rm */
-  0x3dd, /* OR8rr_REV */
+  0x3d6, /* OR8rm */
+  0x3d8, /* OR8rr_REV */
 /* Table19 */
-  0x3ca, /* OR32rm */
-  0x3cc, /* OR32rr_REV */
+  0x3c5, /* OR32rm */
+  0x3c7, /* OR32rr_REV */
 /* Table21 */
-  0x3d6, /* OR8i8 */
+  0x3d1, /* OR8i8 */
 /* Table22 */
-  0x3c3, /* OR32i32 */
+  0x3be, /* OR32i32 */
 /* Table23 */
-  0x41a, /* PUSHCS32 */
+  0x415, /* PUSHCS32 */
 /* Table24 */
   0x39, /* ADC8mr */
   0x3c, /* ADC8rr */
@@ -25742,29 +25734,29 @@
 /* Table33 */
   0x25, /* ADC32i32 */
 /* Table34 */
-  0x429, /* PUSHSS32 */
+  0x424, /* PUSHSS32 */
 /* Table35 */
-  0x408, /* POPSS32 */
+  0x403, /* POPSS32 */
 /* Table36 */
-  0x50d, /* SBB8mr */
-  0x510, /* SBB8rr */
+  0x508, /* SBB8mr */
+  0x50b, /* SBB8rr */
 /* Table38 */
-  0x4fc, /* SBB32mr */
-  0x500, /* SBB32rr */
+  0x4f7, /* SBB32mr */
+  0x4fb, /* SBB32rr */
 /* Table40 */
-  0x50f, /* SBB8rm */
-  0x511, /* SBB8rr_REV */
+  0x50a, /* SBB8rm */
+  0x50c, /* SBB8rr_REV */
 /* Table42 */
-  0x4ff, /* SBB32rm */
-  0x501, /* SBB32rr_REV */
+  0x4fa, /* SBB32rm */
+  0x4fc, /* SBB32rr_REV */
 /* Table44 */
-  0x50b, /* SBB8i8 */
+  0x506, /* SBB8i8 */
 /* Table45 */
-  0x4f9, /* SBB32i32 */
+  0x4f4, /* SBB32i32 */
 /* Table46 */
-  0x41c, /* PUSHDS32 */
+  0x417, /* PUSHDS32 */
 /* Table47 */
-  0x3fb, /* POPDS32 */
+  0x3f6, /* POPDS32 */
 /* Table48 */
   0x93, /* AND8mr */
   0x97, /* AND8rr */
@@ -25782,178 +25774,166 @@
 /* Table57 */
   0x7f, /* AND32i32 */
 /* Table58 */
-  0x1ef, /* ES_PREFIX */
+  0x1cc, /* DAA */
 /* Table59 */
-  0x1cd, /* DAA */
-/* Table60 */
-  0x5cf, /* SUB8mr */
-  0x5d3, /* SUB8rr */
-/* Table62 */
-  0x5be, /* SUB32mr */
-  0x5c2, /* SUB32rr */
-/* Table64 */
-  0x5d2, /* SUB8rm */
-  0x5d4, /* SUB8rr_REV */
-/* Table66 */
-  0x5c1, /* SUB32rm */
-  0x5c3, /* SUB32rr_REV */
+  0x5c9, /* SUB8mr */
+  0x5cd, /* SUB8rr */
+/* Table61 */
+  0x5b8, /* SUB32mr */
+  0x5bc, /* SUB32rr */
+/* Table63 */
+  0x5cc, /* SUB8rm */
+  0x5ce, /* SUB8rr_REV */
+/* Table65 */
+  0x5bb, /* SUB32rm */
+  0x5bd, /* SUB32rr_REV */
+/* Table67 */
+  0x5c7, /* SUB8i8 */
 /* Table68 */
-  0x5cd, /* SUB8i8 */
+  0x5b5, /* SUB32i32 */
 /* Table69 */
-  0x5bb, /* SUB32i32 */
+  0x1cd, /* DAS */
 /* Table70 */
-  0x1ca, /* CS_PREFIX */
-/* Table71 */
-  0x1ce, /* DAS */
+  0x675, /* XOR8mr */
+  0x679, /* XOR8rr */
 /* Table72 */
-  0x67b, /* XOR8mr */
-  0x67f, /* XOR8rr */
+  0x664, /* XOR32mr */
+  0x668, /* XOR32rr */
 /* Table74 */
-  0x66a, /* XOR32mr */
-  0x66e, /* XOR32rr */
+  0x678, /* XOR8rm */
+  0x67a, /* XOR8rr_REV */
 /* Table76 */
-  0x67e, /* XOR8rm */
-  0x680, /* XOR8rr_REV */
+  0x667, /* XOR32rm */
+  0x669, /* XOR32rr_REV */
 /* Table78 */
-  0x66d, /* XOR32rm */
-  0x66f, /* XOR32rr_REV */
+  0x673, /* XOR8i8 */
+/* Table79 */
+  0x661, /* XOR32i32 */
 /* Table80 */
-  0x679, /* XOR8i8 */
-/* Table81 */
-  0x667, /* XOR32i32 */
-/* Table82 */
-  0x5a4, /* SS_PREFIX */
-/* Table83 */
   0x14, /* AAA */
-/* Table84 */
+/* Table81 */
   0x1b4, /* CMP8mr */
   0x1b7, /* CMP8rr */
-/* Table86 */
+/* Table83 */
   0x1a3, /* CMP32mr */
   0x1a7, /* CMP32rr */
-/* Table88 */
+/* Table85 */
   0x1b6, /* CMP8rm */
   0x1b8, /* CMP8rr_REV */
-/* Table90 */
+/* Table87 */
   0x1a6, /* CMP32rm */
   0x1a8, /* CMP32rr_REV */
-/* Table92 */
+/* Table89 */
   0x1b2, /* CMP8i8 */
-/* Table93 */
+/* Table90 */
   0x1a0, /* CMP32i32 */
-/* Table94 */
-  0x1e6, /* DS_PREFIX */
-/* Table95 */
+/* Table91 */
   0x17, /* AAS */
+/* Table92 */
+  0x227, /* INC32r */
+/* Table93 */
+  0x1d4, /* DEC32r */
+/* Table94 */
+  0x409, /* PUSH32r */
+/* Table95 */
+  0x3ed, /* POP32r */
 /* Table96 */
-  0x22c, /* INC32r */
+  0x413, /* PUSHA32 */
 /* Table97 */
-  0x1d5, /* DEC32r */
+  0x3f4, /* POPA32 */
 /* Table98 */
-  0x40e, /* PUSH32r */
-/* Table99 */
-  0x3f2, /* POP32r */
-/* Table100 */
-  0x418, /* PUSHA32 */
-/* Table101 */
-  0x3f9, /* POPA32 */
-/* Table102 */
   0xd0, /* BOUNDS32rm */
   0x0, /*  */
-/* Table104 */
+/* Table100 */
   0x9d, /* ARPL16mr */
   0x9e, /* ARPL16rr */
+/* Table102 */
+  0x1ce, /* DATA16_PREFIX */
+/* Table103 */
+  0x426, /* PUSHi32 */
+/* Table104 */
+  0x20d, /* IMUL32rmi */
+  0x210, /* IMUL32rri */
 /* Table106 */
-  0x1fb, /* FS_PREFIX */
+  0x408, /* PUSH32i8 */
 /* Table107 */
-  0x1fd, /* GS_PREFIX */
-/* Table108 */
-  0x1cf, /* DATA16_PREFIX */
+  0x20e, /* IMUL32rmi8 */
+  0x211, /* IMUL32rri8 */
 /* Table109 */
-  0x42b, /* PUSHi32 */
+  0x230, /* INSB */
 /* Table110 */
-  0x212, /* IMUL32rmi */
-  0x215, /* IMUL32rri */
+  0x231, /* INSL */
+/* Table111 */
+  0x3df, /* OUTSB */
 /* Table112 */
-  0x40d, /* PUSH32i8 */
+  0x3e0, /* OUTSL */
 /* Table113 */
-  0x213, /* IMUL32rmi8 */
-  0x216, /* IMUL32rri8 */
+  0x278, /* JO_1 */
+/* Table114 */
+  0x26f, /* JNO_1 */
 /* Table115 */
-  0x235, /* INSB */
+  0x24e, /* JB_1 */
 /* Table116 */
-  0x236, /* INSL */
+  0x245, /* JAE_1 */
 /* Table117 */
-  0x3e4, /* OUTSB */
+  0x254, /* JE_1 */
 /* Table118 */
-  0x3e5, /* OUTSL */
+  0x26c, /* JNE_1 */
 /* Table119 */
-  0x27d, /* JO_1 */
+  0x24b, /* JBE_1 */
 /* Table120 */
-  0x274, /* JNO_1 */
+  0x248, /* JA_1 */
 /* Table121 */
-  0x253, /* JB_1 */
+  0x27f, /* JS_1 */
 /* Table122 */
-  0x24a, /* JAE_1 */
+  0x275, /* JNS_1 */
 /* Table123 */
-  0x259, /* JE_1 */
+  0x27b, /* JP_1 */
 /* Table124 */
-  0x271, /* JNE_1 */
+  0x272, /* JNP_1 */
 /* Table125 */
-  0x250, /* JBE_1 */
+  0x260, /* JL_1 */
 /* Table126 */
-  0x24d, /* JA_1 */
+  0x257, /* JGE_1 */
 /* Table127 */
-  0x284, /* JS_1 */
+  0x25d, /* JLE_1 */
 /* Table128 */
-  0x27a, /* JNS_1 */
+  0x25a, /* JG_1 */
 /* Table129 */
-  0x280, /* JP_1 */
-/* Table130 */
-  0x277, /* JNP_1 */
-/* Table131 */
-  0x265, /* JL_1 */
-/* Table132 */
-  0x25c, /* JGE_1 */
-/* Table133 */
-  0x262, /* JLE_1 */
-/* Table134 */
-  0x25f, /* JG_1 */
-/* Table135 */
   0x67, /* ADD8mi */
-  0x3d7, /* OR8mi */
+  0x3d2, /* OR8mi */
   0x38, /* ADC8mi */
-  0x50c, /* SBB8mi */
+  0x507, /* SBB8mi */
   0x92, /* AND8mi */
-  0x5ce, /* SUB8mi */
-  0x67a, /* XOR8mi */
+  0x5c8, /* SUB8mi */
+  0x674, /* XOR8mi */
   0x1b3, /* CMP8mi */
   0x69, /* ADD8ri */
-  0x3d9, /* OR8ri */
+  0x3d4, /* OR8ri */
   0x3a, /* ADC8ri */
-  0x50e, /* SBB8ri */
+  0x509, /* SBB8ri */
   0x94, /* AND8ri */
-  0x5d0, /* SUB8ri */
-  0x67c, /* XOR8ri */
+  0x5ca, /* SUB8ri */
+  0x676, /* XOR8ri */
   0x1b5, /* CMP8ri */
-/* Table151 */
+/* Table145 */
   0x4f, /* ADD32mi */
-  0x3c4, /* OR32mi */
+  0x3bf, /* OR32mi */
   0x26, /* ADC32mi */
-  0x4fa, /* SBB32mi */
+  0x4f5, /* SBB32mi */
   0x80, /* AND32mi */
-  0x5bc, /* SUB32mi */
-  0x668, /* XOR32mi */
+  0x5b6, /* SUB32mi */
+  0x662, /* XOR32mi */
   0x1a1, /* CMP32mi */
   0x52, /* ADD32ri */
-  0x3c8, /* OR32ri */
+  0x3c3, /* OR32ri */
   0x29, /* ADC32ri */
-  0x4fd, /* SBB32ri */
+  0x4f8, /* SBB32ri */
   0x83, /* AND32ri */
-  0x5bf, /* SUB32ri */
-  0x66b, /* XOR32ri */
+  0x5b9, /* SUB32ri */
+  0x665, /* XOR32ri */
   0x1a4, /* CMP32ri */
-/* Table167 */
+/* Table161 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -25963,65 +25943,65 @@
   0x0, /*  */
   0x0, /*  */
   0x6a, /* ADD8ri8 */
-  0x3da, /* OR8ri8 */
+  0x3d5, /* OR8ri8 */
   0x0, /*  */
   0x0, /*  */
   0x95, /* AND8ri8 */
-  0x5d1, /* SUB8ri8 */
-  0x67d, /* XOR8ri8 */
+  0x5cb, /* SUB8ri8 */
+  0x677, /* XOR8ri8 */
   0x0, /*  */
-/* Table183 */
+/* Table177 */
   0x50, /* ADD32mi8 */
-  0x3c5, /* OR32mi8 */
+  0x3c0, /* OR32mi8 */
   0x27, /* ADC32mi8 */
-  0x4fb, /* SBB32mi8 */
+  0x4f6, /* SBB32mi8 */
   0x81, /* AND32mi8 */
-  0x5bd, /* SUB32mi8 */
-  0x669, /* XOR32mi8 */
+  0x5b7, /* SUB32mi8 */
+  0x663, /* XOR32mi8 */
   0x1a2, /* CMP32mi8 */
   0x53, /* ADD32ri8 */
-  0x3c9, /* OR32ri8 */
+  0x3c4, /* OR32ri8 */
   0x2a, /* ADC32ri8 */
-  0x4fe, /* SBB32ri8 */
+  0x4f9, /* SBB32ri8 */
   0x84, /* AND32ri8 */
-  0x5c0, /* SUB32ri8 */
-  0x66c, /* XOR32ri8 */
+  0x5ba, /* SUB32ri8 */
+  0x666, /* XOR32ri8 */
   0x1a5, /* CMP32ri8 */
+/* Table193 */
+  0x601, /* TEST8rm */
+  0x602, /* TEST8rr */
+/* Table195 */
+  0x5f2, /* TEST32rm */
+  0x5f3, /* TEST32rr */
+/* Table197 */
+  0x64f, /* XCHG8rm */
+  0x650, /* XCHG8rr */
 /* Table199 */
-  0x607, /* TEST8rm */
-  0x608, /* TEST8rr */
+  0x64a, /* XCHG32rm */
+  0x64b, /* XCHG32rr */
 /* Table201 */
-  0x5f8, /* TEST32rm */
-  0x5f9, /* TEST32rr */
+  0x34c, /* MOV8mr */
+  0x354, /* MOV8rr */
 /* Table203 */
-  0x655, /* XCHG8rm */
-  0x656, /* XCHG8rr */
+  0x322, /* MOV32mr */
+  0x32d, /* MOV32rr */
 /* Table205 */
-  0x650, /* XCHG32rm */
-  0x651, /* XCHG32rr */
+  0x352, /* MOV8rm */
+  0x356, /* MOV8rr_REV */
 /* Table207 */
-  0x351, /* MOV8mr */
-  0x359, /* MOV8rr */
+  0x32c, /* MOV32rm */
+  0x32e, /* MOV32rr_REV */
 /* Table209 */
-  0x327, /* MOV32mr */
-  0x332, /* MOV32rr */
+  0x323, /* MOV32ms */
+  0x32f, /* MOV32rs */
 /* Table211 */
-  0x357, /* MOV8rm */
-  0x35b, /* MOV8rr_REV */
+  0x292, /* LEA32r */
+  0x0, /*  */
 /* Table213 */
-  0x331, /* MOV32rm */
-  0x333, /* MOV32rr_REV */
+  0x330, /* MOV32sm */
+  0x331, /* MOV32sr */
 /* Table215 */
-  0x328, /* MOV32ms */
-  0x334, /* MOV32rs */
-/* Table217 */
-  0x297, /* LEA32r */
-  0x0, /*  */
-/* Table219 */
-  0x335, /* MOV32sm */
-  0x336, /* MOV32sr */
-/* Table221 */
-  0x3f3, /* POP32rmm */
+  0x3ee, /* POP32rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26029,7 +26009,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x3f4, /* POP32rmr */
+  0x3ef, /* POP32rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26037,106 +26017,106 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table237 */
-  0x393, /* NOOP */
-/* Table238 */
-  0x64e, /* XCHG32ar */
-/* Table239 */
-  0x1cc, /* CWDE */
-/* Table240 */
+/* Table231 */
+  0x38e, /* NOOP */
+/* Table232 */
+  0x648, /* XCHG32ar */
+/* Table233 */
+  0x1cb, /* CWDE */
+/* Table234 */
   0x11d, /* CDQ */
+/* Table235 */
+  0x1ef, /* FARCALL32i */
+/* Table236 */
+  0x41b, /* PUSHF32 */
+/* Table237 */
+  0x3fa, /* POPF32 */
+/* Table238 */
+  0x4b5, /* SAHF */
+/* Table239 */
+  0x282, /* LAHF */
+/* Table240 */
+  0x34e, /* MOV8o8a */
 /* Table241 */
-  0x1f2, /* FARCALL32i */
+  0x324, /* MOV32o32a */
 /* Table242 */
-  0x420, /* PUSHF32 */
+  0x349, /* MOV8ao8 */
 /* Table243 */
-  0x3ff, /* POPF32 */
+  0x31d, /* MOV32ao32 */
 /* Table244 */
-  0x4ba, /* SAHF */
+  0x35e, /* MOVSB */
 /* Table245 */
-  0x287, /* LAHF */
+  0x35f, /* MOVSL */
 /* Table246 */
-  0x353, /* MOV8o8a */
-/* Table247 */
-  0x329, /* MOV32o32a */
-/* Table248 */
-  0x34e, /* MOV8ao8 */
-/* Table249 */
-  0x322, /* MOV32ao32 */
-/* Table250 */
-  0x363, /* MOVSB */
-/* Table251 */
-  0x364, /* MOVSL */
-/* Table252 */
   0x1b9, /* CMPSB */
-/* Table253 */
+/* Table247 */
   0x1ba, /* CMPSL */
+/* Table248 */
+  0x5fb, /* TEST8i8 */
+/* Table249 */
+  0x5ed, /* TEST32i32 */
+/* Table250 */
+  0x5a4, /* STOSB */
+/* Table251 */
+  0x5a5, /* STOSL */
+/* Table252 */
+  0x2e9, /* LODSB */
+/* Table253 */
+  0x2ea, /* LODSL */
 /* Table254 */
-  0x601, /* TEST8i8 */
+  0x50d, /* SCASB */
 /* Table255 */
-  0x5f3, /* TEST32i32 */
+  0x50e, /* SCASL */
 /* Table256 */
-  0x5aa, /* STOSB */
+  0x350, /* MOV8ri */
 /* Table257 */
-  0x5ab, /* STOSL */
+  0x329, /* MOV32ri */
 /* Table258 */
-  0x2ee, /* LODSB */
-/* Table259 */
-  0x2ef, /* LODSL */
-/* Table260 */
-  0x512, /* SCASB */
-/* Table261 */
-  0x513, /* SCASL */
-/* Table262 */
-  0x355, /* MOV8ri */
-/* Table263 */
-  0x32e, /* MOV32ri */
-/* Table264 */
-  0x499, /* ROL8mi */
-  0x4b1, /* ROR8mi */
-  0x440, /* RCL8mi */
-  0x458, /* RCR8mi */
-  0x55b, /* SHL8mi */
-  0x583, /* SHR8mi */
-  0x4cf, /* SAL8mi */
-  0x4e8, /* SAR8mi */
-  0x49c, /* ROL8ri */
-  0x4b4, /* ROR8ri */
-  0x443, /* RCL8ri */
-  0x45b, /* RCR8ri */
-  0x55e, /* SHL8ri */
-  0x586, /* SHR8ri */
-  0x4d2, /* SAL8ri */
-  0x4eb, /* SAR8ri */
-/* Table280 */
-  0x48d, /* ROL32mi */
-  0x4a5, /* ROR32mi */
-  0x434, /* RCL32mi */
-  0x44c, /* RCR32mi */
-  0x54f, /* SHL32mi */
-  0x577, /* SHR32mi */
-  0x4c3, /* SAL32mi */
-  0x4dc, /* SAR32mi */
-  0x490, /* ROL32ri */
-  0x4a8, /* ROR32ri */
-  0x437, /* RCL32ri */
-  0x44f, /* RCR32ri */
-  0x552, /* SHL32ri */
-  0x57a, /* SHR32ri */
-  0x4c6, /* SAL32ri */
-  0x4df, /* SAR32ri */
+  0x494, /* ROL8mi */
+  0x4ac, /* ROR8mi */
+  0x43b, /* RCL8mi */
+  0x453, /* RCR8mi */
+  0x556, /* SHL8mi */
+  0x57e, /* SHR8mi */
+  0x4ca, /* SAL8mi */
+  0x4e3, /* SAR8mi */
+  0x497, /* ROL8ri */
+  0x4af, /* ROR8ri */
+  0x43e, /* RCL8ri */
+  0x456, /* RCR8ri */
+  0x559, /* SHL8ri */
+  0x581, /* SHR8ri */
+  0x4cd, /* SAL8ri */
+  0x4e6, /* SAR8ri */
+/* Table274 */
+  0x488, /* ROL32mi */
+  0x4a0, /* ROR32mi */
+  0x42f, /* RCL32mi */
+  0x447, /* RCR32mi */
+  0x54a, /* SHL32mi */
+  0x572, /* SHR32mi */
+  0x4be, /* SAL32mi */
+  0x4d7, /* SAR32mi */
+  0x48b, /* ROL32ri */
+  0x4a3, /* ROR32ri */
+  0x432, /* RCL32ri */
+  0x44a, /* RCR32ri */
+  0x54d, /* SHL32ri */
+  0x575, /* SHR32ri */
+  0x4c1, /* SAL32ri */
+  0x4da, /* SAR32ri */
+/* Table290 */
+  0x479, /* RETIL */
+/* Table291 */
+  0x47c, /* RETL */
+/* Table292 */
+  0x298, /* LES32rm */
+  0x0, /*  */
+/* Table294 */
+  0x290, /* LDS32rm */
+  0x0, /*  */
 /* Table296 */
-  0x47e, /* RETIL */
-/* Table297 */
-  0x481, /* RETL */
-/* Table298 */
-  0x29d, /* LES32rm */
-  0x0, /*  */
-/* Table300 */
-  0x295, /* LDS32rm */
-  0x0, /*  */
-/* Table302 */
-  0x350, /* MOV8mi */
+  0x34b, /* MOV8mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26144,7 +26124,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x356, /* MOV8ri_alt */
+  0x351, /* MOV8ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26152,8 +26132,8 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table318 */
-  0x326, /* MOV32mi */
+/* Table312 */
+  0x321, /* MOV32mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26161,7 +26141,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x330, /* MOV32ri_alt */
+  0x32b, /* MOV32ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26169,99 +26149,99 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table328 */
+  0x1ec, /* ENTER */
+/* Table329 */
+  0x295, /* LEAVE */
+/* Table330 */
+  0x2f0, /* LRETIL */
+/* Table331 */
+  0x2f3, /* LRETL */
+/* Table332 */
+  0x235, /* INT3 */
+/* Table333 */
+  0x233, /* INT */
 /* Table334 */
-  0x1ee, /* ENTER */
+  0x236, /* INTO */
 /* Table335 */
-  0x29a, /* LEAVE */
+  0x242, /* IRET32 */
 /* Table336 */
-  0x2f5, /* LRETIL */
-/* Table337 */
-  0x2f8, /* LRETL */
-/* Table338 */
-  0x23a, /* INT3 */
-/* Table339 */
-  0x238, /* INT */
-/* Table340 */
-  0x23b, /* INTO */
-/* Table341 */
-  0x247, /* IRET32 */
-/* Table342 */
-  0x497, /* ROL8m1 */
-  0x4af, /* ROR8m1 */
-  0x43e, /* RCL8m1 */
-  0x456, /* RCR8m1 */
-  0x559, /* SHL8m1 */
-  0x581, /* SHR8m1 */
-  0x4cd, /* SAL8m1 */
-  0x4e6, /* SAR8m1 */
-  0x49a, /* ROL8r1 */
-  0x4b2, /* ROR8r1 */
-  0x441, /* RCL8r1 */
-  0x459, /* RCR8r1 */
-  0x55c, /* SHL8r1 */
-  0x584, /* SHR8r1 */
-  0x4d0, /* SAL8r1 */
-  0x4e9, /* SAR8r1 */
-/* Table358 */
-  0x48b, /* ROL32m1 */
-  0x4a3, /* ROR32m1 */
-  0x432, /* RCL32m1 */
-  0x44a, /* RCR32m1 */
-  0x54d, /* SHL32m1 */
-  0x575, /* SHR32m1 */
-  0x4c1, /* SAL32m1 */
-  0x4da, /* SAR32m1 */
-  0x48e, /* ROL32r1 */
-  0x4a6, /* ROR32r1 */
-  0x435, /* RCL32r1 */
-  0x44d, /* RCR32r1 */
-  0x550, /* SHL32r1 */
-  0x578, /* SHR32r1 */
-  0x4c4, /* SAL32r1 */
-  0x4dd, /* SAR32r1 */
-/* Table374 */
-  0x498, /* ROL8mCL */
-  0x4b0, /* ROR8mCL */
-  0x43f, /* RCL8mCL */
-  0x457, /* RCR8mCL */
-  0x55a, /* SHL8mCL */
-  0x582, /* SHR8mCL */
-  0x4ce, /* SAL8mCL */
-  0x4e7, /* SAR8mCL */
-  0x49b, /* ROL8rCL */
-  0x4b3, /* ROR8rCL */
-  0x442, /* RCL8rCL */
-  0x45a, /* RCR8rCL */
-  0x55d, /* SHL8rCL */
-  0x585, /* SHR8rCL */
-  0x4d1, /* SAL8rCL */
-  0x4ea, /* SAR8rCL */
-/* Table390 */
-  0x48c, /* ROL32mCL */
-  0x4a4, /* ROR32mCL */
-  0x433, /* RCL32mCL */
-  0x44b, /* RCR32mCL */
-  0x54e, /* SHL32mCL */
-  0x576, /* SHR32mCL */
-  0x4c2, /* SAL32mCL */
-  0x4db, /* SAR32mCL */
-  0x48f, /* ROL32rCL */
-  0x4a7, /* ROR32rCL */
-  0x436, /* RCL32rCL */
-  0x44e, /* RCR32rCL */
-  0x551, /* SHL32rCL */
-  0x579, /* SHR32rCL */
-  0x4c5, /* SAL32rCL */
-  0x4de, /* SAR32rCL */
-/* Table406 */
+  0x492, /* ROL8m1 */
+  0x4aa, /* ROR8m1 */
+  0x439, /* RCL8m1 */
+  0x451, /* RCR8m1 */
+  0x554, /* SHL8m1 */
+  0x57c, /* SHR8m1 */
+  0x4c8, /* SAL8m1 */
+  0x4e1, /* SAR8m1 */
+  0x495, /* ROL8r1 */
+  0x4ad, /* ROR8r1 */
+  0x43c, /* RCL8r1 */
+  0x454, /* RCR8r1 */
+  0x557, /* SHL8r1 */
+  0x57f, /* SHR8r1 */
+  0x4cb, /* SAL8r1 */
+  0x4e4, /* SAR8r1 */
+/* Table352 */
+  0x486, /* ROL32m1 */
+  0x49e, /* ROR32m1 */
+  0x42d, /* RCL32m1 */
+  0x445, /* RCR32m1 */
+  0x548, /* SHL32m1 */
+  0x570, /* SHR32m1 */
+  0x4bc, /* SAL32m1 */
+  0x4d5, /* SAR32m1 */
+  0x489, /* ROL32r1 */
+  0x4a1, /* ROR32r1 */
+  0x430, /* RCL32r1 */
+  0x448, /* RCR32r1 */
+  0x54b, /* SHL32r1 */
+  0x573, /* SHR32r1 */
+  0x4bf, /* SAL32r1 */
+  0x4d8, /* SAR32r1 */
+/* Table368 */
+  0x493, /* ROL8mCL */
+  0x4ab, /* ROR8mCL */
+  0x43a, /* RCL8mCL */
+  0x452, /* RCR8mCL */
+  0x555, /* SHL8mCL */
+  0x57d, /* SHR8mCL */
+  0x4c9, /* SAL8mCL */
+  0x4e2, /* SAR8mCL */
+  0x496, /* ROL8rCL */
+  0x4ae, /* ROR8rCL */
+  0x43d, /* RCL8rCL */
+  0x455, /* RCR8rCL */
+  0x558, /* SHL8rCL */
+  0x580, /* SHR8rCL */
+  0x4cc, /* SAL8rCL */
+  0x4e5, /* SAR8rCL */
+/* Table384 */
+  0x487, /* ROL32mCL */
+  0x49f, /* ROR32mCL */
+  0x42e, /* RCL32mCL */
+  0x446, /* RCR32mCL */
+  0x549, /* SHL32mCL */
+  0x571, /* SHR32mCL */
+  0x4bd, /* SAL32mCL */
+  0x4d6, /* SAR32mCL */
+  0x48a, /* ROL32rCL */
+  0x4a2, /* ROR32rCL */
+  0x431, /* RCL32rCL */
+  0x449, /* RCR32rCL */
+  0x54c, /* SHL32rCL */
+  0x574, /* SHR32rCL */
+  0x4c0, /* SAL32rCL */
+  0x4d9, /* SAR32rCL */
+/* Table400 */
   0x16, /* AAM8i8 */
-/* Table407 */
+/* Table401 */
   0x15, /* AAD8i8 */
-/* Table408 */
-  0x4d3, /* SALC */
-/* Table409 */
-  0x65d, /* XLAT */
-/* Table410 */
+/* Table402 */
+  0x4ce, /* SALC */
+/* Table403 */
+  0x657, /* XLAT */
+/* Table404 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26306,7 +26286,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1fa, /* FSETPM */
+  0x1f7, /* FSETPM */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26334,145 +26314,145 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table476 */
+  0x2ef, /* LOOPNE */
+/* Table477 */
+  0x2ee, /* LOOPE */
+/* Table478 */
+  0x2ed, /* LOOP */
+/* Table479 */
+  0x252, /* JECXZ_32 */
+/* Table480 */
+  0x220, /* IN8ri */
+/* Table481 */
+  0x21e, /* IN32ri */
 /* Table482 */
-  0x2f4, /* LOOPNE */
+  0x3dd, /* OUT8ir */
 /* Table483 */
-  0x2f3, /* LOOPE */
+  0x3db, /* OUT32ir */
 /* Table484 */
-  0x2f2, /* LOOP */
-/* Table485 */
-  0x257, /* JECXZ_32 */
-/* Table486 */
-  0x225, /* IN8ri */
-/* Table487 */
-  0x223, /* IN32ri */
-/* Table488 */
-  0x3e2, /* OUT8ir */
-/* Table489 */
-  0x3e0, /* OUT32ir */
-/* Table490 */
   0x11b, /* CALLpcrel32 */
+/* Table485 */
+  0x26b, /* JMP_4 */
+/* Table486 */
+  0x1f4, /* FARJMP32i */
+/* Table487 */
+  0x269, /* JMP_1 */
+/* Table488 */
+  0x221, /* IN8rr */
+/* Table489 */
+  0x21f, /* IN32rr */
+/* Table490 */
+  0x3de, /* OUT8rr */
 /* Table491 */
-  0x270, /* JMP_4 */
+  0x3dc, /* OUT32rr */
 /* Table492 */
-  0x1f7, /* FARJMP32i */
+  0x2d2, /* LOCK_PREFIX */
 /* Table493 */
-  0x26e, /* JMP_1 */
+  0x234, /* INT1 */
 /* Table494 */
-  0x226, /* IN8rr */
+  0x469, /* REPNE_PREFIX */
 /* Table495 */
-  0x224, /* IN32rr */
+  0x471, /* REP_PREFIX */
 /* Table496 */
-  0x3e3, /* OUT8rr */
+  0x1f9, /* HLT */
 /* Table497 */
-  0x3e1, /* OUT32rr */
-/* Table498 */
-  0x2d7, /* LOCK_PREFIX */
-/* Table499 */
-  0x239, /* INT1 */
-/* Table500 */
-  0x46e, /* REPNE_PREFIX */
-/* Table501 */
-  0x476, /* REP_PREFIX */
-/* Table502 */
-  0x1fe, /* HLT */
-/* Table503 */
   0x125, /* CMC */
-/* Table504 */
-  0x602, /* TEST8mi */
-  0x603, /* TEST8mi_alt */
-  0x3b8, /* NOT8m */
-  0x391, /* NEG8m */
-  0x385, /* MUL8m */
-  0x21f, /* IMUL8m */
-  0x1e4, /* DIV8m */
-  0x205, /* IDIV8m */
-  0x604, /* TEST8ri */
-  0x606, /* TEST8ri_alt */
-  0x3b9, /* NOT8r */
-  0x392, /* NEG8r */
-  0x386, /* MUL8r */
-  0x220, /* IMUL8r */
-  0x1e5, /* DIV8r */
-  0x206, /* IDIV8r */
-/* Table520 */
-  0x5f4, /* TEST32mi */
-  0x5f5, /* TEST32mi_alt */
-  0x3b4, /* NOT32m */
-  0x38d, /* NEG32m */
-  0x381, /* MUL32m */
-  0x20f, /* IMUL32m */
-  0x1e0, /* DIV32m */
-  0x201, /* IDIV32m */
-  0x5f6, /* TEST32ri */
-  0x5f7, /* TEST32ri_alt */
-  0x3b5, /* NOT32r */
-  0x38e, /* NEG32r */
-  0x382, /* MUL32r */
-  0x210, /* IMUL32r */
-  0x1e1, /* DIV32r */
-  0x202, /* IDIV32r */
-/* Table536 */
+/* Table498 */
+  0x5fc, /* TEST8mi */
+  0x5fd, /* TEST8mi_alt */
+  0x3b3, /* NOT8m */
+  0x38c, /* NEG8m */
+  0x380, /* MUL8m */
+  0x21a, /* IMUL8m */
+  0x1e3, /* DIV8m */
+  0x200, /* IDIV8m */
+  0x5fe, /* TEST8ri */
+  0x600, /* TEST8ri_alt */
+  0x3b4, /* NOT8r */
+  0x38d, /* NEG8r */
+  0x381, /* MUL8r */
+  0x21b, /* IMUL8r */
+  0x1e4, /* DIV8r */
+  0x201, /* IDIV8r */
+/* Table514 */
+  0x5ee, /* TEST32mi */
+  0x5ef, /* TEST32mi_alt */
+  0x3af, /* NOT32m */
+  0x388, /* NEG32m */
+  0x37c, /* MUL32m */
+  0x20a, /* IMUL32m */
+  0x1df, /* DIV32m */
+  0x1fc, /* IDIV32m */
+  0x5f0, /* TEST32ri */
+  0x5f1, /* TEST32ri_alt */
+  0x3b0, /* NOT32r */
+  0x389, /* NEG32r */
+  0x37d, /* MUL32r */
+  0x20b, /* IMUL32r */
+  0x1e0, /* DIV32r */
+  0x1fd, /* IDIV32r */
+/* Table530 */
   0x120, /* CLC */
-/* Table537 */
-  0x5a6, /* STC */
-/* Table538 */
+/* Table531 */
+  0x5a0, /* STC */
+/* Table532 */
   0x123, /* CLI */
-/* Table539 */
-  0x5a9, /* STI */
-/* Table540 */
+/* Table533 */
+  0x5a3, /* STI */
+/* Table534 */
   0x121, /* CLD */
-/* Table541 */
-  0x5a7, /* STD */
-/* Table542 */
-  0x233, /* INC8m */
-  0x1dc, /* DEC8m */
+/* Table535 */
+  0x5a1, /* STD */
+/* Table536 */
+  0x22e, /* INC8m */
+  0x1db, /* DEC8m */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x234, /* INC8r */
-  0x1dd, /* DEC8r */
+  0x22f, /* INC8r */
+  0x1dc, /* DEC8r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table558 */
-  0x22b, /* INC32m */
-  0x1d4, /* DEC32m */
+/* Table552 */
+  0x226, /* INC32m */
+  0x1d3, /* DEC32m */
   0x115, /* CALL32m */
-  0x1f3, /* FARCALL32m */
-  0x26a, /* JMP32m */
-  0x1f8, /* FARJMP32m */
-  0x40f, /* PUSH32rmm */
+  0x1f0, /* FARCALL32m */
+  0x265, /* JMP32m */
+  0x1f5, /* FARJMP32m */
+  0x40a, /* PUSH32rmm */
   0x0, /*  */
-  0x22a, /* INC32_32r */
-  0x1d3, /* DEC32_32r */
+  0x225, /* INC32_32r */
+  0x1d2, /* DEC32_32r */
   0x116, /* CALL32r */
   0x0, /*  */
-  0x26b, /* JMP32r */
+  0x266, /* JMP32r */
   0x0, /*  */
-  0x410, /* PUSH32rmr */
+  0x40b, /* PUSH32rmr */
   0x0, /*  */
-/* Table574 */
-  0x484, /* REX64_PREFIX */
+/* Table568 */
+  0x47f, /* REX64_PREFIX */
+/* Table569 */
+  0x40f, /* PUSH64r */
+/* Table570 */
+  0x3f0, /* POP64r */
+/* Table571 */
+  0x40d, /* PUSH64i32 */
+/* Table572 */
+  0x40e, /* PUSH64i8 */
+/* Table573 */
+  0x293, /* LEA64_32r */
+  0x0, /*  */
 /* Table575 */
-  0x414, /* PUSH64r */
-/* Table576 */
-  0x3f5, /* POP64r */
-/* Table577 */
-  0x412, /* PUSH64i32 */
-/* Table578 */
-  0x413, /* PUSH64i8 */
-/* Table579 */
-  0x298, /* LEA64_32r */
-  0x0, /*  */
-/* Table581 */
-  0x3f6, /* POP64rmm */
+  0x3f1, /* POP64rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26480,7 +26460,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x3f7, /* POP64rmr */
+  0x3f2, /* POP64rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26488,211 +26468,211 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table591 */
+  0x649, /* XCHG32ar64 */
+/* Table592 */
+  0x41c, /* PUSHF64 */
+/* Table593 */
+  0x3fb, /* POPF64 */
+/* Table594 */
+  0x33e, /* MOV64o8a */
+/* Table595 */
+  0x33c, /* MOV64o32a */
+/* Table596 */
+  0x335, /* MOV64ao8 */
 /* Table597 */
-  0x64f, /* XCHG32ar64 */
+  0x333, /* MOV64ao32 */
 /* Table598 */
-  0x421, /* PUSHF64 */
+  0x47a, /* RETIQ */
 /* Table599 */
-  0x400, /* POPF64 */
+  0x47d, /* RETQ */
 /* Table600 */
-  0x343, /* MOV64o8a */
+  0x296, /* LEAVE64 */
 /* Table601 */
-  0x341, /* MOV64o32a */
+  0x27e, /* JRCXZ */
 /* Table602 */
-  0x33a, /* MOV64ao8 */
-/* Table603 */
-  0x338, /* MOV64ao32 */
-/* Table604 */
-  0x47f, /* RETIQ */
-/* Table605 */
-  0x482, /* RETQ */
-/* Table606 */
-  0x29b, /* LEAVE64 */
-/* Table607 */
-  0x283, /* JRCXZ */
-/* Table608 */
   0x118, /* CALL64pcrel32 */
-/* Table609 */
-  0x22f, /* INC64_32m */
-  0x1d8, /* DEC64_32m */
+/* Table603 */
+  0x22a, /* INC64_32m */
+  0x1d7, /* DEC64_32m */
   0x117, /* CALL64m */
-  0x1f3, /* FARCALL32m */
-  0x26c, /* JMP64m */
-  0x1f8, /* FARJMP32m */
-  0x415, /* PUSH64rmm */
+  0x1f0, /* FARCALL32m */
+  0x267, /* JMP64m */
+  0x1f5, /* FARJMP32m */
+  0x410, /* PUSH64rmm */
   0x0, /*  */
-  0x230, /* INC64_32r */
-  0x1d9, /* DEC64_32r */
+  0x22b, /* INC64_32r */
+  0x1d8, /* DEC64_32r */
   0x119, /* CALL64r */
   0x0, /*  */
-  0x26d, /* JMP64r */
+  0x268, /* JMP64r */
   0x0, /*  */
-  0x416, /* PUSH64rmr */
+  0x411, /* PUSH64rmr */
   0x0, /*  */
-/* Table625 */
+/* Table619 */
   0x45, /* ADD16mr */
   0x4b, /* ADD16rr */
-/* Table627 */
+/* Table621 */
   0x4a, /* ADD16rm */
   0x4d, /* ADD16rr_REV */
-/* Table629 */
+/* Table623 */
   0x42, /* ADD16i16 */
+/* Table624 */
+  0x418, /* PUSHES16 */
+/* Table625 */
+  0x3f7, /* POPES16 */
+/* Table626 */
+  0x3b8, /* OR16mr */
+  0x3bc, /* OR16rr */
+/* Table628 */
+  0x3bb, /* OR16rm */
+  0x3bd, /* OR16rr_REV */
 /* Table630 */
-  0x41d, /* PUSHES16 */
+  0x3b5, /* OR16i16 */
 /* Table631 */
-  0x3fc, /* POPES16 */
+  0x414, /* PUSHCS16 */
 /* Table632 */
-  0x3bd, /* OR16mr */
-  0x3c1, /* OR16rr */
-/* Table634 */
-  0x3c0, /* OR16rm */
-  0x3c2, /* OR16rr_REV */
-/* Table636 */
-  0x3ba, /* OR16i16 */
-/* Table637 */
-  0x419, /* PUSHCS16 */
-/* Table638 */
   0x1f, /* ADC16mr */
   0x23, /* ADC16rr */
-/* Table640 */
+/* Table634 */
   0x22, /* ADC16rm */
   0x24, /* ADC16rr_REV */
-/* Table642 */
+/* Table636 */
   0x1c, /* ADC16i16 */
+/* Table637 */
+  0x423, /* PUSHSS16 */
+/* Table638 */
+  0x402, /* POPSS16 */
+/* Table639 */
+  0x4ee, /* SBB16mr */
+  0x4f2, /* SBB16rr */
+/* Table641 */
+  0x4f1, /* SBB16rm */
+  0x4f3, /* SBB16rr_REV */
 /* Table643 */
-  0x428, /* PUSHSS16 */
+  0x4eb, /* SBB16i16 */
 /* Table644 */
-  0x407, /* POPSS16 */
+  0x416, /* PUSHDS16 */
 /* Table645 */
-  0x4f3, /* SBB16mr */
-  0x4f7, /* SBB16rr */
-/* Table647 */
-  0x4f6, /* SBB16rm */
-  0x4f8, /* SBB16rr_REV */
-/* Table649 */
-  0x4f0, /* SBB16i16 */
-/* Table650 */
-  0x41b, /* PUSHDS16 */
-/* Table651 */
-  0x3fa, /* POPDS16 */
-/* Table652 */
+  0x3f5, /* POPDS16 */
+/* Table646 */
   0x79, /* AND16mr */
   0x7d, /* AND16rr */
-/* Table654 */
+/* Table648 */
   0x7c, /* AND16rm */
   0x7e, /* AND16rr_REV */
-/* Table656 */
+/* Table650 */
   0x76, /* AND16i16 */
-/* Table657 */
-  0x5b5, /* SUB16mr */
-  0x5b9, /* SUB16rr */
-/* Table659 */
-  0x5b8, /* SUB16rm */
-  0x5ba, /* SUB16rr_REV */
+/* Table651 */
+  0x5af, /* SUB16mr */
+  0x5b3, /* SUB16rr */
+/* Table653 */
+  0x5b2, /* SUB16rm */
+  0x5b4, /* SUB16rr_REV */
+/* Table655 */
+  0x5ac, /* SUB16i16 */
+/* Table656 */
+  0x65b, /* XOR16mr */
+  0x65f, /* XOR16rr */
+/* Table658 */
+  0x65e, /* XOR16rm */
+  0x660, /* XOR16rr_REV */
+/* Table660 */
+  0x658, /* XOR16i16 */
 /* Table661 */
-  0x5b2, /* SUB16i16 */
-/* Table662 */
-  0x661, /* XOR16mr */
-  0x665, /* XOR16rr */
-/* Table664 */
-  0x664, /* XOR16rm */
-  0x666, /* XOR16rr_REV */
-/* Table666 */
-  0x65e, /* XOR16i16 */
-/* Table667 */
   0x19a, /* CMP16mr */
   0x19e, /* CMP16rr */
-/* Table669 */
+/* Table663 */
   0x19d, /* CMP16rm */
   0x19f, /* CMP16rr_REV */
-/* Table671 */
+/* Table665 */
   0x197, /* CMP16i16 */
+/* Table666 */
+  0x223, /* INC16r */
+/* Table667 */
+  0x1d0, /* DEC16r */
+/* Table668 */
+  0x405, /* PUSH16r */
+/* Table669 */
+  0x3ea, /* POP16r */
+/* Table670 */
+  0x412, /* PUSHA16 */
+/* Table671 */
+  0x3f3, /* POPA16 */
 /* Table672 */
-  0x228, /* INC16r */
-/* Table673 */
-  0x1d1, /* DEC16r */
-/* Table674 */
-  0x40a, /* PUSH16r */
-/* Table675 */
-  0x3ef, /* POP16r */
-/* Table676 */
-  0x417, /* PUSHA16 */
-/* Table677 */
-  0x3f8, /* POPA16 */
-/* Table678 */
   0xcf, /* BOUNDS16rm */
   0x0, /*  */
+/* Table674 */
+  0x425, /* PUSHi16 */
+/* Table675 */
+  0x205, /* IMUL16rmi */
+  0x208, /* IMUL16rri */
+/* Table677 */
+  0x404, /* PUSH16i8 */
+/* Table678 */
+  0x206, /* IMUL16rmi8 */
+  0x209, /* IMUL16rri8 */
 /* Table680 */
-  0x42a, /* PUSHi16 */
+  0x232, /* INSW */
 /* Table681 */
-  0x20a, /* IMUL16rmi */
-  0x20d, /* IMUL16rri */
-/* Table683 */
-  0x409, /* PUSH16i8 */
-/* Table684 */
-  0x20b, /* IMUL16rmi8 */
-  0x20e, /* IMUL16rri8 */
-/* Table686 */
-  0x237, /* INSW */
-/* Table687 */
-  0x3e6, /* OUTSW */
-/* Table688 */
+  0x3e1, /* OUTSW */
+/* Table682 */
   0x43, /* ADD16mi */
-  0x3bb, /* OR16mi */
+  0x3b6, /* OR16mi */
   0x1d, /* ADC16mi */
-  0x4f1, /* SBB16mi */
+  0x4ec, /* SBB16mi */
   0x77, /* AND16mi */
-  0x5b3, /* SUB16mi */
-  0x65f, /* XOR16mi */
+  0x5ad, /* SUB16mi */
+  0x659, /* XOR16mi */
   0x198, /* CMP16mi */
   0x46, /* ADD16ri */
-  0x3be, /* OR16ri */
+  0x3b9, /* OR16ri */
   0x20, /* ADC16ri */
-  0x4f4, /* SBB16ri */
+  0x4ef, /* SBB16ri */
   0x7a, /* AND16ri */
-  0x5b6, /* SUB16ri */
-  0x662, /* XOR16ri */
+  0x5b0, /* SUB16ri */
+  0x65c, /* XOR16ri */
   0x19b, /* CMP16ri */
-/* Table704 */
+/* Table698 */
   0x44, /* ADD16mi8 */
-  0x3bc, /* OR16mi8 */
+  0x3b7, /* OR16mi8 */
   0x1e, /* ADC16mi8 */
-  0x4f2, /* SBB16mi8 */
+  0x4ed, /* SBB16mi8 */
   0x78, /* AND16mi8 */
-  0x5b4, /* SUB16mi8 */
-  0x660, /* XOR16mi8 */
+  0x5ae, /* SUB16mi8 */
+  0x65a, /* XOR16mi8 */
   0x199, /* CMP16mi8 */
   0x47, /* ADD16ri8 */
-  0x3bf, /* OR16ri8 */
+  0x3ba, /* OR16ri8 */
   0x21, /* ADC16ri8 */
-  0x4f5, /* SBB16ri8 */
+  0x4f0, /* SBB16ri8 */
   0x7b, /* AND16ri8 */
-  0x5b7, /* SUB16ri8 */
-  0x663, /* XOR16ri8 */
+  0x5b1, /* SUB16ri8 */
+  0x65d, /* XOR16ri8 */
   0x19c, /* CMP16ri8 */
+/* Table714 */
+  0x5eb, /* TEST16rm */
+  0x5ec, /* TEST16rr */
+/* Table716 */
+  0x646, /* XCHG16rm */
+  0x647, /* XCHG16rr */
+/* Table718 */
+  0x311, /* MOV16mr */
+  0x318, /* MOV16rr */
 /* Table720 */
-  0x5f1, /* TEST16rm */
-  0x5f2, /* TEST16rr */
+  0x317, /* MOV16rm */
+  0x319, /* MOV16rr_REV */
 /* Table722 */
-  0x64c, /* XCHG16rm */
-  0x64d, /* XCHG16rr */
+  0x312, /* MOV16ms */
+  0x31a, /* MOV16rs */
 /* Table724 */
-  0x316, /* MOV16mr */
-  0x31d, /* MOV16rr */
+  0x291, /* LEA16r */
+  0x0, /*  */
 /* Table726 */
-  0x31c, /* MOV16rm */
-  0x31e, /* MOV16rr_REV */
+  0x31b, /* MOV16sm */
+  0x31c, /* MOV16sr */
 /* Table728 */
-  0x317, /* MOV16ms */
-  0x31f, /* MOV16rs */
-/* Table730 */
-  0x296, /* LEA16r */
-  0x0, /*  */
-/* Table732 */
-  0x320, /* MOV16sm */
-  0x321, /* MOV16sr */
-/* Table734 */
-  0x3f0, /* POP16rmm */
+  0x3eb, /* POP16rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26700,7 +26680,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x3f1, /* POP16rmr */
+  0x3ec, /* POP16rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26708,65 +26688,65 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table750 */
-  0x64b, /* XCHG16ar */
-/* Table751 */
+/* Table744 */
+  0x645, /* XCHG16ar */
+/* Table745 */
   0x11c, /* CBW */
+/* Table746 */
+  0x1ca, /* CWD */
+/* Table747 */
+  0x1ed, /* FARCALL16i */
+/* Table748 */
+  0x41a, /* PUSHF16 */
+/* Table749 */
+  0x3f9, /* POPF16 */
+/* Table750 */
+  0x313, /* MOV16o16a */
+/* Table751 */
+  0x30e, /* MOV16ao16 */
 /* Table752 */
-  0x1cb, /* CWD */
+  0x361, /* MOVSW */
 /* Table753 */
-  0x1f0, /* FARCALL16i */
-/* Table754 */
-  0x41f, /* PUSHF16 */
-/* Table755 */
-  0x3fe, /* POPF16 */
-/* Table756 */
-  0x318, /* MOV16o16a */
-/* Table757 */
-  0x313, /* MOV16ao16 */
-/* Table758 */
-  0x366, /* MOVSW */
-/* Table759 */
   0x1bc, /* CMPSW */
-/* Table760 */
-  0x5ec, /* TEST16i16 */
-/* Table761 */
-  0x5ad, /* STOSW */
-/* Table762 */
-  0x2f1, /* LODSW */
-/* Table763 */
-  0x515, /* SCASW */
-/* Table764 */
-  0x31a, /* MOV16ri */
-/* Table765 */
-  0x487, /* ROL16mi */
-  0x49f, /* ROR16mi */
-  0x42e, /* RCL16mi */
-  0x446, /* RCR16mi */
-  0x549, /* SHL16mi */
-  0x571, /* SHR16mi */
-  0x4bd, /* SAL16mi */
-  0x4d6, /* SAR16mi */
-  0x48a, /* ROL16ri */
-  0x4a2, /* ROR16ri */
-  0x431, /* RCL16ri */
-  0x449, /* RCR16ri */
-  0x54c, /* SHL16ri */
-  0x574, /* SHR16ri */
-  0x4c0, /* SAL16ri */
-  0x4d9, /* SAR16ri */
+/* Table754 */
+  0x5e6, /* TEST16i16 */
+/* Table755 */
+  0x5a7, /* STOSW */
+/* Table756 */
+  0x2ec, /* LODSW */
+/* Table757 */
+  0x510, /* SCASW */
+/* Table758 */
+  0x315, /* MOV16ri */
+/* Table759 */
+  0x482, /* ROL16mi */
+  0x49a, /* ROR16mi */
+  0x429, /* RCL16mi */
+  0x441, /* RCR16mi */
+  0x544, /* SHL16mi */
+  0x56c, /* SHR16mi */
+  0x4b8, /* SAL16mi */
+  0x4d1, /* SAR16mi */
+  0x485, /* ROL16ri */
+  0x49d, /* ROR16ri */
+  0x42c, /* RCL16ri */
+  0x444, /* RCR16ri */
+  0x547, /* SHL16ri */
+  0x56f, /* SHR16ri */
+  0x4bb, /* SAL16ri */
+  0x4d4, /* SAR16ri */
+/* Table775 */
+  0x47b, /* RETIW */
+/* Table776 */
+  0x47e, /* RETW */
+/* Table777 */
+  0x297, /* LES16rm */
+  0x0, /*  */
+/* Table779 */
+  0x28f, /* LDS16rm */
+  0x0, /*  */
 /* Table781 */
-  0x480, /* RETIW */
-/* Table782 */
-  0x483, /* RETW */
-/* Table783 */
-  0x29c, /* LES16rm */
-  0x0, /*  */
-/* Table785 */
-  0x294, /* LDS16rm */
-  0x0, /*  */
-/* Table787 */
-  0x315, /* MOV16mi */
+  0x310, /* MOV16mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26774,7 +26754,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x31b, /* MOV16ri_alt */
+  0x316, /* MOV16ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26782,267 +26762,267 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table803 */
-  0x2f7, /* LRETIW */
-/* Table804 */
-  0x2fa, /* LRETW */
-/* Table805 */
-  0x246, /* IRET16 */
-/* Table806 */
-  0x485, /* ROL16m1 */
-  0x49d, /* ROR16m1 */
-  0x42c, /* RCL16m1 */
-  0x444, /* RCR16m1 */
-  0x547, /* SHL16m1 */
-  0x56f, /* SHR16m1 */
-  0x4bb, /* SAL16m1 */
-  0x4d4, /* SAR16m1 */
-  0x488, /* ROL16r1 */
-  0x4a0, /* ROR16r1 */
-  0x42f, /* RCL16r1 */
-  0x447, /* RCR16r1 */
-  0x54a, /* SHL16r1 */
-  0x572, /* SHR16r1 */
-  0x4be, /* SAL16r1 */
-  0x4d7, /* SAR16r1 */
-/* Table822 */
-  0x486, /* ROL16mCL */
-  0x49e, /* ROR16mCL */
-  0x42d, /* RCL16mCL */
-  0x445, /* RCR16mCL */
-  0x548, /* SHL16mCL */
-  0x570, /* SHR16mCL */
-  0x4bc, /* SAL16mCL */
-  0x4d5, /* SAR16mCL */
-  0x489, /* ROL16rCL */
-  0x4a1, /* ROR16rCL */
-  0x430, /* RCL16rCL */
-  0x448, /* RCR16rCL */
-  0x54b, /* SHL16rCL */
-  0x573, /* SHR16rCL */
-  0x4bf, /* SAL16rCL */
-  0x4d8, /* SAR16rCL */
-/* Table838 */
-  0x221, /* IN16ri */
-/* Table839 */
-  0x3de, /* OUT16ir */
-/* Table840 */
+/* Table797 */
+  0x2f2, /* LRETIW */
+/* Table798 */
+  0x2f5, /* LRETW */
+/* Table799 */
+  0x241, /* IRET16 */
+/* Table800 */
+  0x480, /* ROL16m1 */
+  0x498, /* ROR16m1 */
+  0x427, /* RCL16m1 */
+  0x43f, /* RCR16m1 */
+  0x542, /* SHL16m1 */
+  0x56a, /* SHR16m1 */
+  0x4b6, /* SAL16m1 */
+  0x4cf, /* SAR16m1 */
+  0x483, /* ROL16r1 */
+  0x49b, /* ROR16r1 */
+  0x42a, /* RCL16r1 */
+  0x442, /* RCR16r1 */
+  0x545, /* SHL16r1 */
+  0x56d, /* SHR16r1 */
+  0x4b9, /* SAL16r1 */
+  0x4d2, /* SAR16r1 */
+/* Table816 */
+  0x481, /* ROL16mCL */
+  0x499, /* ROR16mCL */
+  0x428, /* RCL16mCL */
+  0x440, /* RCR16mCL */
+  0x543, /* SHL16mCL */
+  0x56b, /* SHR16mCL */
+  0x4b7, /* SAL16mCL */
+  0x4d0, /* SAR16mCL */
+  0x484, /* ROL16rCL */
+  0x49c, /* ROR16rCL */
+  0x42b, /* RCL16rCL */
+  0x443, /* RCR16rCL */
+  0x546, /* SHL16rCL */
+  0x56e, /* SHR16rCL */
+  0x4ba, /* SAL16rCL */
+  0x4d3, /* SAR16rCL */
+/* Table832 */
+  0x21c, /* IN16ri */
+/* Table833 */
+  0x3d9, /* OUT16ir */
+/* Table834 */
   0x11a, /* CALLpcrel16 */
-/* Table841 */
-  0x26f, /* JMP_2 */
-/* Table842 */
-  0x1f5, /* FARJMP16i */
-/* Table843 */
-  0x222, /* IN16rr */
-/* Table844 */
-  0x3df, /* OUT16rr */
-/* Table845 */
-  0x5ed, /* TEST16mi */
-  0x5ee, /* TEST16mi_alt */
-  0x3b2, /* NOT16m */
-  0x38b, /* NEG16m */
-  0x37f, /* MUL16m */
-  0x207, /* IMUL16m */
-  0x1de, /* DIV16m */
-  0x1ff, /* IDIV16m */
-  0x5ef, /* TEST16ri */
-  0x5f0, /* TEST16ri_alt */
-  0x3b3, /* NOT16r */
-  0x38c, /* NEG16r */
-  0x380, /* MUL16r */
-  0x208, /* IMUL16r */
-  0x1df, /* DIV16r */
-  0x200, /* IDIV16r */
-/* Table861 */
-  0x227, /* INC16m */
-  0x1d0, /* DEC16m */
+/* Table835 */
+  0x26a, /* JMP_2 */
+/* Table836 */
+  0x1f2, /* FARJMP16i */
+/* Table837 */
+  0x21d, /* IN16rr */
+/* Table838 */
+  0x3da, /* OUT16rr */
+/* Table839 */
+  0x5e7, /* TEST16mi */
+  0x5e8, /* TEST16mi_alt */
+  0x3ad, /* NOT16m */
+  0x386, /* NEG16m */
+  0x37a, /* MUL16m */
+  0x202, /* IMUL16m */
+  0x1dd, /* DIV16m */
+  0x1fa, /* IDIV16m */
+  0x5e9, /* TEST16ri */
+  0x5ea, /* TEST16ri_alt */
+  0x3ae, /* NOT16r */
+  0x387, /* NEG16r */
+  0x37b, /* MUL16r */
+  0x203, /* IMUL16r */
+  0x1de, /* DIV16r */
+  0x1fb, /* IDIV16r */
+/* Table855 */
+  0x222, /* INC16m */
+  0x1cf, /* DEC16m */
   0x113, /* CALL16m */
-  0x1f1, /* FARCALL16m */
-  0x268, /* JMP16m */
-  0x1f6, /* FARJMP16m */
-  0x40b, /* PUSH16rmm */
+  0x1ee, /* FARCALL16m */
+  0x263, /* JMP16m */
+  0x1f3, /* FARJMP16m */
+  0x406, /* PUSH16rmm */
   0x0, /*  */
-  0x229, /* INC32_16r */
-  0x1d2, /* DEC32_16r */
+  0x224, /* INC32_16r */
+  0x1d1, /* DEC32_16r */
   0x114, /* CALL16r */
   0x0, /*  */
-  0x269, /* JMP16r */
+  0x264, /* JMP16r */
   0x0, /*  */
-  0x40c, /* PUSH16rmr */
+  0x407, /* PUSH16rmr */
   0x0, /*  */
-/* Table877 */
-  0x256, /* JCXZ */
-/* Table878 */
+/* Table871 */
+  0x251, /* JCXZ */
+/* Table872 */
   0x5d, /* ADD64mr */
   0x63, /* ADD64rr */
-/* Table880 */
+/* Table874 */
   0x62, /* ADD64rm */
   0x65, /* ADD64rr_REV */
-/* Table882 */
+/* Table876 */
   0x5a, /* ADD64i32 */
-/* Table883 */
-  0x3d0, /* OR64mr */
-  0x3d4, /* OR64rr */
-/* Table885 */
-  0x3d3, /* OR64rm */
-  0x3d5, /* OR64rr_REV */
-/* Table887 */
-  0x3cd, /* OR64i32 */
-/* Table888 */
+/* Table877 */
+  0x3cb, /* OR64mr */
+  0x3cf, /* OR64rr */
+/* Table879 */
+  0x3ce, /* OR64rm */
+  0x3d0, /* OR64rr_REV */
+/* Table881 */
+  0x3c8, /* OR64i32 */
+/* Table882 */
   0x31, /* ADC64mr */
   0x35, /* ADC64rr */
-/* Table890 */
+/* Table884 */
   0x34, /* ADC64rm */
   0x36, /* ADC64rr_REV */
-/* Table892 */
+/* Table886 */
   0x2e, /* ADC64i32 */
-/* Table893 */
-  0x505, /* SBB64mr */
-  0x509, /* SBB64rr */
-/* Table895 */
-  0x508, /* SBB64rm */
-  0x50a, /* SBB64rr_REV */
-/* Table897 */
-  0x502, /* SBB64i32 */
-/* Table898 */
+/* Table887 */
+  0x500, /* SBB64mr */
+  0x504, /* SBB64rr */
+/* Table889 */
+  0x503, /* SBB64rm */
+  0x505, /* SBB64rr_REV */
+/* Table891 */
+  0x4fd, /* SBB64i32 */
+/* Table892 */
   0x8b, /* AND64mr */
   0x8f, /* AND64rr */
-/* Table900 */
+/* Table894 */
   0x8e, /* AND64rm */
   0x90, /* AND64rr_REV */
-/* Table902 */
+/* Table896 */
   0x88, /* AND64i32 */
-/* Table903 */
-  0x5c7, /* SUB64mr */
-  0x5cb, /* SUB64rr */
-/* Table905 */
-  0x5ca, /* SUB64rm */
-  0x5cc, /* SUB64rr_REV */
+/* Table897 */
+  0x5c1, /* SUB64mr */
+  0x5c5, /* SUB64rr */
+/* Table899 */
+  0x5c4, /* SUB64rm */
+  0x5c6, /* SUB64rr_REV */
+/* Table901 */
+  0x5be, /* SUB64i32 */
+/* Table902 */
+  0x66d, /* XOR64mr */
+  0x671, /* XOR64rr */
+/* Table904 */
+  0x670, /* XOR64rm */
+  0x672, /* XOR64rr_REV */
+/* Table906 */
+  0x66a, /* XOR64i32 */
 /* Table907 */
-  0x5c4, /* SUB64i32 */
-/* Table908 */
-  0x673, /* XOR64mr */
-  0x677, /* XOR64rr */
-/* Table910 */
-  0x676, /* XOR64rm */
-  0x678, /* XOR64rr_REV */
-/* Table912 */
-  0x670, /* XOR64i32 */
-/* Table913 */
   0x1ac, /* CMP64mr */
   0x1b0, /* CMP64rr */
-/* Table915 */
+/* Table909 */
   0x1af, /* CMP64rm */
   0x1b1, /* CMP64rr_REV */
-/* Table917 */
+/* Table911 */
   0x1a9, /* CMP64i32 */
+/* Table912 */
+  0x369, /* MOVSX64rm32 */
+  0x36c, /* MOVSX64rr32 */
+/* Table914 */
+  0x215, /* IMUL64rmi32 */
+  0x218, /* IMUL64rri32 */
+/* Table916 */
+  0x216, /* IMUL64rmi8 */
+  0x219, /* IMUL64rri8 */
 /* Table918 */
-  0x36e, /* MOVSX64rm32 */
-  0x371, /* MOVSX64rr32 */
-/* Table920 */
-  0x21a, /* IMUL64rmi32 */
-  0x21d, /* IMUL64rri32 */
-/* Table922 */
-  0x21b, /* IMUL64rmi8 */
-  0x21e, /* IMUL64rri8 */
-/* Table924 */
   0x5b, /* ADD64mi32 */
-  0x3ce, /* OR64mi32 */
+  0x3c9, /* OR64mi32 */
   0x2f, /* ADC64mi32 */
-  0x503, /* SBB64mi32 */
+  0x4fe, /* SBB64mi32 */
   0x89, /* AND64mi32 */
-  0x5c5, /* SUB64mi32 */
-  0x671, /* XOR64mi32 */
+  0x5bf, /* SUB64mi32 */
+  0x66b, /* XOR64mi32 */
   0x1aa, /* CMP64mi32 */
   0x5e, /* ADD64ri32 */
-  0x3d1, /* OR64ri32 */
+  0x3cc, /* OR64ri32 */
   0x32, /* ADC64ri32 */
-  0x506, /* SBB64ri32 */
+  0x501, /* SBB64ri32 */
   0x8c, /* AND64ri32 */
-  0x5c8, /* SUB64ri32 */
-  0x674, /* XOR64ri32 */
+  0x5c2, /* SUB64ri32 */
+  0x66e, /* XOR64ri32 */
   0x1ad, /* CMP64ri32 */
-/* Table940 */
+/* Table934 */
   0x5c, /* ADD64mi8 */
-  0x3cf, /* OR64mi8 */
+  0x3ca, /* OR64mi8 */
   0x30, /* ADC64mi8 */
-  0x504, /* SBB64mi8 */
+  0x4ff, /* SBB64mi8 */
   0x8a, /* AND64mi8 */
-  0x5c6, /* SUB64mi8 */
-  0x672, /* XOR64mi8 */
+  0x5c0, /* SUB64mi8 */
+  0x66c, /* XOR64mi8 */
   0x1ab, /* CMP64mi8 */
   0x60, /* ADD64ri8 */
-  0x3d2, /* OR64ri8 */
+  0x3cd, /* OR64ri8 */
   0x33, /* ADC64ri8 */
-  0x507, /* SBB64ri8 */
+  0x502, /* SBB64ri8 */
   0x8d, /* AND64ri8 */
-  0x5c9, /* SUB64ri8 */
-  0x675, /* XOR64ri8 */
+  0x5c3, /* SUB64ri8 */
+  0x66f, /* XOR64ri8 */
   0x1ae, /* CMP64ri8 */
+/* Table950 */
+  0x5f9, /* TEST64rm */
+  0x5fa, /* TEST64rr */
+/* Table952 */
+  0x64d, /* XCHG64rm */
+  0x64e, /* XCHG64rr */
+/* Table954 */
+  0x339, /* MOV64mr */
+  0x344, /* MOV64rr */
 /* Table956 */
-  0x5ff, /* TEST64rm */
-  0x600, /* TEST64rr */
+  0x343, /* MOV64rm */
+  0x345, /* MOV64rr_REV */
 /* Table958 */
-  0x653, /* XCHG64rm */
-  0x654, /* XCHG64rr */
+  0x33a, /* MOV64ms */
+  0x346, /* MOV64rs */
 /* Table960 */
-  0x33e, /* MOV64mr */
-  0x349, /* MOV64rr */
+  0x294, /* LEA64r */
+  0x0, /*  */
 /* Table962 */
-  0x348, /* MOV64rm */
-  0x34a, /* MOV64rr_REV */
+  0x347, /* MOV64sm */
+  0x348, /* MOV64sr */
 /* Table964 */
-  0x33f, /* MOV64ms */
-  0x34b, /* MOV64rs */
-/* Table966 */
-  0x299, /* LEA64r */
-  0x0, /*  */
-/* Table968 */
-  0x34c, /* MOV64sm */
-  0x34d, /* MOV64sr */
-/* Table970 */
-  0x652, /* XCHG64ar */
-/* Table971 */
+  0x64c, /* XCHG64ar */
+/* Table965 */
   0x11e, /* CDQE */
-/* Table972 */
+/* Table966 */
   0x1c9, /* CQO */
-/* Table973 */
-  0x342, /* MOV64o64a */
-/* Table974 */
-  0x339, /* MOV64ao64 */
-/* Table975 */
-  0x365, /* MOVSQ */
-/* Table976 */
+/* Table967 */
+  0x33d, /* MOV64o64a */
+/* Table968 */
+  0x334, /* MOV64ao64 */
+/* Table969 */
+  0x360, /* MOVSQ */
+/* Table970 */
   0x1bb, /* CMPSQ */
-/* Table977 */
-  0x5fa, /* TEST64i32 */
-/* Table978 */
-  0x5ac, /* STOSQ */
-/* Table979 */
-  0x2f0, /* LODSQ */
-/* Table980 */
-  0x514, /* SCASQ */
-/* Table981 */
-  0x346, /* MOV64ri */
-/* Table982 */
-  0x493, /* ROL64mi */
-  0x4ab, /* ROR64mi */
-  0x43a, /* RCL64mi */
-  0x452, /* RCR64mi */
-  0x555, /* SHL64mi */
-  0x57d, /* SHR64mi */
-  0x4c9, /* SAL64mi */
-  0x4e2, /* SAR64mi */
-  0x496, /* ROL64ri */
-  0x4ae, /* ROR64ri */
-  0x43d, /* RCL64ri */
-  0x455, /* RCR64ri */
-  0x558, /* SHL64ri */
-  0x580, /* SHR64ri */
-  0x4cc, /* SAL64ri */
-  0x4e5, /* SAR64ri */
-/* Table998 */
-  0x33d, /* MOV64mi32 */
+/* Table971 */
+  0x5f4, /* TEST64i32 */
+/* Table972 */
+  0x5a6, /* STOSQ */
+/* Table973 */
+  0x2eb, /* LODSQ */
+/* Table974 */
+  0x50f, /* SCASQ */
+/* Table975 */
+  0x341, /* MOV64ri */
+/* Table976 */
+  0x48e, /* ROL64mi */
+  0x4a6, /* ROR64mi */
+  0x435, /* RCL64mi */
+  0x44d, /* RCR64mi */
+  0x550, /* SHL64mi */
+  0x578, /* SHR64mi */
+  0x4c4, /* SAL64mi */
+  0x4dd, /* SAR64mi */
+  0x491, /* ROL64ri */
+  0x4a9, /* ROR64ri */
+  0x438, /* RCL64ri */
+  0x450, /* RCR64ri */
+  0x553, /* SHL64ri */
+  0x57b, /* SHR64ri */
+  0x4c7, /* SAL64ri */
+  0x4e0, /* SAR64ri */
+/* Table992 */
+  0x338, /* MOV64mi32 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27050,7 +27030,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x347, /* MOV64ri32 */
+  0x342, /* MOV64ri32 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27058,188 +27038,188 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1014 */
-  0x2f6, /* LRETIQ */
-/* Table1015 */
-  0x2f9, /* LRETQ */
-/* Table1016 */
-  0x248, /* IRET64 */
-/* Table1017 */
-  0x491, /* ROL64m1 */
-  0x4a9, /* ROR64m1 */
-  0x438, /* RCL64m1 */
-  0x450, /* RCR64m1 */
-  0x553, /* SHL64m1 */
-  0x57b, /* SHR64m1 */
-  0x4c7, /* SAL64m1 */
-  0x4e0, /* SAR64m1 */
-  0x494, /* ROL64r1 */
-  0x4ac, /* ROR64r1 */
-  0x43b, /* RCL64r1 */
-  0x453, /* RCR64r1 */
-  0x556, /* SHL64r1 */
-  0x57e, /* SHR64r1 */
-  0x4ca, /* SAL64r1 */
-  0x4e3, /* SAR64r1 */
-/* Table1033 */
-  0x492, /* ROL64mCL */
-  0x4aa, /* ROR64mCL */
-  0x439, /* RCL64mCL */
-  0x451, /* RCR64mCL */
-  0x554, /* SHL64mCL */
-  0x57c, /* SHR64mCL */
-  0x4c8, /* SAL64mCL */
-  0x4e1, /* SAR64mCL */
-  0x495, /* ROL64rCL */
-  0x4ad, /* ROR64rCL */
-  0x43c, /* RCL64rCL */
-  0x454, /* RCR64rCL */
-  0x557, /* SHL64rCL */
-  0x57f, /* SHR64rCL */
-  0x4cb, /* SAL64rCL */
-  0x4e4, /* SAR64rCL */
-/* Table1049 */
-  0x5fb, /* TEST64mi32 */
-  0x5fc, /* TEST64mi32_alt */
-  0x3b6, /* NOT64m */
-  0x38f, /* NEG64m */
-  0x383, /* MUL64m */
-  0x217, /* IMUL64m */
-  0x1e2, /* DIV64m */
-  0x203, /* IDIV64m */
-  0x5fd, /* TEST64ri32 */
-  0x5fe, /* TEST64ri32_alt */
-  0x3b7, /* NOT64r */
-  0x390, /* NEG64r */
-  0x384, /* MUL64r */
-  0x218, /* IMUL64r */
-  0x1e3, /* DIV64r */
-  0x204, /* IDIV64r */
-/* Table1065 */
-  0x231, /* INC64m */
-  0x1da, /* DEC64m */
+/* Table1008 */
+  0x2f1, /* LRETIQ */
+/* Table1009 */
+  0x2f4, /* LRETQ */
+/* Table1010 */
+  0x243, /* IRET64 */
+/* Table1011 */
+  0x48c, /* ROL64m1 */
+  0x4a4, /* ROR64m1 */
+  0x433, /* RCL64m1 */
+  0x44b, /* RCR64m1 */
+  0x54e, /* SHL64m1 */
+  0x576, /* SHR64m1 */
+  0x4c2, /* SAL64m1 */
+  0x4db, /* SAR64m1 */
+  0x48f, /* ROL64r1 */
+  0x4a7, /* ROR64r1 */
+  0x436, /* RCL64r1 */
+  0x44e, /* RCR64r1 */
+  0x551, /* SHL64r1 */
+  0x579, /* SHR64r1 */
+  0x4c5, /* SAL64r1 */
+  0x4de, /* SAR64r1 */
+/* Table1027 */
+  0x48d, /* ROL64mCL */
+  0x4a5, /* ROR64mCL */
+  0x434, /* RCL64mCL */
+  0x44c, /* RCR64mCL */
+  0x54f, /* SHL64mCL */
+  0x577, /* SHR64mCL */
+  0x4c3, /* SAL64mCL */
+  0x4dc, /* SAR64mCL */
+  0x490, /* ROL64rCL */
+  0x4a8, /* ROR64rCL */
+  0x437, /* RCL64rCL */
+  0x44f, /* RCR64rCL */
+  0x552, /* SHL64rCL */
+  0x57a, /* SHR64rCL */
+  0x4c6, /* SAL64rCL */
+  0x4df, /* SAR64rCL */
+/* Table1043 */
+  0x5f5, /* TEST64mi32 */
+  0x5f6, /* TEST64mi32_alt */
+  0x3b1, /* NOT64m */
+  0x38a, /* NEG64m */
+  0x37e, /* MUL64m */
+  0x212, /* IMUL64m */
+  0x1e1, /* DIV64m */
+  0x1fe, /* IDIV64m */
+  0x5f7, /* TEST64ri32 */
+  0x5f8, /* TEST64ri32_alt */
+  0x3b2, /* NOT64r */
+  0x38b, /* NEG64r */
+  0x37f, /* MUL64r */
+  0x213, /* IMUL64r */
+  0x1e2, /* DIV64r */
+  0x1ff, /* IDIV64r */
+/* Table1059 */
+  0x22c, /* INC64m */
+  0x1d9, /* DEC64m */
   0x117, /* CALL64m */
-  0x1f4, /* FARCALL64 */
-  0x26c, /* JMP64m */
-  0x1f9, /* FARJMP64 */
-  0x415, /* PUSH64rmm */
+  0x1f1, /* FARCALL64 */
+  0x267, /* JMP64m */
+  0x1f6, /* FARJMP64 */
+  0x410, /* PUSH64rmm */
   0x0, /*  */
-  0x232, /* INC64r */
-  0x1db, /* DEC64r */
+  0x22d, /* INC64r */
+  0x1da, /* DEC64r */
   0x119, /* CALL64r */
   0x0, /*  */
-  0x26d, /* JMP64r */
+  0x268, /* JMP64r */
   0x0, /*  */
-  0x416, /* PUSH64rmr */
+  0x411, /* PUSH64rmr */
   0x0, /*  */
-/* Table1081 */
-  0x411, /* PUSH64i16 */
-/* Table1082 */
-  0x340, /* MOV64o16a */
-/* Table1083 */
-  0x337, /* MOV64ao16 */
-/* Table1084 */
-  0x22d, /* INC64_16m */
-  0x1d6, /* DEC64_16m */
+/* Table1075 */
+  0x40c, /* PUSH64i16 */
+/* Table1076 */
+  0x33b, /* MOV64o16a */
+/* Table1077 */
+  0x332, /* MOV64ao16 */
+/* Table1078 */
+  0x228, /* INC64_16m */
+  0x1d5, /* DEC64_16m */
   0x117, /* CALL64m */
-  0x1f1, /* FARCALL16m */
-  0x26c, /* JMP64m */
-  0x1f6, /* FARJMP16m */
-  0x40b, /* PUSH16rmm */
+  0x1ee, /* FARCALL16m */
+  0x267, /* JMP64m */
+  0x1f3, /* FARJMP16m */
+  0x406, /* PUSH16rmm */
   0x0, /*  */
-  0x22e, /* INC64_16r */
-  0x1d7, /* DEC64_16r */
+  0x229, /* INC64_16r */
+  0x1d6, /* DEC64_16r */
   0x119, /* CALL64r */
   0x0, /*  */
-  0x26d, /* JMP64r */
+  0x268, /* JMP64r */
   0x0, /*  */
-  0x40c, /* PUSH16rmr */
+  0x407, /* PUSH16rmr */
   0x0, /*  */
-/* Table1100 */
-  0x258, /* JECXZ_64 */
-/* Table1101 */
-  0x231, /* INC64m */
-  0x1da, /* DEC64m */
+/* Table1094 */
+  0x253, /* JECXZ_64 */
+/* Table1095 */
+  0x22c, /* INC64m */
+  0x1d9, /* DEC64m */
   0x117, /* CALL64m */
-  0x1f4, /* FARCALL64 */
-  0x26c, /* JMP64m */
-  0x1f9, /* FARJMP64 */
-  0x40b, /* PUSH16rmm */
+  0x1f1, /* FARCALL64 */
+  0x267, /* JMP64m */
+  0x1f6, /* FARJMP64 */
+  0x406, /* PUSH16rmm */
   0x0, /*  */
-  0x232, /* INC64r */
-  0x1db, /* DEC64r */
+  0x22d, /* INC64r */
+  0x1da, /* DEC64r */
   0x119, /* CALL64r */
   0x0, /*  */
-  0x26d, /* JMP64r */
+  0x268, /* JMP64r */
   0x0, /*  */
-  0x40c, /* PUSH16rmr */
+  0x407, /* PUSH16rmr */
   0x0, /*  */
-/* Table1117 */
-  0x59b, /* SLDT16m */
-  0x5b1, /* STRm */
-  0x2aa, /* LLDT16m */
-  0x304, /* LTRm */
-  0x61d, /* VERRm */
-  0x61f, /* VERWm */
+/* Table1111 */
+  0x596, /* SLDT16m */
+  0x5ab, /* STRm */
+  0x2a5, /* LLDT16m */
+  0x2ff, /* LTRm */
+  0x617, /* VERRm */
+  0x619, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0x59d, /* SLDT32r */
-  0x5af, /* STR32r */
-  0x2ab, /* LLDT16r */
-  0x305, /* LTRr */
-  0x61e, /* VERRr */
-  0x620, /* VERWr */
+  0x598, /* SLDT32r */
+  0x5a9, /* STR32r */
+  0x2a6, /* LLDT16r */
+  0x300, /* LTRr */
+  0x618, /* VERRr */
+  0x61a, /* VERWr */
   0x0, /*  */
   0x0, /*  */
-/* Table1133 */
-  0x545, /* SGDT32m */
-  0x598, /* SIDT32m */
-  0x2a2, /* LGDT32m */
-  0x2a8, /* LIDT32m */
-  0x5a0, /* SMSW16m */
+/* Table1127 */
+  0x540, /* SGDT32m */
+  0x593, /* SIDT32m */
+  0x29d, /* LGDT32m */
+  0x2a3, /* LIDT32m */
+  0x59b, /* SMSW16m */
   0x0, /*  */
-  0x2ac, /* LMSW16m */
-  0x23f, /* INVLPG */
+  0x2a7, /* LMSW16m */
+  0x23a, /* INVLPG */
   0x0, /*  */
-  0x621, /* VMCALL */
-  0x624, /* VMLAUNCH */
-  0x62e, /* VMRESUME */
-  0x637, /* VMXOFF */
+  0x61b, /* VMCALL */
+  0x61e, /* VMLAUNCH */
+  0x628, /* VMRESUME */
+  0x631, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x11f, /* CLAC */
-  0x5a5, /* STAC */
+  0x59f, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x65c, /* XGETBV */
-  0x687, /* XSETBV */
+  0x656, /* XGETBV */
+  0x681, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0x623, /* VMFUNC */
+  0x61d, /* VMFUNC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x62f, /* VMRUN32 */
-  0x627, /* VMMCALL */
-  0x625, /* VMLOAD32 */
-  0x631, /* VMSAVE32 */
-  0x5a8, /* STGI */
+  0x629, /* VMRUN32 */
+  0x621, /* VMMCALL */
+  0x61f, /* VMLOAD32 */
+  0x62b, /* VMSAVE32 */
+  0x5a2, /* STGI */
   0x122, /* CLGI */
-  0x59a, /* SKINIT */
-  0x240, /* INVLPGA32 */
-  0x5a2, /* SMSW32r */
-  0x5a2, /* SMSW32r */
-  0x5a2, /* SMSW32r */
-  0x5a2, /* SMSW32r */
-  0x5a2, /* SMSW32r */
-  0x5a2, /* SMSW32r */
-  0x5a2, /* SMSW32r */
-  0x5a2, /* SMSW32r */
+  0x595, /* SKINIT */
+  0x23b, /* INVLPGA32 */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27248,254 +27228,254 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x5d5, /* SWAPGS */
-  0x469, /* RDTSCP */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x5cf, /* SWAPGS */
+  0x464, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1205 */
-  0x28a, /* LAR32rm */
-  0x28b, /* LAR32rr */
-/* Table1207 */
-  0x2fd, /* LSL32rm */
-  0x2fe, /* LSL32rr */
-/* Table1209 */
-  0x5d6, /* SYSCALL */
-/* Table1210 */
+/* Table1199 */
+  0x285, /* LAR32rm */
+  0x286, /* LAR32rr */
+/* Table1201 */
+  0x2f8, /* LSL32rm */
+  0x2f9, /* LSL32rr */
+/* Table1203 */
+  0x5d0, /* SYSCALL */
+/* Table1204 */
   0x124, /* CLTS */
-/* Table1211 */
-  0x5da, /* SYSRET */
-/* Table1212 */
-  0x23c, /* INVD */
-/* Table1213 */
-  0x63a, /* WBINVD */
-/* Table1214 */
-  0x60f, /* TRAP */
-/* Table1215 */
+/* Table1205 */
+  0x5d4, /* SYSRET */
+/* Table1206 */
+  0x237, /* INVD */
+/* Table1207 */
+  0x634, /* WBINVD */
+/* Table1208 */
+  0x609, /* TRAP */
+/* Table1209 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x39c, /* NOOP18_m4 */
-  0x39d, /* NOOP18_m5 */
-  0x39e, /* NOOP18_m6 */
-  0x39f, /* NOOP18_m7 */
+  0x397, /* NOOP18_m4 */
+  0x398, /* NOOP18_m5 */
+  0x399, /* NOOP18_m6 */
+  0x39a, /* NOOP18_m7 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x3a0, /* NOOP18_r4 */
-  0x3a1, /* NOOP18_r5 */
-  0x3a2, /* NOOP18_r6 */
-  0x3a3, /* NOOP18_r7 */
+  0x39b, /* NOOP18_r4 */
+  0x39c, /* NOOP18_r5 */
+  0x39d, /* NOOP18_r6 */
+  0x39e, /* NOOP18_r7 */
+/* Table1225 */
+  0x3a0, /* NOOPL_19 */
+  0x0, /*  */
+/* Table1227 */
+  0x3a1, /* NOOPL_1a */
+  0x0, /*  */
+/* Table1229 */
+  0x3a2, /* NOOPL_1b */
+  0x0, /*  */
 /* Table1231 */
-  0x3a5, /* NOOPL_19 */
+  0x3a3, /* NOOPL_1c */
   0x0, /*  */
 /* Table1233 */
-  0x3a6, /* NOOPL_1a */
+  0x3a4, /* NOOPL_1d */
   0x0, /*  */
 /* Table1235 */
-  0x3a7, /* NOOPL_1b */
+  0x3a5, /* NOOPL_1e */
   0x0, /*  */
 /* Table1237 */
-  0x3a8, /* NOOPL_1c */
+  0x39f, /* NOOPL */
   0x0, /*  */
 /* Table1239 */
-  0x3a9, /* NOOPL_1d */
   0x0, /*  */
+  0x327, /* MOV32rc */
 /* Table1241 */
-  0x3aa, /* NOOPL_1e */
   0x0, /*  */
+  0x328, /* MOV32rd */
 /* Table1243 */
-  0x3a4, /* NOOPL */
   0x0, /*  */
+  0x31f, /* MOV32cr */
 /* Table1245 */
   0x0, /*  */
-  0x32c, /* MOV32rc */
+  0x320, /* MOV32dr */
 /* Table1247 */
-  0x0, /*  */
-  0x32d, /* MOV32rd */
+  0x63c, /* WRMSR */
+/* Table1248 */
+  0x463, /* RDTSC */
 /* Table1249 */
-  0x0, /*  */
-  0x324, /* MOV32cr */
+  0x45b, /* RDMSR */
+/* Table1250 */
+  0x45c, /* RDPMC */
 /* Table1251 */
-  0x0, /*  */
-  0x325, /* MOV32dr */
+  0x5d1, /* SYSENTER */
+/* Table1252 */
+  0x5d2, /* SYSEXIT */
 /* Table1253 */
-  0x642, /* WRMSR */
+  0x1f8, /* GETSEC */
 /* Table1254 */
-  0x468, /* RDTSC */
-/* Table1255 */
-  0x460, /* RDMSR */
-/* Table1256 */
-  0x461, /* RDPMC */
-/* Table1257 */
-  0x5d7, /* SYSENTER */
-/* Table1258 */
-  0x5d8, /* SYSEXIT */
-/* Table1259 */
-  0x1fc, /* GETSEC */
-/* Table1260 */
   0x176, /* CMOVO32rm */
   0x177, /* CMOVO32rr */
-/* Table1262 */
+/* Table1256 */
   0x164, /* CMOVNO32rm */
   0x165, /* CMOVNO32rr */
-/* Table1264 */
+/* Table1258 */
   0x134, /* CMOVB32rm */
   0x135, /* CMOVB32rr */
-/* Table1266 */
+/* Table1260 */
   0x12e, /* CMOVAE32rm */
   0x12f, /* CMOVAE32rr */
-/* Table1268 */
+/* Table1262 */
   0x140, /* CMOVE32rm */
   0x141, /* CMOVE32rr */
-/* Table1270 */
+/* Table1264 */
   0x15e, /* CMOVNE32rm */
   0x15f, /* CMOVNE32rr */
-/* Table1272 */
+/* Table1266 */
   0x13a, /* CMOVBE32rm */
   0x13b, /* CMOVBE32rr */
-/* Table1274 */
+/* Table1268 */
   0x128, /* CMOVA32rm */
   0x129, /* CMOVA32rr */
-/* Table1276 */
+/* Table1270 */
   0x182, /* CMOVS32rm */
   0x183, /* CMOVS32rr */
-/* Table1278 */
+/* Table1272 */
   0x170, /* CMOVNS32rm */
   0x171, /* CMOVNS32rr */
-/* Table1280 */
+/* Table1274 */
   0x17c, /* CMOVP32rm */
   0x17d, /* CMOVP32rr */
-/* Table1282 */
+/* Table1276 */
   0x16a, /* CMOVNP32rm */
   0x16b, /* CMOVNP32rr */
-/* Table1284 */
+/* Table1278 */
   0x152, /* CMOVL32rm */
   0x153, /* CMOVL32rr */
-/* Table1286 */
+/* Table1280 */
   0x14c, /* CMOVGE32rm */
   0x14d, /* CMOVGE32rr */
-/* Table1288 */
+/* Table1282 */
   0x158, /* CMOVLE32rm */
   0x159, /* CMOVLE32rr */
-/* Table1290 */
+/* Table1284 */
   0x146, /* CMOVG32rm */
   0x147, /* CMOVG32rr */
+/* Table1286 */
+  0x624, /* VMREAD32rm */
+  0x625, /* VMREAD32rr */
+/* Table1288 */
+  0x62d, /* VMWRITE32rm */
+  0x62e, /* VMWRITE32rr */
+/* Table1290 */
+  0x27a, /* JO_4 */
+/* Table1291 */
+  0x271, /* JNO_4 */
 /* Table1292 */
-  0x62a, /* VMREAD32rm */
-  0x62b, /* VMREAD32rr */
+  0x250, /* JB_4 */
+/* Table1293 */
+  0x247, /* JAE_4 */
 /* Table1294 */
-  0x633, /* VMWRITE32rm */
-  0x634, /* VMWRITE32rr */
+  0x256, /* JE_4 */
+/* Table1295 */
+  0x26e, /* JNE_4 */
 /* Table1296 */
-  0x27f, /* JO_4 */
+  0x24d, /* JBE_4 */
 /* Table1297 */
-  0x276, /* JNO_4 */
+  0x24a, /* JA_4 */
 /* Table1298 */
-  0x255, /* JB_4 */
+  0x281, /* JS_4 */
 /* Table1299 */
-  0x24c, /* JAE_4 */
+  0x277, /* JNS_4 */
 /* Table1300 */
-  0x25b, /* JE_4 */
+  0x27d, /* JP_4 */
 /* Table1301 */
-  0x273, /* JNE_4 */
+  0x274, /* JNP_4 */
 /* Table1302 */
-  0x252, /* JBE_4 */
+  0x262, /* JL_4 */
 /* Table1303 */
-  0x24f, /* JA_4 */
+  0x259, /* JGE_4 */
 /* Table1304 */
-  0x286, /* JS_4 */
+  0x25f, /* JLE_4 */
 /* Table1305 */
-  0x27c, /* JNS_4 */
+  0x25c, /* JG_4 */
 /* Table1306 */
-  0x282, /* JP_4 */
-/* Table1307 */
-  0x279, /* JNP_4 */
+  0x539, /* SETOm */
+  0x53a, /* SETOr */
 /* Table1308 */
-  0x267, /* JL_4 */
-/* Table1309 */
-  0x25e, /* JGE_4 */
+  0x533, /* SETNOm */
+  0x534, /* SETNOr */
 /* Table1310 */
-  0x264, /* JLE_4 */
-/* Table1311 */
-  0x261, /* JG_4 */
+  0x525, /* SETBm */
+  0x526, /* SETBr */
 /* Table1312 */
-  0x53e, /* SETOm */
-  0x53f, /* SETOr */
+  0x51b, /* SETAEm */
+  0x51c, /* SETAEr */
 /* Table1314 */
-  0x538, /* SETNOm */
-  0x539, /* SETNOr */
+  0x527, /* SETEm */
+  0x528, /* SETEr */
 /* Table1316 */
-  0x52a, /* SETBm */
-  0x52b, /* SETBr */
+  0x531, /* SETNEm */
+  0x532, /* SETNEr */
 /* Table1318 */
-  0x520, /* SETAEm */
-  0x521, /* SETAEr */
+  0x51f, /* SETBEm */
+  0x520, /* SETBEr */
 /* Table1320 */
-  0x52c, /* SETEm */
-  0x52d, /* SETEr */
+  0x51d, /* SETAm */
+  0x51e, /* SETAr */
 /* Table1322 */
-  0x536, /* SETNEm */
-  0x537, /* SETNEr */
+  0x53d, /* SETSm */
+  0x53e, /* SETSr */
 /* Table1324 */
-  0x524, /* SETBEm */
-  0x525, /* SETBEr */
+  0x537, /* SETNSm */
+  0x538, /* SETNSr */
 /* Table1326 */
-  0x522, /* SETAm */
-  0x523, /* SETAr */
+  0x53b, /* SETPm */
+  0x53c, /* SETPr */
 /* Table1328 */
-  0x542, /* SETSm */
-  0x543, /* SETSr */
+  0x535, /* SETNPm */
+  0x536, /* SETNPr */
 /* Table1330 */
-  0x53c, /* SETNSm */
-  0x53d, /* SETNSr */
+  0x52f, /* SETLm */
+  0x530, /* SETLr */
 /* Table1332 */
-  0x540, /* SETPm */
-  0x541, /* SETPr */
+  0x529, /* SETGEm */
+  0x52a, /* SETGEr */
 /* Table1334 */
-  0x53a, /* SETNPm */
-  0x53b, /* SETNPr */
+  0x52d, /* SETLEm */
+  0x52e, /* SETLEr */
 /* Table1336 */
-  0x534, /* SETLm */
-  0x535, /* SETLr */
+  0x52b, /* SETGm */
+  0x52c, /* SETGr */
 /* Table1338 */
-  0x52e, /* SETGEm */
-  0x52f, /* SETGEr */
+  0x41e, /* PUSHFS32 */
+/* Table1339 */
+  0x3fd, /* POPFS32 */
 /* Table1340 */
-  0x532, /* SETLEm */
-  0x533, /* SETLEr */
-/* Table1342 */
-  0x530, /* SETGm */
-  0x531, /* SETGr */
-/* Table1344 */
-  0x423, /* PUSHFS32 */
-/* Table1345 */
-  0x402, /* POPFS32 */
-/* Table1346 */
   0x1c7, /* CPUID32 */
-/* Table1347 */
+/* Table1341 */
   0xe4, /* BT32mr */
   0xe6, /* BT32rr */
-/* Table1349 */
-  0x564, /* SHLD32mri8 */
-  0x566, /* SHLD32rri8 */
-/* Table1351 */
-  0x563, /* SHLD32mrCL */
-  0x565, /* SHLD32rrCL */
-/* Table1353 */
+/* Table1343 */
+  0x55f, /* SHLD32mri8 */
+  0x561, /* SHLD32rri8 */
+/* Table1345 */
+  0x55e, /* SHLD32mrCL */
+  0x560, /* SHLD32rrCL */
+/* Table1347 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27504,7 +27484,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x310, /* MONTMUL */
+  0x30b, /* MONTMUL */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27512,7 +27492,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x688, /* XSHA1 */
+  0x682, /* XSHA1 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27520,7 +27500,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x689, /* XSHA256 */
+  0x683, /* XSHA256 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27568,7 +27548,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1425 */
+/* Table1419 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27577,7 +27557,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x68a, /* XSTORE */
+  0x684, /* XSTORE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27585,7 +27565,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x65a, /* XCRYPTECB */
+  0x654, /* XCRYPTECB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27593,7 +27573,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x657, /* XCRYPTCBC */
+  0x651, /* XCRYPTCBC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27601,7 +27581,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x659, /* XCRYPTCTR */
+  0x653, /* XCRYPTCTR */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27609,7 +27589,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x658, /* XCRYPTCFB */
+  0x652, /* XCRYPTCFB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27617,7 +27597,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x65b, /* XCRYPTOFB */
+  0x655, /* XCRYPTOFB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27641,29 +27621,29 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1497 */
-  0x426, /* PUSHGS32 */
-/* Table1498 */
-  0x405, /* POPGS32 */
-/* Table1499 */
-  0x4b9, /* RSM */
-/* Table1500 */
+/* Table1491 */
+  0x421, /* PUSHGS32 */
+/* Table1492 */
+  0x400, /* POPGS32 */
+/* Table1493 */
+  0x4b4, /* RSM */
+/* Table1494 */
   0x108, /* BTS32mr */
   0x10a, /* BTS32rr */
-/* Table1502 */
-  0x58c, /* SHRD32mri8 */
-  0x58e, /* SHRD32rri8 */
-/* Table1504 */
-  0x58b, /* SHRD32mrCL */
-  0x58d, /* SHRD32rrCL */
-/* Table1506 */
+/* Table1496 */
+  0x587, /* SHRD32mri8 */
+  0x589, /* SHRD32rri8 */
+/* Table1498 */
+  0x586, /* SHRD32mrCL */
+  0x588, /* SHRD32rrCL */
+/* Table1500 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x683, /* XSAVE */
-  0x681, /* XRSTOR */
-  0x685, /* XSAVEOPT */
+  0x67d, /* XSAVE */
+  0x67b, /* XRSTOR */
+  0x67f, /* XSAVEOPT */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27673,36 +27653,36 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1522 */
-  0x211, /* IMUL32rm */
-  0x214, /* IMUL32rr */
-/* Table1524 */
+/* Table1516 */
+  0x20c, /* IMUL32rm */
+  0x20f, /* IMUL32rr */
+/* Table1518 */
   0x1c5, /* CMPXCHG8rm */
   0x1c6, /* CMPXCHG8rr */
-/* Table1526 */
+/* Table1520 */
   0x1c0, /* CMPXCHG32rm */
   0x1c1, /* CMPXCHG32rr */
-/* Table1528 */
-  0x302, /* LSS32rm */
+/* Table1522 */
+  0x2fd, /* LSS32rm */
   0x0, /*  */
-/* Table1530 */
+/* Table1524 */
   0xfc, /* BTR32mr */
   0xfe, /* BTR32rr */
+/* Table1526 */
+  0x29a, /* LFS32rm */
+  0x0, /*  */
+/* Table1528 */
+  0x2a0, /* LGS32rm */
+  0x0, /*  */
+/* Table1530 */
+  0x373, /* MOVZX32rm8 */
+  0x375, /* MOVZX32rr8 */
 /* Table1532 */
-  0x29f, /* LFS32rm */
-  0x0, /*  */
+  0x372, /* MOVZX32rm16 */
+  0x374, /* MOVZX32rr16 */
 /* Table1534 */
-  0x2a5, /* LGS32rm */
-  0x0, /*  */
-/* Table1536 */
-  0x378, /* MOVZX32rm8 */
-  0x37a, /* MOVZX32rr8 */
-/* Table1538 */
-  0x377, /* MOVZX32rm16 */
-  0x379, /* MOVZX32rr16 */
-/* Table1540 */
-  0x61a, /* UD2B */
-/* Table1541 */
+  0x614, /* UD2B */
+/* Table1535 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27719,95 +27699,95 @@
   0x109, /* BTS32ri8 */
   0xfd, /* BTR32ri8 */
   0xf1, /* BTC32ri8 */
-/* Table1557 */
+/* Table1551 */
   0xf0, /* BTC32mr */
   0xf2, /* BTC32rr */
-/* Table1559 */
+/* Table1553 */
   0xd3, /* BSF32rm */
   0xd4, /* BSF32rr */
-/* Table1561 */
+/* Table1555 */
   0xd9, /* BSR32rm */
   0xda, /* BSR32rr */
+/* Table1557 */
+  0x365, /* MOVSX32rm8 */
+  0x367, /* MOVSX32rr8 */
+/* Table1559 */
+  0x364, /* MOVSX32rm16 */
+  0x366, /* MOVSX32rr16 */
+/* Table1561 */
+  0x643, /* XADD8rm */
+  0x644, /* XADD8rr */
 /* Table1563 */
-  0x36a, /* MOVSX32rm8 */
-  0x36c, /* MOVSX32rr8 */
+  0x63f, /* XADD32rm */
+  0x640, /* XADD32rr */
 /* Table1565 */
-  0x369, /* MOVSX32rm16 */
-  0x36b, /* MOVSX32rr16 */
-/* Table1567 */
-  0x649, /* XADD8rm */
-  0x64a, /* XADD8rr */
-/* Table1569 */
-  0x645, /* XADD32rm */
-  0x646, /* XADD32rr */
-/* Table1571 */
   0x0, /*  */
   0x1c4, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x628, /* VMPTRLDm */
-  0x629, /* VMPTRSTm */
+  0x622, /* VMPTRLDm */
+  0x623, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x463, /* RDRAND32r */
-  0x466, /* RDSEED32r */
-/* Table1587 */
+  0x45e, /* RDRAND32r */
+  0x461, /* RDSEED32r */
+/* Table1581 */
   0xdd, /* BSWAP32r */
-/* Table1588 */
-  0x546, /* SGDT64m */
-  0x599, /* SIDT64m */
-  0x2a3, /* LGDT64m */
-  0x2a9, /* LIDT64m */
-  0x5a0, /* SMSW16m */
+/* Table1582 */
+  0x541, /* SGDT64m */
+  0x594, /* SIDT64m */
+  0x29e, /* LGDT64m */
+  0x2a4, /* LIDT64m */
+  0x59b, /* SMSW16m */
   0x0, /*  */
-  0x2ac, /* LMSW16m */
-  0x23f, /* INVLPG */
+  0x2a7, /* LMSW16m */
+  0x23a, /* INVLPG */
   0x0, /*  */
-  0x621, /* VMCALL */
-  0x624, /* VMLAUNCH */
-  0x62e, /* VMRESUME */
-  0x637, /* VMXOFF */
+  0x61b, /* VMCALL */
+  0x61e, /* VMLAUNCH */
+  0x628, /* VMRESUME */
+  0x631, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x11f, /* CLAC */
-  0x5a5, /* STAC */
+  0x59f, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x65c, /* XGETBV */
-  0x687, /* XSETBV */
+  0x656, /* XGETBV */
+  0x681, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0x623, /* VMFUNC */
+  0x61d, /* VMFUNC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x630, /* VMRUN64 */
-  0x627, /* VMMCALL */
-  0x626, /* VMLOAD64 */
-  0x632, /* VMSAVE64 */
-  0x5a8, /* STGI */
+  0x62a, /* VMRUN64 */
+  0x621, /* VMMCALL */
+  0x620, /* VMLOAD64 */
+  0x62c, /* VMSAVE64 */
+  0x5a2, /* STGI */
   0x122, /* CLGI */
-  0x59a, /* SKINIT */
-  0x241, /* INVLPGA64 */
-  0x5a2, /* SMSW32r */
-  0x5a2, /* SMSW32r */
-  0x5a2, /* SMSW32r */
-  0x5a2, /* SMSW32r */
-  0x5a2, /* SMSW32r */
-  0x5a2, /* SMSW32r */
-  0x5a2, /* SMSW32r */
-  0x5a2, /* SMSW32r */
+  0x595, /* SKINIT */
+  0x23c, /* INVLPGA64 */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27816,116 +27796,116 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x5d5, /* SWAPGS */
-  0x469, /* RDTSCP */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x5cf, /* SWAPGS */
+  0x464, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table1654 */
+  0x0, /*  */
+  0x33f, /* MOV64rc */
+/* Table1656 */
+  0x0, /*  */
+  0x340, /* MOV64rd */
+/* Table1658 */
+  0x0, /*  */
+  0x336, /* MOV64cr */
 /* Table1660 */
   0x0, /*  */
-  0x344, /* MOV64rc */
+  0x337, /* MOV64dr */
 /* Table1662 */
-  0x0, /*  */
-  0x345, /* MOV64rd */
+  0x626, /* VMREAD64rm */
+  0x627, /* VMREAD64rr */
 /* Table1664 */
-  0x0, /*  */
-  0x33b, /* MOV64cr */
+  0x62f, /* VMWRITE64rm */
+  0x630, /* VMWRITE64rr */
 /* Table1666 */
-  0x0, /*  */
-  0x33c, /* MOV64dr */
+  0x41f, /* PUSHFS64 */
+/* Table1667 */
+  0x3fe, /* POPFS64 */
 /* Table1668 */
-  0x62c, /* VMREAD64rm */
-  0x62d, /* VMREAD64rr */
-/* Table1670 */
-  0x635, /* VMWRITE64rm */
-  0x636, /* VMWRITE64rr */
-/* Table1672 */
-  0x424, /* PUSHFS64 */
-/* Table1673 */
-  0x403, /* POPFS64 */
-/* Table1674 */
   0x1c8, /* CPUID64 */
-/* Table1675 */
-  0x427, /* PUSHGS64 */
-/* Table1676 */
-  0x406, /* POPGS64 */
-/* Table1677 */
-  0x59b, /* SLDT16m */
-  0x5b1, /* STRm */
-  0x2aa, /* LLDT16m */
-  0x304, /* LTRm */
-  0x61d, /* VERRm */
-  0x61f, /* VERWm */
+/* Table1669 */
+  0x422, /* PUSHGS64 */
+/* Table1670 */
+  0x401, /* POPGS64 */
+/* Table1671 */
+  0x596, /* SLDT16m */
+  0x5ab, /* STRm */
+  0x2a5, /* LLDT16m */
+  0x2ff, /* LTRm */
+  0x617, /* VERRm */
+  0x619, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0x59c, /* SLDT16r */
-  0x5ae, /* STR16r */
-  0x2ab, /* LLDT16r */
-  0x305, /* LTRr */
-  0x61e, /* VERRr */
-  0x620, /* VERWr */
+  0x597, /* SLDT16r */
+  0x5a8, /* STR16r */
+  0x2a6, /* LLDT16r */
+  0x300, /* LTRr */
+  0x618, /* VERRr */
+  0x61a, /* VERWr */
   0x0, /*  */
   0x0, /*  */
-/* Table1693 */
-  0x544, /* SGDT16m */
-  0x597, /* SIDT16m */
-  0x2a1, /* LGDT16m */
-  0x2a7, /* LIDT16m */
-  0x5a0, /* SMSW16m */
+/* Table1687 */
+  0x53f, /* SGDT16m */
+  0x592, /* SIDT16m */
+  0x29c, /* LGDT16m */
+  0x2a2, /* LIDT16m */
+  0x59b, /* SMSW16m */
   0x0, /*  */
-  0x2ac, /* LMSW16m */
-  0x23f, /* INVLPG */
+  0x2a7, /* LMSW16m */
+  0x23a, /* INVLPG */
   0x0, /*  */
-  0x621, /* VMCALL */
-  0x624, /* VMLAUNCH */
-  0x62e, /* VMRESUME */
-  0x637, /* VMXOFF */
+  0x61b, /* VMCALL */
+  0x61e, /* VMLAUNCH */
+  0x628, /* VMRESUME */
+  0x631, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x11f, /* CLAC */
-  0x5a5, /* STAC */
+  0x59f, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x65c, /* XGETBV */
-  0x687, /* XSETBV */
+  0x656, /* XGETBV */
+  0x681, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0x623, /* VMFUNC */
+  0x61d, /* VMFUNC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x62f, /* VMRUN32 */
-  0x627, /* VMMCALL */
-  0x625, /* VMLOAD32 */
-  0x631, /* VMSAVE32 */
-  0x5a8, /* STGI */
+  0x629, /* VMRUN32 */
+  0x621, /* VMMCALL */
+  0x61f, /* VMLOAD32 */
+  0x62b, /* VMSAVE32 */
+  0x5a2, /* STGI */
   0x122, /* CLGI */
-  0x59a, /* SKINIT */
-  0x240, /* INVLPGA32 */
-  0x5a1, /* SMSW16r */
-  0x5a1, /* SMSW16r */
-  0x5a1, /* SMSW16r */
-  0x5a1, /* SMSW16r */
-  0x5a1, /* SMSW16r */
-  0x5a1, /* SMSW16r */
-  0x5a1, /* SMSW16r */
-  0x5a1, /* SMSW16r */
+  0x595, /* SKINIT */
+  0x23b, /* INVLPGA32 */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27934,194 +27914,194 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x5d5, /* SWAPGS */
-  0x469, /* RDTSCP */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x5cf, /* SWAPGS */
+  0x464, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1765 */
-  0x288, /* LAR16rm */
-  0x289, /* LAR16rr */
-/* Table1767 */
-  0x2fb, /* LSL16rm */
-  0x2fc, /* LSL16rr */
-/* Table1769 */
+/* Table1759 */
+  0x283, /* LAR16rm */
+  0x284, /* LAR16rr */
+/* Table1761 */
+  0x2f6, /* LSL16rm */
+  0x2f7, /* LSL16rr */
+/* Table1763 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x394, /* NOOP18_16m4 */
-  0x395, /* NOOP18_16m5 */
-  0x396, /* NOOP18_16m6 */
-  0x397, /* NOOP18_16m7 */
+  0x38f, /* NOOP18_16m4 */
+  0x390, /* NOOP18_16m5 */
+  0x391, /* NOOP18_16m6 */
+  0x392, /* NOOP18_16m7 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x398, /* NOOP18_16r4 */
-  0x399, /* NOOP18_16r5 */
-  0x39a, /* NOOP18_16r6 */
-  0x39b, /* NOOP18_16r7 */
+  0x393, /* NOOP18_16r4 */
+  0x394, /* NOOP18_16r5 */
+  0x395, /* NOOP18_16r6 */
+  0x396, /* NOOP18_16r7 */
+/* Table1779 */
+  0x3a7, /* NOOPW_19 */
+  0x0, /*  */
+/* Table1781 */
+  0x3a8, /* NOOPW_1a */
+  0x0, /*  */
+/* Table1783 */
+  0x3a9, /* NOOPW_1b */
+  0x0, /*  */
 /* Table1785 */
-  0x3ac, /* NOOPW_19 */
+  0x3aa, /* NOOPW_1c */
   0x0, /*  */
 /* Table1787 */
-  0x3ad, /* NOOPW_1a */
+  0x3ab, /* NOOPW_1d */
   0x0, /*  */
 /* Table1789 */
-  0x3ae, /* NOOPW_1b */
+  0x3ac, /* NOOPW_1e */
   0x0, /*  */
 /* Table1791 */
-  0x3af, /* NOOPW_1c */
+  0x3a6, /* NOOPW */
   0x0, /*  */
 /* Table1793 */
-  0x3b0, /* NOOPW_1d */
-  0x0, /*  */
-/* Table1795 */
-  0x3b1, /* NOOPW_1e */
-  0x0, /*  */
-/* Table1797 */
-  0x3ab, /* NOOPW */
-  0x0, /*  */
-/* Table1799 */
   0x174, /* CMOVO16rm */
   0x175, /* CMOVO16rr */
-/* Table1801 */
+/* Table1795 */
   0x162, /* CMOVNO16rm */
   0x163, /* CMOVNO16rr */
-/* Table1803 */
+/* Table1797 */
   0x132, /* CMOVB16rm */
   0x133, /* CMOVB16rr */
-/* Table1805 */
+/* Table1799 */
   0x12c, /* CMOVAE16rm */
   0x12d, /* CMOVAE16rr */
-/* Table1807 */
+/* Table1801 */
   0x13e, /* CMOVE16rm */
   0x13f, /* CMOVE16rr */
-/* Table1809 */
+/* Table1803 */
   0x15c, /* CMOVNE16rm */
   0x15d, /* CMOVNE16rr */
-/* Table1811 */
+/* Table1805 */
   0x138, /* CMOVBE16rm */
   0x139, /* CMOVBE16rr */
-/* Table1813 */
+/* Table1807 */
   0x126, /* CMOVA16rm */
   0x127, /* CMOVA16rr */
-/* Table1815 */
+/* Table1809 */
   0x180, /* CMOVS16rm */
   0x181, /* CMOVS16rr */
-/* Table1817 */
+/* Table1811 */
   0x16e, /* CMOVNS16rm */
   0x16f, /* CMOVNS16rr */
-/* Table1819 */
+/* Table1813 */
   0x17a, /* CMOVP16rm */
   0x17b, /* CMOVP16rr */
-/* Table1821 */
+/* Table1815 */
   0x168, /* CMOVNP16rm */
   0x169, /* CMOVNP16rr */
-/* Table1823 */
+/* Table1817 */
   0x150, /* CMOVL16rm */
   0x151, /* CMOVL16rr */
-/* Table1825 */
+/* Table1819 */
   0x14a, /* CMOVGE16rm */
   0x14b, /* CMOVGE16rr */
-/* Table1827 */
+/* Table1821 */
   0x156, /* CMOVLE16rm */
   0x157, /* CMOVLE16rr */
-/* Table1829 */
+/* Table1823 */
   0x144, /* CMOVG16rm */
   0x145, /* CMOVG16rr */
+/* Table1825 */
+  0x279, /* JO_2 */
+/* Table1826 */
+  0x270, /* JNO_2 */
+/* Table1827 */
+  0x24f, /* JB_2 */
+/* Table1828 */
+  0x246, /* JAE_2 */
+/* Table1829 */
+  0x255, /* JE_2 */
+/* Table1830 */
+  0x26d, /* JNE_2 */
 /* Table1831 */
-  0x27e, /* JO_2 */
+  0x24c, /* JBE_2 */
 /* Table1832 */
-  0x275, /* JNO_2 */
+  0x249, /* JA_2 */
 /* Table1833 */
-  0x254, /* JB_2 */
+  0x280, /* JS_2 */
 /* Table1834 */
-  0x24b, /* JAE_2 */
+  0x276, /* JNS_2 */
 /* Table1835 */
-  0x25a, /* JE_2 */
+  0x27c, /* JP_2 */
 /* Table1836 */
-  0x272, /* JNE_2 */
+  0x273, /* JNP_2 */
 /* Table1837 */
-  0x251, /* JBE_2 */
+  0x261, /* JL_2 */
 /* Table1838 */
-  0x24e, /* JA_2 */
+  0x258, /* JGE_2 */
 /* Table1839 */
-  0x285, /* JS_2 */
+  0x25e, /* JLE_2 */
 /* Table1840 */
-  0x27b, /* JNS_2 */
+  0x25b, /* JG_2 */
 /* Table1841 */
-  0x281, /* JP_2 */
+  0x41d, /* PUSHFS16 */
 /* Table1842 */
-  0x278, /* JNP_2 */
+  0x3fc, /* POPFS16 */
 /* Table1843 */
-  0x266, /* JL_2 */
-/* Table1844 */
-  0x25d, /* JGE_2 */
-/* Table1845 */
-  0x263, /* JLE_2 */
-/* Table1846 */
-  0x260, /* JG_2 */
-/* Table1847 */
-  0x422, /* PUSHFS16 */
-/* Table1848 */
-  0x401, /* POPFS16 */
-/* Table1849 */
   0xe0, /* BT16mr */
   0xe2, /* BT16rr */
+/* Table1845 */
+  0x55b, /* SHLD16mri8 */
+  0x55d, /* SHLD16rri8 */
+/* Table1847 */
+  0x55a, /* SHLD16mrCL */
+  0x55c, /* SHLD16rrCL */
+/* Table1849 */
+  0x420, /* PUSHGS16 */
+/* Table1850 */
+  0x3ff, /* POPGS16 */
 /* Table1851 */
-  0x560, /* SHLD16mri8 */
-  0x562, /* SHLD16rri8 */
-/* Table1853 */
-  0x55f, /* SHLD16mrCL */
-  0x561, /* SHLD16rrCL */
-/* Table1855 */
-  0x425, /* PUSHGS16 */
-/* Table1856 */
-  0x404, /* POPGS16 */
-/* Table1857 */
   0x104, /* BTS16mr */
   0x106, /* BTS16rr */
+/* Table1853 */
+  0x583, /* SHRD16mri8 */
+  0x585, /* SHRD16rri8 */
+/* Table1855 */
+  0x582, /* SHRD16mrCL */
+  0x584, /* SHRD16rrCL */
+/* Table1857 */
+  0x204, /* IMUL16rm */
+  0x207, /* IMUL16rr */
 /* Table1859 */
-  0x588, /* SHRD16mri8 */
-  0x58a, /* SHRD16rri8 */
-/* Table1861 */
-  0x587, /* SHRD16mrCL */
-  0x589, /* SHRD16rrCL */
-/* Table1863 */
-  0x209, /* IMUL16rm */
-  0x20c, /* IMUL16rr */
-/* Table1865 */
   0x1be, /* CMPXCHG16rm */
   0x1bf, /* CMPXCHG16rr */
-/* Table1867 */
-  0x301, /* LSS16rm */
+/* Table1861 */
+  0x2fc, /* LSS16rm */
   0x0, /*  */
-/* Table1869 */
+/* Table1863 */
   0xf8, /* BTR16mr */
   0xfa, /* BTR16rr */
+/* Table1865 */
+  0x299, /* LFS16rm */
+  0x0, /*  */
+/* Table1867 */
+  0x29f, /* LGS16rm */
+  0x0, /*  */
+/* Table1869 */
+  0x36e, /* MOVZX16rm8 */
+  0x36f, /* MOVZX16rr8 */
 /* Table1871 */
-  0x29e, /* LFS16rm */
-  0x0, /*  */
-/* Table1873 */
-  0x2a4, /* LGS16rm */
-  0x0, /*  */
-/* Table1875 */
-  0x373, /* MOVZX16rm8 */
-  0x374, /* MOVZX16rr8 */
-/* Table1877 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -28138,133 +28118,133 @@
   0x105, /* BTS16ri8 */
   0xf9, /* BTR16ri8 */
   0xed, /* BTC16ri8 */
-/* Table1893 */
+/* Table1887 */
   0xec, /* BTC16mr */
   0xee, /* BTC16rr */
-/* Table1895 */
+/* Table1889 */
   0xd1, /* BSF16rm */
   0xd2, /* BSF16rr */
-/* Table1897 */
+/* Table1891 */
   0xd7, /* BSR16rm */
   0xd8, /* BSR16rr */
-/* Table1899 */
-  0x367, /* MOVSX16rm8 */
-  0x368, /* MOVSX16rr8 */
-/* Table1901 */
-  0x643, /* XADD16rm */
-  0x644, /* XADD16rr */
-/* Table1903 */
+/* Table1893 */
+  0x362, /* MOVSX16rm8 */
+  0x363, /* MOVSX16rr8 */
+/* Table1895 */
+  0x63d, /* XADD16rm */
+  0x63e, /* XADD16rr */
+/* Table1897 */
   0x0, /*  */
   0x1c4, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x622, /* VMCLEARm */
-  0x629, /* VMPTRSTm */
+  0x61c, /* VMCLEARm */
+  0x623, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x462, /* RDRAND16r */
-  0x465, /* RDSEED16r */
-/* Table1919 */
-  0x612, /* TZCNT32rm */
-  0x613, /* TZCNT32rr */
-/* Table1921 */
-  0x30c, /* LZCNT32rm */
-  0x30d, /* LZCNT32rr */
-/* Table1923 */
+  0x45d, /* RDRAND16r */
+  0x460, /* RDSEED16r */
+/* Table1913 */
+  0x60c, /* TZCNT32rm */
+  0x60d, /* TZCNT32rr */
+/* Table1915 */
+  0x307, /* LZCNT32rm */
+  0x308, /* LZCNT32rr */
+/* Table1917 */
   0x0, /*  */
   0x1c4, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x638, /* VMXON */
-  0x629, /* VMPTRSTm */
+  0x632, /* VMXON */
+  0x623, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x463, /* RDRAND32r */
-  0x466, /* RDSEED32r */
-/* Table1939 */
-  0x610, /* TZCNT16rm */
-  0x611, /* TZCNT16rr */
-/* Table1941 */
-  0x30a, /* LZCNT16rm */
-  0x30b, /* LZCNT16rr */
-/* Table1943 */
-  0x59e, /* SLDT64m */
-  0x5b1, /* STRm */
-  0x2aa, /* LLDT16m */
-  0x304, /* LTRm */
-  0x61d, /* VERRm */
-  0x61f, /* VERWm */
+  0x45e, /* RDRAND32r */
+  0x461, /* RDSEED32r */
+/* Table1933 */
+  0x60a, /* TZCNT16rm */
+  0x60b, /* TZCNT16rr */
+/* Table1935 */
+  0x305, /* LZCNT16rm */
+  0x306, /* LZCNT16rr */
+/* Table1937 */
+  0x599, /* SLDT64m */
+  0x5ab, /* STRm */
+  0x2a5, /* LLDT16m */
+  0x2ff, /* LTRm */
+  0x617, /* VERRm */
+  0x619, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0x59f, /* SLDT64r */
-  0x5b0, /* STR64r */
-  0x2ab, /* LLDT16r */
-  0x305, /* LTRr */
-  0x61e, /* VERRr */
-  0x620, /* VERWr */
+  0x59a, /* SLDT64r */
+  0x5aa, /* STR64r */
+  0x2a6, /* LLDT16r */
+  0x300, /* LTRr */
+  0x618, /* VERRr */
+  0x61a, /* VERWr */
   0x0, /*  */
   0x0, /*  */
-/* Table1959 */
-  0x546, /* SGDT64m */
-  0x599, /* SIDT64m */
-  0x2a3, /* LGDT64m */
-  0x2a9, /* LIDT64m */
-  0x5a0, /* SMSW16m */
+/* Table1953 */
+  0x541, /* SGDT64m */
+  0x594, /* SIDT64m */
+  0x29e, /* LGDT64m */
+  0x2a4, /* LIDT64m */
+  0x59b, /* SMSW16m */
   0x0, /*  */
-  0x2ac, /* LMSW16m */
-  0x23f, /* INVLPG */
+  0x2a7, /* LMSW16m */
+  0x23a, /* INVLPG */
   0x0, /*  */
-  0x621, /* VMCALL */
-  0x624, /* VMLAUNCH */
-  0x62e, /* VMRESUME */
-  0x637, /* VMXOFF */
+  0x61b, /* VMCALL */
+  0x61e, /* VMLAUNCH */
+  0x628, /* VMRESUME */
+  0x631, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x11f, /* CLAC */
-  0x5a5, /* STAC */
+  0x59f, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x65c, /* XGETBV */
-  0x687, /* XSETBV */
+  0x656, /* XGETBV */
+  0x681, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0x623, /* VMFUNC */
+  0x61d, /* VMFUNC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x630, /* VMRUN64 */
-  0x627, /* VMMCALL */
-  0x626, /* VMLOAD64 */
-  0x632, /* VMSAVE64 */
-  0x5a8, /* STGI */
+  0x62a, /* VMRUN64 */
+  0x621, /* VMMCALL */
+  0x620, /* VMLOAD64 */
+  0x62c, /* VMSAVE64 */
+  0x5a2, /* STGI */
   0x122, /* CLGI */
-  0x59a, /* SKINIT */
-  0x241, /* INVLPGA64 */
-  0x5a3, /* SMSW64r */
-  0x5a3, /* SMSW64r */
-  0x5a3, /* SMSW64r */
-  0x5a3, /* SMSW64r */
-  0x5a3, /* SMSW64r */
-  0x5a3, /* SMSW64r */
-  0x5a3, /* SMSW64r */
-  0x5a3, /* SMSW64r */
+  0x595, /* SKINIT */
+  0x23c, /* INVLPGA64 */
+  0x59e, /* SMSW64r */
+  0x59e, /* SMSW64r */
+  0x59e, /* SMSW64r */
+  0x59e, /* SMSW64r */
+  0x59e, /* SMSW64r */
+  0x59e, /* SMSW64r */
+  0x59e, /* SMSW64r */
+  0x59e, /* SMSW64r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -28273,106 +28253,106 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x5d5, /* SWAPGS */
-  0x469, /* RDTSCP */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x5cf, /* SWAPGS */
+  0x464, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table2025 */
+  0x287, /* LAR64rm */
+  0x288, /* LAR64rr */
+/* Table2027 */
+  0x2fa, /* LSL64rm */
+  0x2fb, /* LSL64rr */
+/* Table2029 */
+  0x5d5, /* SYSRET64 */
+/* Table2030 */
+  0x5d3, /* SYSEXIT64 */
 /* Table2031 */
-  0x28c, /* LAR64rm */
-  0x28d, /* LAR64rr */
-/* Table2033 */
-  0x2ff, /* LSL64rm */
-  0x300, /* LSL64rr */
-/* Table2035 */
-  0x5db, /* SYSRET64 */
-/* Table2036 */
-  0x5d9, /* SYSEXIT64 */
-/* Table2037 */
   0x178, /* CMOVO64rm */
   0x179, /* CMOVO64rr */
-/* Table2039 */
+/* Table2033 */
   0x166, /* CMOVNO64rm */
   0x167, /* CMOVNO64rr */
-/* Table2041 */
+/* Table2035 */
   0x136, /* CMOVB64rm */
   0x137, /* CMOVB64rr */
-/* Table2043 */
+/* Table2037 */
   0x130, /* CMOVAE64rm */
   0x131, /* CMOVAE64rr */
-/* Table2045 */
+/* Table2039 */
   0x142, /* CMOVE64rm */
   0x143, /* CMOVE64rr */
-/* Table2047 */
+/* Table2041 */
   0x160, /* CMOVNE64rm */
   0x161, /* CMOVNE64rr */
-/* Table2049 */
+/* Table2043 */
   0x13c, /* CMOVBE64rm */
   0x13d, /* CMOVBE64rr */
-/* Table2051 */
+/* Table2045 */
   0x12a, /* CMOVA64rm */
   0x12b, /* CMOVA64rr */
-/* Table2053 */
+/* Table2047 */
   0x184, /* CMOVS64rm */
   0x185, /* CMOVS64rr */
-/* Table2055 */
+/* Table2049 */
   0x172, /* CMOVNS64rm */
   0x173, /* CMOVNS64rr */
-/* Table2057 */
+/* Table2051 */
   0x17e, /* CMOVP64rm */
   0x17f, /* CMOVP64rr */
-/* Table2059 */
+/* Table2053 */
   0x16c, /* CMOVNP64rm */
   0x16d, /* CMOVNP64rr */
-/* Table2061 */
+/* Table2055 */
   0x154, /* CMOVL64rm */
   0x155, /* CMOVL64rr */
-/* Table2063 */
+/* Table2057 */
   0x14e, /* CMOVGE64rm */
   0x14f, /* CMOVGE64rr */
-/* Table2065 */
+/* Table2059 */
   0x15a, /* CMOVLE64rm */
   0x15b, /* CMOVLE64rr */
-/* Table2067 */
+/* Table2061 */
   0x148, /* CMOVG64rm */
   0x149, /* CMOVG64rr */
-/* Table2069 */
+/* Table2063 */
   0xe8, /* BT64mr */
   0xea, /* BT64rr */
-/* Table2071 */
-  0x568, /* SHLD64mri8 */
-  0x56a, /* SHLD64rri8 */
-/* Table2073 */
-  0x567, /* SHLD64mrCL */
-  0x569, /* SHLD64rrCL */
-/* Table2075 */
+/* Table2065 */
+  0x563, /* SHLD64mri8 */
+  0x565, /* SHLD64rri8 */
+/* Table2067 */
+  0x562, /* SHLD64mrCL */
+  0x564, /* SHLD64rrCL */
+/* Table2069 */
   0x10c, /* BTS64mr */
   0x10e, /* BTS64rr */
-/* Table2077 */
-  0x590, /* SHRD64mri8 */
-  0x592, /* SHRD64rri8 */
-/* Table2079 */
-  0x58f, /* SHRD64mrCL */
-  0x591, /* SHRD64rrCL */
-/* Table2081 */
+/* Table2071 */
+  0x58b, /* SHRD64mri8 */
+  0x58d, /* SHRD64rri8 */
+/* Table2073 */
+  0x58a, /* SHRD64mrCL */
+  0x58c, /* SHRD64rrCL */
+/* Table2075 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x684, /* XSAVE64 */
-  0x682, /* XRSTOR64 */
-  0x686, /* XSAVEOPT64 */
+  0x67e, /* XSAVE64 */
+  0x67c, /* XRSTOR64 */
+  0x680, /* XSAVEOPT64 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -28382,31 +28362,31 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table2097 */
-  0x219, /* IMUL64rm */
-  0x21c, /* IMUL64rr */
-/* Table2099 */
+/* Table2091 */
+  0x214, /* IMUL64rm */
+  0x217, /* IMUL64rr */
+/* Table2093 */
   0x1c2, /* CMPXCHG64rm */
   0x1c3, /* CMPXCHG64rr */
-/* Table2101 */
-  0x303, /* LSS64rm */
+/* Table2095 */
+  0x2fe, /* LSS64rm */
   0x0, /*  */
-/* Table2103 */
+/* Table2097 */
   0x100, /* BTR64mr */
   0x102, /* BTR64rr */
+/* Table2099 */
+  0x29b, /* LFS64rm */
+  0x0, /*  */
+/* Table2101 */
+  0x2a1, /* LGS64rm */
+  0x0, /*  */
+/* Table2103 */
+  0x377, /* MOVZX64rm8_Q */
+  0x379, /* MOVZX64rr8_Q */
 /* Table2105 */
-  0x2a0, /* LFS64rm */
-  0x0, /*  */
+  0x376, /* MOVZX64rm16_Q */
+  0x378, /* MOVZX64rr16_Q */
 /* Table2107 */
-  0x2a6, /* LGS64rm */
-  0x0, /*  */
-/* Table2109 */
-  0x37c, /* MOVZX64rm8_Q */
-  0x37e, /* MOVZX64rr8_Q */
-/* Table2111 */
-  0x37b, /* MOVZX64rm16_Q */
-  0x37d, /* MOVZX64rr16_Q */
-/* Table2113 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -28423,92 +28403,92 @@
   0x10d, /* BTS64ri8 */
   0x101, /* BTR64ri8 */
   0xf5, /* BTC64ri8 */
-/* Table2129 */
+/* Table2123 */
   0xf4, /* BTC64mr */
   0xf6, /* BTC64rr */
-/* Table2131 */
+/* Table2125 */
   0xd5, /* BSF64rm */
   0xd6, /* BSF64rr */
-/* Table2133 */
+/* Table2127 */
   0xdb, /* BSR64rm */
   0xdc, /* BSR64rr */
+/* Table2129 */
+  0x36a, /* MOVSX64rm8 */
+  0x36d, /* MOVSX64rr8 */
+/* Table2131 */
+  0x368, /* MOVSX64rm16 */
+  0x36b, /* MOVSX64rr16 */
+/* Table2133 */
+  0x641, /* XADD64rm */
+  0x642, /* XADD64rr */
 /* Table2135 */
-  0x36f, /* MOVSX64rm8 */
-  0x372, /* MOVSX64rr8 */
-/* Table2137 */
-  0x36d, /* MOVSX64rm16 */
-  0x370, /* MOVSX64rr16 */
-/* Table2139 */
-  0x647, /* XADD64rm */
-  0x648, /* XADD64rr */
-/* Table2141 */
   0x0, /*  */
   0x1bd, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x628, /* VMPTRLDm */
-  0x629, /* VMPTRSTm */
+  0x622, /* VMPTRLDm */
+  0x623, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x464, /* RDRAND64r */
-  0x467, /* RDSEED64r */
-/* Table2157 */
+  0x45f, /* RDRAND64r */
+  0x462, /* RDSEED64r */
+/* Table2151 */
   0xde, /* BSWAP64r */
-/* Table2158 */
-  0x546, /* SGDT64m */
-  0x599, /* SIDT64m */
-  0x2a3, /* LGDT64m */
-  0x2a9, /* LIDT64m */
-  0x5a0, /* SMSW16m */
+/* Table2152 */
+  0x541, /* SGDT64m */
+  0x594, /* SIDT64m */
+  0x29e, /* LGDT64m */
+  0x2a4, /* LIDT64m */
+  0x59b, /* SMSW16m */
   0x0, /*  */
-  0x2ac, /* LMSW16m */
-  0x23f, /* INVLPG */
+  0x2a7, /* LMSW16m */
+  0x23a, /* INVLPG */
   0x0, /*  */
-  0x621, /* VMCALL */
-  0x624, /* VMLAUNCH */
-  0x62e, /* VMRESUME */
-  0x637, /* VMXOFF */
+  0x61b, /* VMCALL */
+  0x61e, /* VMLAUNCH */
+  0x628, /* VMRESUME */
+  0x631, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x11f, /* CLAC */
-  0x5a5, /* STAC */
+  0x59f, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x65c, /* XGETBV */
-  0x687, /* XSETBV */
+  0x656, /* XGETBV */
+  0x681, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0x623, /* VMFUNC */
+  0x61d, /* VMFUNC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x630, /* VMRUN64 */
-  0x627, /* VMMCALL */
-  0x626, /* VMLOAD64 */
-  0x632, /* VMSAVE64 */
-  0x5a8, /* STGI */
+  0x62a, /* VMRUN64 */
+  0x621, /* VMMCALL */
+  0x620, /* VMLOAD64 */
+  0x62c, /* VMSAVE64 */
+  0x5a2, /* STGI */
   0x122, /* CLGI */
-  0x59a, /* SKINIT */
-  0x241, /* INVLPGA64 */
-  0x5a1, /* SMSW16r */
-  0x5a1, /* SMSW16r */
-  0x5a1, /* SMSW16r */
-  0x5a1, /* SMSW16r */
-  0x5a1, /* SMSW16r */
-  0x5a1, /* SMSW16r */
-  0x5a1, /* SMSW16r */
-  0x5a1, /* SMSW16r */
+  0x595, /* SKINIT */
+  0x23c, /* INVLPGA64 */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -28517,148 +28497,148 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x2ad, /* LMSW16r */
-  0x5d5, /* SWAPGS */
-  0x469, /* RDTSCP */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x5cf, /* SWAPGS */
+  0x464, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table2230 */
+/* Table2224 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x683, /* XSAVE */
-  0x681, /* XRSTOR */
-  0x685, /* XSAVEOPT */
+  0x67d, /* XSAVE */
+  0x67b, /* XRSTOR */
+  0x67f, /* XSAVEOPT */
   0x0, /*  */
-  0x45c, /* RDFSBASE */
-  0x45e, /* RDGSBASE */
-  0x63e, /* WRFSBASE */
-  0x640, /* WRGSBASE */
+  0x457, /* RDFSBASE */
+  0x459, /* RDGSBASE */
+  0x638, /* WRFSBASE */
+  0x63a, /* WRGSBASE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table2246 */
+/* Table2240 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x684, /* XSAVE64 */
-  0x682, /* XRSTOR64 */
-  0x686, /* XSAVEOPT64 */
+  0x67e, /* XSAVE64 */
+  0x67c, /* XRSTOR64 */
+  0x680, /* XSAVEOPT64 */
   0x0, /*  */
-  0x45d, /* RDFSBASE64 */
-  0x45f, /* RDGSBASE64 */
-  0x63f, /* WRFSBASE64 */
-  0x641, /* WRGSBASE64 */
+  0x458, /* RDFSBASE64 */
+  0x45a, /* RDGSBASE64 */
+  0x639, /* WRFSBASE64 */
+  0x63b, /* WRGSBASE64 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table2262 */
-  0x614, /* TZCNT64rm */
-  0x615, /* TZCNT64rr */
-/* Table2264 */
-  0x30e, /* LZCNT64rm */
-  0x30f, /* LZCNT64rr */
-/* Table2266 */
+/* Table2256 */
+  0x60e, /* TZCNT64rm */
+  0x60f, /* TZCNT64rr */
+/* Table2258 */
+  0x309, /* LZCNT64rm */
+  0x30a, /* LZCNT64rr */
+/* Table2260 */
   0x0, /*  */
   0x1bd, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x638, /* VMXON */
-  0x629, /* VMPTRSTm */
+  0x632, /* VMXON */
+  0x623, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x464, /* RDRAND64r */
-  0x467, /* RDSEED64r */
-/* Table2282 */
+  0x45f, /* RDRAND64r */
+  0x462, /* RDSEED64r */
+/* Table2276 */
   0x0, /*  */
   0x1bd, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x622, /* VMCLEARm */
-  0x629, /* VMPTRSTm */
+  0x61c, /* VMCLEARm */
+  0x623, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x464, /* RDRAND64r */
-  0x467, /* RDSEED64r */
+  0x45f, /* RDRAND64r */
+  0x462, /* RDSEED64r */
+/* Table2292 */
+  0x35a, /* MOVBE32rm */
+  0x0, /*  */
+/* Table2294 */
+  0x359, /* MOVBE32mr */
+  0x0, /*  */
+/* Table2296 */
+  0x238, /* INVEPT32 */
+  0x0, /*  */
 /* Table2298 */
-  0x35f, /* MOVBE32rm */
+  0x23f, /* INVVPID32 */
   0x0, /*  */
 /* Table2300 */
-  0x35e, /* MOVBE32mr */
+  0x23d, /* INVPCID32 */
   0x0, /*  */
 /* Table2302 */
-  0x23d, /* INVEPT32 */
+  0x358, /* MOVBE16rm */
   0x0, /*  */
 /* Table2304 */
-  0x244, /* INVVPID32 */
+  0x357, /* MOVBE16mr */
   0x0, /*  */
 /* Table2306 */
-  0x242, /* INVPCID32 */
-  0x0, /*  */
-/* Table2308 */
-  0x35d, /* MOVBE16rm */
-  0x0, /*  */
-/* Table2310 */
-  0x35c, /* MOVBE16mr */
-  0x0, /*  */
-/* Table2312 */
   0x3e, /* ADCX32rm */
   0x3f, /* ADCX32rr */
-/* Table2314 */
+/* Table2308 */
   0x72, /* ADOX32rm */
   0x73, /* ADOX32rr */
+/* Table2310 */
+  0x35c, /* MOVBE64rm */
+  0x0, /*  */
+/* Table2312 */
+  0x35b, /* MOVBE64mr */
+  0x0, /*  */
+/* Table2314 */
+  0x239, /* INVEPT64 */
+  0x0, /*  */
 /* Table2316 */
-  0x361, /* MOVBE64rm */
+  0x240, /* INVVPID64 */
   0x0, /*  */
 /* Table2318 */
-  0x360, /* MOVBE64mr */
+  0x23e, /* INVPCID64 */
   0x0, /*  */
 /* Table2320 */
-  0x23e, /* INVEPT64 */
-  0x0, /*  */
-/* Table2322 */
-  0x245, /* INVVPID64 */
-  0x0, /*  */
-/* Table2324 */
-  0x243, /* INVPCID64 */
-  0x0, /*  */
-/* Table2326 */
   0x74, /* ADOX64rm */
   0x75, /* ADOX64rr */
-/* Table2328 */
+/* Table2322 */
   0x40, /* ADCX64rm */
   0x41, /* ADCX64rr */
-/* Table2330 */
+/* Table2324 */
   0x99, /* ANDN32rm */
   0x9a, /* ANDN32rr */
-/* Table2332 */
+/* Table2326 */
   0x0, /*  */
   0xcb, /* BLSR32rm */
   0xc7, /* BLSMSK32rm */
@@ -28675,34 +28655,34 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table2348 */
+/* Table2342 */
   0x10f, /* BZHI32rm */
   0x110, /* BZHI32rr */
-/* Table2350 */
+/* Table2344 */
   0x9f, /* BEXTR32rm */
   0xa0, /* BEXTR32rr */
+/* Table2346 */
+  0x3e6, /* PEXT32rm */
+  0x3e7, /* PEXT32rr */
+/* Table2348 */
+  0x4e7, /* SARX32rm */
+  0x4e8, /* SARX32rr */
+/* Table2350 */
+  0x3e2, /* PDEP32rm */
+  0x3e3, /* PDEP32rr */
 /* Table2352 */
-  0x3eb, /* PEXT32rm */
-  0x3ec, /* PEXT32rr */
+  0x382, /* MULX32rm */
+  0x383, /* MULX32rr */
 /* Table2354 */
-  0x4ec, /* SARX32rm */
-  0x4ed, /* SARX32rr */
+  0x58e, /* SHRX32rm */
+  0x58f, /* SHRX32rr */
 /* Table2356 */
-  0x3e7, /* PDEP32rm */
-  0x3e8, /* PDEP32rr */
+  0x566, /* SHLX32rm */
+  0x567, /* SHLX32rr */
 /* Table2358 */
-  0x387, /* MULX32rm */
-  0x388, /* MULX32rr */
-/* Table2360 */
-  0x593, /* SHRX32rm */
-  0x594, /* SHRX32rr */
-/* Table2362 */
-  0x56b, /* SHLX32rm */
-  0x56c, /* SHLX32rr */
-/* Table2364 */
   0x9b, /* ANDN64rm */
   0x9c, /* ANDN64rr */
-/* Table2366 */
+/* Table2360 */
   0x0, /*  */
   0xcd, /* BLSR64rm */
   0xc9, /* BLSMSK64rm */
@@ -28719,54 +28699,54 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table2382 */
+/* Table2376 */
   0x111, /* BZHI64rm */
   0x112, /* BZHI64rr */
-/* Table2384 */
+/* Table2378 */
   0xa1, /* BEXTR64rm */
   0xa2, /* BEXTR64rr */
+/* Table2380 */
+  0x3e8, /* PEXT64rm */
+  0x3e9, /* PEXT64rr */
+/* Table2382 */
+  0x4e9, /* SARX64rm */
+  0x4ea, /* SARX64rr */
+/* Table2384 */
+  0x3e4, /* PDEP64rm */
+  0x3e5, /* PDEP64rr */
 /* Table2386 */
-  0x3ed, /* PEXT64rm */
-  0x3ee, /* PEXT64rr */
+  0x384, /* MULX64rm */
+  0x385, /* MULX64rr */
 /* Table2388 */
-  0x4ee, /* SARX64rm */
-  0x4ef, /* SARX64rr */
+  0x590, /* SHRX64rm */
+  0x591, /* SHRX64rr */
 /* Table2390 */
-  0x3e9, /* PDEP64rm */
-  0x3ea, /* PDEP64rr */
+  0x568, /* SHLX64rm */
+  0x569, /* SHLX64rr */
 /* Table2392 */
-  0x389, /* MULX64rm */
-  0x38a, /* MULX64rr */
+  0x4b0, /* RORX32mi */
+  0x4b1, /* RORX32ri */
 /* Table2394 */
-  0x595, /* SHRX64rm */
-  0x596, /* SHRX64rr */
+  0x4b2, /* RORX64mi */
+  0x4b3, /* RORX64ri */
 /* Table2396 */
-  0x56d, /* SHLX64rm */
-  0x56e, /* SHLX64rr */
-/* Table2398 */
-  0x4b5, /* RORX32mi */
-  0x4b6, /* RORX32ri */
-/* Table2400 */
-  0x4b7, /* RORX64mi */
-  0x4b8, /* RORX64ri */
-/* Table2402 */
   0x0, /*  */
   0xa7, /* BLCFILL32rm */
   0xbb, /* BLSFILL32rm */
   0xb7, /* BLCS32rm */
-  0x616, /* TZMSK32rm */
+  0x610, /* TZMSK32rm */
   0xaf, /* BLCIC32rm */
   0xc3, /* BLSIC32rm */
-  0x5dc, /* T1MSKC32rm */
+  0x5d6, /* T1MSKC32rm */
   0x0, /*  */
   0xa8, /* BLCFILL32rr */
   0xbc, /* BLSFILL32rr */
   0xb8, /* BLCS32rr */
-  0x617, /* TZMSK32rr */
+  0x611, /* TZMSK32rr */
   0xb0, /* BLCIC32rr */
   0xc4, /* BLSIC32rr */
-  0x5dd, /* T1MSKC32rr */
-/* Table2418 */
+  0x5d7, /* T1MSKC32rr */
+/* Table2412 */
   0x0, /*  */
   0xb3, /* BLCMSK32rm */
   0x0, /*  */
@@ -28783,24 +28763,24 @@
   0x0, /*  */
   0xac, /* BLCI32rr */
   0x0, /*  */
-/* Table2434 */
+/* Table2428 */
   0x0, /*  */
   0xa9, /* BLCFILL64rm */
   0xbd, /* BLSFILL64rm */
   0xb9, /* BLCS64rm */
-  0x618, /* TZMSK64rm */
+  0x612, /* TZMSK64rm */
   0xb1, /* BLCIC64rm */
   0xc5, /* BLSIC64rm */
-  0x5de, /* T1MSKC64rm */
+  0x5d8, /* T1MSKC64rm */
   0x0, /*  */
   0xaa, /* BLCFILL64rr */
   0xbe, /* BLSFILL64rr */
   0xba, /* BLCS64rr */
-  0x619, /* TZMSK64rr */
+  0x613, /* TZMSK64rr */
   0xb2, /* BLCIC64rr */
   0xc6, /* BLSIC64rr */
-  0x5df, /* T1MSKC64rr */
-/* Table2450 */
+  0x5d9, /* T1MSKC64rr */
+/* Table2444 */
   0x0, /*  */
   0xb5, /* BLCMSK64rm */
   0x0, /*  */
@@ -28817,10 +28797,10 @@
   0x0, /*  */
   0xae, /* BLCI64rr */
   0x0, /*  */
-/* Table2466 */
+/* Table2460 */
   0xa3, /* BEXTRI32mi */
   0xa4, /* BEXTRI32ri */
-/* Table2468 */
+/* Table2462 */
   0xa5, /* BEXTRI64mi */
   0xa6, /* BEXTRI64ri */
   0x0
@@ -29021,329 +29001,329 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    100 /* Table100 */
+    96 /* Table96 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    101 /* Table101 */
+    97 /* Table97 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    102 /* Table102 */
+    98 /* Table98 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -29353,257 +29333,257 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    109 /* Table109 */
+    103 /* Table103 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    112 /* Table112 */
+    106 /* Table106 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    217 /* Table217 */
+    211 /* Table211 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    221 /* Table221 */
+    215 /* Table215 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -29613,302 +29593,302 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    243 /* Table243 */
+    237 /* Table237 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    280 /* Table280 */
+    274 /* Table274 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    296 /* Table296 */
+    290 /* Table290 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    297 /* Table297 */
+    291 /* Table291 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    318 /* Table318 */
+    312 /* Table312 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    335 /* Table335 */
+    329 /* Table329 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    336 /* Table336 */
+    330 /* Table330 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    337 /* Table337 */
+    331 /* Table331 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    334 /* Table334 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    341 /* Table341 */
+    335 /* Table335 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    358 /* Table358 */
+    352 /* Table352 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    390 /* Table390 */
+    384 /* Table384 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    406 /* Table406 */
+    400 /* Table400 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    407 /* Table407 */
+    401 /* Table401 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -29928,7 +29908,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -29953,162 +29933,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    485 /* Table485 */
+    479 /* Table479 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    490 /* Table490 */
+    484 /* Table484 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    558 /* Table558 */
+    552 /* Table552 */
    }
   }
  }
@@ -30306,9 +30286,9 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -30318,37 +30298,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -30358,37 +30338,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -30398,37 +30378,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -30478,7 +30458,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -30518,82 +30498,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -30616,19 +30596,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -30638,132 +30618,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    577 /* Table577 */
+    571 /* Table571 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -30773,122 +30753,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    579 /* Table579 */
+    573 /* Table573 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    581 /* Table581 */
+    575 /* Table575 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -30898,252 +30878,252 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    598 /* Table598 */
+    592 /* Table592 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    599 /* Table599 */
+    593 /* Table593 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    601 /* Table601 */
+    595 /* Table595 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    603 /* Table603 */
+    597 /* Table597 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    280 /* Table280 */
+    274 /* Table274 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    604 /* Table604 */
+    598 /* Table598 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    605 /* Table605 */
+    599 /* Table599 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    318 /* Table318 */
+    312 /* Table312 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    336 /* Table336 */
+    330 /* Table330 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    337 /* Table337 */
+    331 /* Table331 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -31153,27 +31133,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    341 /* Table341 */
+    335 /* Table335 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    358 /* Table358 */
+    352 /* Table352 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    390 /* Table390 */
+    384 /* Table384 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -31188,12 +31168,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -31213,7 +31193,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -31238,162 +31218,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    607 /* Table607 */
+    601 /* Table601 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    608 /* Table608 */
+    602 /* Table602 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    609 /* Table609 */
+    603 /* Table603 */
    }
   }
  }
@@ -31408,7 +31388,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    625 /* Table625 */
+    619 /* Table619 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -31418,7 +31398,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    627 /* Table627 */
+    621 /* Table621 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -31428,17 +31408,17 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    629 /* Table629 */
+    623 /* Table623 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    630 /* Table630 */
+    624 /* Table624 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    631 /* Table631 */
+    625 /* Table625 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
@@ -31448,7 +31428,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    632 /* Table632 */
+    626 /* Table626 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -31458,7 +31438,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    634 /* Table634 */
+    628 /* Table628 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -31468,12 +31448,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    636 /* Table636 */
+    630 /* Table630 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    637 /* Table637 */
+    631 /* Table631 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -31488,7 +31468,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    638 /* Table638 */
+    632 /* Table632 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -31498,7 +31478,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    640 /* Table640 */
+    634 /* Table634 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -31508,17 +31488,17 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    642 /* Table642 */
+    636 /* Table636 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    643 /* Table643 */
+    637 /* Table637 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    644 /* Table644 */
+    638 /* Table638 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
@@ -31528,7 +31508,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    645 /* Table645 */
+    639 /* Table639 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -31538,7 +31518,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    647 /* Table647 */
+    641 /* Table641 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -31548,17 +31528,17 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    649 /* Table649 */
+    643 /* Table643 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    650 /* Table650 */
+    644 /* Table644 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    651 /* Table651 */
+    645 /* Table645 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
@@ -31568,7 +31548,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    652 /* Table652 */
+    646 /* Table646 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -31578,7 +31558,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    654 /* Table654 */
+    648 /* Table648 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -31588,332 +31568,332 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    656 /* Table656 */
+    650 /* Table650 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    657 /* Table657 */
+    651 /* Table651 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    659 /* Table659 */
+    653 /* Table653 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    661 /* Table661 */
+    655 /* Table655 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    662 /* Table662 */
+    656 /* Table656 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    664 /* Table664 */
+    658 /* Table658 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    666 /* Table666 */
+    660 /* Table660 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    667 /* Table667 */
+    661 /* Table661 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    669 /* Table669 */
+    663 /* Table663 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    671 /* Table671 */
+    665 /* Table665 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    672 /* Table672 */
+    666 /* Table666 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    672 /* Table672 */
+    666 /* Table666 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    672 /* Table672 */
+    666 /* Table666 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    672 /* Table672 */
+    666 /* Table666 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    672 /* Table672 */
+    666 /* Table666 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    672 /* Table672 */
+    666 /* Table666 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    672 /* Table672 */
+    666 /* Table666 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    672 /* Table672 */
+    666 /* Table666 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    673 /* Table673 */
+    667 /* Table667 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    673 /* Table673 */
+    667 /* Table667 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    673 /* Table673 */
+    667 /* Table667 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    673 /* Table673 */
+    667 /* Table667 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    673 /* Table673 */
+    667 /* Table667 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    673 /* Table673 */
+    667 /* Table667 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    673 /* Table673 */
+    667 /* Table667 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    673 /* Table673 */
+    667 /* Table667 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    676 /* Table676 */
+    670 /* Table670 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    677 /* Table677 */
+    671 /* Table671 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    678 /* Table678 */
+    672 /* Table672 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -31923,257 +31903,257 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    680 /* Table680 */
+    674 /* Table674 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    681 /* Table681 */
+    675 /* Table675 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    684 /* Table684 */
+    678 /* Table678 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    686 /* Table686 */
+    680 /* Table680 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    687 /* Table687 */
+    681 /* Table681 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    688 /* Table688 */
+    682 /* Table682 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    704 /* Table704 */
+    698 /* Table698 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    720 /* Table720 */
+    714 /* Table714 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    722 /* Table722 */
+    716 /* Table716 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    724 /* Table724 */
+    718 /* Table718 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    726 /* Table726 */
+    720 /* Table720 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    728 /* Table728 */
+    722 /* Table722 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    730 /* Table730 */
+    724 /* Table724 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    732 /* Table732 */
+    726 /* Table726 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    734 /* Table734 */
+    728 /* Table728 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    751 /* Table751 */
+    745 /* Table745 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    752 /* Table752 */
+    746 /* Table746 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    753 /* Table753 */
+    747 /* Table747 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -32183,302 +32163,302 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    754 /* Table754 */
+    748 /* Table748 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    756 /* Table756 */
+    750 /* Table750 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    757 /* Table757 */
+    751 /* Table751 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    758 /* Table758 */
+    752 /* Table752 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    759 /* Table759 */
+    753 /* Table753 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    760 /* Table760 */
+    754 /* Table754 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    761 /* Table761 */
+    755 /* Table755 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    762 /* Table762 */
+    756 /* Table756 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    763 /* Table763 */
+    757 /* Table757 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    765 /* Table765 */
+    759 /* Table759 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    781 /* Table781 */
+    775 /* Table775 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    782 /* Table782 */
+    776 /* Table776 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    783 /* Table783 */
+    777 /* Table777 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    785 /* Table785 */
+    779 /* Table779 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    335 /* Table335 */
+    329 /* Table329 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    803 /* Table803 */
+    797 /* Table797 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    804 /* Table804 */
+    798 /* Table798 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    334 /* Table334 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    805 /* Table805 */
+    799 /* Table799 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    806 /* Table806 */
+    800 /* Table800 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    822 /* Table822 */
+    816 /* Table816 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    406 /* Table406 */
+    400 /* Table400 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    407 /* Table407 */
+    401 /* Table401 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -32498,7 +32478,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -32523,162 +32503,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    485 /* Table485 */
+    479 /* Table479 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    838 /* Table838 */
+    832 /* Table832 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    839 /* Table839 */
+    833 /* Table833 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    840 /* Table840 */
+    834 /* Table834 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    841 /* Table841 */
+    835 /* Table835 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    842 /* Table842 */
+    836 /* Table836 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    843 /* Table843 */
+    837 /* Table837 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    844 /* Table844 */
+    838 /* Table838 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    845 /* Table845 */
+    839 /* Table839 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    861 /* Table861 */
+    855 /* Table855 */
    }
   }
  }
@@ -32876,329 +32856,329 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    100 /* Table100 */
+    96 /* Table96 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    101 /* Table101 */
+    97 /* Table97 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    102 /* Table102 */
+    98 /* Table98 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -33208,257 +33188,257 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    109 /* Table109 */
+    103 /* Table103 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    112 /* Table112 */
+    106 /* Table106 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    217 /* Table217 */
+    211 /* Table211 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    221 /* Table221 */
+    215 /* Table215 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -33468,302 +33448,302 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    243 /* Table243 */
+    237 /* Table237 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    280 /* Table280 */
+    274 /* Table274 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    296 /* Table296 */
+    290 /* Table290 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    297 /* Table297 */
+    291 /* Table291 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    318 /* Table318 */
+    312 /* Table312 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    335 /* Table335 */
+    329 /* Table329 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    336 /* Table336 */
+    330 /* Table330 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    337 /* Table337 */
+    331 /* Table331 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    334 /* Table334 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    341 /* Table341 */
+    335 /* Table335 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    358 /* Table358 */
+    352 /* Table352 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    390 /* Table390 */
+    384 /* Table384 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    406 /* Table406 */
+    400 /* Table400 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    407 /* Table407 */
+    401 /* Table401 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -33783,7 +33763,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -33808,162 +33788,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    877 /* Table877 */
+    871 /* Table871 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    490 /* Table490 */
+    484 /* Table484 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    558 /* Table558 */
+    552 /* Table552 */
    }
   }
  }
@@ -34161,329 +34141,329 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    100 /* Table100 */
+    96 /* Table96 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    101 /* Table101 */
+    97 /* Table97 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    102 /* Table102 */
+    98 /* Table98 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -34493,257 +34473,257 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    109 /* Table109 */
+    103 /* Table103 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    112 /* Table112 */
+    106 /* Table106 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    217 /* Table217 */
+    211 /* Table211 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    221 /* Table221 */
+    215 /* Table215 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -34753,302 +34733,302 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    243 /* Table243 */
+    237 /* Table237 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    280 /* Table280 */
+    274 /* Table274 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    296 /* Table296 */
+    290 /* Table290 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    297 /* Table297 */
+    291 /* Table291 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    318 /* Table318 */
+    312 /* Table312 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    335 /* Table335 */
+    329 /* Table329 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    336 /* Table336 */
+    330 /* Table330 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    337 /* Table337 */
+    331 /* Table331 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    334 /* Table334 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    341 /* Table341 */
+    335 /* Table335 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    358 /* Table358 */
+    352 /* Table352 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    390 /* Table390 */
+    384 /* Table384 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    406 /* Table406 */
+    400 /* Table400 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    407 /* Table407 */
+    401 /* Table401 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -35068,7 +35048,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -35093,162 +35073,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    485 /* Table485 */
+    479 /* Table479 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    490 /* Table490 */
+    484 /* Table484 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    558 /* Table558 */
+    552 /* Table552 */
    }
   }
  }
@@ -35446,329 +35426,329 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    100 /* Table100 */
+    96 /* Table96 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    101 /* Table101 */
+    97 /* Table97 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    102 /* Table102 */
+    98 /* Table98 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -35778,257 +35758,257 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    109 /* Table109 */
+    103 /* Table103 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    112 /* Table112 */
+    106 /* Table106 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    217 /* Table217 */
+    211 /* Table211 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    221 /* Table221 */
+    215 /* Table215 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -36038,302 +36018,302 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    243 /* Table243 */
+    237 /* Table237 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    280 /* Table280 */
+    274 /* Table274 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    296 /* Table296 */
+    290 /* Table290 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    297 /* Table297 */
+    291 /* Table291 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    318 /* Table318 */
+    312 /* Table312 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    335 /* Table335 */
+    329 /* Table329 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    336 /* Table336 */
+    330 /* Table330 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    337 /* Table337 */
+    331 /* Table331 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    334 /* Table334 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    341 /* Table341 */
+    335 /* Table335 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    358 /* Table358 */
+    352 /* Table352 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    390 /* Table390 */
+    384 /* Table384 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    406 /* Table406 */
+    400 /* Table400 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    407 /* Table407 */
+    401 /* Table401 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -36353,7 +36333,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -36378,162 +36358,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    485 /* Table485 */
+    479 /* Table479 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    490 /* Table490 */
+    484 /* Table484 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    558 /* Table558 */
+    552 /* Table552 */
    }
   }
  }
@@ -36548,7 +36528,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    878 /* Table878 */
+    872 /* Table872 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -36558,7 +36538,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    880 /* Table880 */
+    874 /* Table874 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -36568,7 +36548,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    882 /* Table882 */
+    876 /* Table876 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -36588,7 +36568,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    883 /* Table883 */
+    877 /* Table877 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -36598,7 +36578,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    885 /* Table885 */
+    879 /* Table879 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -36608,7 +36588,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    887 /* Table887 */
+    881 /* Table881 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -36628,7 +36608,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    888 /* Table888 */
+    882 /* Table882 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -36638,7 +36618,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    890 /* Table890 */
+    884 /* Table884 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -36648,7 +36628,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    892 /* Table892 */
+    886 /* Table886 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -36668,7 +36648,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    893 /* Table893 */
+    887 /* Table887 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -36678,7 +36658,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    895 /* Table895 */
+    889 /* Table889 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -36688,7 +36668,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    897 /* Table897 */
+    891 /* Table891 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -36708,7 +36688,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    898 /* Table898 */
+    892 /* Table892 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -36718,7 +36698,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    900 /* Table900 */
+    894 /* Table894 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -36728,12 +36708,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    902 /* Table902 */
+    896 /* Table896 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -36743,37 +36723,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    903 /* Table903 */
+    897 /* Table897 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    905 /* Table905 */
+    899 /* Table899 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    907 /* Table907 */
+    901 /* Table901 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -36783,37 +36763,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    908 /* Table908 */
+    902 /* Table902 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    910 /* Table910 */
+    904 /* Table904 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    912 /* Table912 */
+    906 /* Table906 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -36823,37 +36803,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    913 /* Table913 */
+    907 /* Table907 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    915 /* Table915 */
+    909 /* Table909 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    917 /* Table917 */
+    911 /* Table911 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -36903,7 +36883,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -36943,82 +36923,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -37038,22 +37018,22 @@
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    918 /* Table918 */
+    912 /* Table912 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -37063,132 +37043,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    577 /* Table577 */
+    571 /* Table571 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    920 /* Table920 */
+    914 /* Table914 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    922 /* Table922 */
+    916 /* Table916 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    924 /* Table924 */
+    918 /* Table918 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -37198,122 +37178,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    956 /* Table956 */
+    950 /* Table950 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    958 /* Table958 */
+    952 /* Table952 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    960 /* Table960 */
+    954 /* Table954 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    962 /* Table962 */
+    956 /* Table956 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    964 /* Table964 */
+    958 /* Table958 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    966 /* Table966 */
+    960 /* Table960 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    968 /* Table968 */
+    962 /* Table962 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    581 /* Table581 */
+    575 /* Table575 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    971 /* Table971 */
+    965 /* Table965 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    972 /* Table972 */
+    966 /* Table966 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -37323,252 +37303,252 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    598 /* Table598 */
+    592 /* Table592 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    599 /* Table599 */
+    593 /* Table593 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    973 /* Table973 */
+    967 /* Table967 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    974 /* Table974 */
+    968 /* Table968 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    975 /* Table975 */
+    969 /* Table969 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    976 /* Table976 */
+    970 /* Table970 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    977 /* Table977 */
+    971 /* Table971 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    978 /* Table978 */
+    972 /* Table972 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    979 /* Table979 */
+    973 /* Table973 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    980 /* Table980 */
+    974 /* Table974 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    982 /* Table982 */
+    976 /* Table976 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    604 /* Table604 */
+    598 /* Table598 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    605 /* Table605 */
+    599 /* Table599 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    998 /* Table998 */
+    992 /* Table992 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1014 /* Table1014 */
+    1008 /* Table1008 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1015 /* Table1015 */
+    1009 /* Table1009 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -37578,27 +37558,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1016 /* Table1016 */
+    1010 /* Table1010 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1017 /* Table1017 */
+    1011 /* Table1011 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1033 /* Table1033 */
+    1027 /* Table1027 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -37613,12 +37593,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -37638,7 +37618,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -37663,162 +37643,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    607 /* Table607 */
+    601 /* Table601 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    608 /* Table608 */
+    602 /* Table602 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1065 /* Table1065 */
+    1059 /* Table1059 */
    }
   }
  }
@@ -37833,7 +37813,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    625 /* Table625 */
+    619 /* Table619 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -37843,7 +37823,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    627 /* Table627 */
+    621 /* Table621 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -37853,7 +37833,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    629 /* Table629 */
+    623 /* Table623 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -37873,7 +37853,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    632 /* Table632 */
+    626 /* Table626 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -37883,7 +37863,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    634 /* Table634 */
+    628 /* Table628 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -37893,7 +37873,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    636 /* Table636 */
+    630 /* Table630 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -37913,7 +37893,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    638 /* Table638 */
+    632 /* Table632 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -37923,7 +37903,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    640 /* Table640 */
+    634 /* Table634 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -37933,7 +37913,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    642 /* Table642 */
+    636 /* Table636 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -37953,7 +37933,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    645 /* Table645 */
+    639 /* Table639 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -37963,7 +37943,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    647 /* Table647 */
+    641 /* Table641 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -37973,7 +37953,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    649 /* Table649 */
+    643 /* Table643 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -37993,7 +37973,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    652 /* Table652 */
+    646 /* Table646 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -38003,7 +37983,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    654 /* Table654 */
+    648 /* Table648 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -38013,12 +37993,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    656 /* Table656 */
+    650 /* Table650 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -38028,37 +38008,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    657 /* Table657 */
+    651 /* Table651 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    659 /* Table659 */
+    653 /* Table653 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    661 /* Table661 */
+    655 /* Table655 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -38068,37 +38048,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    662 /* Table662 */
+    656 /* Table656 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    664 /* Table664 */
+    658 /* Table658 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    666 /* Table666 */
+    660 /* Table660 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -38108,37 +38088,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    667 /* Table667 */
+    661 /* Table661 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    669 /* Table669 */
+    663 /* Table663 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    671 /* Table671 */
+    665 /* Table665 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -38188,7 +38168,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -38228,82 +38208,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -38326,19 +38306,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -38348,132 +38328,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1081 /* Table1081 */
+    1075 /* Table1075 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    681 /* Table681 */
+    675 /* Table675 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    684 /* Table684 */
+    678 /* Table678 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    686 /* Table686 */
+    680 /* Table680 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    687 /* Table687 */
+    681 /* Table681 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    688 /* Table688 */
+    682 /* Table682 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -38483,122 +38463,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    704 /* Table704 */
+    698 /* Table698 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    720 /* Table720 */
+    714 /* Table714 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    722 /* Table722 */
+    716 /* Table716 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    724 /* Table724 */
+    718 /* Table718 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    726 /* Table726 */
+    720 /* Table720 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    728 /* Table728 */
+    722 /* Table722 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    730 /* Table730 */
+    724 /* Table724 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    732 /* Table732 */
+    726 /* Table726 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    734 /* Table734 */
+    728 /* Table728 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    751 /* Table751 */
+    745 /* Table745 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    752 /* Table752 */
+    746 /* Table746 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    753 /* Table753 */
+    747 /* Table747 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -38608,252 +38588,252 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    754 /* Table754 */
+    748 /* Table748 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1082 /* Table1082 */
+    1076 /* Table1076 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1083 /* Table1083 */
+    1077 /* Table1077 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    758 /* Table758 */
+    752 /* Table752 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    759 /* Table759 */
+    753 /* Table753 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    760 /* Table760 */
+    754 /* Table754 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    761 /* Table761 */
+    755 /* Table755 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    762 /* Table762 */
+    756 /* Table756 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    763 /* Table763 */
+    757 /* Table757 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    765 /* Table765 */
+    759 /* Table759 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    781 /* Table781 */
+    775 /* Table775 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    782 /* Table782 */
+    776 /* Table776 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    783 /* Table783 */
+    777 /* Table777 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    785 /* Table785 */
+    779 /* Table779 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    803 /* Table803 */
+    797 /* Table797 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    804 /* Table804 */
+    798 /* Table798 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -38863,27 +38843,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    805 /* Table805 */
+    799 /* Table799 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    806 /* Table806 */
+    800 /* Table800 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    822 /* Table822 */
+    816 /* Table816 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -38898,12 +38878,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -38923,7 +38903,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -38948,162 +38928,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    607 /* Table607 */
+    601 /* Table601 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    838 /* Table838 */
+    832 /* Table832 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    839 /* Table839 */
+    833 /* Table833 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    840 /* Table840 */
+    834 /* Table834 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    841 /* Table841 */
+    835 /* Table835 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    842 /* Table842 */
+    836 /* Table836 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    843 /* Table843 */
+    837 /* Table837 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    844 /* Table844 */
+    838 /* Table838 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    845 /* Table845 */
+    839 /* Table839 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1084 /* Table1084 */
+    1078 /* Table1078 */
    }
   }
  }
@@ -39301,9 +39281,9 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -39313,37 +39293,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -39353,37 +39333,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -39393,37 +39373,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -39473,7 +39453,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -39513,82 +39493,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -39611,19 +39591,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -39633,132 +39613,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    577 /* Table577 */
+    571 /* Table571 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -39768,122 +39748,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    579 /* Table579 */
+    573 /* Table573 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    581 /* Table581 */
+    575 /* Table575 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -39893,252 +39873,252 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    598 /* Table598 */
+    592 /* Table592 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    599 /* Table599 */
+    593 /* Table593 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    601 /* Table601 */
+    595 /* Table595 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    603 /* Table603 */
+    597 /* Table597 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    280 /* Table280 */
+    274 /* Table274 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    604 /* Table604 */
+    598 /* Table598 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    605 /* Table605 */
+    599 /* Table599 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    318 /* Table318 */
+    312 /* Table312 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    336 /* Table336 */
+    330 /* Table330 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    337 /* Table337 */
+    331 /* Table331 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -40148,27 +40128,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    341 /* Table341 */
+    335 /* Table335 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    358 /* Table358 */
+    352 /* Table352 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    390 /* Table390 */
+    384 /* Table384 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -40183,12 +40163,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -40208,7 +40188,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -40233,162 +40213,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1100 /* Table1100 */
+    1094 /* Table1094 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    608 /* Table608 */
+    602 /* Table602 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    609 /* Table609 */
+    603 /* Table603 */
    }
   }
  }
@@ -40586,9 +40566,9 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -40598,37 +40578,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -40638,37 +40618,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -40678,37 +40658,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -40758,7 +40738,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -40798,82 +40778,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -40896,19 +40876,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -40918,132 +40898,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    577 /* Table577 */
+    571 /* Table571 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -41053,122 +41033,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    579 /* Table579 */
+    573 /* Table573 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    581 /* Table581 */
+    575 /* Table575 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -41178,252 +41158,252 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    598 /* Table598 */
+    592 /* Table592 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    599 /* Table599 */
+    593 /* Table593 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    601 /* Table601 */
+    595 /* Table595 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    603 /* Table603 */
+    597 /* Table597 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    280 /* Table280 */
+    274 /* Table274 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    604 /* Table604 */
+    598 /* Table598 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    605 /* Table605 */
+    599 /* Table599 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    318 /* Table318 */
+    312 /* Table312 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    336 /* Table336 */
+    330 /* Table330 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    337 /* Table337 */
+    331 /* Table331 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -41433,27 +41413,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    341 /* Table341 */
+    335 /* Table335 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    358 /* Table358 */
+    352 /* Table352 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    390 /* Table390 */
+    384 /* Table384 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -41468,12 +41448,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -41493,7 +41473,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -41518,162 +41498,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    607 /* Table607 */
+    601 /* Table601 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    608 /* Table608 */
+    602 /* Table602 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    609 /* Table609 */
+    603 /* Table603 */
    }
   }
  }
@@ -41871,9 +41851,9 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -41883,37 +41863,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -41923,37 +41903,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -41963,37 +41943,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -42043,7 +42023,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -42083,82 +42063,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -42181,19 +42161,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -42203,132 +42183,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    577 /* Table577 */
+    571 /* Table571 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -42338,122 +42318,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    579 /* Table579 */
+    573 /* Table573 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    581 /* Table581 */
+    575 /* Table575 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -42463,252 +42443,252 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    598 /* Table598 */
+    592 /* Table592 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    599 /* Table599 */
+    593 /* Table593 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    601 /* Table601 */
+    595 /* Table595 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    603 /* Table603 */
+    597 /* Table597 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    280 /* Table280 */
+    274 /* Table274 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    604 /* Table604 */
+    598 /* Table598 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    605 /* Table605 */
+    599 /* Table599 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    318 /* Table318 */
+    312 /* Table312 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    336 /* Table336 */
+    330 /* Table330 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    337 /* Table337 */
+    331 /* Table331 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -42718,27 +42698,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    341 /* Table341 */
+    335 /* Table335 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    358 /* Table358 */
+    352 /* Table352 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    390 /* Table390 */
+    384 /* Table384 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -42753,12 +42733,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -42778,7 +42758,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -42803,162 +42783,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    607 /* Table607 */
+    601 /* Table601 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    608 /* Table608 */
+    602 /* Table602 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    609 /* Table609 */
+    603 /* Table603 */
    }
   }
  }
@@ -42973,7 +42953,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    878 /* Table878 */
+    872 /* Table872 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -42983,7 +42963,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    880 /* Table880 */
+    874 /* Table874 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -42993,7 +42973,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    882 /* Table882 */
+    876 /* Table876 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -43013,7 +42993,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    883 /* Table883 */
+    877 /* Table877 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -43023,7 +43003,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    885 /* Table885 */
+    879 /* Table879 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -43033,7 +43013,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    887 /* Table887 */
+    881 /* Table881 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -43053,7 +43033,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    888 /* Table888 */
+    882 /* Table882 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -43063,7 +43043,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    890 /* Table890 */
+    884 /* Table884 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -43073,7 +43053,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    892 /* Table892 */
+    886 /* Table886 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -43093,7 +43073,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    893 /* Table893 */
+    887 /* Table887 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -43103,7 +43083,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    895 /* Table895 */
+    889 /* Table889 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -43113,7 +43093,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    897 /* Table897 */
+    891 /* Table891 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -43133,7 +43113,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    898 /* Table898 */
+    892 /* Table892 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -43143,7 +43123,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    900 /* Table900 */
+    894 /* Table894 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -43153,12 +43133,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    902 /* Table902 */
+    896 /* Table896 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -43168,37 +43148,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    903 /* Table903 */
+    897 /* Table897 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    905 /* Table905 */
+    899 /* Table899 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    907 /* Table907 */
+    901 /* Table901 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -43208,37 +43188,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    908 /* Table908 */
+    902 /* Table902 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    910 /* Table910 */
+    904 /* Table904 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    912 /* Table912 */
+    906 /* Table906 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -43248,37 +43228,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    913 /* Table913 */
+    907 /* Table907 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    915 /* Table915 */
+    909 /* Table909 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    917 /* Table917 */
+    911 /* Table911 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -43328,7 +43308,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -43368,82 +43348,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -43463,22 +43443,22 @@
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    918 /* Table918 */
+    912 /* Table912 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -43488,132 +43468,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    577 /* Table577 */
+    571 /* Table571 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    920 /* Table920 */
+    914 /* Table914 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    922 /* Table922 */
+    916 /* Table916 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    924 /* Table924 */
+    918 /* Table918 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -43623,122 +43603,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    956 /* Table956 */
+    950 /* Table950 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    958 /* Table958 */
+    952 /* Table952 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    960 /* Table960 */
+    954 /* Table954 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    962 /* Table962 */
+    956 /* Table956 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    964 /* Table964 */
+    958 /* Table958 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    966 /* Table966 */
+    960 /* Table960 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    968 /* Table968 */
+    962 /* Table962 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    581 /* Table581 */
+    575 /* Table575 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    971 /* Table971 */
+    965 /* Table965 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    972 /* Table972 */
+    966 /* Table966 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -43748,252 +43728,252 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    598 /* Table598 */
+    592 /* Table592 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    599 /* Table599 */
+    593 /* Table593 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    973 /* Table973 */
+    967 /* Table967 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    974 /* Table974 */
+    968 /* Table968 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    975 /* Table975 */
+    969 /* Table969 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    976 /* Table976 */
+    970 /* Table970 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    977 /* Table977 */
+    971 /* Table971 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    978 /* Table978 */
+    972 /* Table972 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    979 /* Table979 */
+    973 /* Table973 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    980 /* Table980 */
+    974 /* Table974 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    982 /* Table982 */
+    976 /* Table976 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    604 /* Table604 */
+    598 /* Table598 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    605 /* Table605 */
+    599 /* Table599 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    998 /* Table998 */
+    992 /* Table992 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1014 /* Table1014 */
+    1008 /* Table1008 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1015 /* Table1015 */
+    1009 /* Table1009 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -44003,27 +43983,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1016 /* Table1016 */
+    1010 /* Table1010 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1017 /* Table1017 */
+    1011 /* Table1011 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1033 /* Table1033 */
+    1027 /* Table1027 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -44038,12 +44018,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -44063,7 +44043,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -44088,162 +44068,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    607 /* Table607 */
+    601 /* Table601 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    608 /* Table608 */
+    602 /* Table602 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1065 /* Table1065 */
+    1059 /* Table1059 */
    }
   }
  }
@@ -44258,7 +44238,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    878 /* Table878 */
+    872 /* Table872 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -44268,7 +44248,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    880 /* Table880 */
+    874 /* Table874 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -44278,7 +44258,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    882 /* Table882 */
+    876 /* Table876 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -44298,7 +44278,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    883 /* Table883 */
+    877 /* Table877 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -44308,7 +44288,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    885 /* Table885 */
+    879 /* Table879 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -44318,7 +44298,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    887 /* Table887 */
+    881 /* Table881 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -44338,7 +44318,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    888 /* Table888 */
+    882 /* Table882 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -44348,7 +44328,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    890 /* Table890 */
+    884 /* Table884 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -44358,7 +44338,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    892 /* Table892 */
+    886 /* Table886 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -44378,7 +44358,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    893 /* Table893 */
+    887 /* Table887 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -44388,7 +44368,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    895 /* Table895 */
+    889 /* Table889 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -44398,7 +44378,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    897 /* Table897 */
+    891 /* Table891 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -44418,7 +44398,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    898 /* Table898 */
+    892 /* Table892 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -44428,7 +44408,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    900 /* Table900 */
+    894 /* Table894 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -44438,12 +44418,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    902 /* Table902 */
+    896 /* Table896 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -44453,37 +44433,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    903 /* Table903 */
+    897 /* Table897 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    905 /* Table905 */
+    899 /* Table899 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    907 /* Table907 */
+    901 /* Table901 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -44493,37 +44473,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    908 /* Table908 */
+    902 /* Table902 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    910 /* Table910 */
+    904 /* Table904 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    912 /* Table912 */
+    906 /* Table906 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -44533,37 +44513,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    913 /* Table913 */
+    907 /* Table907 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    915 /* Table915 */
+    909 /* Table909 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    917 /* Table917 */
+    911 /* Table911 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -44613,7 +44593,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -44653,82 +44633,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -44748,22 +44728,22 @@
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    918 /* Table918 */
+    912 /* Table912 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -44773,132 +44753,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    577 /* Table577 */
+    571 /* Table571 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    920 /* Table920 */
+    914 /* Table914 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    922 /* Table922 */
+    916 /* Table916 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    924 /* Table924 */
+    918 /* Table918 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -44908,122 +44888,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    956 /* Table956 */
+    950 /* Table950 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    958 /* Table958 */
+    952 /* Table952 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    960 /* Table960 */
+    954 /* Table954 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    962 /* Table962 */
+    956 /* Table956 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    964 /* Table964 */
+    958 /* Table958 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    966 /* Table966 */
+    960 /* Table960 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    968 /* Table968 */
+    962 /* Table962 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    581 /* Table581 */
+    575 /* Table575 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    971 /* Table971 */
+    965 /* Table965 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    972 /* Table972 */
+    966 /* Table966 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -45033,252 +45013,252 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    598 /* Table598 */
+    592 /* Table592 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    599 /* Table599 */
+    593 /* Table593 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    973 /* Table973 */
+    967 /* Table967 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    974 /* Table974 */
+    968 /* Table968 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    975 /* Table975 */
+    969 /* Table969 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    976 /* Table976 */
+    970 /* Table970 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    977 /* Table977 */
+    971 /* Table971 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    978 /* Table978 */
+    972 /* Table972 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    979 /* Table979 */
+    973 /* Table973 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    980 /* Table980 */
+    974 /* Table974 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    982 /* Table982 */
+    976 /* Table976 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    604 /* Table604 */
+    598 /* Table598 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    605 /* Table605 */
+    599 /* Table599 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    998 /* Table998 */
+    992 /* Table992 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1014 /* Table1014 */
+    1008 /* Table1008 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1015 /* Table1015 */
+    1009 /* Table1009 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -45288,27 +45268,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1016 /* Table1016 */
+    1010 /* Table1010 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1017 /* Table1017 */
+    1011 /* Table1011 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1033 /* Table1033 */
+    1027 /* Table1027 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -45323,12 +45303,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -45348,7 +45328,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -45373,162 +45353,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    607 /* Table607 */
+    601 /* Table601 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    608 /* Table608 */
+    602 /* Table602 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1065 /* Table1065 */
+    1059 /* Table1059 */
    }
   }
  }
@@ -45543,7 +45523,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    878 /* Table878 */
+    872 /* Table872 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -45553,7 +45533,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    880 /* Table880 */
+    874 /* Table874 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -45563,7 +45543,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    882 /* Table882 */
+    876 /* Table876 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -45583,7 +45563,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    883 /* Table883 */
+    877 /* Table877 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -45593,7 +45573,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    885 /* Table885 */
+    879 /* Table879 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -45603,7 +45583,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    887 /* Table887 */
+    881 /* Table881 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -45623,7 +45603,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    888 /* Table888 */
+    882 /* Table882 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -45633,7 +45613,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    890 /* Table890 */
+    884 /* Table884 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -45643,7 +45623,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    892 /* Table892 */
+    886 /* Table886 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -45663,7 +45643,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    893 /* Table893 */
+    887 /* Table887 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -45673,7 +45653,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    895 /* Table895 */
+    889 /* Table889 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -45683,7 +45663,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    897 /* Table897 */
+    891 /* Table891 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -45703,7 +45683,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    898 /* Table898 */
+    892 /* Table892 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -45713,7 +45693,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    900 /* Table900 */
+    894 /* Table894 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -45723,12 +45703,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    902 /* Table902 */
+    896 /* Table896 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -45738,37 +45718,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    903 /* Table903 */
+    897 /* Table897 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    905 /* Table905 */
+    899 /* Table899 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    907 /* Table907 */
+    901 /* Table901 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -45778,37 +45758,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    908 /* Table908 */
+    902 /* Table902 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    910 /* Table910 */
+    904 /* Table904 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    912 /* Table912 */
+    906 /* Table906 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -45818,37 +45798,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    913 /* Table913 */
+    907 /* Table907 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    915 /* Table915 */
+    909 /* Table909 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    917 /* Table917 */
+    911 /* Table911 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -45898,7 +45878,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -45938,82 +45918,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -46033,22 +46013,22 @@
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    918 /* Table918 */
+    912 /* Table912 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -46058,132 +46038,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1081 /* Table1081 */
+    1075 /* Table1075 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    920 /* Table920 */
+    914 /* Table914 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    922 /* Table922 */
+    916 /* Table916 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    686 /* Table686 */
+    680 /* Table680 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    687 /* Table687 */
+    681 /* Table681 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    924 /* Table924 */
+    918 /* Table918 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -46193,122 +46173,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    956 /* Table956 */
+    950 /* Table950 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    958 /* Table958 */
+    952 /* Table952 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    960 /* Table960 */
+    954 /* Table954 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    962 /* Table962 */
+    956 /* Table956 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    964 /* Table964 */
+    958 /* Table958 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    966 /* Table966 */
+    960 /* Table960 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    968 /* Table968 */
+    962 /* Table962 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    734 /* Table734 */
+    728 /* Table728 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    971 /* Table971 */
+    965 /* Table965 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    972 /* Table972 */
+    966 /* Table966 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    753 /* Table753 */
+    747 /* Table747 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -46318,252 +46298,252 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    754 /* Table754 */
+    748 /* Table748 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    973 /* Table973 */
+    967 /* Table967 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    974 /* Table974 */
+    968 /* Table968 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    975 /* Table975 */
+    969 /* Table969 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    976 /* Table976 */
+    970 /* Table970 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    977 /* Table977 */
+    971 /* Table971 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    978 /* Table978 */
+    972 /* Table972 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    979 /* Table979 */
+    973 /* Table973 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    980 /* Table980 */
+    974 /* Table974 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    982 /* Table982 */
+    976 /* Table976 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    781 /* Table781 */
+    775 /* Table775 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    782 /* Table782 */
+    776 /* Table776 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    783 /* Table783 */
+    777 /* Table777 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    785 /* Table785 */
+    779 /* Table779 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    998 /* Table998 */
+    992 /* Table992 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1014 /* Table1014 */
+    1008 /* Table1008 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1015 /* Table1015 */
+    1009 /* Table1009 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -46573,27 +46553,27 @@
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1016 /* Table1016 */
+    1010 /* Table1010 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1017 /* Table1017 */
+    1011 /* Table1011 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1033 /* Table1033 */
+    1027 /* Table1027 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -46608,12 +46588,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -46633,7 +46613,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -46658,162 +46638,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    607 /* Table607 */
+    601 /* Table601 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    838 /* Table838 */
+    832 /* Table832 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    839 /* Table839 */
+    833 /* Table833 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    840 /* Table840 */
+    834 /* Table834 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    841 /* Table841 */
+    835 /* Table835 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    842 /* Table842 */
+    836 /* Table836 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    843 /* Table843 */
+    837 /* Table837 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    844 /* Table844 */
+    838 /* Table838 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1101 /* Table1101 */
+    1095 /* Table1095 */
    }
   }
  }
@@ -48112,22 +48092,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1117 /* Table1117 */
+    1111 /* Table1111 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1133 /* Table1133 */
+    1127 /* Table1127 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -48137,27 +48117,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -48167,7 +48147,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -48232,62 +48212,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1245 /* Table1245 */
+    1239 /* Table1239 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1247 /* Table1247 */
+    1241 /* Table1241 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1249 /* Table1249 */
+    1243 /* Table1243 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1251 /* Table1251 */
+    1245 /* Table1245 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -48352,32 +48332,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -48387,7 +48367,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -48432,82 +48412,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1260 /* Table1260 */
+    1254 /* Table1254 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1262 /* Table1262 */
+    1256 /* Table1256 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1264 /* Table1264 */
+    1258 /* Table1258 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1266 /* Table1266 */
+    1260 /* Table1260 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1268 /* Table1268 */
+    1262 /* Table1262 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1270 /* Table1270 */
+    1264 /* Table1264 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1272 /* Table1272 */
+    1266 /* Table1266 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1274 /* Table1274 */
+    1268 /* Table1268 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1276 /* Table1276 */
+    1270 /* Table1270 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1278 /* Table1278 */
+    1272 /* Table1272 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1280 /* Table1280 */
+    1274 /* Table1274 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1282 /* Table1282 */
+    1276 /* Table1276 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1284 /* Table1284 */
+    1278 /* Table1278 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1286 /* Table1286 */
+    1280 /* Table1280 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1288 /* Table1288 */
+    1282 /* Table1282 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1290 /* Table1290 */
+    1284 /* Table1284 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -48712,12 +48692,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1292 /* Table1292 */
+    1286 /* Table1286 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1294 /* Table1294 */
+    1288 /* Table1288 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -48752,282 +48732,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1344 /* Table1344 */
+    1338 /* Table1338 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1345 /* Table1345 */
+    1339 /* Table1339 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1346 /* Table1346 */
+    1340 /* Table1340 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1351 /* Table1351 */
+    1345 /* Table1345 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1497 /* Table1497 */
+    1491 /* Table1491 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1498 /* Table1498 */
+    1492 /* Table1492 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1500 /* Table1500 */
+    1494 /* Table1494 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1502 /* Table1502 */
+    1496 /* Table1496 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1522 /* Table1522 */
+    1516 /* Table1516 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1526 /* Table1526 */
+    1520 /* Table1520 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1528 /* Table1528 */
+    1522 /* Table1522 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1530 /* Table1530 */
+    1524 /* Table1524 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1532 /* Table1532 */
+    1526 /* Table1526 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1534 /* Table1534 */
+    1528 /* Table1528 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1536 /* Table1536 */
+    1530 /* Table1530 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -49037,47 +49017,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1541 /* Table1541 */
+    1535 /* Table1535 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1557 /* Table1557 */
+    1551 /* Table1551 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1559 /* Table1559 */
+    1553 /* Table1553 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1561 /* Table1561 */
+    1555 /* Table1555 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1563 /* Table1563 */
+    1557 /* Table1557 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1569 /* Table1569 */
+    1563 /* Table1563 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -49107,47 +49087,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1571 /* Table1571 */
+    1565 /* Table1565 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -49397,22 +49377,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1117 /* Table1117 */
+    1111 /* Table1111 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1588 /* Table1588 */
+    1582 /* Table1582 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -49422,27 +49402,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -49452,7 +49432,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -49517,62 +49497,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -49637,32 +49617,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -49672,7 +49652,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -49717,82 +49697,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1260 /* Table1260 */
+    1254 /* Table1254 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1262 /* Table1262 */
+    1256 /* Table1256 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1264 /* Table1264 */
+    1258 /* Table1258 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1266 /* Table1266 */
+    1260 /* Table1260 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1268 /* Table1268 */
+    1262 /* Table1262 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1270 /* Table1270 */
+    1264 /* Table1264 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1272 /* Table1272 */
+    1266 /* Table1266 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1274 /* Table1274 */
+    1268 /* Table1268 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1276 /* Table1276 */
+    1270 /* Table1270 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1278 /* Table1278 */
+    1272 /* Table1272 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1280 /* Table1280 */
+    1274 /* Table1274 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1282 /* Table1282 */
+    1276 /* Table1276 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1284 /* Table1284 */
+    1278 /* Table1278 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1286 /* Table1286 */
+    1280 /* Table1280 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1288 /* Table1288 */
+    1282 /* Table1282 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1290 /* Table1290 */
+    1284 /* Table1284 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -49997,12 +49977,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -50037,282 +50017,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1672 /* Table1672 */
+    1666 /* Table1666 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1673 /* Table1673 */
+    1667 /* Table1667 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1351 /* Table1351 */
+    1345 /* Table1345 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1675 /* Table1675 */
+    1669 /* Table1669 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1676 /* Table1676 */
+    1670 /* Table1670 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1500 /* Table1500 */
+    1494 /* Table1494 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1502 /* Table1502 */
+    1496 /* Table1496 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1522 /* Table1522 */
+    1516 /* Table1516 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1526 /* Table1526 */
+    1520 /* Table1520 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1528 /* Table1528 */
+    1522 /* Table1522 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1530 /* Table1530 */
+    1524 /* Table1524 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1532 /* Table1532 */
+    1526 /* Table1526 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1534 /* Table1534 */
+    1528 /* Table1528 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1536 /* Table1536 */
+    1530 /* Table1530 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -50322,47 +50302,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1541 /* Table1541 */
+    1535 /* Table1535 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1557 /* Table1557 */
+    1551 /* Table1551 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1559 /* Table1559 */
+    1553 /* Table1553 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1561 /* Table1561 */
+    1555 /* Table1555 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1563 /* Table1563 */
+    1557 /* Table1557 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1569 /* Table1569 */
+    1563 /* Table1563 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -50392,47 +50372,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1571 /* Table1571 */
+    1565 /* Table1565 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -50682,22 +50662,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1677 /* Table1677 */
+    1671 /* Table1671 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1693 /* Table1693 */
+    1687 /* Table1687 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1765 /* Table1765 */
+    1759 /* Table1759 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1767 /* Table1767 */
+    1761 /* Table1761 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -50707,27 +50687,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -50737,7 +50717,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -50802,62 +50782,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1785 /* Table1785 */
+    1779 /* Table1779 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1787 /* Table1787 */
+    1781 /* Table1781 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1789 /* Table1789 */
+    1783 /* Table1783 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1791 /* Table1791 */
+    1785 /* Table1785 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1793 /* Table1793 */
+    1787 /* Table1787 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1795 /* Table1795 */
+    1789 /* Table1789 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1797 /* Table1797 */
+    1791 /* Table1791 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1245 /* Table1245 */
+    1239 /* Table1239 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1247 /* Table1247 */
+    1241 /* Table1241 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1249 /* Table1249 */
+    1243 /* Table1243 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1251 /* Table1251 */
+    1245 /* Table1245 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -50922,32 +50902,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -50957,7 +50937,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -51002,82 +50982,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1805 /* Table1805 */
+    1799 /* Table1799 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1811 /* Table1811 */
+    1805 /* Table1805 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1813 /* Table1813 */
+    1807 /* Table1807 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1815 /* Table1815 */
+    1809 /* Table1809 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1817 /* Table1817 */
+    1811 /* Table1811 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1819 /* Table1819 */
+    1813 /* Table1813 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1821 /* Table1821 */
+    1815 /* Table1815 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1823 /* Table1823 */
+    1817 /* Table1817 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -51282,12 +51262,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1292 /* Table1292 */
+    1286 /* Table1286 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1294 /* Table1294 */
+    1288 /* Table1288 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -51322,282 +51302,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1832 /* Table1832 */
+    1826 /* Table1826 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1834 /* Table1834 */
+    1828 /* Table1828 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1836 /* Table1836 */
+    1830 /* Table1830 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1838 /* Table1838 */
+    1832 /* Table1832 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1839 /* Table1839 */
+    1833 /* Table1833 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1840 /* Table1840 */
+    1834 /* Table1834 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1841 /* Table1841 */
+    1835 /* Table1835 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1842 /* Table1842 */
+    1836 /* Table1836 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1843 /* Table1843 */
+    1837 /* Table1837 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1844 /* Table1844 */
+    1838 /* Table1838 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1845 /* Table1845 */
+    1839 /* Table1839 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1846 /* Table1846 */
+    1840 /* Table1840 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1848 /* Table1848 */
+    1842 /* Table1842 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1346 /* Table1346 */
+    1340 /* Table1340 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1851 /* Table1851 */
+    1845 /* Table1845 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1853 /* Table1853 */
+    1847 /* Table1847 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1855 /* Table1855 */
+    1849 /* Table1849 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1856 /* Table1856 */
+    1850 /* Table1850 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1857 /* Table1857 */
+    1851 /* Table1851 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1871 /* Table1871 */
+    1865 /* Table1865 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1873 /* Table1873 */
+    1867 /* Table1867 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1875 /* Table1875 */
+    1869 /* Table1869 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -51607,47 +51587,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1877 /* Table1877 */
+    1871 /* Table1871 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1893 /* Table1893 */
+    1887 /* Table1887 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1895 /* Table1895 */
+    1889 /* Table1889 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1897 /* Table1897 */
+    1891 /* Table1891 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1899 /* Table1899 */
+    1893 /* Table1893 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1901 /* Table1901 */
+    1895 /* Table1895 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -51677,47 +51657,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1903 /* Table1903 */
+    1897 /* Table1897 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -51967,22 +51947,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1117 /* Table1117 */
+    1111 /* Table1111 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1133 /* Table1133 */
+    1127 /* Table1127 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -51992,27 +51972,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -52022,7 +52002,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -52087,62 +52067,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1245 /* Table1245 */
+    1239 /* Table1239 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1247 /* Table1247 */
+    1241 /* Table1241 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1249 /* Table1249 */
+    1243 /* Table1243 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1251 /* Table1251 */
+    1245 /* Table1245 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -52207,32 +52187,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -52242,7 +52222,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -52287,82 +52267,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1260 /* Table1260 */
+    1254 /* Table1254 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1262 /* Table1262 */
+    1256 /* Table1256 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1264 /* Table1264 */
+    1258 /* Table1258 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1266 /* Table1266 */
+    1260 /* Table1260 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1268 /* Table1268 */
+    1262 /* Table1262 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1270 /* Table1270 */
+    1264 /* Table1264 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1272 /* Table1272 */
+    1266 /* Table1266 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1274 /* Table1274 */
+    1268 /* Table1268 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1276 /* Table1276 */
+    1270 /* Table1270 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1278 /* Table1278 */
+    1272 /* Table1272 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1280 /* Table1280 */
+    1274 /* Table1274 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1282 /* Table1282 */
+    1276 /* Table1276 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1284 /* Table1284 */
+    1278 /* Table1278 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1286 /* Table1286 */
+    1280 /* Table1280 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1288 /* Table1288 */
+    1282 /* Table1282 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1290 /* Table1290 */
+    1284 /* Table1284 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -52567,12 +52547,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1292 /* Table1292 */
+    1286 /* Table1286 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1294 /* Table1294 */
+    1288 /* Table1288 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -52607,282 +52587,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1344 /* Table1344 */
+    1338 /* Table1338 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1345 /* Table1345 */
+    1339 /* Table1339 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1346 /* Table1346 */
+    1340 /* Table1340 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1351 /* Table1351 */
+    1345 /* Table1345 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1497 /* Table1497 */
+    1491 /* Table1491 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1498 /* Table1498 */
+    1492 /* Table1492 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1500 /* Table1500 */
+    1494 /* Table1494 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1502 /* Table1502 */
+    1496 /* Table1496 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1522 /* Table1522 */
+    1516 /* Table1516 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1526 /* Table1526 */
+    1520 /* Table1520 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1528 /* Table1528 */
+    1522 /* Table1522 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1530 /* Table1530 */
+    1524 /* Table1524 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1532 /* Table1532 */
+    1526 /* Table1526 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1534 /* Table1534 */
+    1528 /* Table1528 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1536 /* Table1536 */
+    1530 /* Table1530 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -52892,47 +52872,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1541 /* Table1541 */
+    1535 /* Table1535 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1557 /* Table1557 */
+    1551 /* Table1551 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1559 /* Table1559 */
+    1553 /* Table1553 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1561 /* Table1561 */
+    1555 /* Table1555 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1563 /* Table1563 */
+    1557 /* Table1557 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1569 /* Table1569 */
+    1563 /* Table1563 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -52962,47 +52942,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1571 /* Table1571 */
+    1565 /* Table1565 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -53252,22 +53232,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1117 /* Table1117 */
+    1111 /* Table1111 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1133 /* Table1133 */
+    1127 /* Table1127 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -53277,27 +53257,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -53307,7 +53287,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -53372,62 +53352,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1245 /* Table1245 */
+    1239 /* Table1239 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1247 /* Table1247 */
+    1241 /* Table1241 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1249 /* Table1249 */
+    1243 /* Table1243 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1251 /* Table1251 */
+    1245 /* Table1245 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -53492,32 +53472,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -53527,7 +53507,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -53572,82 +53552,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1260 /* Table1260 */
+    1254 /* Table1254 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1262 /* Table1262 */
+    1256 /* Table1256 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1264 /* Table1264 */
+    1258 /* Table1258 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1266 /* Table1266 */
+    1260 /* Table1260 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1268 /* Table1268 */
+    1262 /* Table1262 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1270 /* Table1270 */
+    1264 /* Table1264 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1272 /* Table1272 */
+    1266 /* Table1266 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1274 /* Table1274 */
+    1268 /* Table1268 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1276 /* Table1276 */
+    1270 /* Table1270 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1278 /* Table1278 */
+    1272 /* Table1272 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1280 /* Table1280 */
+    1274 /* Table1274 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1282 /* Table1282 */
+    1276 /* Table1276 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1284 /* Table1284 */
+    1278 /* Table1278 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1286 /* Table1286 */
+    1280 /* Table1280 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1288 /* Table1288 */
+    1282 /* Table1282 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1290 /* Table1290 */
+    1284 /* Table1284 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -53852,12 +53832,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1292 /* Table1292 */
+    1286 /* Table1286 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1294 /* Table1294 */
+    1288 /* Table1288 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -53892,282 +53872,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1344 /* Table1344 */
+    1338 /* Table1338 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1345 /* Table1345 */
+    1339 /* Table1339 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1346 /* Table1346 */
+    1340 /* Table1340 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1351 /* Table1351 */
+    1345 /* Table1345 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1497 /* Table1497 */
+    1491 /* Table1491 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1498 /* Table1498 */
+    1492 /* Table1492 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1500 /* Table1500 */
+    1494 /* Table1494 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1502 /* Table1502 */
+    1496 /* Table1496 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1522 /* Table1522 */
+    1516 /* Table1516 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1526 /* Table1526 */
+    1520 /* Table1520 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1528 /* Table1528 */
+    1522 /* Table1522 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1530 /* Table1530 */
+    1524 /* Table1524 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1532 /* Table1532 */
+    1526 /* Table1526 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1534 /* Table1534 */
+    1528 /* Table1528 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1536 /* Table1536 */
+    1530 /* Table1530 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -54177,47 +54157,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1541 /* Table1541 */
+    1535 /* Table1535 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1557 /* Table1557 */
+    1551 /* Table1551 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1559 /* Table1559 */
+    1553 /* Table1553 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1561 /* Table1561 */
+    1555 /* Table1555 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1563 /* Table1563 */
+    1557 /* Table1557 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1569 /* Table1569 */
+    1563 /* Table1563 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -54247,47 +54227,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1571 /* Table1571 */
+    1565 /* Table1565 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -54537,22 +54517,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1117 /* Table1117 */
+    1111 /* Table1111 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1133 /* Table1133 */
+    1127 /* Table1127 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -54562,27 +54542,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -54592,7 +54572,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -54657,62 +54637,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1245 /* Table1245 */
+    1239 /* Table1239 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1247 /* Table1247 */
+    1241 /* Table1241 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1249 /* Table1249 */
+    1243 /* Table1243 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1251 /* Table1251 */
+    1245 /* Table1245 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -54777,32 +54757,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -54812,7 +54792,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -54857,82 +54837,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1260 /* Table1260 */
+    1254 /* Table1254 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1262 /* Table1262 */
+    1256 /* Table1256 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1264 /* Table1264 */
+    1258 /* Table1258 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1266 /* Table1266 */
+    1260 /* Table1260 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1268 /* Table1268 */
+    1262 /* Table1262 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1270 /* Table1270 */
+    1264 /* Table1264 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1272 /* Table1272 */
+    1266 /* Table1266 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1274 /* Table1274 */
+    1268 /* Table1268 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1276 /* Table1276 */
+    1270 /* Table1270 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1278 /* Table1278 */
+    1272 /* Table1272 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1280 /* Table1280 */
+    1274 /* Table1274 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1282 /* Table1282 */
+    1276 /* Table1276 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1284 /* Table1284 */
+    1278 /* Table1278 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1286 /* Table1286 */
+    1280 /* Table1280 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1288 /* Table1288 */
+    1282 /* Table1282 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1290 /* Table1290 */
+    1284 /* Table1284 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -55137,12 +55117,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1292 /* Table1292 */
+    1286 /* Table1286 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1294 /* Table1294 */
+    1288 /* Table1288 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -55177,282 +55157,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1344 /* Table1344 */
+    1338 /* Table1338 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1345 /* Table1345 */
+    1339 /* Table1339 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1346 /* Table1346 */
+    1340 /* Table1340 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1351 /* Table1351 */
+    1345 /* Table1345 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1497 /* Table1497 */
+    1491 /* Table1491 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1498 /* Table1498 */
+    1492 /* Table1492 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1500 /* Table1500 */
+    1494 /* Table1494 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1502 /* Table1502 */
+    1496 /* Table1496 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1522 /* Table1522 */
+    1516 /* Table1516 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1526 /* Table1526 */
+    1520 /* Table1520 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1528 /* Table1528 */
+    1522 /* Table1522 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1530 /* Table1530 */
+    1524 /* Table1524 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1532 /* Table1532 */
+    1526 /* Table1526 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1534 /* Table1534 */
+    1528 /* Table1528 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1536 /* Table1536 */
+    1530 /* Table1530 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -55462,47 +55442,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1541 /* Table1541 */
+    1535 /* Table1535 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1557 /* Table1557 */
+    1551 /* Table1551 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1919 /* Table1919 */
+    1913 /* Table1913 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1921 /* Table1921 */
+    1915 /* Table1915 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1563 /* Table1563 */
+    1557 /* Table1557 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1569 /* Table1569 */
+    1563 /* Table1563 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -55532,47 +55512,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1923 /* Table1923 */
+    1917 /* Table1917 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -56762,12 +56742,12 @@
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1939 /* Table1939 */
+    1933 /* Table1933 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1941 /* Table1941 */
+    1935 /* Table1935 */
    },
    /* 0xbe */
    { /* ModRMDecision */
@@ -57107,22 +57087,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1943 /* Table1943 */
+    1937 /* Table1937 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1959 /* Table1959 */
+    1953 /* Table1953 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -57132,27 +57112,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -57162,7 +57142,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -57227,62 +57207,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -57347,32 +57327,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -57382,7 +57362,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -57427,82 +57407,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -57707,12 +57687,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -57747,282 +57727,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1672 /* Table1672 */
+    1666 /* Table1666 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1673 /* Table1673 */
+    1667 /* Table1667 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1675 /* Table1675 */
+    1669 /* Table1669 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1676 /* Table1676 */
+    1670 /* Table1670 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2075 /* Table2075 */
+    2069 /* Table2069 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2079 /* Table2079 */
+    2073 /* Table2073 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2081 /* Table2081 */
+    2075 /* Table2075 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2097 /* Table2097 */
+    2091 /* Table2091 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2099 /* Table2099 */
+    2093 /* Table2093 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2101 /* Table2101 */
+    2095 /* Table2095 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2103 /* Table2103 */
+    2097 /* Table2097 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2105 /* Table2105 */
+    2099 /* Table2099 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2107 /* Table2107 */
+    2101 /* Table2101 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2109 /* Table2109 */
+    2103 /* Table2103 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2111 /* Table2111 */
+    2105 /* Table2105 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -58032,47 +58012,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2113 /* Table2113 */
+    2107 /* Table2107 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2129 /* Table2129 */
+    2123 /* Table2123 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2131 /* Table2131 */
+    2125 /* Table2125 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2133 /* Table2133 */
+    2127 /* Table2127 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2135 /* Table2135 */
+    2129 /* Table2129 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2137 /* Table2137 */
+    2131 /* Table2131 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2139 /* Table2139 */
+    2133 /* Table2133 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -58102,47 +58082,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2141 /* Table2141 */
+    2135 /* Table2135 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -58392,22 +58372,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1677 /* Table1677 */
+    1671 /* Table1671 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2158 /* Table2158 */
+    2152 /* Table2152 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1765 /* Table1765 */
+    1759 /* Table1759 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1767 /* Table1767 */
+    1761 /* Table1761 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -58417,27 +58397,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -58447,7 +58427,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -58512,62 +58492,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1785 /* Table1785 */
+    1779 /* Table1779 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1787 /* Table1787 */
+    1781 /* Table1781 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1789 /* Table1789 */
+    1783 /* Table1783 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1791 /* Table1791 */
+    1785 /* Table1785 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1793 /* Table1793 */
+    1787 /* Table1787 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1795 /* Table1795 */
+    1789 /* Table1789 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1797 /* Table1797 */
+    1791 /* Table1791 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -58632,32 +58612,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -58667,7 +58647,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -58712,82 +58692,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1805 /* Table1805 */
+    1799 /* Table1799 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1811 /* Table1811 */
+    1805 /* Table1805 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1813 /* Table1813 */
+    1807 /* Table1807 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1815 /* Table1815 */
+    1809 /* Table1809 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1817 /* Table1817 */
+    1811 /* Table1811 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1819 /* Table1819 */
+    1813 /* Table1813 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1821 /* Table1821 */
+    1815 /* Table1815 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1823 /* Table1823 */
+    1817 /* Table1817 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -58992,12 +58972,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -59032,282 +59012,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1832 /* Table1832 */
+    1826 /* Table1826 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1834 /* Table1834 */
+    1828 /* Table1828 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1836 /* Table1836 */
+    1830 /* Table1830 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1838 /* Table1838 */
+    1832 /* Table1832 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1839 /* Table1839 */
+    1833 /* Table1833 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1840 /* Table1840 */
+    1834 /* Table1834 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1841 /* Table1841 */
+    1835 /* Table1835 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1842 /* Table1842 */
+    1836 /* Table1836 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1843 /* Table1843 */
+    1837 /* Table1837 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1844 /* Table1844 */
+    1838 /* Table1838 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1845 /* Table1845 */
+    1839 /* Table1839 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1846 /* Table1846 */
+    1840 /* Table1840 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1848 /* Table1848 */
+    1842 /* Table1842 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1851 /* Table1851 */
+    1845 /* Table1845 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1853 /* Table1853 */
+    1847 /* Table1847 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1855 /* Table1855 */
+    1849 /* Table1849 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1856 /* Table1856 */
+    1850 /* Table1850 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1857 /* Table1857 */
+    1851 /* Table1851 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1871 /* Table1871 */
+    1865 /* Table1865 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1873 /* Table1873 */
+    1867 /* Table1867 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1875 /* Table1875 */
+    1869 /* Table1869 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -59317,47 +59297,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1877 /* Table1877 */
+    1871 /* Table1871 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1893 /* Table1893 */
+    1887 /* Table1887 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1895 /* Table1895 */
+    1889 /* Table1889 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1897 /* Table1897 */
+    1891 /* Table1891 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1899 /* Table1899 */
+    1893 /* Table1893 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1901 /* Table1901 */
+    1895 /* Table1895 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -59387,47 +59367,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1903 /* Table1903 */
+    1897 /* Table1897 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -59677,22 +59657,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1117 /* Table1117 */
+    1111 /* Table1111 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1588 /* Table1588 */
+    1582 /* Table1582 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -59702,27 +59682,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -59732,7 +59712,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -59797,62 +59777,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -59917,32 +59897,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -59952,7 +59932,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -59997,82 +59977,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1260 /* Table1260 */
+    1254 /* Table1254 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1262 /* Table1262 */
+    1256 /* Table1256 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1264 /* Table1264 */
+    1258 /* Table1258 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1266 /* Table1266 */
+    1260 /* Table1260 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1268 /* Table1268 */
+    1262 /* Table1262 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1270 /* Table1270 */
+    1264 /* Table1264 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1272 /* Table1272 */
+    1266 /* Table1266 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1274 /* Table1274 */
+    1268 /* Table1268 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1276 /* Table1276 */
+    1270 /* Table1270 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1278 /* Table1278 */
+    1272 /* Table1272 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1280 /* Table1280 */
+    1274 /* Table1274 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1282 /* Table1282 */
+    1276 /* Table1276 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1284 /* Table1284 */
+    1278 /* Table1278 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1286 /* Table1286 */
+    1280 /* Table1280 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1288 /* Table1288 */
+    1282 /* Table1282 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1290 /* Table1290 */
+    1284 /* Table1284 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -60277,12 +60257,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -60317,282 +60297,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1672 /* Table1672 */
+    1666 /* Table1666 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1673 /* Table1673 */
+    1667 /* Table1667 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1351 /* Table1351 */
+    1345 /* Table1345 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1675 /* Table1675 */
+    1669 /* Table1669 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1676 /* Table1676 */
+    1670 /* Table1670 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1500 /* Table1500 */
+    1494 /* Table1494 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1502 /* Table1502 */
+    1496 /* Table1496 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1522 /* Table1522 */
+    1516 /* Table1516 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1526 /* Table1526 */
+    1520 /* Table1520 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1528 /* Table1528 */
+    1522 /* Table1522 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1530 /* Table1530 */
+    1524 /* Table1524 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1532 /* Table1532 */
+    1526 /* Table1526 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1534 /* Table1534 */
+    1528 /* Table1528 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1536 /* Table1536 */
+    1530 /* Table1530 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -60602,47 +60582,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1541 /* Table1541 */
+    1535 /* Table1535 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1557 /* Table1557 */
+    1551 /* Table1551 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1559 /* Table1559 */
+    1553 /* Table1553 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1561 /* Table1561 */
+    1555 /* Table1555 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1563 /* Table1563 */
+    1557 /* Table1557 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1569 /* Table1569 */
+    1563 /* Table1563 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -60672,47 +60652,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1571 /* Table1571 */
+    1565 /* Table1565 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -60962,22 +60942,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1117 /* Table1117 */
+    1111 /* Table1111 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1588 /* Table1588 */
+    1582 /* Table1582 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -60987,27 +60967,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -61017,7 +60997,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -61082,62 +61062,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -61202,32 +61182,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -61237,7 +61217,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -61282,82 +61262,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1260 /* Table1260 */
+    1254 /* Table1254 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1262 /* Table1262 */
+    1256 /* Table1256 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1264 /* Table1264 */
+    1258 /* Table1258 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1266 /* Table1266 */
+    1260 /* Table1260 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1268 /* Table1268 */
+    1262 /* Table1262 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1270 /* Table1270 */
+    1264 /* Table1264 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1272 /* Table1272 */
+    1266 /* Table1266 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1274 /* Table1274 */
+    1268 /* Table1268 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1276 /* Table1276 */
+    1270 /* Table1270 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1278 /* Table1278 */
+    1272 /* Table1272 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1280 /* Table1280 */
+    1274 /* Table1274 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1282 /* Table1282 */
+    1276 /* Table1276 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1284 /* Table1284 */
+    1278 /* Table1278 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1286 /* Table1286 */
+    1280 /* Table1280 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1288 /* Table1288 */
+    1282 /* Table1282 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1290 /* Table1290 */
+    1284 /* Table1284 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -61562,12 +61542,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -61602,282 +61582,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1672 /* Table1672 */
+    1666 /* Table1666 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1673 /* Table1673 */
+    1667 /* Table1667 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1351 /* Table1351 */
+    1345 /* Table1345 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1675 /* Table1675 */
+    1669 /* Table1669 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1676 /* Table1676 */
+    1670 /* Table1670 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1500 /* Table1500 */
+    1494 /* Table1494 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1502 /* Table1502 */
+    1496 /* Table1496 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1522 /* Table1522 */
+    1516 /* Table1516 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1526 /* Table1526 */
+    1520 /* Table1520 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1528 /* Table1528 */
+    1522 /* Table1522 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1530 /* Table1530 */
+    1524 /* Table1524 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1532 /* Table1532 */
+    1526 /* Table1526 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1534 /* Table1534 */
+    1528 /* Table1528 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1536 /* Table1536 */
+    1530 /* Table1530 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -61887,47 +61867,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1541 /* Table1541 */
+    1535 /* Table1535 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1557 /* Table1557 */
+    1551 /* Table1551 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1559 /* Table1559 */
+    1553 /* Table1553 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1561 /* Table1561 */
+    1555 /* Table1555 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1563 /* Table1563 */
+    1557 /* Table1557 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1569 /* Table1569 */
+    1563 /* Table1563 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -61957,47 +61937,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1571 /* Table1571 */
+    1565 /* Table1565 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -62247,22 +62227,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1117 /* Table1117 */
+    1111 /* Table1111 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1588 /* Table1588 */
+    1582 /* Table1582 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -62272,27 +62252,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -62302,7 +62282,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -62367,62 +62347,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -62487,32 +62467,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -62522,7 +62502,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -62567,82 +62547,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1260 /* Table1260 */
+    1254 /* Table1254 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1262 /* Table1262 */
+    1256 /* Table1256 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1264 /* Table1264 */
+    1258 /* Table1258 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1266 /* Table1266 */
+    1260 /* Table1260 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1268 /* Table1268 */
+    1262 /* Table1262 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1270 /* Table1270 */
+    1264 /* Table1264 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1272 /* Table1272 */
+    1266 /* Table1266 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1274 /* Table1274 */
+    1268 /* Table1268 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1276 /* Table1276 */
+    1270 /* Table1270 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1278 /* Table1278 */
+    1272 /* Table1272 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1280 /* Table1280 */
+    1274 /* Table1274 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1282 /* Table1282 */
+    1276 /* Table1276 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1284 /* Table1284 */
+    1278 /* Table1278 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1286 /* Table1286 */
+    1280 /* Table1280 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1288 /* Table1288 */
+    1282 /* Table1282 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1290 /* Table1290 */
+    1284 /* Table1284 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -62847,12 +62827,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -62887,282 +62867,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1672 /* Table1672 */
+    1666 /* Table1666 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1673 /* Table1673 */
+    1667 /* Table1667 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1351 /* Table1351 */
+    1345 /* Table1345 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1675 /* Table1675 */
+    1669 /* Table1669 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1676 /* Table1676 */
+    1670 /* Table1670 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1500 /* Table1500 */
+    1494 /* Table1494 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1502 /* Table1502 */
+    1496 /* Table1496 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1522 /* Table1522 */
+    1516 /* Table1516 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1526 /* Table1526 */
+    1520 /* Table1520 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1528 /* Table1528 */
+    1522 /* Table1522 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1530 /* Table1530 */
+    1524 /* Table1524 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1532 /* Table1532 */
+    1526 /* Table1526 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1534 /* Table1534 */
+    1528 /* Table1528 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1536 /* Table1536 */
+    1530 /* Table1530 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -63172,47 +63152,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1541 /* Table1541 */
+    1535 /* Table1535 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1557 /* Table1557 */
+    1551 /* Table1551 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1919 /* Table1919 */
+    1913 /* Table1913 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1921 /* Table1921 */
+    1915 /* Table1915 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1563 /* Table1563 */
+    1557 /* Table1557 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1569 /* Table1569 */
+    1563 /* Table1563 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -63242,47 +63222,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1923 /* Table1923 */
+    1917 /* Table1917 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -64472,12 +64452,12 @@
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1939 /* Table1939 */
+    1933 /* Table1933 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1941 /* Table1941 */
+    1935 /* Table1935 */
    },
    /* 0xbe */
    { /* ModRMDecision */
@@ -64817,22 +64797,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1943 /* Table1943 */
+    1937 /* Table1937 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1959 /* Table1959 */
+    1953 /* Table1953 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -64842,27 +64822,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -64872,7 +64852,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -64937,62 +64917,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -65057,32 +65037,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -65092,7 +65072,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -65137,82 +65117,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -65417,12 +65397,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -65457,282 +65437,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1672 /* Table1672 */
+    1666 /* Table1666 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1673 /* Table1673 */
+    1667 /* Table1667 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1675 /* Table1675 */
+    1669 /* Table1669 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1676 /* Table1676 */
+    1670 /* Table1670 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2075 /* Table2075 */
+    2069 /* Table2069 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2079 /* Table2079 */
+    2073 /* Table2073 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2246 /* Table2246 */
+    2240 /* Table2240 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2097 /* Table2097 */
+    2091 /* Table2091 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2099 /* Table2099 */
+    2093 /* Table2093 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2101 /* Table2101 */
+    2095 /* Table2095 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2103 /* Table2103 */
+    2097 /* Table2097 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2105 /* Table2105 */
+    2099 /* Table2099 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2107 /* Table2107 */
+    2101 /* Table2101 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2109 /* Table2109 */
+    2103 /* Table2103 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2111 /* Table2111 */
+    2105 /* Table2105 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -65742,47 +65722,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2113 /* Table2113 */
+    2107 /* Table2107 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2129 /* Table2129 */
+    2123 /* Table2123 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2262 /* Table2262 */
+    2256 /* Table2256 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2264 /* Table2264 */
+    2258 /* Table2258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2135 /* Table2135 */
+    2129 /* Table2129 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2137 /* Table2137 */
+    2131 /* Table2131 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2139 /* Table2139 */
+    2133 /* Table2133 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -65812,47 +65792,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2266 /* Table2266 */
+    2260 /* Table2260 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -66102,22 +66082,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1943 /* Table1943 */
+    1937 /* Table1937 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1959 /* Table1959 */
+    1953 /* Table1953 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -66127,27 +66107,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -66157,7 +66137,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -66222,62 +66202,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -66342,32 +66322,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -66377,7 +66357,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -66422,82 +66402,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -66702,12 +66682,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -66742,282 +66722,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1672 /* Table1672 */
+    1666 /* Table1666 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1673 /* Table1673 */
+    1667 /* Table1667 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1675 /* Table1675 */
+    1669 /* Table1669 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1676 /* Table1676 */
+    1670 /* Table1670 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2075 /* Table2075 */
+    2069 /* Table2069 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2079 /* Table2079 */
+    2073 /* Table2073 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2081 /* Table2081 */
+    2075 /* Table2075 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2097 /* Table2097 */
+    2091 /* Table2091 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2099 /* Table2099 */
+    2093 /* Table2093 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2101 /* Table2101 */
+    2095 /* Table2095 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2103 /* Table2103 */
+    2097 /* Table2097 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2105 /* Table2105 */
+    2099 /* Table2099 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2107 /* Table2107 */
+    2101 /* Table2101 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2109 /* Table2109 */
+    2103 /* Table2103 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2111 /* Table2111 */
+    2105 /* Table2105 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -67027,47 +67007,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2113 /* Table2113 */
+    2107 /* Table2107 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2129 /* Table2129 */
+    2123 /* Table2123 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2131 /* Table2131 */
+    2125 /* Table2125 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2133 /* Table2133 */
+    2127 /* Table2127 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2135 /* Table2135 */
+    2129 /* Table2129 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2137 /* Table2137 */
+    2131 /* Table2131 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2139 /* Table2139 */
+    2133 /* Table2133 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -67097,47 +67077,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2141 /* Table2141 */
+    2135 /* Table2135 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -67387,22 +67367,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1943 /* Table1943 */
+    1937 /* Table1937 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1959 /* Table1959 */
+    1953 /* Table1953 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -67412,27 +67392,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -67442,7 +67422,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -67507,62 +67487,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1785 /* Table1785 */
+    1779 /* Table1779 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1787 /* Table1787 */
+    1781 /* Table1781 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1789 /* Table1789 */
+    1783 /* Table1783 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1791 /* Table1791 */
+    1785 /* Table1785 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1793 /* Table1793 */
+    1787 /* Table1787 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1795 /* Table1795 */
+    1789 /* Table1789 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1797 /* Table1797 */
+    1791 /* Table1791 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -67627,32 +67607,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -67662,7 +67642,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -67707,82 +67687,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -67987,12 +67967,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -68027,282 +68007,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1832 /* Table1832 */
+    1826 /* Table1826 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1834 /* Table1834 */
+    1828 /* Table1828 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1836 /* Table1836 */
+    1830 /* Table1830 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1838 /* Table1838 */
+    1832 /* Table1832 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1839 /* Table1839 */
+    1833 /* Table1833 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1840 /* Table1840 */
+    1834 /* Table1834 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1841 /* Table1841 */
+    1835 /* Table1835 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1842 /* Table1842 */
+    1836 /* Table1836 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1843 /* Table1843 */
+    1837 /* Table1837 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1844 /* Table1844 */
+    1838 /* Table1838 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1845 /* Table1845 */
+    1839 /* Table1839 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1846 /* Table1846 */
+    1840 /* Table1840 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1848 /* Table1848 */
+    1842 /* Table1842 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1855 /* Table1855 */
+    1849 /* Table1849 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1856 /* Table1856 */
+    1850 /* Table1850 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2075 /* Table2075 */
+    2069 /* Table2069 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2079 /* Table2079 */
+    2073 /* Table2073 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2081 /* Table2081 */
+    2075 /* Table2075 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2097 /* Table2097 */
+    2091 /* Table2091 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2099 /* Table2099 */
+    2093 /* Table2093 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2101 /* Table2101 */
+    2095 /* Table2095 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2103 /* Table2103 */
+    2097 /* Table2097 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2105 /* Table2105 */
+    2099 /* Table2099 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2107 /* Table2107 */
+    2101 /* Table2101 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2109 /* Table2109 */
+    2103 /* Table2103 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2111 /* Table2111 */
+    2105 /* Table2105 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -68312,47 +68292,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2113 /* Table2113 */
+    2107 /* Table2107 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2129 /* Table2129 */
+    2123 /* Table2123 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2131 /* Table2131 */
+    2125 /* Table2125 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2133 /* Table2133 */
+    2127 /* Table2127 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2135 /* Table2135 */
+    2129 /* Table2129 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2137 /* Table2137 */
+    2131 /* Table2131 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2139 /* Table2139 */
+    2133 /* Table2133 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -68382,47 +68362,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2282 /* Table2282 */
+    2276 /* Table2276 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -71161,12 +71141,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2298 /* Table2298 */
+    2292 /* Table2292 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2300 /* Table2300 */
+    2294 /* Table2294 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -72446,12 +72426,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2298 /* Table2298 */
+    2292 /* Table2292 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2300 /* Table2300 */
+    2294 /* Table2294 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -73171,17 +73151,17 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2302 /* Table2302 */
+    2296 /* Table2296 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2304 /* Table2304 */
+    2298 /* Table2298 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2306 /* Table2306 */
+    2300 /* Table2300 */
    },
    /* 0x83 */
    { /* ModRMDecision */
@@ -73731,12 +73711,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2308 /* Table2308 */
+    2302 /* Table2302 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2310 /* Table2310 */
+    2304 /* Table2304 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -73761,7 +73741,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2312 /* Table2312 */
+    2306 /* Table2306 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -75016,12 +74996,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2298 /* Table2298 */
+    2292 /* Table2292 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2300 /* Table2300 */
+    2294 /* Table2294 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -76301,12 +76281,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2298 /* Table2298 */
+    2292 /* Table2292 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2300 /* Table2300 */
+    2294 /* Table2294 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -77586,12 +77566,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2298 /* Table2298 */
+    2292 /* Table2292 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2300 /* Table2300 */
+    2294 /* Table2294 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -77616,7 +77596,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2314 /* Table2314 */
+    2308 /* Table2308 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -78871,12 +78851,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2316 /* Table2316 */
+    2310 /* Table2310 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2318 /* Table2318 */
+    2312 /* Table2312 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -79596,17 +79576,17 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2320 /* Table2320 */
+    2314 /* Table2314 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2322 /* Table2322 */
+    2316 /* Table2316 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2324 /* Table2324 */
+    2318 /* Table2318 */
    },
    /* 0x83 */
    { /* ModRMDecision */
@@ -80156,12 +80136,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2308 /* Table2308 */
+    2302 /* Table2302 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2310 /* Table2310 */
+    2304 /* Table2304 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -80186,7 +80166,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2312 /* Table2312 */
+    2306 /* Table2306 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -81441,12 +81421,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2298 /* Table2298 */
+    2292 /* Table2292 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2300 /* Table2300 */
+    2294 /* Table2294 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -82726,12 +82706,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2298 /* Table2298 */
+    2292 /* Table2292 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2300 /* Table2300 */
+    2294 /* Table2294 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -84011,12 +83991,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2298 /* Table2298 */
+    2292 /* Table2292 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2300 /* Table2300 */
+    2294 /* Table2294 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -84041,7 +84021,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2314 /* Table2314 */
+    2308 /* Table2308 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -85296,12 +85276,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2316 /* Table2316 */
+    2310 /* Table2310 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2318 /* Table2318 */
+    2312 /* Table2312 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -85326,7 +85306,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2326 /* Table2326 */
+    2320 /* Table2320 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -86581,12 +86561,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2316 /* Table2316 */
+    2310 /* Table2310 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2318 /* Table2318 */
+    2312 /* Table2312 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -87306,17 +87286,17 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2320 /* Table2320 */
+    2314 /* Table2314 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2322 /* Table2322 */
+    2316 /* Table2316 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2324 /* Table2324 */
+    2318 /* Table2318 */
    },
    /* 0x83 */
    { /* ModRMDecision */
@@ -87866,12 +87846,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2316 /* Table2316 */
+    2310 /* Table2310 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2318 /* Table2318 */
+    2312 /* Table2312 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -87896,7 +87876,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2328 /* Table2328 */
+    2322 /* Table2322 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -89161,12 +89141,12 @@
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2330 /* Table2330 */
+    2324 /* Table2324 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2332 /* Table2332 */
+    2326 /* Table2326 */
    },
    /* 0xf4 */
    { /* ModRMDecision */
@@ -89176,7 +89156,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2348 /* Table2348 */
+    2342 /* Table2342 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -89186,7 +89166,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2350 /* Table2350 */
+    2344 /* Table2344 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -90461,7 +90441,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -90471,7 +90451,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -91746,17 +91726,17 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2356 /* Table2356 */
+    2350 /* Table2350 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2358 /* Table2358 */
+    2352 /* Table2352 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2360 /* Table2360 */
+    2354 /* Table2354 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -93041,7 +93021,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -94301,12 +94281,12 @@
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xf4 */
    { /* ModRMDecision */
@@ -94316,7 +94296,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2382 /* Table2382 */
+    2376 /* Table2376 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -94326,7 +94306,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -95601,7 +95581,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2386 /* Table2386 */
+    2380 /* Table2380 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -95611,7 +95591,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2388 /* Table2388 */
+    2382 /* Table2382 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -96886,17 +96866,17 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2390 /* Table2390 */
+    2384 /* Table2384 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2392 /* Table2392 */
+    2386 /* Table2386 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2394 /* Table2394 */
+    2388 /* Table2388 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -98181,7 +98161,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2396 /* Table2396 */
+    2390 /* Table2390 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -100720,7 +100700,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2398 /* Table2398 */
+    2392 /* Table2392 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -102005,7 +101985,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2400 /* Table2400 */
+    2394 /* Table2394 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
diff --git a/arch/X86/X86GenInstrInfo.inc b/arch/X86/X86GenInstrInfo.inc
index 5651e9e..780a563 100644
--- a/arch/X86/X86GenInstrInfo.inc
+++ b/arch/X86/X86GenInstrInfo.inc
@@ -619,5523 +619,5661 @@
     X86_CRC32r64m8	= 602,
     X86_CRC32r64r64	= 603,
     X86_CRC32r64r8	= 604,
-    X86_CS_PREFIX	= 605,
-    X86_CVTDQ2PDrm	= 606,
-    X86_CVTDQ2PDrr	= 607,
-    X86_CVTDQ2PSrm	= 608,
-    X86_CVTDQ2PSrr	= 609,
-    X86_CVTPD2DQrm	= 610,
-    X86_CVTPD2DQrr	= 611,
-    X86_CVTPD2PSrm	= 612,
-    X86_CVTPD2PSrr	= 613,
-    X86_CVTPS2DQrm	= 614,
-    X86_CVTPS2DQrr	= 615,
-    X86_CVTPS2PDrm	= 616,
-    X86_CVTPS2PDrr	= 617,
-    X86_CVTSD2SI64rm	= 618,
-    X86_CVTSD2SI64rr	= 619,
-    X86_CVTSD2SIrm	= 620,
-    X86_CVTSD2SIrr	= 621,
-    X86_CVTSD2SSrm	= 622,
-    X86_CVTSD2SSrr	= 623,
-    X86_CVTSI2SD64rm	= 624,
-    X86_CVTSI2SD64rr	= 625,
-    X86_CVTSI2SDrm	= 626,
-    X86_CVTSI2SDrr	= 627,
-    X86_CVTSI2SS64rm	= 628,
-    X86_CVTSI2SS64rr	= 629,
-    X86_CVTSI2SSrm	= 630,
-    X86_CVTSI2SSrr	= 631,
-    X86_CVTSS2SDrm	= 632,
-    X86_CVTSS2SDrr	= 633,
-    X86_CVTSS2SI64rm	= 634,
-    X86_CVTSS2SI64rr	= 635,
-    X86_CVTSS2SIrm	= 636,
-    X86_CVTSS2SIrr	= 637,
-    X86_CVTTPD2DQrm	= 638,
-    X86_CVTTPD2DQrr	= 639,
-    X86_CVTTPS2DQrm	= 640,
-    X86_CVTTPS2DQrr	= 641,
-    X86_CVTTSD2SI64rm	= 642,
-    X86_CVTTSD2SI64rr	= 643,
-    X86_CVTTSD2SIrm	= 644,
-    X86_CVTTSD2SIrr	= 645,
-    X86_CVTTSS2SI64rm	= 646,
-    X86_CVTTSS2SI64rr	= 647,
-    X86_CVTTSS2SIrm	= 648,
-    X86_CVTTSS2SIrr	= 649,
-    X86_CWD	= 650,
-    X86_CWDE	= 651,
-    X86_DAA	= 652,
-    X86_DAS	= 653,
-    X86_DATA16_PREFIX	= 654,
-    X86_DEC16m	= 655,
-    X86_DEC16r	= 656,
-    X86_DEC32_16r	= 657,
-    X86_DEC32_32r	= 658,
-    X86_DEC32m	= 659,
-    X86_DEC32r	= 660,
-    X86_DEC64_16m	= 661,
-    X86_DEC64_16r	= 662,
-    X86_DEC64_32m	= 663,
-    X86_DEC64_32r	= 664,
-    X86_DEC64m	= 665,
-    X86_DEC64r	= 666,
-    X86_DEC8m	= 667,
-    X86_DEC8r	= 668,
-    X86_DIV16m	= 669,
-    X86_DIV16r	= 670,
-    X86_DIV32m	= 671,
-    X86_DIV32r	= 672,
-    X86_DIV64m	= 673,
-    X86_DIV64r	= 674,
-    X86_DIV8m	= 675,
-    X86_DIV8r	= 676,
-    X86_DIVPDrm	= 677,
-    X86_DIVPDrr	= 678,
-    X86_DIVPSrm	= 679,
-    X86_DIVPSrr	= 680,
-    X86_DIVR_F32m	= 681,
-    X86_DIVR_F64m	= 682,
-    X86_DIVR_FI16m	= 683,
-    X86_DIVR_FI32m	= 684,
-    X86_DIVR_FPrST0	= 685,
-    X86_DIVR_FST0r	= 686,
-    X86_DIVR_Fp32m	= 687,
-    X86_DIVR_Fp64m	= 688,
-    X86_DIVR_Fp64m32	= 689,
-    X86_DIVR_Fp80m32	= 690,
-    X86_DIVR_Fp80m64	= 691,
-    X86_DIVR_FpI16m32	= 692,
-    X86_DIVR_FpI16m64	= 693,
-    X86_DIVR_FpI16m80	= 694,
-    X86_DIVR_FpI32m32	= 695,
-    X86_DIVR_FpI32m64	= 696,
-    X86_DIVR_FpI32m80	= 697,
-    X86_DIVR_FrST0	= 698,
-    X86_DIVSDrm	= 699,
-    X86_DIVSDrm_Int	= 700,
-    X86_DIVSDrr	= 701,
-    X86_DIVSDrr_Int	= 702,
-    X86_DIVSSrm	= 703,
-    X86_DIVSSrm_Int	= 704,
-    X86_DIVSSrr	= 705,
-    X86_DIVSSrr_Int	= 706,
-    X86_DIV_F32m	= 707,
-    X86_DIV_F64m	= 708,
-    X86_DIV_FI16m	= 709,
-    X86_DIV_FI32m	= 710,
-    X86_DIV_FPrST0	= 711,
-    X86_DIV_FST0r	= 712,
-    X86_DIV_Fp32	= 713,
-    X86_DIV_Fp32m	= 714,
-    X86_DIV_Fp64	= 715,
-    X86_DIV_Fp64m	= 716,
-    X86_DIV_Fp64m32	= 717,
-    X86_DIV_Fp80	= 718,
-    X86_DIV_Fp80m32	= 719,
-    X86_DIV_Fp80m64	= 720,
-    X86_DIV_FpI16m32	= 721,
-    X86_DIV_FpI16m64	= 722,
-    X86_DIV_FpI16m80	= 723,
-    X86_DIV_FpI32m32	= 724,
-    X86_DIV_FpI32m64	= 725,
-    X86_DIV_FpI32m80	= 726,
-    X86_DIV_FrST0	= 727,
-    X86_DPPDrmi	= 728,
-    X86_DPPDrri	= 729,
-    X86_DPPSrmi	= 730,
-    X86_DPPSrri	= 731,
-    X86_DS_PREFIX	= 732,
-    X86_EH_RETURN	= 733,
-    X86_EH_RETURN64	= 734,
-    X86_EH_SjLj_LongJmp32	= 735,
-    X86_EH_SjLj_LongJmp64	= 736,
-    X86_EH_SjLj_SetJmp32	= 737,
-    X86_EH_SjLj_SetJmp64	= 738,
-    X86_EH_SjLj_Setup	= 739,
-    X86_ENCLS	= 740,
-    X86_ENCLU	= 741,
-    X86_ENTER	= 742,
-    X86_ES_PREFIX	= 743,
-    X86_EXTRACTPSmr	= 744,
-    X86_EXTRACTPSrr	= 745,
-    X86_EXTRQ	= 746,
-    X86_EXTRQI	= 747,
-    X86_F2XM1	= 748,
-    X86_FARCALL16i	= 749,
-    X86_FARCALL16m	= 750,
-    X86_FARCALL32i	= 751,
-    X86_FARCALL32m	= 752,
-    X86_FARCALL64	= 753,
-    X86_FARJMP16i	= 754,
-    X86_FARJMP16m	= 755,
-    X86_FARJMP32i	= 756,
-    X86_FARJMP32m	= 757,
-    X86_FARJMP64	= 758,
-    X86_FBLDm	= 759,
-    X86_FBSTPm	= 760,
-    X86_FCOM32m	= 761,
-    X86_FCOM64m	= 762,
-    X86_FCOMP32m	= 763,
-    X86_FCOMP64m	= 764,
-    X86_FCOMPP	= 765,
-    X86_FDECSTP	= 766,
-    X86_FEMMS	= 767,
-    X86_FFREE	= 768,
-    X86_FICOM16m	= 769,
-    X86_FICOM32m	= 770,
-    X86_FICOMP16m	= 771,
-    X86_FICOMP32m	= 772,
-    X86_FINCSTP	= 773,
-    X86_FLDCW16m	= 774,
-    X86_FLDENVm	= 775,
-    X86_FLDL2E	= 776,
-    X86_FLDL2T	= 777,
-    X86_FLDLG2	= 778,
-    X86_FLDLN2	= 779,
-    X86_FLDPI	= 780,
-    X86_FNCLEX	= 781,
-    X86_FNINIT	= 782,
-    X86_FNOP	= 783,
-    X86_FNSTCW16m	= 784,
-    X86_FNSTSW16r	= 785,
-    X86_FNSTSWm	= 786,
-    X86_FP32_TO_INT16_IN_MEM	= 787,
-    X86_FP32_TO_INT32_IN_MEM	= 788,
-    X86_FP32_TO_INT64_IN_MEM	= 789,
-    X86_FP64_TO_INT16_IN_MEM	= 790,
-    X86_FP64_TO_INT32_IN_MEM	= 791,
-    X86_FP64_TO_INT64_IN_MEM	= 792,
-    X86_FP80_TO_INT16_IN_MEM	= 793,
-    X86_FP80_TO_INT32_IN_MEM	= 794,
-    X86_FP80_TO_INT64_IN_MEM	= 795,
-    X86_FPATAN	= 796,
-    X86_FPREM	= 797,
-    X86_FPREM1	= 798,
-    X86_FPTAN	= 799,
-    X86_FRNDINT	= 800,
-    X86_FRSTORm	= 801,
-    X86_FSAVEm	= 802,
-    X86_FSCALE	= 803,
-    X86_FSETPM	= 804,
-    X86_FSINCOS	= 805,
-    X86_FSTENVm	= 806,
-    X86_FS_PREFIX	= 807,
-    X86_FXAM	= 808,
-    X86_FXRSTOR	= 809,
-    X86_FXRSTOR64	= 810,
-    X86_FXSAVE	= 811,
-    X86_FXSAVE64	= 812,
-    X86_FXTRACT	= 813,
-    X86_FYL2X	= 814,
-    X86_FYL2XP1	= 815,
-    X86_FsANDNPDrm	= 816,
-    X86_FsANDNPDrr	= 817,
-    X86_FsANDNPSrm	= 818,
-    X86_FsANDNPSrr	= 819,
-    X86_FsANDPDrm	= 820,
-    X86_FsANDPDrr	= 821,
-    X86_FsANDPSrm	= 822,
-    X86_FsANDPSrr	= 823,
-    X86_FsFLD0SD	= 824,
-    X86_FsFLD0SS	= 825,
-    X86_FsMOVAPDrm	= 826,
-    X86_FsMOVAPSrm	= 827,
-    X86_FsORPDrm	= 828,
-    X86_FsORPDrr	= 829,
-    X86_FsORPSrm	= 830,
-    X86_FsORPSrr	= 831,
-    X86_FsVMOVAPDrm	= 832,
-    X86_FsVMOVAPSrm	= 833,
-    X86_FsXORPDrm	= 834,
-    X86_FsXORPDrr	= 835,
-    X86_FsXORPSrm	= 836,
-    X86_FsXORPSrr	= 837,
-    X86_GETSEC	= 838,
-    X86_GS_PREFIX	= 839,
-    X86_HADDPDrm	= 840,
-    X86_HADDPDrr	= 841,
-    X86_HADDPSrm	= 842,
-    X86_HADDPSrr	= 843,
-    X86_HLT	= 844,
-    X86_HSUBPDrm	= 845,
-    X86_HSUBPDrr	= 846,
-    X86_HSUBPSrm	= 847,
-    X86_HSUBPSrr	= 848,
-    X86_IDIV16m	= 849,
-    X86_IDIV16r	= 850,
-    X86_IDIV32m	= 851,
-    X86_IDIV32r	= 852,
-    X86_IDIV64m	= 853,
-    X86_IDIV64r	= 854,
-    X86_IDIV8m	= 855,
-    X86_IDIV8r	= 856,
-    X86_ILD_F16m	= 857,
-    X86_ILD_F32m	= 858,
-    X86_ILD_F64m	= 859,
-    X86_ILD_Fp16m32	= 860,
-    X86_ILD_Fp16m64	= 861,
-    X86_ILD_Fp16m80	= 862,
-    X86_ILD_Fp32m32	= 863,
-    X86_ILD_Fp32m64	= 864,
-    X86_ILD_Fp32m80	= 865,
-    X86_ILD_Fp64m32	= 866,
-    X86_ILD_Fp64m64	= 867,
-    X86_ILD_Fp64m80	= 868,
-    X86_IMUL16m	= 869,
-    X86_IMUL16r	= 870,
-    X86_IMUL16rm	= 871,
-    X86_IMUL16rmi	= 872,
-    X86_IMUL16rmi8	= 873,
-    X86_IMUL16rr	= 874,
-    X86_IMUL16rri	= 875,
-    X86_IMUL16rri8	= 876,
-    X86_IMUL32m	= 877,
-    X86_IMUL32r	= 878,
-    X86_IMUL32rm	= 879,
-    X86_IMUL32rmi	= 880,
-    X86_IMUL32rmi8	= 881,
-    X86_IMUL32rr	= 882,
-    X86_IMUL32rri	= 883,
-    X86_IMUL32rri8	= 884,
-    X86_IMUL64m	= 885,
-    X86_IMUL64r	= 886,
-    X86_IMUL64rm	= 887,
-    X86_IMUL64rmi32	= 888,
-    X86_IMUL64rmi8	= 889,
-    X86_IMUL64rr	= 890,
-    X86_IMUL64rri32	= 891,
-    X86_IMUL64rri8	= 892,
-    X86_IMUL8m	= 893,
-    X86_IMUL8r	= 894,
-    X86_IN16ri	= 895,
-    X86_IN16rr	= 896,
-    X86_IN32ri	= 897,
-    X86_IN32rr	= 898,
-    X86_IN8ri	= 899,
-    X86_IN8rr	= 900,
-    X86_INC16m	= 901,
-    X86_INC16r	= 902,
-    X86_INC32_16r	= 903,
-    X86_INC32_32r	= 904,
-    X86_INC32m	= 905,
-    X86_INC32r	= 906,
-    X86_INC64_16m	= 907,
-    X86_INC64_16r	= 908,
-    X86_INC64_32m	= 909,
-    X86_INC64_32r	= 910,
-    X86_INC64m	= 911,
-    X86_INC64r	= 912,
-    X86_INC8m	= 913,
-    X86_INC8r	= 914,
-    X86_INSB	= 915,
-    X86_INSERTPSrm	= 916,
-    X86_INSERTPSrr	= 917,
-    X86_INSERTQ	= 918,
-    X86_INSERTQI	= 919,
-    X86_INSL	= 920,
-    X86_INSW	= 921,
-    X86_INT	= 922,
-    X86_INT1	= 923,
-    X86_INT3	= 924,
-    X86_INTO	= 925,
-    X86_INVD	= 926,
-    X86_INVEPT32	= 927,
-    X86_INVEPT64	= 928,
-    X86_INVLPG	= 929,
-    X86_INVLPGA32	= 930,
-    X86_INVLPGA64	= 931,
-    X86_INVPCID32	= 932,
-    X86_INVPCID64	= 933,
-    X86_INVVPID32	= 934,
-    X86_INVVPID64	= 935,
-    X86_IRET16	= 936,
-    X86_IRET32	= 937,
-    X86_IRET64	= 938,
-    X86_ISTT_FP16m	= 939,
-    X86_ISTT_FP32m	= 940,
-    X86_ISTT_FP64m	= 941,
-    X86_ISTT_Fp16m32	= 942,
-    X86_ISTT_Fp16m64	= 943,
-    X86_ISTT_Fp16m80	= 944,
-    X86_ISTT_Fp32m32	= 945,
-    X86_ISTT_Fp32m64	= 946,
-    X86_ISTT_Fp32m80	= 947,
-    X86_ISTT_Fp64m32	= 948,
-    X86_ISTT_Fp64m64	= 949,
-    X86_ISTT_Fp64m80	= 950,
-    X86_IST_F16m	= 951,
-    X86_IST_F32m	= 952,
-    X86_IST_FP16m	= 953,
-    X86_IST_FP32m	= 954,
-    X86_IST_FP64m	= 955,
-    X86_IST_Fp16m32	= 956,
-    X86_IST_Fp16m64	= 957,
-    X86_IST_Fp16m80	= 958,
-    X86_IST_Fp32m32	= 959,
-    X86_IST_Fp32m64	= 960,
-    X86_IST_Fp32m80	= 961,
-    X86_IST_Fp64m32	= 962,
-    X86_IST_Fp64m64	= 963,
-    X86_IST_Fp64m80	= 964,
-    X86_Int_CMPSDrm	= 965,
-    X86_Int_CMPSDrr	= 966,
-    X86_Int_CMPSSrm	= 967,
-    X86_Int_CMPSSrr	= 968,
-    X86_Int_COMISDrm	= 969,
-    X86_Int_COMISDrr	= 970,
-    X86_Int_COMISSrm	= 971,
-    X86_Int_COMISSrr	= 972,
-    X86_Int_CVTSD2SSrm	= 973,
-    X86_Int_CVTSD2SSrr	= 974,
-    X86_Int_CVTSI2SD64rm	= 975,
-    X86_Int_CVTSI2SD64rr	= 976,
-    X86_Int_CVTSI2SDrm	= 977,
-    X86_Int_CVTSI2SDrr	= 978,
-    X86_Int_CVTSI2SS64rm	= 979,
-    X86_Int_CVTSI2SS64rr	= 980,
-    X86_Int_CVTSI2SSrm	= 981,
-    X86_Int_CVTSI2SSrr	= 982,
-    X86_Int_CVTSS2SDrm	= 983,
-    X86_Int_CVTSS2SDrr	= 984,
-    X86_Int_CVTTSD2SI64rm	= 985,
-    X86_Int_CVTTSD2SI64rr	= 986,
-    X86_Int_CVTTSD2SIrm	= 987,
-    X86_Int_CVTTSD2SIrr	= 988,
-    X86_Int_CVTTSS2SI64rm	= 989,
-    X86_Int_CVTTSS2SI64rr	= 990,
-    X86_Int_CVTTSS2SIrm	= 991,
-    X86_Int_CVTTSS2SIrr	= 992,
-    X86_Int_MemBarrier	= 993,
-    X86_Int_UCOMISDrm	= 994,
-    X86_Int_UCOMISDrr	= 995,
-    X86_Int_UCOMISSrm	= 996,
-    X86_Int_UCOMISSrr	= 997,
-    X86_Int_VCMPSDrm	= 998,
-    X86_Int_VCMPSDrr	= 999,
-    X86_Int_VCMPSSrm	= 1000,
-    X86_Int_VCMPSSrr	= 1001,
-    X86_Int_VCOMISDZrm	= 1002,
-    X86_Int_VCOMISDZrr	= 1003,
-    X86_Int_VCOMISDrm	= 1004,
-    X86_Int_VCOMISDrr	= 1005,
-    X86_Int_VCOMISSZrm	= 1006,
-    X86_Int_VCOMISSZrr	= 1007,
-    X86_Int_VCOMISSrm	= 1008,
-    X86_Int_VCOMISSrr	= 1009,
-    X86_Int_VCVTSD2SSrm	= 1010,
-    X86_Int_VCVTSD2SSrr	= 1011,
-    X86_Int_VCVTSI2SD64Zrm	= 1012,
-    X86_Int_VCVTSI2SD64Zrr	= 1013,
-    X86_Int_VCVTSI2SD64rm	= 1014,
-    X86_Int_VCVTSI2SD64rr	= 1015,
-    X86_Int_VCVTSI2SDZrm	= 1016,
-    X86_Int_VCVTSI2SDZrr	= 1017,
-    X86_Int_VCVTSI2SDrm	= 1018,
-    X86_Int_VCVTSI2SDrr	= 1019,
-    X86_Int_VCVTSI2SS64Zrm	= 1020,
-    X86_Int_VCVTSI2SS64Zrr	= 1021,
-    X86_Int_VCVTSI2SS64rm	= 1022,
-    X86_Int_VCVTSI2SS64rr	= 1023,
-    X86_Int_VCVTSI2SSZrm	= 1024,
-    X86_Int_VCVTSI2SSZrr	= 1025,
-    X86_Int_VCVTSI2SSrm	= 1026,
-    X86_Int_VCVTSI2SSrr	= 1027,
-    X86_Int_VCVTSS2SDrm	= 1028,
-    X86_Int_VCVTSS2SDrr	= 1029,
-    X86_Int_VCVTTSD2SI64Zrm	= 1030,
-    X86_Int_VCVTTSD2SI64Zrr	= 1031,
-    X86_Int_VCVTTSD2SI64rm	= 1032,
-    X86_Int_VCVTTSD2SI64rr	= 1033,
-    X86_Int_VCVTTSD2SIZrm	= 1034,
-    X86_Int_VCVTTSD2SIZrr	= 1035,
-    X86_Int_VCVTTSD2SIrm	= 1036,
-    X86_Int_VCVTTSD2SIrr	= 1037,
-    X86_Int_VCVTTSD2USI64Zrm	= 1038,
-    X86_Int_VCVTTSD2USI64Zrr	= 1039,
-    X86_Int_VCVTTSD2USIZrm	= 1040,
-    X86_Int_VCVTTSD2USIZrr	= 1041,
-    X86_Int_VCVTTSS2SI64Zrm	= 1042,
-    X86_Int_VCVTTSS2SI64Zrr	= 1043,
-    X86_Int_VCVTTSS2SI64rm	= 1044,
-    X86_Int_VCVTTSS2SI64rr	= 1045,
-    X86_Int_VCVTTSS2SIZrm	= 1046,
-    X86_Int_VCVTTSS2SIZrr	= 1047,
-    X86_Int_VCVTTSS2SIrm	= 1048,
-    X86_Int_VCVTTSS2SIrr	= 1049,
-    X86_Int_VCVTTSS2USI64Zrm	= 1050,
-    X86_Int_VCVTTSS2USI64Zrr	= 1051,
-    X86_Int_VCVTTSS2USIZrm	= 1052,
-    X86_Int_VCVTTSS2USIZrr	= 1053,
-    X86_Int_VCVTUSI2SD64Zrm	= 1054,
-    X86_Int_VCVTUSI2SD64Zrr	= 1055,
-    X86_Int_VCVTUSI2SDZrm	= 1056,
-    X86_Int_VCVTUSI2SDZrr	= 1057,
-    X86_Int_VCVTUSI2SS64Zrm	= 1058,
-    X86_Int_VCVTUSI2SS64Zrr	= 1059,
-    X86_Int_VCVTUSI2SSZrm	= 1060,
-    X86_Int_VCVTUSI2SSZrr	= 1061,
-    X86_Int_VUCOMISDZrm	= 1062,
-    X86_Int_VUCOMISDZrr	= 1063,
-    X86_Int_VUCOMISDrm	= 1064,
-    X86_Int_VUCOMISDrr	= 1065,
-    X86_Int_VUCOMISSZrm	= 1066,
-    X86_Int_VUCOMISSZrr	= 1067,
-    X86_Int_VUCOMISSrm	= 1068,
-    X86_Int_VUCOMISSrr	= 1069,
-    X86_JAE_1	= 1070,
-    X86_JAE_2	= 1071,
-    X86_JAE_4	= 1072,
-    X86_JA_1	= 1073,
-    X86_JA_2	= 1074,
-    X86_JA_4	= 1075,
-    X86_JBE_1	= 1076,
-    X86_JBE_2	= 1077,
-    X86_JBE_4	= 1078,
-    X86_JB_1	= 1079,
-    X86_JB_2	= 1080,
-    X86_JB_4	= 1081,
-    X86_JCXZ	= 1082,
-    X86_JECXZ_32	= 1083,
-    X86_JECXZ_64	= 1084,
-    X86_JE_1	= 1085,
-    X86_JE_2	= 1086,
-    X86_JE_4	= 1087,
-    X86_JGE_1	= 1088,
-    X86_JGE_2	= 1089,
-    X86_JGE_4	= 1090,
-    X86_JG_1	= 1091,
-    X86_JG_2	= 1092,
-    X86_JG_4	= 1093,
-    X86_JLE_1	= 1094,
-    X86_JLE_2	= 1095,
-    X86_JLE_4	= 1096,
-    X86_JL_1	= 1097,
-    X86_JL_2	= 1098,
-    X86_JL_4	= 1099,
-    X86_JMP16m	= 1100,
-    X86_JMP16r	= 1101,
-    X86_JMP32m	= 1102,
-    X86_JMP32r	= 1103,
-    X86_JMP64m	= 1104,
-    X86_JMP64r	= 1105,
-    X86_JMP_1	= 1106,
-    X86_JMP_2	= 1107,
-    X86_JMP_4	= 1108,
-    X86_JNE_1	= 1109,
-    X86_JNE_2	= 1110,
-    X86_JNE_4	= 1111,
-    X86_JNO_1	= 1112,
-    X86_JNO_2	= 1113,
-    X86_JNO_4	= 1114,
-    X86_JNP_1	= 1115,
-    X86_JNP_2	= 1116,
-    X86_JNP_4	= 1117,
-    X86_JNS_1	= 1118,
-    X86_JNS_2	= 1119,
-    X86_JNS_4	= 1120,
-    X86_JO_1	= 1121,
-    X86_JO_2	= 1122,
-    X86_JO_4	= 1123,
-    X86_JP_1	= 1124,
-    X86_JP_2	= 1125,
-    X86_JP_4	= 1126,
-    X86_JRCXZ	= 1127,
-    X86_JS_1	= 1128,
-    X86_JS_2	= 1129,
-    X86_JS_4	= 1130,
-    X86_KANDBrr	= 1131,
-    X86_KANDDrr	= 1132,
-    X86_KANDNBrr	= 1133,
-    X86_KANDNDrr	= 1134,
-    X86_KANDNQrr	= 1135,
-    X86_KANDNWrr	= 1136,
-    X86_KANDQrr	= 1137,
-    X86_KANDWrr	= 1138,
-    X86_KMOVBkk	= 1139,
-    X86_KMOVBkm	= 1140,
-    X86_KMOVBkr	= 1141,
-    X86_KMOVBmk	= 1142,
-    X86_KMOVBrk	= 1143,
-    X86_KMOVDkk	= 1144,
-    X86_KMOVDkm	= 1145,
-    X86_KMOVDkr	= 1146,
-    X86_KMOVDmk	= 1147,
-    X86_KMOVDrk	= 1148,
-    X86_KMOVQkk	= 1149,
-    X86_KMOVQkm	= 1150,
-    X86_KMOVQkr	= 1151,
-    X86_KMOVQmk	= 1152,
-    X86_KMOVQrk	= 1153,
-    X86_KMOVWkk	= 1154,
-    X86_KMOVWkm	= 1155,
-    X86_KMOVWkr	= 1156,
-    X86_KMOVWmk	= 1157,
-    X86_KMOVWrk	= 1158,
-    X86_KNOTBrr	= 1159,
-    X86_KNOTDrr	= 1160,
-    X86_KNOTQrr	= 1161,
-    X86_KNOTWrr	= 1162,
-    X86_KORBrr	= 1163,
-    X86_KORDrr	= 1164,
-    X86_KORQrr	= 1165,
-    X86_KORTESTWrr	= 1166,
-    X86_KORWrr	= 1167,
-    X86_KSET0B	= 1168,
-    X86_KSET0W	= 1169,
-    X86_KSET1B	= 1170,
-    X86_KSET1W	= 1171,
-    X86_KSHIFTLWri	= 1172,
-    X86_KSHIFTRWri	= 1173,
-    X86_KUNPCKBWrr	= 1174,
-    X86_KXNORBrr	= 1175,
-    X86_KXNORDrr	= 1176,
-    X86_KXNORQrr	= 1177,
-    X86_KXNORWrr	= 1178,
-    X86_KXORBrr	= 1179,
-    X86_KXORDrr	= 1180,
-    X86_KXORQrr	= 1181,
-    X86_KXORWrr	= 1182,
-    X86_LAHF	= 1183,
-    X86_LAR16rm	= 1184,
-    X86_LAR16rr	= 1185,
-    X86_LAR32rm	= 1186,
-    X86_LAR32rr	= 1187,
-    X86_LAR64rm	= 1188,
-    X86_LAR64rr	= 1189,
-    X86_LCMPXCHG16	= 1190,
-    X86_LCMPXCHG16B	= 1191,
-    X86_LCMPXCHG32	= 1192,
-    X86_LCMPXCHG64	= 1193,
-    X86_LCMPXCHG8	= 1194,
-    X86_LCMPXCHG8B	= 1195,
-    X86_LDDQUrm	= 1196,
-    X86_LDMXCSR	= 1197,
-    X86_LDS16rm	= 1198,
-    X86_LDS32rm	= 1199,
-    X86_LD_F0	= 1200,
-    X86_LD_F1	= 1201,
-    X86_LD_F32m	= 1202,
-    X86_LD_F64m	= 1203,
-    X86_LD_F80m	= 1204,
-    X86_LD_Fp032	= 1205,
-    X86_LD_Fp064	= 1206,
-    X86_LD_Fp080	= 1207,
-    X86_LD_Fp132	= 1208,
-    X86_LD_Fp164	= 1209,
-    X86_LD_Fp180	= 1210,
-    X86_LD_Fp32m	= 1211,
-    X86_LD_Fp32m64	= 1212,
-    X86_LD_Fp32m80	= 1213,
-    X86_LD_Fp64m	= 1214,
-    X86_LD_Fp64m80	= 1215,
-    X86_LD_Fp80m	= 1216,
-    X86_LD_Frr	= 1217,
-    X86_LEA16r	= 1218,
-    X86_LEA32r	= 1219,
-    X86_LEA64_32r	= 1220,
-    X86_LEA64r	= 1221,
-    X86_LEAVE	= 1222,
-    X86_LEAVE64	= 1223,
-    X86_LES16rm	= 1224,
-    X86_LES32rm	= 1225,
-    X86_LFENCE	= 1226,
-    X86_LFS16rm	= 1227,
-    X86_LFS32rm	= 1228,
-    X86_LFS64rm	= 1229,
-    X86_LGDT16m	= 1230,
-    X86_LGDT32m	= 1231,
-    X86_LGDT64m	= 1232,
-    X86_LGS16rm	= 1233,
-    X86_LGS32rm	= 1234,
-    X86_LGS64rm	= 1235,
-    X86_LIDT16m	= 1236,
-    X86_LIDT32m	= 1237,
-    X86_LIDT64m	= 1238,
-    X86_LLDT16m	= 1239,
-    X86_LLDT16r	= 1240,
-    X86_LMSW16m	= 1241,
-    X86_LMSW16r	= 1242,
-    X86_LOCK_ADD16mi	= 1243,
-    X86_LOCK_ADD16mi8	= 1244,
-    X86_LOCK_ADD16mr	= 1245,
-    X86_LOCK_ADD32mi	= 1246,
-    X86_LOCK_ADD32mi8	= 1247,
-    X86_LOCK_ADD32mr	= 1248,
-    X86_LOCK_ADD64mi32	= 1249,
-    X86_LOCK_ADD64mi8	= 1250,
-    X86_LOCK_ADD64mr	= 1251,
-    X86_LOCK_ADD8mi	= 1252,
-    X86_LOCK_ADD8mr	= 1253,
-    X86_LOCK_AND16mi	= 1254,
-    X86_LOCK_AND16mi8	= 1255,
-    X86_LOCK_AND16mr	= 1256,
-    X86_LOCK_AND32mi	= 1257,
-    X86_LOCK_AND32mi8	= 1258,
-    X86_LOCK_AND32mr	= 1259,
-    X86_LOCK_AND64mi32	= 1260,
-    X86_LOCK_AND64mi8	= 1261,
-    X86_LOCK_AND64mr	= 1262,
-    X86_LOCK_AND8mi	= 1263,
-    X86_LOCK_AND8mr	= 1264,
-    X86_LOCK_DEC16m	= 1265,
-    X86_LOCK_DEC32m	= 1266,
-    X86_LOCK_DEC64m	= 1267,
-    X86_LOCK_DEC8m	= 1268,
-    X86_LOCK_INC16m	= 1269,
-    X86_LOCK_INC32m	= 1270,
-    X86_LOCK_INC64m	= 1271,
-    X86_LOCK_INC8m	= 1272,
-    X86_LOCK_OR16mi	= 1273,
-    X86_LOCK_OR16mi8	= 1274,
-    X86_LOCK_OR16mr	= 1275,
-    X86_LOCK_OR32mi	= 1276,
-    X86_LOCK_OR32mi8	= 1277,
-    X86_LOCK_OR32mr	= 1278,
-    X86_LOCK_OR64mi32	= 1279,
-    X86_LOCK_OR64mi8	= 1280,
-    X86_LOCK_OR64mr	= 1281,
-    X86_LOCK_OR8mi	= 1282,
-    X86_LOCK_OR8mr	= 1283,
-    X86_LOCK_PREFIX	= 1284,
-    X86_LOCK_SUB16mi	= 1285,
-    X86_LOCK_SUB16mi8	= 1286,
-    X86_LOCK_SUB16mr	= 1287,
-    X86_LOCK_SUB32mi	= 1288,
-    X86_LOCK_SUB32mi8	= 1289,
-    X86_LOCK_SUB32mr	= 1290,
-    X86_LOCK_SUB64mi32	= 1291,
-    X86_LOCK_SUB64mi8	= 1292,
-    X86_LOCK_SUB64mr	= 1293,
-    X86_LOCK_SUB8mi	= 1294,
-    X86_LOCK_SUB8mr	= 1295,
-    X86_LOCK_XOR16mi	= 1296,
-    X86_LOCK_XOR16mi8	= 1297,
-    X86_LOCK_XOR16mr	= 1298,
-    X86_LOCK_XOR32mi	= 1299,
-    X86_LOCK_XOR32mi8	= 1300,
-    X86_LOCK_XOR32mr	= 1301,
-    X86_LOCK_XOR64mi32	= 1302,
-    X86_LOCK_XOR64mi8	= 1303,
-    X86_LOCK_XOR64mr	= 1304,
-    X86_LOCK_XOR8mi	= 1305,
-    X86_LOCK_XOR8mr	= 1306,
-    X86_LODSB	= 1307,
-    X86_LODSL	= 1308,
-    X86_LODSQ	= 1309,
-    X86_LODSW	= 1310,
-    X86_LOOP	= 1311,
-    X86_LOOPE	= 1312,
-    X86_LOOPNE	= 1313,
-    X86_LRETIL	= 1314,
-    X86_LRETIQ	= 1315,
-    X86_LRETIW	= 1316,
-    X86_LRETL	= 1317,
-    X86_LRETQ	= 1318,
-    X86_LRETW	= 1319,
-    X86_LSL16rm	= 1320,
-    X86_LSL16rr	= 1321,
-    X86_LSL32rm	= 1322,
-    X86_LSL32rr	= 1323,
-    X86_LSL64rm	= 1324,
-    X86_LSL64rr	= 1325,
-    X86_LSS16rm	= 1326,
-    X86_LSS32rm	= 1327,
-    X86_LSS64rm	= 1328,
-    X86_LTRm	= 1329,
-    X86_LTRr	= 1330,
-    X86_LXADD16	= 1331,
-    X86_LXADD32	= 1332,
-    X86_LXADD64	= 1333,
-    X86_LXADD8	= 1334,
-    X86_LZCNT16rm	= 1335,
-    X86_LZCNT16rr	= 1336,
-    X86_LZCNT32rm	= 1337,
-    X86_LZCNT32rr	= 1338,
-    X86_LZCNT64rm	= 1339,
-    X86_LZCNT64rr	= 1340,
-    X86_MASKMOVDQU	= 1341,
-    X86_MASKMOVDQU64	= 1342,
-    X86_MAXCPDrm	= 1343,
-    X86_MAXCPDrr	= 1344,
-    X86_MAXCPSrm	= 1345,
-    X86_MAXCPSrr	= 1346,
-    X86_MAXCSDrm	= 1347,
-    X86_MAXCSDrr	= 1348,
-    X86_MAXCSSrm	= 1349,
-    X86_MAXCSSrr	= 1350,
-    X86_MAXPDrm	= 1351,
-    X86_MAXPDrr	= 1352,
-    X86_MAXPSrm	= 1353,
-    X86_MAXPSrr	= 1354,
-    X86_MAXSDrm	= 1355,
-    X86_MAXSDrm_Int	= 1356,
-    X86_MAXSDrr	= 1357,
-    X86_MAXSDrr_Int	= 1358,
-    X86_MAXSSrm	= 1359,
-    X86_MAXSSrm_Int	= 1360,
-    X86_MAXSSrr	= 1361,
-    X86_MAXSSrr_Int	= 1362,
-    X86_MFENCE	= 1363,
-    X86_MINCPDrm	= 1364,
-    X86_MINCPDrr	= 1365,
-    X86_MINCPSrm	= 1366,
-    X86_MINCPSrr	= 1367,
-    X86_MINCSDrm	= 1368,
-    X86_MINCSDrr	= 1369,
-    X86_MINCSSrm	= 1370,
-    X86_MINCSSrr	= 1371,
-    X86_MINPDrm	= 1372,
-    X86_MINPDrr	= 1373,
-    X86_MINPSrm	= 1374,
-    X86_MINPSrr	= 1375,
-    X86_MINSDrm	= 1376,
-    X86_MINSDrm_Int	= 1377,
-    X86_MINSDrr	= 1378,
-    X86_MINSDrr_Int	= 1379,
-    X86_MINSSrm	= 1380,
-    X86_MINSSrm_Int	= 1381,
-    X86_MINSSrr	= 1382,
-    X86_MINSSrr_Int	= 1383,
-    X86_MMX_CVTPD2PIirm	= 1384,
-    X86_MMX_CVTPD2PIirr	= 1385,
-    X86_MMX_CVTPI2PDirm	= 1386,
-    X86_MMX_CVTPI2PDirr	= 1387,
-    X86_MMX_CVTPI2PSirm	= 1388,
-    X86_MMX_CVTPI2PSirr	= 1389,
-    X86_MMX_CVTPS2PIirm	= 1390,
-    X86_MMX_CVTPS2PIirr	= 1391,
-    X86_MMX_CVTTPD2PIirm	= 1392,
-    X86_MMX_CVTTPD2PIirr	= 1393,
-    X86_MMX_CVTTPS2PIirm	= 1394,
-    X86_MMX_CVTTPS2PIirr	= 1395,
-    X86_MMX_EMMS	= 1396,
-    X86_MMX_MASKMOVQ	= 1397,
-    X86_MMX_MASKMOVQ64	= 1398,
-    X86_MMX_MOVD64from64rr	= 1399,
-    X86_MMX_MOVD64grr	= 1400,
-    X86_MMX_MOVD64mr	= 1401,
-    X86_MMX_MOVD64rm	= 1402,
-    X86_MMX_MOVD64rr	= 1403,
-    X86_MMX_MOVD64to64rr	= 1404,
-    X86_MMX_MOVDQ2Qrr	= 1405,
-    X86_MMX_MOVFR642Qrr	= 1406,
-    X86_MMX_MOVNTQmr	= 1407,
-    X86_MMX_MOVQ2DQrr	= 1408,
-    X86_MMX_MOVQ2FR64rr	= 1409,
-    X86_MMX_MOVQ64mr	= 1410,
-    X86_MMX_MOVQ64rm	= 1411,
-    X86_MMX_MOVQ64rr	= 1412,
-    X86_MMX_MOVQ64rr_REV	= 1413,
-    X86_MMX_PABSBrm64	= 1414,
-    X86_MMX_PABSBrr64	= 1415,
-    X86_MMX_PABSDrm64	= 1416,
-    X86_MMX_PABSDrr64	= 1417,
-    X86_MMX_PABSWrm64	= 1418,
-    X86_MMX_PABSWrr64	= 1419,
-    X86_MMX_PACKSSDWirm	= 1420,
-    X86_MMX_PACKSSDWirr	= 1421,
-    X86_MMX_PACKSSWBirm	= 1422,
-    X86_MMX_PACKSSWBirr	= 1423,
-    X86_MMX_PACKUSWBirm	= 1424,
-    X86_MMX_PACKUSWBirr	= 1425,
-    X86_MMX_PADDBirm	= 1426,
-    X86_MMX_PADDBirr	= 1427,
-    X86_MMX_PADDDirm	= 1428,
-    X86_MMX_PADDDirr	= 1429,
-    X86_MMX_PADDQirm	= 1430,
-    X86_MMX_PADDQirr	= 1431,
-    X86_MMX_PADDSBirm	= 1432,
-    X86_MMX_PADDSBirr	= 1433,
-    X86_MMX_PADDSWirm	= 1434,
-    X86_MMX_PADDSWirr	= 1435,
-    X86_MMX_PADDUSBirm	= 1436,
-    X86_MMX_PADDUSBirr	= 1437,
-    X86_MMX_PADDUSWirm	= 1438,
-    X86_MMX_PADDUSWirr	= 1439,
-    X86_MMX_PADDWirm	= 1440,
-    X86_MMX_PADDWirr	= 1441,
-    X86_MMX_PALIGNR64irm	= 1442,
-    X86_MMX_PALIGNR64irr	= 1443,
-    X86_MMX_PANDNirm	= 1444,
-    X86_MMX_PANDNirr	= 1445,
-    X86_MMX_PANDirm	= 1446,
-    X86_MMX_PANDirr	= 1447,
-    X86_MMX_PAVGBirm	= 1448,
-    X86_MMX_PAVGBirr	= 1449,
-    X86_MMX_PAVGWirm	= 1450,
-    X86_MMX_PAVGWirr	= 1451,
-    X86_MMX_PCMPEQBirm	= 1452,
-    X86_MMX_PCMPEQBirr	= 1453,
-    X86_MMX_PCMPEQDirm	= 1454,
-    X86_MMX_PCMPEQDirr	= 1455,
-    X86_MMX_PCMPEQWirm	= 1456,
-    X86_MMX_PCMPEQWirr	= 1457,
-    X86_MMX_PCMPGTBirm	= 1458,
-    X86_MMX_PCMPGTBirr	= 1459,
-    X86_MMX_PCMPGTDirm	= 1460,
-    X86_MMX_PCMPGTDirr	= 1461,
-    X86_MMX_PCMPGTWirm	= 1462,
-    X86_MMX_PCMPGTWirr	= 1463,
-    X86_MMX_PEXTRWirri	= 1464,
-    X86_MMX_PHADDSWrm64	= 1465,
-    X86_MMX_PHADDSWrr64	= 1466,
-    X86_MMX_PHADDWrm64	= 1467,
-    X86_MMX_PHADDWrr64	= 1468,
-    X86_MMX_PHADDrm64	= 1469,
-    X86_MMX_PHADDrr64	= 1470,
-    X86_MMX_PHSUBDrm64	= 1471,
-    X86_MMX_PHSUBDrr64	= 1472,
-    X86_MMX_PHSUBSWrm64	= 1473,
-    X86_MMX_PHSUBSWrr64	= 1474,
-    X86_MMX_PHSUBWrm64	= 1475,
-    X86_MMX_PHSUBWrr64	= 1476,
-    X86_MMX_PINSRWirmi	= 1477,
-    X86_MMX_PINSRWirri	= 1478,
-    X86_MMX_PMADDUBSWrm64	= 1479,
-    X86_MMX_PMADDUBSWrr64	= 1480,
-    X86_MMX_PMADDWDirm	= 1481,
-    X86_MMX_PMADDWDirr	= 1482,
-    X86_MMX_PMAXSWirm	= 1483,
-    X86_MMX_PMAXSWirr	= 1484,
-    X86_MMX_PMAXUBirm	= 1485,
-    X86_MMX_PMAXUBirr	= 1486,
-    X86_MMX_PMINSWirm	= 1487,
-    X86_MMX_PMINSWirr	= 1488,
-    X86_MMX_PMINUBirm	= 1489,
-    X86_MMX_PMINUBirr	= 1490,
-    X86_MMX_PMOVMSKBrr	= 1491,
-    X86_MMX_PMULHRSWrm64	= 1492,
-    X86_MMX_PMULHRSWrr64	= 1493,
-    X86_MMX_PMULHUWirm	= 1494,
-    X86_MMX_PMULHUWirr	= 1495,
-    X86_MMX_PMULHWirm	= 1496,
-    X86_MMX_PMULHWirr	= 1497,
-    X86_MMX_PMULLWirm	= 1498,
-    X86_MMX_PMULLWirr	= 1499,
-    X86_MMX_PMULUDQirm	= 1500,
-    X86_MMX_PMULUDQirr	= 1501,
-    X86_MMX_PORirm	= 1502,
-    X86_MMX_PORirr	= 1503,
-    X86_MMX_PSADBWirm	= 1504,
-    X86_MMX_PSADBWirr	= 1505,
-    X86_MMX_PSHUFBrm64	= 1506,
-    X86_MMX_PSHUFBrr64	= 1507,
-    X86_MMX_PSHUFWmi	= 1508,
-    X86_MMX_PSHUFWri	= 1509,
-    X86_MMX_PSIGNBrm64	= 1510,
-    X86_MMX_PSIGNBrr64	= 1511,
-    X86_MMX_PSIGNDrm64	= 1512,
-    X86_MMX_PSIGNDrr64	= 1513,
-    X86_MMX_PSIGNWrm64	= 1514,
-    X86_MMX_PSIGNWrr64	= 1515,
-    X86_MMX_PSLLDri	= 1516,
-    X86_MMX_PSLLDrm	= 1517,
-    X86_MMX_PSLLDrr	= 1518,
-    X86_MMX_PSLLQri	= 1519,
-    X86_MMX_PSLLQrm	= 1520,
-    X86_MMX_PSLLQrr	= 1521,
-    X86_MMX_PSLLWri	= 1522,
-    X86_MMX_PSLLWrm	= 1523,
-    X86_MMX_PSLLWrr	= 1524,
-    X86_MMX_PSRADri	= 1525,
-    X86_MMX_PSRADrm	= 1526,
-    X86_MMX_PSRADrr	= 1527,
-    X86_MMX_PSRAWri	= 1528,
-    X86_MMX_PSRAWrm	= 1529,
-    X86_MMX_PSRAWrr	= 1530,
-    X86_MMX_PSRLDri	= 1531,
-    X86_MMX_PSRLDrm	= 1532,
-    X86_MMX_PSRLDrr	= 1533,
-    X86_MMX_PSRLQri	= 1534,
-    X86_MMX_PSRLQrm	= 1535,
-    X86_MMX_PSRLQrr	= 1536,
-    X86_MMX_PSRLWri	= 1537,
-    X86_MMX_PSRLWrm	= 1538,
-    X86_MMX_PSRLWrr	= 1539,
-    X86_MMX_PSUBBirm	= 1540,
-    X86_MMX_PSUBBirr	= 1541,
-    X86_MMX_PSUBDirm	= 1542,
-    X86_MMX_PSUBDirr	= 1543,
-    X86_MMX_PSUBQirm	= 1544,
-    X86_MMX_PSUBQirr	= 1545,
-    X86_MMX_PSUBSBirm	= 1546,
-    X86_MMX_PSUBSBirr	= 1547,
-    X86_MMX_PSUBSWirm	= 1548,
-    X86_MMX_PSUBSWirr	= 1549,
-    X86_MMX_PSUBUSBirm	= 1550,
-    X86_MMX_PSUBUSBirr	= 1551,
-    X86_MMX_PSUBUSWirm	= 1552,
-    X86_MMX_PSUBUSWirr	= 1553,
-    X86_MMX_PSUBWirm	= 1554,
-    X86_MMX_PSUBWirr	= 1555,
-    X86_MMX_PUNPCKHBWirm	= 1556,
-    X86_MMX_PUNPCKHBWirr	= 1557,
-    X86_MMX_PUNPCKHDQirm	= 1558,
-    X86_MMX_PUNPCKHDQirr	= 1559,
-    X86_MMX_PUNPCKHWDirm	= 1560,
-    X86_MMX_PUNPCKHWDirr	= 1561,
-    X86_MMX_PUNPCKLBWirm	= 1562,
-    X86_MMX_PUNPCKLBWirr	= 1563,
-    X86_MMX_PUNPCKLDQirm	= 1564,
-    X86_MMX_PUNPCKLDQirr	= 1565,
-    X86_MMX_PUNPCKLWDirm	= 1566,
-    X86_MMX_PUNPCKLWDirr	= 1567,
-    X86_MMX_PXORirm	= 1568,
-    X86_MMX_PXORirr	= 1569,
-    X86_MONITOR	= 1570,
-    X86_MONITORrrr	= 1571,
-    X86_MONTMUL	= 1572,
-    X86_MORESTACK_RET	= 1573,
-    X86_MORESTACK_RET_RESTORE_R10	= 1574,
-    X86_MOV16ao16	= 1575,
-    X86_MOV16ao16_16	= 1576,
-    X86_MOV16mi	= 1577,
-    X86_MOV16mr	= 1578,
-    X86_MOV16ms	= 1579,
-    X86_MOV16o16a	= 1580,
-    X86_MOV16o16a_16	= 1581,
-    X86_MOV16ri	= 1582,
-    X86_MOV16ri_alt	= 1583,
-    X86_MOV16rm	= 1584,
-    X86_MOV16rr	= 1585,
-    X86_MOV16rr_REV	= 1586,
-    X86_MOV16rs	= 1587,
-    X86_MOV16sm	= 1588,
-    X86_MOV16sr	= 1589,
-    X86_MOV32ao32	= 1590,
-    X86_MOV32ao32_16	= 1591,
-    X86_MOV32cr	= 1592,
-    X86_MOV32dr	= 1593,
-    X86_MOV32mi	= 1594,
-    X86_MOV32mr	= 1595,
-    X86_MOV32ms	= 1596,
-    X86_MOV32o32a	= 1597,
-    X86_MOV32o32a_16	= 1598,
-    X86_MOV32r0	= 1599,
-    X86_MOV32rc	= 1600,
-    X86_MOV32rd	= 1601,
-    X86_MOV32ri	= 1602,
-    X86_MOV32ri64	= 1603,
-    X86_MOV32ri_alt	= 1604,
-    X86_MOV32rm	= 1605,
-    X86_MOV32rr	= 1606,
-    X86_MOV32rr_REV	= 1607,
-    X86_MOV32rs	= 1608,
-    X86_MOV32sm	= 1609,
-    X86_MOV32sr	= 1610,
-    X86_MOV64ao16	= 1611,
-    X86_MOV64ao32	= 1612,
-    X86_MOV64ao64	= 1613,
-    X86_MOV64ao8	= 1614,
-    X86_MOV64cr	= 1615,
-    X86_MOV64dr	= 1616,
-    X86_MOV64mi32	= 1617,
-    X86_MOV64mr	= 1618,
-    X86_MOV64ms	= 1619,
-    X86_MOV64o16a	= 1620,
-    X86_MOV64o32a	= 1621,
-    X86_MOV64o64a	= 1622,
-    X86_MOV64o8a	= 1623,
-    X86_MOV64rc	= 1624,
-    X86_MOV64rd	= 1625,
-    X86_MOV64ri	= 1626,
-    X86_MOV64ri32	= 1627,
-    X86_MOV64rm	= 1628,
-    X86_MOV64rr	= 1629,
-    X86_MOV64rr_REV	= 1630,
-    X86_MOV64rs	= 1631,
-    X86_MOV64sm	= 1632,
-    X86_MOV64sr	= 1633,
-    X86_MOV64toPQIrr	= 1634,
-    X86_MOV64toSDrm	= 1635,
-    X86_MOV64toSDrr	= 1636,
-    X86_MOV8ao8	= 1637,
-    X86_MOV8ao8_16	= 1638,
-    X86_MOV8mi	= 1639,
-    X86_MOV8mr	= 1640,
-    X86_MOV8mr_NOREX	= 1641,
-    X86_MOV8o8a	= 1642,
-    X86_MOV8o8a_16	= 1643,
-    X86_MOV8ri	= 1644,
-    X86_MOV8ri_alt	= 1645,
-    X86_MOV8rm	= 1646,
-    X86_MOV8rm_NOREX	= 1647,
-    X86_MOV8rr	= 1648,
-    X86_MOV8rr_NOREX	= 1649,
-    X86_MOV8rr_REV	= 1650,
-    X86_MOVAPDmr	= 1651,
-    X86_MOVAPDrm	= 1652,
-    X86_MOVAPDrr	= 1653,
-    X86_MOVAPDrr_REV	= 1654,
-    X86_MOVAPSmr	= 1655,
-    X86_MOVAPSrm	= 1656,
-    X86_MOVAPSrr	= 1657,
-    X86_MOVAPSrr_REV	= 1658,
-    X86_MOVBE16mr	= 1659,
-    X86_MOVBE16rm	= 1660,
-    X86_MOVBE32mr	= 1661,
-    X86_MOVBE32rm	= 1662,
-    X86_MOVBE64mr	= 1663,
-    X86_MOVBE64rm	= 1664,
-    X86_MOVDDUPrm	= 1665,
-    X86_MOVDDUPrr	= 1666,
-    X86_MOVDI2PDIrm	= 1667,
-    X86_MOVDI2PDIrr	= 1668,
-    X86_MOVDI2SSrm	= 1669,
-    X86_MOVDI2SSrr	= 1670,
-    X86_MOVDQAmr	= 1671,
-    X86_MOVDQArm	= 1672,
-    X86_MOVDQArr	= 1673,
-    X86_MOVDQArr_REV	= 1674,
-    X86_MOVDQUmr	= 1675,
-    X86_MOVDQUrm	= 1676,
-    X86_MOVDQUrr	= 1677,
-    X86_MOVDQUrr_REV	= 1678,
-    X86_MOVHLPSrr	= 1679,
-    X86_MOVHPDmr	= 1680,
-    X86_MOVHPDrm	= 1681,
-    X86_MOVHPSmr	= 1682,
-    X86_MOVHPSrm	= 1683,
-    X86_MOVLHPSrr	= 1684,
-    X86_MOVLPDmr	= 1685,
-    X86_MOVLPDrm	= 1686,
-    X86_MOVLPSmr	= 1687,
-    X86_MOVLPSrm	= 1688,
-    X86_MOVMSKPDrr	= 1689,
-    X86_MOVMSKPSrr	= 1690,
-    X86_MOVNTDQArm	= 1691,
-    X86_MOVNTDQmr	= 1692,
-    X86_MOVNTI_64mr	= 1693,
-    X86_MOVNTImr	= 1694,
-    X86_MOVNTPDmr	= 1695,
-    X86_MOVNTPSmr	= 1696,
-    X86_MOVNTSD	= 1697,
-    X86_MOVNTSS	= 1698,
-    X86_MOVPC32r	= 1699,
-    X86_MOVPDI2DImr	= 1700,
-    X86_MOVPDI2DIrr	= 1701,
-    X86_MOVPQI2QImr	= 1702,
-    X86_MOVPQI2QIrr	= 1703,
-    X86_MOVPQIto64rr	= 1704,
-    X86_MOVQI2PQIrm	= 1705,
-    X86_MOVSB	= 1706,
-    X86_MOVSDmr	= 1707,
-    X86_MOVSDrm	= 1708,
-    X86_MOVSDrr	= 1709,
-    X86_MOVSDrr_REV	= 1710,
-    X86_MOVSDto64mr	= 1711,
-    X86_MOVSDto64rr	= 1712,
-    X86_MOVSHDUPrm	= 1713,
-    X86_MOVSHDUPrr	= 1714,
-    X86_MOVSL	= 1715,
-    X86_MOVSLDUPrm	= 1716,
-    X86_MOVSLDUPrr	= 1717,
-    X86_MOVSQ	= 1718,
-    X86_MOVSS2DImr	= 1719,
-    X86_MOVSS2DIrr	= 1720,
-    X86_MOVSSmr	= 1721,
-    X86_MOVSSrm	= 1722,
-    X86_MOVSSrr	= 1723,
-    X86_MOVSSrr_REV	= 1724,
-    X86_MOVSW	= 1725,
-    X86_MOVSX16rm8	= 1726,
-    X86_MOVSX16rr8	= 1727,
-    X86_MOVSX32rm16	= 1728,
-    X86_MOVSX32rm8	= 1729,
-    X86_MOVSX32rr16	= 1730,
-    X86_MOVSX32rr8	= 1731,
-    X86_MOVSX64rm16	= 1732,
-    X86_MOVSX64rm32	= 1733,
-    X86_MOVSX64rm8	= 1734,
-    X86_MOVSX64rr16	= 1735,
-    X86_MOVSX64rr32	= 1736,
-    X86_MOVSX64rr8	= 1737,
-    X86_MOVUPDmr	= 1738,
-    X86_MOVUPDrm	= 1739,
-    X86_MOVUPDrr	= 1740,
-    X86_MOVUPDrr_REV	= 1741,
-    X86_MOVUPSmr	= 1742,
-    X86_MOVUPSrm	= 1743,
-    X86_MOVUPSrr	= 1744,
-    X86_MOVUPSrr_REV	= 1745,
-    X86_MOVZPQILo2PQIrm	= 1746,
-    X86_MOVZPQILo2PQIrr	= 1747,
-    X86_MOVZQI2PQIrm	= 1748,
-    X86_MOVZQI2PQIrr	= 1749,
-    X86_MOVZX16rm8	= 1750,
-    X86_MOVZX16rr8	= 1751,
-    X86_MOVZX32_NOREXrm8	= 1752,
-    X86_MOVZX32_NOREXrr8	= 1753,
-    X86_MOVZX32rm16	= 1754,
-    X86_MOVZX32rm8	= 1755,
-    X86_MOVZX32rr16	= 1756,
-    X86_MOVZX32rr8	= 1757,
-    X86_MOVZX64rm16_Q	= 1758,
-    X86_MOVZX64rm8_Q	= 1759,
-    X86_MOVZX64rr16_Q	= 1760,
-    X86_MOVZX64rr8_Q	= 1761,
-    X86_MPSADBWrmi	= 1762,
-    X86_MPSADBWrri	= 1763,
-    X86_MUL16m	= 1764,
-    X86_MUL16r	= 1765,
-    X86_MUL32m	= 1766,
-    X86_MUL32r	= 1767,
-    X86_MUL64m	= 1768,
-    X86_MUL64r	= 1769,
-    X86_MUL8m	= 1770,
-    X86_MUL8r	= 1771,
-    X86_MULPDrm	= 1772,
-    X86_MULPDrr	= 1773,
-    X86_MULPSrm	= 1774,
-    X86_MULPSrr	= 1775,
-    X86_MULSDrm	= 1776,
-    X86_MULSDrm_Int	= 1777,
-    X86_MULSDrr	= 1778,
-    X86_MULSDrr_Int	= 1779,
-    X86_MULSSrm	= 1780,
-    X86_MULSSrm_Int	= 1781,
-    X86_MULSSrr	= 1782,
-    X86_MULSSrr_Int	= 1783,
-    X86_MULX32rm	= 1784,
-    X86_MULX32rr	= 1785,
-    X86_MULX64rm	= 1786,
-    X86_MULX64rr	= 1787,
-    X86_MUL_F32m	= 1788,
-    X86_MUL_F64m	= 1789,
-    X86_MUL_FI16m	= 1790,
-    X86_MUL_FI32m	= 1791,
-    X86_MUL_FPrST0	= 1792,
-    X86_MUL_FST0r	= 1793,
-    X86_MUL_Fp32	= 1794,
-    X86_MUL_Fp32m	= 1795,
-    X86_MUL_Fp64	= 1796,
-    X86_MUL_Fp64m	= 1797,
-    X86_MUL_Fp64m32	= 1798,
-    X86_MUL_Fp80	= 1799,
-    X86_MUL_Fp80m32	= 1800,
-    X86_MUL_Fp80m64	= 1801,
-    X86_MUL_FpI16m32	= 1802,
-    X86_MUL_FpI16m64	= 1803,
-    X86_MUL_FpI16m80	= 1804,
-    X86_MUL_FpI32m32	= 1805,
-    X86_MUL_FpI32m64	= 1806,
-    X86_MUL_FpI32m80	= 1807,
-    X86_MUL_FrST0	= 1808,
-    X86_MWAITrr	= 1809,
-    X86_NEG16m	= 1810,
-    X86_NEG16r	= 1811,
-    X86_NEG32m	= 1812,
-    X86_NEG32r	= 1813,
-    X86_NEG64m	= 1814,
-    X86_NEG64r	= 1815,
-    X86_NEG8m	= 1816,
-    X86_NEG8r	= 1817,
-    X86_NOOP	= 1818,
-    X86_NOOP18_16m4	= 1819,
-    X86_NOOP18_16m5	= 1820,
-    X86_NOOP18_16m6	= 1821,
-    X86_NOOP18_16m7	= 1822,
-    X86_NOOP18_16r4	= 1823,
-    X86_NOOP18_16r5	= 1824,
-    X86_NOOP18_16r6	= 1825,
-    X86_NOOP18_16r7	= 1826,
-    X86_NOOP18_m4	= 1827,
-    X86_NOOP18_m5	= 1828,
-    X86_NOOP18_m6	= 1829,
-    X86_NOOP18_m7	= 1830,
-    X86_NOOP18_r4	= 1831,
-    X86_NOOP18_r5	= 1832,
-    X86_NOOP18_r6	= 1833,
-    X86_NOOP18_r7	= 1834,
-    X86_NOOPL	= 1835,
-    X86_NOOPL_19	= 1836,
-    X86_NOOPL_1a	= 1837,
-    X86_NOOPL_1b	= 1838,
-    X86_NOOPL_1c	= 1839,
-    X86_NOOPL_1d	= 1840,
-    X86_NOOPL_1e	= 1841,
-    X86_NOOPW	= 1842,
-    X86_NOOPW_19	= 1843,
-    X86_NOOPW_1a	= 1844,
-    X86_NOOPW_1b	= 1845,
-    X86_NOOPW_1c	= 1846,
-    X86_NOOPW_1d	= 1847,
-    X86_NOOPW_1e	= 1848,
-    X86_NOT16m	= 1849,
-    X86_NOT16r	= 1850,
-    X86_NOT32m	= 1851,
-    X86_NOT32r	= 1852,
-    X86_NOT64m	= 1853,
-    X86_NOT64r	= 1854,
-    X86_NOT8m	= 1855,
-    X86_NOT8r	= 1856,
-    X86_OR16i16	= 1857,
-    X86_OR16mi	= 1858,
-    X86_OR16mi8	= 1859,
-    X86_OR16mr	= 1860,
-    X86_OR16ri	= 1861,
-    X86_OR16ri8	= 1862,
-    X86_OR16rm	= 1863,
-    X86_OR16rr	= 1864,
-    X86_OR16rr_REV	= 1865,
-    X86_OR32i32	= 1866,
-    X86_OR32mi	= 1867,
-    X86_OR32mi8	= 1868,
-    X86_OR32mr	= 1869,
-    X86_OR32mrLocked	= 1870,
-    X86_OR32ri	= 1871,
-    X86_OR32ri8	= 1872,
-    X86_OR32rm	= 1873,
-    X86_OR32rr	= 1874,
-    X86_OR32rr_REV	= 1875,
-    X86_OR64i32	= 1876,
-    X86_OR64mi32	= 1877,
-    X86_OR64mi8	= 1878,
-    X86_OR64mr	= 1879,
-    X86_OR64ri32	= 1880,
-    X86_OR64ri8	= 1881,
-    X86_OR64rm	= 1882,
-    X86_OR64rr	= 1883,
-    X86_OR64rr_REV	= 1884,
-    X86_OR8i8	= 1885,
-    X86_OR8mi	= 1886,
-    X86_OR8mr	= 1887,
-    X86_OR8ri	= 1888,
-    X86_OR8ri8	= 1889,
-    X86_OR8rm	= 1890,
-    X86_OR8rr	= 1891,
-    X86_OR8rr_REV	= 1892,
-    X86_ORPDrm	= 1893,
-    X86_ORPDrr	= 1894,
-    X86_ORPSrm	= 1895,
-    X86_ORPSrr	= 1896,
-    X86_OUT16ir	= 1897,
-    X86_OUT16rr	= 1898,
-    X86_OUT32ir	= 1899,
-    X86_OUT32rr	= 1900,
-    X86_OUT8ir	= 1901,
-    X86_OUT8rr	= 1902,
-    X86_OUTSB	= 1903,
-    X86_OUTSL	= 1904,
-    X86_OUTSW	= 1905,
-    X86_PABSBrm128	= 1906,
-    X86_PABSBrr128	= 1907,
-    X86_PABSDrm128	= 1908,
-    X86_PABSDrr128	= 1909,
-    X86_PABSWrm128	= 1910,
-    X86_PABSWrr128	= 1911,
-    X86_PACKSSDWrm	= 1912,
-    X86_PACKSSDWrr	= 1913,
-    X86_PACKSSWBrm	= 1914,
-    X86_PACKSSWBrr	= 1915,
-    X86_PACKUSDWrm	= 1916,
-    X86_PACKUSDWrr	= 1917,
-    X86_PACKUSWBrm	= 1918,
-    X86_PACKUSWBrr	= 1919,
-    X86_PADDBrm	= 1920,
-    X86_PADDBrr	= 1921,
-    X86_PADDDrm	= 1922,
-    X86_PADDDrr	= 1923,
-    X86_PADDQrm	= 1924,
-    X86_PADDQrr	= 1925,
-    X86_PADDSBrm	= 1926,
-    X86_PADDSBrr	= 1927,
-    X86_PADDSWrm	= 1928,
-    X86_PADDSWrr	= 1929,
-    X86_PADDUSBrm	= 1930,
-    X86_PADDUSBrr	= 1931,
-    X86_PADDUSWrm	= 1932,
-    X86_PADDUSWrr	= 1933,
-    X86_PADDWrm	= 1934,
-    X86_PADDWrr	= 1935,
-    X86_PALIGNR128rm	= 1936,
-    X86_PALIGNR128rr	= 1937,
-    X86_PANDNrm	= 1938,
-    X86_PANDNrr	= 1939,
-    X86_PANDrm	= 1940,
-    X86_PANDrr	= 1941,
-    X86_PAUSE	= 1942,
-    X86_PAVGBrm	= 1943,
-    X86_PAVGBrr	= 1944,
-    X86_PAVGUSBrm	= 1945,
-    X86_PAVGUSBrr	= 1946,
-    X86_PAVGWrm	= 1947,
-    X86_PAVGWrr	= 1948,
-    X86_PBLENDVBrm0	= 1949,
-    X86_PBLENDVBrr0	= 1950,
-    X86_PBLENDWrmi	= 1951,
-    X86_PBLENDWrri	= 1952,
-    X86_PCLMULQDQrm	= 1953,
-    X86_PCLMULQDQrr	= 1954,
-    X86_PCMPEQBrm	= 1955,
-    X86_PCMPEQBrr	= 1956,
-    X86_PCMPEQDrm	= 1957,
-    X86_PCMPEQDrr	= 1958,
-    X86_PCMPEQQrm	= 1959,
-    X86_PCMPEQQrr	= 1960,
-    X86_PCMPEQWrm	= 1961,
-    X86_PCMPEQWrr	= 1962,
-    X86_PCMPESTRIMEM	= 1963,
-    X86_PCMPESTRIREG	= 1964,
-    X86_PCMPESTRIrm	= 1965,
-    X86_PCMPESTRIrr	= 1966,
-    X86_PCMPESTRM128MEM	= 1967,
-    X86_PCMPESTRM128REG	= 1968,
-    X86_PCMPESTRM128rm	= 1969,
-    X86_PCMPESTRM128rr	= 1970,
-    X86_PCMPGTBrm	= 1971,
-    X86_PCMPGTBrr	= 1972,
-    X86_PCMPGTDrm	= 1973,
-    X86_PCMPGTDrr	= 1974,
-    X86_PCMPGTQrm	= 1975,
-    X86_PCMPGTQrr	= 1976,
-    X86_PCMPGTWrm	= 1977,
-    X86_PCMPGTWrr	= 1978,
-    X86_PCMPISTRIMEM	= 1979,
-    X86_PCMPISTRIREG	= 1980,
-    X86_PCMPISTRIrm	= 1981,
-    X86_PCMPISTRIrr	= 1982,
-    X86_PCMPISTRM128MEM	= 1983,
-    X86_PCMPISTRM128REG	= 1984,
-    X86_PCMPISTRM128rm	= 1985,
-    X86_PCMPISTRM128rr	= 1986,
-    X86_PDEP32rm	= 1987,
-    X86_PDEP32rr	= 1988,
-    X86_PDEP64rm	= 1989,
-    X86_PDEP64rr	= 1990,
-    X86_PEXT32rm	= 1991,
-    X86_PEXT32rr	= 1992,
-    X86_PEXT64rm	= 1993,
-    X86_PEXT64rr	= 1994,
-    X86_PEXTRBmr	= 1995,
-    X86_PEXTRBrr	= 1996,
-    X86_PEXTRDmr	= 1997,
-    X86_PEXTRDrr	= 1998,
-    X86_PEXTRQmr	= 1999,
-    X86_PEXTRQrr	= 2000,
-    X86_PEXTRWmr	= 2001,
-    X86_PEXTRWri	= 2002,
-    X86_PEXTRWrr_REV	= 2003,
-    X86_PF2IDrm	= 2004,
-    X86_PF2IDrr	= 2005,
-    X86_PF2IWrm	= 2006,
-    X86_PF2IWrr	= 2007,
-    X86_PFACCrm	= 2008,
-    X86_PFACCrr	= 2009,
-    X86_PFADDrm	= 2010,
-    X86_PFADDrr	= 2011,
-    X86_PFCMPEQrm	= 2012,
-    X86_PFCMPEQrr	= 2013,
-    X86_PFCMPGErm	= 2014,
-    X86_PFCMPGErr	= 2015,
-    X86_PFCMPGTrm	= 2016,
-    X86_PFCMPGTrr	= 2017,
-    X86_PFMAXrm	= 2018,
-    X86_PFMAXrr	= 2019,
-    X86_PFMINrm	= 2020,
-    X86_PFMINrr	= 2021,
-    X86_PFMULrm	= 2022,
-    X86_PFMULrr	= 2023,
-    X86_PFNACCrm	= 2024,
-    X86_PFNACCrr	= 2025,
-    X86_PFPNACCrm	= 2026,
-    X86_PFPNACCrr	= 2027,
-    X86_PFRCPIT1rm	= 2028,
-    X86_PFRCPIT1rr	= 2029,
-    X86_PFRCPIT2rm	= 2030,
-    X86_PFRCPIT2rr	= 2031,
-    X86_PFRCPrm	= 2032,
-    X86_PFRCPrr	= 2033,
-    X86_PFRSQIT1rm	= 2034,
-    X86_PFRSQIT1rr	= 2035,
-    X86_PFRSQRTrm	= 2036,
-    X86_PFRSQRTrr	= 2037,
-    X86_PFSUBRrm	= 2038,
-    X86_PFSUBRrr	= 2039,
-    X86_PFSUBrm	= 2040,
-    X86_PFSUBrr	= 2041,
-    X86_PHADDDrm	= 2042,
-    X86_PHADDDrr	= 2043,
-    X86_PHADDSWrm128	= 2044,
-    X86_PHADDSWrr128	= 2045,
-    X86_PHADDWrm	= 2046,
-    X86_PHADDWrr	= 2047,
-    X86_PHMINPOSUWrm128	= 2048,
-    X86_PHMINPOSUWrr128	= 2049,
-    X86_PHSUBDrm	= 2050,
-    X86_PHSUBDrr	= 2051,
-    X86_PHSUBSWrm128	= 2052,
-    X86_PHSUBSWrr128	= 2053,
-    X86_PHSUBWrm	= 2054,
-    X86_PHSUBWrr	= 2055,
-    X86_PI2FDrm	= 2056,
-    X86_PI2FDrr	= 2057,
-    X86_PI2FWrm	= 2058,
-    X86_PI2FWrr	= 2059,
-    X86_PINSRBrm	= 2060,
-    X86_PINSRBrr	= 2061,
-    X86_PINSRDrm	= 2062,
-    X86_PINSRDrr	= 2063,
-    X86_PINSRQrm	= 2064,
-    X86_PINSRQrr	= 2065,
-    X86_PINSRWrmi	= 2066,
-    X86_PINSRWrri	= 2067,
-    X86_PMADDUBSWrm128	= 2068,
-    X86_PMADDUBSWrr128	= 2069,
-    X86_PMADDWDrm	= 2070,
-    X86_PMADDWDrr	= 2071,
-    X86_PMAXSBrm	= 2072,
-    X86_PMAXSBrr	= 2073,
-    X86_PMAXSDrm	= 2074,
-    X86_PMAXSDrr	= 2075,
-    X86_PMAXSWrm	= 2076,
-    X86_PMAXSWrr	= 2077,
-    X86_PMAXUBrm	= 2078,
-    X86_PMAXUBrr	= 2079,
-    X86_PMAXUDrm	= 2080,
-    X86_PMAXUDrr	= 2081,
-    X86_PMAXUWrm	= 2082,
-    X86_PMAXUWrr	= 2083,
-    X86_PMINSBrm	= 2084,
-    X86_PMINSBrr	= 2085,
-    X86_PMINSDrm	= 2086,
-    X86_PMINSDrr	= 2087,
-    X86_PMINSWrm	= 2088,
-    X86_PMINSWrr	= 2089,
-    X86_PMINUBrm	= 2090,
-    X86_PMINUBrr	= 2091,
-    X86_PMINUDrm	= 2092,
-    X86_PMINUDrr	= 2093,
-    X86_PMINUWrm	= 2094,
-    X86_PMINUWrr	= 2095,
-    X86_PMOVMSKBrr	= 2096,
-    X86_PMOVSXBDrm	= 2097,
-    X86_PMOVSXBDrr	= 2098,
-    X86_PMOVSXBQrm	= 2099,
-    X86_PMOVSXBQrr	= 2100,
-    X86_PMOVSXBWrm	= 2101,
-    X86_PMOVSXBWrr	= 2102,
-    X86_PMOVSXDQrm	= 2103,
-    X86_PMOVSXDQrr	= 2104,
-    X86_PMOVSXWDrm	= 2105,
-    X86_PMOVSXWDrr	= 2106,
-    X86_PMOVSXWQrm	= 2107,
-    X86_PMOVSXWQrr	= 2108,
-    X86_PMOVZXBDrm	= 2109,
-    X86_PMOVZXBDrr	= 2110,
-    X86_PMOVZXBQrm	= 2111,
-    X86_PMOVZXBQrr	= 2112,
-    X86_PMOVZXBWrm	= 2113,
-    X86_PMOVZXBWrr	= 2114,
-    X86_PMOVZXDQrm	= 2115,
-    X86_PMOVZXDQrr	= 2116,
-    X86_PMOVZXWDrm	= 2117,
-    X86_PMOVZXWDrr	= 2118,
-    X86_PMOVZXWQrm	= 2119,
-    X86_PMOVZXWQrr	= 2120,
-    X86_PMULDQrm	= 2121,
-    X86_PMULDQrr	= 2122,
-    X86_PMULHRSWrm128	= 2123,
-    X86_PMULHRSWrr128	= 2124,
-    X86_PMULHRWrm	= 2125,
-    X86_PMULHRWrr	= 2126,
-    X86_PMULHUWrm	= 2127,
-    X86_PMULHUWrr	= 2128,
-    X86_PMULHWrm	= 2129,
-    X86_PMULHWrr	= 2130,
-    X86_PMULLDrm	= 2131,
-    X86_PMULLDrr	= 2132,
-    X86_PMULLWrm	= 2133,
-    X86_PMULLWrr	= 2134,
-    X86_PMULUDQrm	= 2135,
-    X86_PMULUDQrr	= 2136,
-    X86_POP16r	= 2137,
-    X86_POP16rmm	= 2138,
-    X86_POP16rmr	= 2139,
-    X86_POP32r	= 2140,
-    X86_POP32rmm	= 2141,
-    X86_POP32rmr	= 2142,
-    X86_POP64r	= 2143,
-    X86_POP64rmm	= 2144,
-    X86_POP64rmr	= 2145,
-    X86_POPA16	= 2146,
-    X86_POPA32	= 2147,
-    X86_POPCNT16rm	= 2148,
-    X86_POPCNT16rr	= 2149,
-    X86_POPCNT32rm	= 2150,
-    X86_POPCNT32rr	= 2151,
-    X86_POPCNT64rm	= 2152,
-    X86_POPCNT64rr	= 2153,
-    X86_POPDS16	= 2154,
-    X86_POPDS32	= 2155,
-    X86_POPES16	= 2156,
-    X86_POPES32	= 2157,
-    X86_POPF16	= 2158,
-    X86_POPF32	= 2159,
-    X86_POPF64	= 2160,
-    X86_POPFS16	= 2161,
-    X86_POPFS32	= 2162,
-    X86_POPFS64	= 2163,
-    X86_POPGS16	= 2164,
-    X86_POPGS32	= 2165,
-    X86_POPGS64	= 2166,
-    X86_POPSS16	= 2167,
-    X86_POPSS32	= 2168,
-    X86_PORrm	= 2169,
-    X86_PORrr	= 2170,
-    X86_PREFETCH	= 2171,
-    X86_PREFETCHNTA	= 2172,
-    X86_PREFETCHT0	= 2173,
-    X86_PREFETCHT1	= 2174,
-    X86_PREFETCHT2	= 2175,
-    X86_PREFETCHW	= 2176,
-    X86_PSADBWrm	= 2177,
-    X86_PSADBWrr	= 2178,
-    X86_PSHUFBrm	= 2179,
-    X86_PSHUFBrr	= 2180,
-    X86_PSHUFDmi	= 2181,
-    X86_PSHUFDri	= 2182,
-    X86_PSHUFHWmi	= 2183,
-    X86_PSHUFHWri	= 2184,
-    X86_PSHUFLWmi	= 2185,
-    X86_PSHUFLWri	= 2186,
-    X86_PSIGNBrm	= 2187,
-    X86_PSIGNBrr	= 2188,
-    X86_PSIGNDrm	= 2189,
-    X86_PSIGNDrr	= 2190,
-    X86_PSIGNWrm	= 2191,
-    X86_PSIGNWrr	= 2192,
-    X86_PSLLDQri	= 2193,
-    X86_PSLLDri	= 2194,
-    X86_PSLLDrm	= 2195,
-    X86_PSLLDrr	= 2196,
-    X86_PSLLQri	= 2197,
-    X86_PSLLQrm	= 2198,
-    X86_PSLLQrr	= 2199,
-    X86_PSLLWri	= 2200,
-    X86_PSLLWrm	= 2201,
-    X86_PSLLWrr	= 2202,
-    X86_PSRADri	= 2203,
-    X86_PSRADrm	= 2204,
-    X86_PSRADrr	= 2205,
-    X86_PSRAWri	= 2206,
-    X86_PSRAWrm	= 2207,
-    X86_PSRAWrr	= 2208,
-    X86_PSRLDQri	= 2209,
-    X86_PSRLDri	= 2210,
-    X86_PSRLDrm	= 2211,
-    X86_PSRLDrr	= 2212,
-    X86_PSRLQri	= 2213,
-    X86_PSRLQrm	= 2214,
-    X86_PSRLQrr	= 2215,
-    X86_PSRLWri	= 2216,
-    X86_PSRLWrm	= 2217,
-    X86_PSRLWrr	= 2218,
-    X86_PSUBBrm	= 2219,
-    X86_PSUBBrr	= 2220,
-    X86_PSUBDrm	= 2221,
-    X86_PSUBDrr	= 2222,
-    X86_PSUBQrm	= 2223,
-    X86_PSUBQrr	= 2224,
-    X86_PSUBSBrm	= 2225,
-    X86_PSUBSBrr	= 2226,
-    X86_PSUBSWrm	= 2227,
-    X86_PSUBSWrr	= 2228,
-    X86_PSUBUSBrm	= 2229,
-    X86_PSUBUSBrr	= 2230,
-    X86_PSUBUSWrm	= 2231,
-    X86_PSUBUSWrr	= 2232,
-    X86_PSUBWrm	= 2233,
-    X86_PSUBWrr	= 2234,
-    X86_PSWAPDrm	= 2235,
-    X86_PSWAPDrr	= 2236,
-    X86_PTESTrm	= 2237,
-    X86_PTESTrr	= 2238,
-    X86_PUNPCKHBWrm	= 2239,
-    X86_PUNPCKHBWrr	= 2240,
-    X86_PUNPCKHDQrm	= 2241,
-    X86_PUNPCKHDQrr	= 2242,
-    X86_PUNPCKHQDQrm	= 2243,
-    X86_PUNPCKHQDQrr	= 2244,
-    X86_PUNPCKHWDrm	= 2245,
-    X86_PUNPCKHWDrr	= 2246,
-    X86_PUNPCKLBWrm	= 2247,
-    X86_PUNPCKLBWrr	= 2248,
-    X86_PUNPCKLDQrm	= 2249,
-    X86_PUNPCKLDQrr	= 2250,
-    X86_PUNPCKLQDQrm	= 2251,
-    X86_PUNPCKLQDQrr	= 2252,
-    X86_PUNPCKLWDrm	= 2253,
-    X86_PUNPCKLWDrr	= 2254,
-    X86_PUSH16i8	= 2255,
-    X86_PUSH16r	= 2256,
-    X86_PUSH16rmm	= 2257,
-    X86_PUSH16rmr	= 2258,
-    X86_PUSH32i8	= 2259,
-    X86_PUSH32r	= 2260,
-    X86_PUSH32rmm	= 2261,
-    X86_PUSH32rmr	= 2262,
-    X86_PUSH64i16	= 2263,
-    X86_PUSH64i32	= 2264,
-    X86_PUSH64i8	= 2265,
-    X86_PUSH64r	= 2266,
-    X86_PUSH64rmm	= 2267,
-    X86_PUSH64rmr	= 2268,
-    X86_PUSHA16	= 2269,
-    X86_PUSHA32	= 2270,
-    X86_PUSHCS16	= 2271,
-    X86_PUSHCS32	= 2272,
-    X86_PUSHDS16	= 2273,
-    X86_PUSHDS32	= 2274,
-    X86_PUSHES16	= 2275,
-    X86_PUSHES32	= 2276,
-    X86_PUSHF16	= 2277,
-    X86_PUSHF32	= 2278,
-    X86_PUSHF64	= 2279,
-    X86_PUSHFS16	= 2280,
-    X86_PUSHFS32	= 2281,
-    X86_PUSHFS64	= 2282,
-    X86_PUSHGS16	= 2283,
-    X86_PUSHGS32	= 2284,
-    X86_PUSHGS64	= 2285,
-    X86_PUSHSS16	= 2286,
-    X86_PUSHSS32	= 2287,
-    X86_PUSHi16	= 2288,
-    X86_PUSHi32	= 2289,
-    X86_PXORrm	= 2290,
-    X86_PXORrr	= 2291,
-    X86_RCL16m1	= 2292,
-    X86_RCL16mCL	= 2293,
-    X86_RCL16mi	= 2294,
-    X86_RCL16r1	= 2295,
-    X86_RCL16rCL	= 2296,
-    X86_RCL16ri	= 2297,
-    X86_RCL32m1	= 2298,
-    X86_RCL32mCL	= 2299,
-    X86_RCL32mi	= 2300,
-    X86_RCL32r1	= 2301,
-    X86_RCL32rCL	= 2302,
-    X86_RCL32ri	= 2303,
-    X86_RCL64m1	= 2304,
-    X86_RCL64mCL	= 2305,
-    X86_RCL64mi	= 2306,
-    X86_RCL64r1	= 2307,
-    X86_RCL64rCL	= 2308,
-    X86_RCL64ri	= 2309,
-    X86_RCL8m1	= 2310,
-    X86_RCL8mCL	= 2311,
-    X86_RCL8mi	= 2312,
-    X86_RCL8r1	= 2313,
-    X86_RCL8rCL	= 2314,
-    X86_RCL8ri	= 2315,
-    X86_RCPPSm	= 2316,
-    X86_RCPPSm_Int	= 2317,
-    X86_RCPPSr	= 2318,
-    X86_RCPPSr_Int	= 2319,
-    X86_RCPSSm	= 2320,
-    X86_RCPSSm_Int	= 2321,
-    X86_RCPSSr	= 2322,
-    X86_RCPSSr_Int	= 2323,
-    X86_RCR16m1	= 2324,
-    X86_RCR16mCL	= 2325,
-    X86_RCR16mi	= 2326,
-    X86_RCR16r1	= 2327,
-    X86_RCR16rCL	= 2328,
-    X86_RCR16ri	= 2329,
-    X86_RCR32m1	= 2330,
-    X86_RCR32mCL	= 2331,
-    X86_RCR32mi	= 2332,
-    X86_RCR32r1	= 2333,
-    X86_RCR32rCL	= 2334,
-    X86_RCR32ri	= 2335,
-    X86_RCR64m1	= 2336,
-    X86_RCR64mCL	= 2337,
-    X86_RCR64mi	= 2338,
-    X86_RCR64r1	= 2339,
-    X86_RCR64rCL	= 2340,
-    X86_RCR64ri	= 2341,
-    X86_RCR8m1	= 2342,
-    X86_RCR8mCL	= 2343,
-    X86_RCR8mi	= 2344,
-    X86_RCR8r1	= 2345,
-    X86_RCR8rCL	= 2346,
-    X86_RCR8ri	= 2347,
-    X86_RDFSBASE	= 2348,
-    X86_RDFSBASE64	= 2349,
-    X86_RDGSBASE	= 2350,
-    X86_RDGSBASE64	= 2351,
-    X86_RDMSR	= 2352,
-    X86_RDPMC	= 2353,
-    X86_RDRAND16r	= 2354,
-    X86_RDRAND32r	= 2355,
-    X86_RDRAND64r	= 2356,
-    X86_RDSEED16r	= 2357,
-    X86_RDSEED32r	= 2358,
-    X86_RDSEED64r	= 2359,
-    X86_RDTSC	= 2360,
-    X86_RDTSCP	= 2361,
-    X86_RELEASE_MOV16mr	= 2362,
-    X86_RELEASE_MOV32mr	= 2363,
-    X86_RELEASE_MOV64mr	= 2364,
-    X86_RELEASE_MOV8mr	= 2365,
-    X86_REPNE_PREFIX	= 2366,
-    X86_REP_MOVSB_32	= 2367,
-    X86_REP_MOVSB_64	= 2368,
-    X86_REP_MOVSD_32	= 2369,
-    X86_REP_MOVSD_64	= 2370,
-    X86_REP_MOVSQ_64	= 2371,
-    X86_REP_MOVSW_32	= 2372,
-    X86_REP_MOVSW_64	= 2373,
-    X86_REP_PREFIX	= 2374,
-    X86_REP_STOSB_32	= 2375,
-    X86_REP_STOSB_64	= 2376,
-    X86_REP_STOSD_32	= 2377,
-    X86_REP_STOSD_64	= 2378,
-    X86_REP_STOSQ_64	= 2379,
-    X86_REP_STOSW_32	= 2380,
-    X86_REP_STOSW_64	= 2381,
-    X86_RETIL	= 2382,
-    X86_RETIQ	= 2383,
-    X86_RETIW	= 2384,
-    X86_RETL	= 2385,
-    X86_RETQ	= 2386,
-    X86_RETW	= 2387,
-    X86_REX64_PREFIX	= 2388,
-    X86_ROL16m1	= 2389,
-    X86_ROL16mCL	= 2390,
-    X86_ROL16mi	= 2391,
-    X86_ROL16r1	= 2392,
-    X86_ROL16rCL	= 2393,
-    X86_ROL16ri	= 2394,
-    X86_ROL32m1	= 2395,
-    X86_ROL32mCL	= 2396,
-    X86_ROL32mi	= 2397,
-    X86_ROL32r1	= 2398,
-    X86_ROL32rCL	= 2399,
-    X86_ROL32ri	= 2400,
-    X86_ROL64m1	= 2401,
-    X86_ROL64mCL	= 2402,
-    X86_ROL64mi	= 2403,
-    X86_ROL64r1	= 2404,
-    X86_ROL64rCL	= 2405,
-    X86_ROL64ri	= 2406,
-    X86_ROL8m1	= 2407,
-    X86_ROL8mCL	= 2408,
-    X86_ROL8mi	= 2409,
-    X86_ROL8r1	= 2410,
-    X86_ROL8rCL	= 2411,
-    X86_ROL8ri	= 2412,
-    X86_ROR16m1	= 2413,
-    X86_ROR16mCL	= 2414,
-    X86_ROR16mi	= 2415,
-    X86_ROR16r1	= 2416,
-    X86_ROR16rCL	= 2417,
-    X86_ROR16ri	= 2418,
-    X86_ROR32m1	= 2419,
-    X86_ROR32mCL	= 2420,
-    X86_ROR32mi	= 2421,
-    X86_ROR32r1	= 2422,
-    X86_ROR32rCL	= 2423,
-    X86_ROR32ri	= 2424,
-    X86_ROR64m1	= 2425,
-    X86_ROR64mCL	= 2426,
-    X86_ROR64mi	= 2427,
-    X86_ROR64r1	= 2428,
-    X86_ROR64rCL	= 2429,
-    X86_ROR64ri	= 2430,
-    X86_ROR8m1	= 2431,
-    X86_ROR8mCL	= 2432,
-    X86_ROR8mi	= 2433,
-    X86_ROR8r1	= 2434,
-    X86_ROR8rCL	= 2435,
-    X86_ROR8ri	= 2436,
-    X86_RORX32mi	= 2437,
-    X86_RORX32ri	= 2438,
-    X86_RORX64mi	= 2439,
-    X86_RORX64ri	= 2440,
-    X86_ROUNDPDm	= 2441,
-    X86_ROUNDPDr	= 2442,
-    X86_ROUNDPSm	= 2443,
-    X86_ROUNDPSr	= 2444,
-    X86_ROUNDSDm	= 2445,
-    X86_ROUNDSDr	= 2446,
-    X86_ROUNDSDr_Int	= 2447,
-    X86_ROUNDSSm	= 2448,
-    X86_ROUNDSSr	= 2449,
-    X86_ROUNDSSr_Int	= 2450,
-    X86_RSM	= 2451,
-    X86_RSQRTPSm	= 2452,
-    X86_RSQRTPSm_Int	= 2453,
-    X86_RSQRTPSr	= 2454,
-    X86_RSQRTPSr_Int	= 2455,
-    X86_RSQRTSSm	= 2456,
-    X86_RSQRTSSm_Int	= 2457,
-    X86_RSQRTSSr	= 2458,
-    X86_RSQRTSSr_Int	= 2459,
-    X86_SAHF	= 2460,
-    X86_SAL16m1	= 2461,
-    X86_SAL16mCL	= 2462,
-    X86_SAL16mi	= 2463,
-    X86_SAL16r1	= 2464,
-    X86_SAL16rCL	= 2465,
-    X86_SAL16ri	= 2466,
-    X86_SAL32m1	= 2467,
-    X86_SAL32mCL	= 2468,
-    X86_SAL32mi	= 2469,
-    X86_SAL32r1	= 2470,
-    X86_SAL32rCL	= 2471,
-    X86_SAL32ri	= 2472,
-    X86_SAL64m1	= 2473,
-    X86_SAL64mCL	= 2474,
-    X86_SAL64mi	= 2475,
-    X86_SAL64r1	= 2476,
-    X86_SAL64rCL	= 2477,
-    X86_SAL64ri	= 2478,
-    X86_SAL8m1	= 2479,
-    X86_SAL8mCL	= 2480,
-    X86_SAL8mi	= 2481,
-    X86_SAL8r1	= 2482,
-    X86_SAL8rCL	= 2483,
-    X86_SAL8ri	= 2484,
-    X86_SALC	= 2485,
-    X86_SAR16m1	= 2486,
-    X86_SAR16mCL	= 2487,
-    X86_SAR16mi	= 2488,
-    X86_SAR16r1	= 2489,
-    X86_SAR16rCL	= 2490,
-    X86_SAR16ri	= 2491,
-    X86_SAR32m1	= 2492,
-    X86_SAR32mCL	= 2493,
-    X86_SAR32mi	= 2494,
-    X86_SAR32r1	= 2495,
-    X86_SAR32rCL	= 2496,
-    X86_SAR32ri	= 2497,
-    X86_SAR64m1	= 2498,
-    X86_SAR64mCL	= 2499,
-    X86_SAR64mi	= 2500,
-    X86_SAR64r1	= 2501,
-    X86_SAR64rCL	= 2502,
-    X86_SAR64ri	= 2503,
-    X86_SAR8m1	= 2504,
-    X86_SAR8mCL	= 2505,
-    X86_SAR8mi	= 2506,
-    X86_SAR8r1	= 2507,
-    X86_SAR8rCL	= 2508,
-    X86_SAR8ri	= 2509,
-    X86_SARX32rm	= 2510,
-    X86_SARX32rr	= 2511,
-    X86_SARX64rm	= 2512,
-    X86_SARX64rr	= 2513,
-    X86_SBB16i16	= 2514,
-    X86_SBB16mi	= 2515,
-    X86_SBB16mi8	= 2516,
-    X86_SBB16mr	= 2517,
-    X86_SBB16ri	= 2518,
-    X86_SBB16ri8	= 2519,
-    X86_SBB16rm	= 2520,
-    X86_SBB16rr	= 2521,
-    X86_SBB16rr_REV	= 2522,
-    X86_SBB32i32	= 2523,
-    X86_SBB32mi	= 2524,
-    X86_SBB32mi8	= 2525,
-    X86_SBB32mr	= 2526,
-    X86_SBB32ri	= 2527,
-    X86_SBB32ri8	= 2528,
-    X86_SBB32rm	= 2529,
-    X86_SBB32rr	= 2530,
-    X86_SBB32rr_REV	= 2531,
-    X86_SBB64i32	= 2532,
-    X86_SBB64mi32	= 2533,
-    X86_SBB64mi8	= 2534,
-    X86_SBB64mr	= 2535,
-    X86_SBB64ri32	= 2536,
-    X86_SBB64ri8	= 2537,
-    X86_SBB64rm	= 2538,
-    X86_SBB64rr	= 2539,
-    X86_SBB64rr_REV	= 2540,
-    X86_SBB8i8	= 2541,
-    X86_SBB8mi	= 2542,
-    X86_SBB8mr	= 2543,
-    X86_SBB8ri	= 2544,
-    X86_SBB8rm	= 2545,
-    X86_SBB8rr	= 2546,
-    X86_SBB8rr_REV	= 2547,
-    X86_SCASB	= 2548,
-    X86_SCASL	= 2549,
-    X86_SCASQ	= 2550,
-    X86_SCASW	= 2551,
-    X86_SEG_ALLOCA_32	= 2552,
-    X86_SEG_ALLOCA_64	= 2553,
-    X86_SEH_EndPrologue	= 2554,
-    X86_SEH_Epilogue	= 2555,
-    X86_SEH_PushFrame	= 2556,
-    X86_SEH_PushReg	= 2557,
-    X86_SEH_SaveReg	= 2558,
-    X86_SEH_SaveXMM	= 2559,
-    X86_SEH_SetFrame	= 2560,
-    X86_SEH_StackAlloc	= 2561,
-    X86_SETAEm	= 2562,
-    X86_SETAEr	= 2563,
-    X86_SETAm	= 2564,
-    X86_SETAr	= 2565,
-    X86_SETBEm	= 2566,
-    X86_SETBEr	= 2567,
-    X86_SETB_C16r	= 2568,
-    X86_SETB_C32r	= 2569,
-    X86_SETB_C64r	= 2570,
-    X86_SETB_C8r	= 2571,
-    X86_SETBm	= 2572,
-    X86_SETBr	= 2573,
-    X86_SETEm	= 2574,
-    X86_SETEr	= 2575,
-    X86_SETGEm	= 2576,
-    X86_SETGEr	= 2577,
-    X86_SETGm	= 2578,
-    X86_SETGr	= 2579,
-    X86_SETLEm	= 2580,
-    X86_SETLEr	= 2581,
-    X86_SETLm	= 2582,
-    X86_SETLr	= 2583,
-    X86_SETNEm	= 2584,
-    X86_SETNEr	= 2585,
-    X86_SETNOm	= 2586,
-    X86_SETNOr	= 2587,
-    X86_SETNPm	= 2588,
-    X86_SETNPr	= 2589,
-    X86_SETNSm	= 2590,
-    X86_SETNSr	= 2591,
-    X86_SETOm	= 2592,
-    X86_SETOr	= 2593,
-    X86_SETPm	= 2594,
-    X86_SETPr	= 2595,
-    X86_SETSm	= 2596,
-    X86_SETSr	= 2597,
-    X86_SFENCE	= 2598,
-    X86_SGDT16m	= 2599,
-    X86_SGDT32m	= 2600,
-    X86_SGDT64m	= 2601,
-    X86_SHA1MSG1rm	= 2602,
-    X86_SHA1MSG1rr	= 2603,
-    X86_SHA1MSG2rm	= 2604,
-    X86_SHA1MSG2rr	= 2605,
-    X86_SHA1NEXTErm	= 2606,
-    X86_SHA1NEXTErr	= 2607,
-    X86_SHA1RNDS4rmi	= 2608,
-    X86_SHA1RNDS4rri	= 2609,
-    X86_SHA256MSG1rm	= 2610,
-    X86_SHA256MSG1rr	= 2611,
-    X86_SHA256MSG2rm	= 2612,
-    X86_SHA256MSG2rr	= 2613,
-    X86_SHA256RNDS2rm	= 2614,
-    X86_SHA256RNDS2rr	= 2615,
-    X86_SHL16m1	= 2616,
-    X86_SHL16mCL	= 2617,
-    X86_SHL16mi	= 2618,
-    X86_SHL16r1	= 2619,
-    X86_SHL16rCL	= 2620,
-    X86_SHL16ri	= 2621,
-    X86_SHL32m1	= 2622,
-    X86_SHL32mCL	= 2623,
-    X86_SHL32mi	= 2624,
-    X86_SHL32r1	= 2625,
-    X86_SHL32rCL	= 2626,
-    X86_SHL32ri	= 2627,
-    X86_SHL64m1	= 2628,
-    X86_SHL64mCL	= 2629,
-    X86_SHL64mi	= 2630,
-    X86_SHL64r1	= 2631,
-    X86_SHL64rCL	= 2632,
-    X86_SHL64ri	= 2633,
-    X86_SHL8m1	= 2634,
-    X86_SHL8mCL	= 2635,
-    X86_SHL8mi	= 2636,
-    X86_SHL8r1	= 2637,
-    X86_SHL8rCL	= 2638,
-    X86_SHL8ri	= 2639,
-    X86_SHLD16mrCL	= 2640,
-    X86_SHLD16mri8	= 2641,
-    X86_SHLD16rrCL	= 2642,
-    X86_SHLD16rri8	= 2643,
-    X86_SHLD32mrCL	= 2644,
-    X86_SHLD32mri8	= 2645,
-    X86_SHLD32rrCL	= 2646,
-    X86_SHLD32rri8	= 2647,
-    X86_SHLD64mrCL	= 2648,
-    X86_SHLD64mri8	= 2649,
-    X86_SHLD64rrCL	= 2650,
-    X86_SHLD64rri8	= 2651,
-    X86_SHLX32rm	= 2652,
-    X86_SHLX32rr	= 2653,
-    X86_SHLX64rm	= 2654,
-    X86_SHLX64rr	= 2655,
-    X86_SHR16m1	= 2656,
-    X86_SHR16mCL	= 2657,
-    X86_SHR16mi	= 2658,
-    X86_SHR16r1	= 2659,
-    X86_SHR16rCL	= 2660,
-    X86_SHR16ri	= 2661,
-    X86_SHR32m1	= 2662,
-    X86_SHR32mCL	= 2663,
-    X86_SHR32mi	= 2664,
-    X86_SHR32r1	= 2665,
-    X86_SHR32rCL	= 2666,
-    X86_SHR32ri	= 2667,
-    X86_SHR64m1	= 2668,
-    X86_SHR64mCL	= 2669,
-    X86_SHR64mi	= 2670,
-    X86_SHR64r1	= 2671,
-    X86_SHR64rCL	= 2672,
-    X86_SHR64ri	= 2673,
-    X86_SHR8m1	= 2674,
-    X86_SHR8mCL	= 2675,
-    X86_SHR8mi	= 2676,
-    X86_SHR8r1	= 2677,
-    X86_SHR8rCL	= 2678,
-    X86_SHR8ri	= 2679,
-    X86_SHRD16mrCL	= 2680,
-    X86_SHRD16mri8	= 2681,
-    X86_SHRD16rrCL	= 2682,
-    X86_SHRD16rri8	= 2683,
-    X86_SHRD32mrCL	= 2684,
-    X86_SHRD32mri8	= 2685,
-    X86_SHRD32rrCL	= 2686,
-    X86_SHRD32rri8	= 2687,
-    X86_SHRD64mrCL	= 2688,
-    X86_SHRD64mri8	= 2689,
-    X86_SHRD64rrCL	= 2690,
-    X86_SHRD64rri8	= 2691,
-    X86_SHRX32rm	= 2692,
-    X86_SHRX32rr	= 2693,
-    X86_SHRX64rm	= 2694,
-    X86_SHRX64rr	= 2695,
-    X86_SHUFPDrmi	= 2696,
-    X86_SHUFPDrri	= 2697,
-    X86_SHUFPSrmi	= 2698,
-    X86_SHUFPSrri	= 2699,
-    X86_SIDT16m	= 2700,
-    X86_SIDT32m	= 2701,
-    X86_SIDT64m	= 2702,
-    X86_SIN_F	= 2703,
-    X86_SIN_Fp32	= 2704,
-    X86_SIN_Fp64	= 2705,
-    X86_SIN_Fp80	= 2706,
-    X86_SKINIT	= 2707,
-    X86_SLDT16m	= 2708,
-    X86_SLDT16r	= 2709,
-    X86_SLDT32r	= 2710,
-    X86_SLDT64m	= 2711,
-    X86_SLDT64r	= 2712,
-    X86_SMSW16m	= 2713,
-    X86_SMSW16r	= 2714,
-    X86_SMSW32r	= 2715,
-    X86_SMSW64r	= 2716,
-    X86_SQRTPDm	= 2717,
-    X86_SQRTPDr	= 2718,
-    X86_SQRTPSm	= 2719,
-    X86_SQRTPSr	= 2720,
-    X86_SQRTSDm	= 2721,
-    X86_SQRTSDm_Int	= 2722,
-    X86_SQRTSDr	= 2723,
-    X86_SQRTSDr_Int	= 2724,
-    X86_SQRTSSm	= 2725,
-    X86_SQRTSSm_Int	= 2726,
-    X86_SQRTSSr	= 2727,
-    X86_SQRTSSr_Int	= 2728,
-    X86_SQRT_F	= 2729,
-    X86_SQRT_Fp32	= 2730,
-    X86_SQRT_Fp64	= 2731,
-    X86_SQRT_Fp80	= 2732,
-    X86_SS_PREFIX	= 2733,
-    X86_STAC	= 2734,
-    X86_STC	= 2735,
-    X86_STD	= 2736,
-    X86_STGI	= 2737,
-    X86_STI	= 2738,
-    X86_STMXCSR	= 2739,
-    X86_STOSB	= 2740,
-    X86_STOSL	= 2741,
-    X86_STOSQ	= 2742,
-    X86_STOSW	= 2743,
-    X86_STR16r	= 2744,
-    X86_STR32r	= 2745,
-    X86_STR64r	= 2746,
-    X86_STRm	= 2747,
-    X86_ST_F32m	= 2748,
-    X86_ST_F64m	= 2749,
-    X86_ST_FP32m	= 2750,
-    X86_ST_FP64m	= 2751,
-    X86_ST_FP80m	= 2752,
-    X86_ST_FPNCE	= 2753,
-    X86_ST_FPr0r7	= 2754,
-    X86_ST_FPrr	= 2755,
-    X86_ST_Fp32m	= 2756,
-    X86_ST_Fp64m	= 2757,
-    X86_ST_Fp64m32	= 2758,
-    X86_ST_Fp80m32	= 2759,
-    X86_ST_Fp80m64	= 2760,
-    X86_ST_FpP32m	= 2761,
-    X86_ST_FpP64m	= 2762,
-    X86_ST_FpP64m32	= 2763,
-    X86_ST_FpP80m	= 2764,
-    X86_ST_FpP80m32	= 2765,
-    X86_ST_FpP80m64	= 2766,
-    X86_ST_Frr	= 2767,
-    X86_SUB16i16	= 2768,
-    X86_SUB16mi	= 2769,
-    X86_SUB16mi8	= 2770,
-    X86_SUB16mr	= 2771,
-    X86_SUB16ri	= 2772,
-    X86_SUB16ri8	= 2773,
-    X86_SUB16rm	= 2774,
-    X86_SUB16rr	= 2775,
-    X86_SUB16rr_REV	= 2776,
-    X86_SUB32i32	= 2777,
-    X86_SUB32mi	= 2778,
-    X86_SUB32mi8	= 2779,
-    X86_SUB32mr	= 2780,
-    X86_SUB32ri	= 2781,
-    X86_SUB32ri8	= 2782,
-    X86_SUB32rm	= 2783,
-    X86_SUB32rr	= 2784,
-    X86_SUB32rr_REV	= 2785,
-    X86_SUB64i32	= 2786,
-    X86_SUB64mi32	= 2787,
-    X86_SUB64mi8	= 2788,
-    X86_SUB64mr	= 2789,
-    X86_SUB64ri32	= 2790,
-    X86_SUB64ri8	= 2791,
-    X86_SUB64rm	= 2792,
-    X86_SUB64rr	= 2793,
-    X86_SUB64rr_REV	= 2794,
-    X86_SUB8i8	= 2795,
-    X86_SUB8mi	= 2796,
-    X86_SUB8mr	= 2797,
-    X86_SUB8ri	= 2798,
-    X86_SUB8ri8	= 2799,
-    X86_SUB8rm	= 2800,
-    X86_SUB8rr	= 2801,
-    X86_SUB8rr_REV	= 2802,
-    X86_SUBPDrm	= 2803,
-    X86_SUBPDrr	= 2804,
-    X86_SUBPSrm	= 2805,
-    X86_SUBPSrr	= 2806,
-    X86_SUBR_F32m	= 2807,
-    X86_SUBR_F64m	= 2808,
-    X86_SUBR_FI16m	= 2809,
-    X86_SUBR_FI32m	= 2810,
-    X86_SUBR_FPrST0	= 2811,
-    X86_SUBR_FST0r	= 2812,
-    X86_SUBR_Fp32m	= 2813,
-    X86_SUBR_Fp64m	= 2814,
-    X86_SUBR_Fp64m32	= 2815,
-    X86_SUBR_Fp80m32	= 2816,
-    X86_SUBR_Fp80m64	= 2817,
-    X86_SUBR_FpI16m32	= 2818,
-    X86_SUBR_FpI16m64	= 2819,
-    X86_SUBR_FpI16m80	= 2820,
-    X86_SUBR_FpI32m32	= 2821,
-    X86_SUBR_FpI32m64	= 2822,
-    X86_SUBR_FpI32m80	= 2823,
-    X86_SUBR_FrST0	= 2824,
-    X86_SUBSDrm	= 2825,
-    X86_SUBSDrm_Int	= 2826,
-    X86_SUBSDrr	= 2827,
-    X86_SUBSDrr_Int	= 2828,
-    X86_SUBSSrm	= 2829,
-    X86_SUBSSrm_Int	= 2830,
-    X86_SUBSSrr	= 2831,
-    X86_SUBSSrr_Int	= 2832,
-    X86_SUB_F32m	= 2833,
-    X86_SUB_F64m	= 2834,
-    X86_SUB_FI16m	= 2835,
-    X86_SUB_FI32m	= 2836,
-    X86_SUB_FPrST0	= 2837,
-    X86_SUB_FST0r	= 2838,
-    X86_SUB_Fp32	= 2839,
-    X86_SUB_Fp32m	= 2840,
-    X86_SUB_Fp64	= 2841,
-    X86_SUB_Fp64m	= 2842,
-    X86_SUB_Fp64m32	= 2843,
-    X86_SUB_Fp80	= 2844,
-    X86_SUB_Fp80m32	= 2845,
-    X86_SUB_Fp80m64	= 2846,
-    X86_SUB_FpI16m32	= 2847,
-    X86_SUB_FpI16m64	= 2848,
-    X86_SUB_FpI16m80	= 2849,
-    X86_SUB_FpI32m32	= 2850,
-    X86_SUB_FpI32m64	= 2851,
-    X86_SUB_FpI32m80	= 2852,
-    X86_SUB_FrST0	= 2853,
-    X86_SWAPGS	= 2854,
-    X86_SYSCALL	= 2855,
-    X86_SYSENTER	= 2856,
-    X86_SYSEXIT	= 2857,
-    X86_SYSEXIT64	= 2858,
-    X86_SYSRET	= 2859,
-    X86_SYSRET64	= 2860,
-    X86_T1MSKC32rm	= 2861,
-    X86_T1MSKC32rr	= 2862,
-    X86_T1MSKC64rm	= 2863,
-    X86_T1MSKC64rr	= 2864,
-    X86_TAILJMPd	= 2865,
-    X86_TAILJMPd64	= 2866,
-    X86_TAILJMPm	= 2867,
-    X86_TAILJMPm64	= 2868,
-    X86_TAILJMPr	= 2869,
-    X86_TAILJMPr64	= 2870,
-    X86_TCRETURNdi	= 2871,
-    X86_TCRETURNdi64	= 2872,
-    X86_TCRETURNmi	= 2873,
-    X86_TCRETURNmi64	= 2874,
-    X86_TCRETURNri	= 2875,
-    X86_TCRETURNri64	= 2876,
-    X86_TEST16i16	= 2877,
-    X86_TEST16mi	= 2878,
-    X86_TEST16mi_alt	= 2879,
-    X86_TEST16ri	= 2880,
-    X86_TEST16ri_alt	= 2881,
-    X86_TEST16rm	= 2882,
-    X86_TEST16rr	= 2883,
-    X86_TEST32i32	= 2884,
-    X86_TEST32mi	= 2885,
-    X86_TEST32mi_alt	= 2886,
-    X86_TEST32ri	= 2887,
-    X86_TEST32ri_alt	= 2888,
-    X86_TEST32rm	= 2889,
-    X86_TEST32rr	= 2890,
-    X86_TEST64i32	= 2891,
-    X86_TEST64mi32	= 2892,
-    X86_TEST64mi32_alt	= 2893,
-    X86_TEST64ri32	= 2894,
-    X86_TEST64ri32_alt	= 2895,
-    X86_TEST64rm	= 2896,
-    X86_TEST64rr	= 2897,
-    X86_TEST8i8	= 2898,
-    X86_TEST8mi	= 2899,
-    X86_TEST8mi_alt	= 2900,
-    X86_TEST8ri	= 2901,
-    X86_TEST8ri_NOREX	= 2902,
-    X86_TEST8ri_alt	= 2903,
-    X86_TEST8rm	= 2904,
-    X86_TEST8rr	= 2905,
-    X86_TLSCall_32	= 2906,
-    X86_TLSCall_64	= 2907,
-    X86_TLS_addr32	= 2908,
-    X86_TLS_addr64	= 2909,
-    X86_TLS_base_addr32	= 2910,
-    X86_TLS_base_addr64	= 2911,
-    X86_TRAP	= 2912,
-    X86_TST_F	= 2913,
-    X86_TST_Fp32	= 2914,
-    X86_TST_Fp64	= 2915,
-    X86_TST_Fp80	= 2916,
-    X86_TZCNT16rm	= 2917,
-    X86_TZCNT16rr	= 2918,
-    X86_TZCNT32rm	= 2919,
-    X86_TZCNT32rr	= 2920,
-    X86_TZCNT64rm	= 2921,
-    X86_TZCNT64rr	= 2922,
-    X86_TZMSK32rm	= 2923,
-    X86_TZMSK32rr	= 2924,
-    X86_TZMSK64rm	= 2925,
-    X86_TZMSK64rr	= 2926,
-    X86_UCOMISDrm	= 2927,
-    X86_UCOMISDrr	= 2928,
-    X86_UCOMISSrm	= 2929,
-    X86_UCOMISSrr	= 2930,
-    X86_UCOM_FIPr	= 2931,
-    X86_UCOM_FIr	= 2932,
-    X86_UCOM_FPPr	= 2933,
-    X86_UCOM_FPr	= 2934,
-    X86_UCOM_FpIr32	= 2935,
-    X86_UCOM_FpIr64	= 2936,
-    X86_UCOM_FpIr80	= 2937,
-    X86_UCOM_Fpr32	= 2938,
-    X86_UCOM_Fpr64	= 2939,
-    X86_UCOM_Fpr80	= 2940,
-    X86_UCOM_Fr	= 2941,
-    X86_UD2B	= 2942,
-    X86_UNPCKHPDrm	= 2943,
-    X86_UNPCKHPDrr	= 2944,
-    X86_UNPCKHPSrm	= 2945,
-    X86_UNPCKHPSrr	= 2946,
-    X86_UNPCKLPDrm	= 2947,
-    X86_UNPCKLPDrr	= 2948,
-    X86_UNPCKLPSrm	= 2949,
-    X86_UNPCKLPSrr	= 2950,
-    X86_VAARG_64	= 2951,
-    X86_VADDPDYrm	= 2952,
-    X86_VADDPDYrr	= 2953,
-    X86_VADDPDZrm	= 2954,
-    X86_VADDPDZrmb	= 2955,
-    X86_VADDPDZrmbk	= 2956,
-    X86_VADDPDZrmbkz	= 2957,
-    X86_VADDPDZrmk	= 2958,
-    X86_VADDPDZrmkz	= 2959,
-    X86_VADDPDZrr	= 2960,
-    X86_VADDPDZrrk	= 2961,
-    X86_VADDPDZrrkz	= 2962,
-    X86_VADDPDrm	= 2963,
-    X86_VADDPDrr	= 2964,
-    X86_VADDPSYrm	= 2965,
-    X86_VADDPSYrr	= 2966,
-    X86_VADDPSZrm	= 2967,
-    X86_VADDPSZrmb	= 2968,
-    X86_VADDPSZrmbk	= 2969,
-    X86_VADDPSZrmbkz	= 2970,
-    X86_VADDPSZrmk	= 2971,
-    X86_VADDPSZrmkz	= 2972,
-    X86_VADDPSZrr	= 2973,
-    X86_VADDPSZrrk	= 2974,
-    X86_VADDPSZrrkz	= 2975,
-    X86_VADDPSrm	= 2976,
-    X86_VADDPSrr	= 2977,
-    X86_VADDSDZrm	= 2978,
-    X86_VADDSDZrr	= 2979,
-    X86_VADDSDrm	= 2980,
-    X86_VADDSDrm_Int	= 2981,
-    X86_VADDSDrr	= 2982,
-    X86_VADDSDrr_Int	= 2983,
-    X86_VADDSSZrm	= 2984,
-    X86_VADDSSZrr	= 2985,
-    X86_VADDSSrm	= 2986,
-    X86_VADDSSrm_Int	= 2987,
-    X86_VADDSSrr	= 2988,
-    X86_VADDSSrr_Int	= 2989,
-    X86_VADDSUBPDYrm	= 2990,
-    X86_VADDSUBPDYrr	= 2991,
-    X86_VADDSUBPDrm	= 2992,
-    X86_VADDSUBPDrr	= 2993,
-    X86_VADDSUBPSYrm	= 2994,
-    X86_VADDSUBPSYrr	= 2995,
-    X86_VADDSUBPSrm	= 2996,
-    X86_VADDSUBPSrr	= 2997,
-    X86_VAESDECLASTrm	= 2998,
-    X86_VAESDECLASTrr	= 2999,
-    X86_VAESDECrm	= 3000,
-    X86_VAESDECrr	= 3001,
-    X86_VAESENCLASTrm	= 3002,
-    X86_VAESENCLASTrr	= 3003,
-    X86_VAESENCrm	= 3004,
-    X86_VAESENCrr	= 3005,
-    X86_VAESIMCrm	= 3006,
-    X86_VAESIMCrr	= 3007,
-    X86_VAESKEYGENASSIST128rm	= 3008,
-    X86_VAESKEYGENASSIST128rr	= 3009,
-    X86_VALIGNDrmi	= 3010,
-    X86_VALIGNDrri	= 3011,
-    X86_VALIGNDrrik	= 3012,
-    X86_VALIGNDrrikz	= 3013,
-    X86_VALIGNQrmi	= 3014,
-    X86_VALIGNQrri	= 3015,
-    X86_VALIGNQrrik	= 3016,
-    X86_VALIGNQrrikz	= 3017,
-    X86_VANDNPDYrm	= 3018,
-    X86_VANDNPDYrr	= 3019,
-    X86_VANDNPDrm	= 3020,
-    X86_VANDNPDrr	= 3021,
-    X86_VANDNPSYrm	= 3022,
-    X86_VANDNPSYrr	= 3023,
-    X86_VANDNPSrm	= 3024,
-    X86_VANDNPSrr	= 3025,
-    X86_VANDPDYrm	= 3026,
-    X86_VANDPDYrr	= 3027,
-    X86_VANDPDrm	= 3028,
-    X86_VANDPDrr	= 3029,
-    X86_VANDPSYrm	= 3030,
-    X86_VANDPSYrr	= 3031,
-    X86_VANDPSrm	= 3032,
-    X86_VANDPSrr	= 3033,
-    X86_VASTART_SAVE_XMM_REGS	= 3034,
-    X86_VBLENDMPDZrm	= 3035,
-    X86_VBLENDMPDZrr	= 3036,
-    X86_VBLENDMPSZrm	= 3037,
-    X86_VBLENDMPSZrr	= 3038,
-    X86_VBLENDPDYrmi	= 3039,
-    X86_VBLENDPDYrri	= 3040,
-    X86_VBLENDPDrmi	= 3041,
-    X86_VBLENDPDrri	= 3042,
-    X86_VBLENDPSYrmi	= 3043,
-    X86_VBLENDPSYrri	= 3044,
-    X86_VBLENDPSrmi	= 3045,
-    X86_VBLENDPSrri	= 3046,
-    X86_VBLENDVPDYrm	= 3047,
-    X86_VBLENDVPDYrr	= 3048,
-    X86_VBLENDVPDrm	= 3049,
-    X86_VBLENDVPDrr	= 3050,
-    X86_VBLENDVPSYrm	= 3051,
-    X86_VBLENDVPSYrr	= 3052,
-    X86_VBLENDVPSrm	= 3053,
-    X86_VBLENDVPSrr	= 3054,
-    X86_VBROADCASTF128	= 3055,
-    X86_VBROADCASTI128	= 3056,
-    X86_VBROADCASTI32X4krm	= 3057,
-    X86_VBROADCASTI32X4rm	= 3058,
-    X86_VBROADCASTI64X4krm	= 3059,
-    X86_VBROADCASTI64X4rm	= 3060,
-    X86_VBROADCASTSDYrm	= 3061,
-    X86_VBROADCASTSDYrr	= 3062,
-    X86_VBROADCASTSDZrm	= 3063,
-    X86_VBROADCASTSDZrr	= 3064,
-    X86_VBROADCASTSSYrm	= 3065,
-    X86_VBROADCASTSSYrr	= 3066,
-    X86_VBROADCASTSSZrm	= 3067,
-    X86_VBROADCASTSSZrr	= 3068,
-    X86_VBROADCASTSSrm	= 3069,
-    X86_VBROADCASTSSrr	= 3070,
-    X86_VCMPPDYrmi	= 3071,
-    X86_VCMPPDYrmi_alt	= 3072,
-    X86_VCMPPDYrri	= 3073,
-    X86_VCMPPDYrri_alt	= 3074,
-    X86_VCMPPDZrmi	= 3075,
-    X86_VCMPPDZrmi_alt	= 3076,
-    X86_VCMPPDZrri	= 3077,
-    X86_VCMPPDZrri_alt	= 3078,
-    X86_VCMPPDZrrib	= 3079,
-    X86_VCMPPDrmi	= 3080,
-    X86_VCMPPDrmi_alt	= 3081,
-    X86_VCMPPDrri	= 3082,
-    X86_VCMPPDrri_alt	= 3083,
-    X86_VCMPPSYrmi	= 3084,
-    X86_VCMPPSYrmi_alt	= 3085,
-    X86_VCMPPSYrri	= 3086,
-    X86_VCMPPSYrri_alt	= 3087,
-    X86_VCMPPSZrmi	= 3088,
-    X86_VCMPPSZrmi_alt	= 3089,
-    X86_VCMPPSZrri	= 3090,
-    X86_VCMPPSZrri_alt	= 3091,
-    X86_VCMPPSZrrib	= 3092,
-    X86_VCMPPSrmi	= 3093,
-    X86_VCMPPSrmi_alt	= 3094,
-    X86_VCMPPSrri	= 3095,
-    X86_VCMPPSrri_alt	= 3096,
-    X86_VCMPSDZrm	= 3097,
-    X86_VCMPSDZrmi_alt	= 3098,
-    X86_VCMPSDZrr	= 3099,
-    X86_VCMPSDZrri_alt	= 3100,
-    X86_VCMPSDrm	= 3101,
-    X86_VCMPSDrm_alt	= 3102,
-    X86_VCMPSDrr	= 3103,
-    X86_VCMPSDrr_alt	= 3104,
-    X86_VCMPSSZrm	= 3105,
-    X86_VCMPSSZrmi_alt	= 3106,
-    X86_VCMPSSZrr	= 3107,
-    X86_VCMPSSZrri_alt	= 3108,
-    X86_VCMPSSrm	= 3109,
-    X86_VCMPSSrm_alt	= 3110,
-    X86_VCMPSSrr	= 3111,
-    X86_VCMPSSrr_alt	= 3112,
-    X86_VCOMISDZrm	= 3113,
-    X86_VCOMISDZrr	= 3114,
-    X86_VCOMISDrm	= 3115,
-    X86_VCOMISDrr	= 3116,
-    X86_VCOMISSZrm	= 3117,
-    X86_VCOMISSZrr	= 3118,
-    X86_VCOMISSrm	= 3119,
-    X86_VCOMISSrr	= 3120,
-    X86_VCVTDQ2PDYrm	= 3121,
-    X86_VCVTDQ2PDYrr	= 3122,
-    X86_VCVTDQ2PDZrm	= 3123,
-    X86_VCVTDQ2PDZrr	= 3124,
-    X86_VCVTDQ2PDrm	= 3125,
-    X86_VCVTDQ2PDrr	= 3126,
-    X86_VCVTDQ2PSYrm	= 3127,
-    X86_VCVTDQ2PSYrr	= 3128,
-    X86_VCVTDQ2PSZrm	= 3129,
-    X86_VCVTDQ2PSZrr	= 3130,
-    X86_VCVTDQ2PSZrrb	= 3131,
-    X86_VCVTDQ2PSrm	= 3132,
-    X86_VCVTDQ2PSrr	= 3133,
-    X86_VCVTPD2DQXrm	= 3134,
-    X86_VCVTPD2DQYrm	= 3135,
-    X86_VCVTPD2DQYrr	= 3136,
-    X86_VCVTPD2DQZrm	= 3137,
-    X86_VCVTPD2DQZrr	= 3138,
-    X86_VCVTPD2DQZrrb	= 3139,
-    X86_VCVTPD2DQrr	= 3140,
-    X86_VCVTPD2PSXrm	= 3141,
-    X86_VCVTPD2PSYrm	= 3142,
-    X86_VCVTPD2PSYrr	= 3143,
-    X86_VCVTPD2PSZrm	= 3144,
-    X86_VCVTPD2PSZrr	= 3145,
-    X86_VCVTPD2PSZrrb	= 3146,
-    X86_VCVTPD2PSrr	= 3147,
-    X86_VCVTPD2UDQZrm	= 3148,
-    X86_VCVTPD2UDQZrr	= 3149,
-    X86_VCVTPD2UDQZrrb	= 3150,
-    X86_VCVTPH2PSYrm	= 3151,
-    X86_VCVTPH2PSYrr	= 3152,
-    X86_VCVTPH2PSZrm	= 3153,
-    X86_VCVTPH2PSZrr	= 3154,
-    X86_VCVTPH2PSrm	= 3155,
-    X86_VCVTPH2PSrr	= 3156,
-    X86_VCVTPS2DQYrm	= 3157,
-    X86_VCVTPS2DQYrr	= 3158,
-    X86_VCVTPS2DQZrm	= 3159,
-    X86_VCVTPS2DQZrr	= 3160,
-    X86_VCVTPS2DQZrrb	= 3161,
-    X86_VCVTPS2DQrm	= 3162,
-    X86_VCVTPS2DQrr	= 3163,
-    X86_VCVTPS2PDYrm	= 3164,
-    X86_VCVTPS2PDYrr	= 3165,
-    X86_VCVTPS2PDZrm	= 3166,
-    X86_VCVTPS2PDZrr	= 3167,
-    X86_VCVTPS2PDrm	= 3168,
-    X86_VCVTPS2PDrr	= 3169,
-    X86_VCVTPS2PHYmr	= 3170,
-    X86_VCVTPS2PHYrr	= 3171,
-    X86_VCVTPS2PHZmr	= 3172,
-    X86_VCVTPS2PHZrr	= 3173,
-    X86_VCVTPS2PHmr	= 3174,
-    X86_VCVTPS2PHrr	= 3175,
-    X86_VCVTPS2UDQZrm	= 3176,
-    X86_VCVTPS2UDQZrr	= 3177,
-    X86_VCVTPS2UDQZrrb	= 3178,
-    X86_VCVTSD2SI64Zrm	= 3179,
-    X86_VCVTSD2SI64Zrr	= 3180,
-    X86_VCVTSD2SI64rm	= 3181,
-    X86_VCVTSD2SI64rr	= 3182,
-    X86_VCVTSD2SIZrm	= 3183,
-    X86_VCVTSD2SIZrr	= 3184,
-    X86_VCVTSD2SIrm	= 3185,
-    X86_VCVTSD2SIrr	= 3186,
-    X86_VCVTSD2SSZrm	= 3187,
-    X86_VCVTSD2SSZrr	= 3188,
-    X86_VCVTSD2SSrm	= 3189,
-    X86_VCVTSD2SSrr	= 3190,
-    X86_VCVTSD2USI64Zrm	= 3191,
-    X86_VCVTSD2USI64Zrr	= 3192,
-    X86_VCVTSD2USIZrm	= 3193,
-    X86_VCVTSD2USIZrr	= 3194,
-    X86_VCVTSI2SD64rm	= 3195,
-    X86_VCVTSI2SD64rr	= 3196,
-    X86_VCVTSI2SDZrm	= 3197,
-    X86_VCVTSI2SDZrr	= 3198,
-    X86_VCVTSI2SDrm	= 3199,
-    X86_VCVTSI2SDrr	= 3200,
-    X86_VCVTSI2SS64rm	= 3201,
-    X86_VCVTSI2SS64rr	= 3202,
-    X86_VCVTSI2SSZrm	= 3203,
-    X86_VCVTSI2SSZrr	= 3204,
-    X86_VCVTSI2SSrm	= 3205,
-    X86_VCVTSI2SSrr	= 3206,
-    X86_VCVTSI642SDZrm	= 3207,
-    X86_VCVTSI642SDZrr	= 3208,
-    X86_VCVTSI642SSZrm	= 3209,
-    X86_VCVTSI642SSZrr	= 3210,
-    X86_VCVTSS2SDZrm	= 3211,
-    X86_VCVTSS2SDZrr	= 3212,
-    X86_VCVTSS2SDrm	= 3213,
-    X86_VCVTSS2SDrr	= 3214,
-    X86_VCVTSS2SI64Zrm	= 3215,
-    X86_VCVTSS2SI64Zrr	= 3216,
-    X86_VCVTSS2SI64rm	= 3217,
-    X86_VCVTSS2SI64rr	= 3218,
-    X86_VCVTSS2SIZrm	= 3219,
-    X86_VCVTSS2SIZrr	= 3220,
-    X86_VCVTSS2SIrm	= 3221,
-    X86_VCVTSS2SIrr	= 3222,
-    X86_VCVTSS2USI64Zrm	= 3223,
-    X86_VCVTSS2USI64Zrr	= 3224,
-    X86_VCVTSS2USIZrm	= 3225,
-    X86_VCVTSS2USIZrr	= 3226,
-    X86_VCVTTPD2DQXrm	= 3227,
-    X86_VCVTTPD2DQYrm	= 3228,
-    X86_VCVTTPD2DQYrr	= 3229,
-    X86_VCVTTPD2DQZrm	= 3230,
-    X86_VCVTTPD2DQZrr	= 3231,
-    X86_VCVTTPD2DQrr	= 3232,
-    X86_VCVTTPD2UDQZrm	= 3233,
-    X86_VCVTTPD2UDQZrr	= 3234,
-    X86_VCVTTPS2DQYrm	= 3235,
-    X86_VCVTTPS2DQYrr	= 3236,
-    X86_VCVTTPS2DQZrm	= 3237,
-    X86_VCVTTPS2DQZrr	= 3238,
-    X86_VCVTTPS2DQrm	= 3239,
-    X86_VCVTTPS2DQrr	= 3240,
-    X86_VCVTTPS2UDQZrm	= 3241,
-    X86_VCVTTPS2UDQZrr	= 3242,
-    X86_VCVTTSD2SI64Zrm	= 3243,
-    X86_VCVTTSD2SI64Zrr	= 3244,
-    X86_VCVTTSD2SI64rm	= 3245,
-    X86_VCVTTSD2SI64rr	= 3246,
-    X86_VCVTTSD2SIZrm	= 3247,
-    X86_VCVTTSD2SIZrr	= 3248,
-    X86_VCVTTSD2SIrm	= 3249,
-    X86_VCVTTSD2SIrr	= 3250,
-    X86_VCVTTSD2USI64Zrm	= 3251,
-    X86_VCVTTSD2USI64Zrr	= 3252,
-    X86_VCVTTSD2USIZrm	= 3253,
-    X86_VCVTTSD2USIZrr	= 3254,
-    X86_VCVTTSS2SI64Zrm	= 3255,
-    X86_VCVTTSS2SI64Zrr	= 3256,
-    X86_VCVTTSS2SI64rm	= 3257,
-    X86_VCVTTSS2SI64rr	= 3258,
-    X86_VCVTTSS2SIZrm	= 3259,
-    X86_VCVTTSS2SIZrr	= 3260,
-    X86_VCVTTSS2SIrm	= 3261,
-    X86_VCVTTSS2SIrr	= 3262,
-    X86_VCVTTSS2USI64Zrm	= 3263,
-    X86_VCVTTSS2USI64Zrr	= 3264,
-    X86_VCVTTSS2USIZrm	= 3265,
-    X86_VCVTTSS2USIZrr	= 3266,
-    X86_VCVTUDQ2PDZrm	= 3267,
-    X86_VCVTUDQ2PDZrr	= 3268,
-    X86_VCVTUDQ2PSZrm	= 3269,
-    X86_VCVTUDQ2PSZrr	= 3270,
-    X86_VCVTUDQ2PSZrrb	= 3271,
-    X86_VCVTUSI2SDZrm	= 3272,
-    X86_VCVTUSI2SDZrr	= 3273,
-    X86_VCVTUSI2SSZrm	= 3274,
-    X86_VCVTUSI2SSZrr	= 3275,
-    X86_VCVTUSI642SDZrm	= 3276,
-    X86_VCVTUSI642SDZrr	= 3277,
-    X86_VCVTUSI642SSZrm	= 3278,
-    X86_VCVTUSI642SSZrr	= 3279,
-    X86_VDIVPDYrm	= 3280,
-    X86_VDIVPDYrr	= 3281,
-    X86_VDIVPDZrm	= 3282,
-    X86_VDIVPDZrmb	= 3283,
-    X86_VDIVPDZrmbk	= 3284,
-    X86_VDIVPDZrmbkz	= 3285,
-    X86_VDIVPDZrmk	= 3286,
-    X86_VDIVPDZrmkz	= 3287,
-    X86_VDIVPDZrr	= 3288,
-    X86_VDIVPDZrrk	= 3289,
-    X86_VDIVPDZrrkz	= 3290,
-    X86_VDIVPDrm	= 3291,
-    X86_VDIVPDrr	= 3292,
-    X86_VDIVPSYrm	= 3293,
-    X86_VDIVPSYrr	= 3294,
-    X86_VDIVPSZrm	= 3295,
-    X86_VDIVPSZrmb	= 3296,
-    X86_VDIVPSZrmbk	= 3297,
-    X86_VDIVPSZrmbkz	= 3298,
-    X86_VDIVPSZrmk	= 3299,
-    X86_VDIVPSZrmkz	= 3300,
-    X86_VDIVPSZrr	= 3301,
-    X86_VDIVPSZrrk	= 3302,
-    X86_VDIVPSZrrkz	= 3303,
-    X86_VDIVPSrm	= 3304,
-    X86_VDIVPSrr	= 3305,
-    X86_VDIVSDZrm	= 3306,
-    X86_VDIVSDZrr	= 3307,
-    X86_VDIVSDrm	= 3308,
-    X86_VDIVSDrm_Int	= 3309,
-    X86_VDIVSDrr	= 3310,
-    X86_VDIVSDrr_Int	= 3311,
-    X86_VDIVSSZrm	= 3312,
-    X86_VDIVSSZrr	= 3313,
-    X86_VDIVSSrm	= 3314,
-    X86_VDIVSSrm_Int	= 3315,
-    X86_VDIVSSrr	= 3316,
-    X86_VDIVSSrr_Int	= 3317,
-    X86_VDPPDrmi	= 3318,
-    X86_VDPPDrri	= 3319,
-    X86_VDPPSYrmi	= 3320,
-    X86_VDPPSYrri	= 3321,
-    X86_VDPPSrmi	= 3322,
-    X86_VDPPSrri	= 3323,
-    X86_VERRm	= 3324,
-    X86_VERRr	= 3325,
-    X86_VERWm	= 3326,
-    X86_VERWr	= 3327,
-    X86_VEXTRACTF128mr	= 3328,
-    X86_VEXTRACTF128rr	= 3329,
-    X86_VEXTRACTF32x4mr	= 3330,
-    X86_VEXTRACTF32x4rr	= 3331,
-    X86_VEXTRACTF64x4mr	= 3332,
-    X86_VEXTRACTF64x4rr	= 3333,
-    X86_VEXTRACTI128mr	= 3334,
-    X86_VEXTRACTI128rr	= 3335,
-    X86_VEXTRACTI32x4mr	= 3336,
-    X86_VEXTRACTI32x4rr	= 3337,
-    X86_VEXTRACTI64x4mr	= 3338,
-    X86_VEXTRACTI64x4rr	= 3339,
-    X86_VEXTRACTPSmr	= 3340,
-    X86_VEXTRACTPSrr	= 3341,
-    X86_VEXTRACTPSzmr	= 3342,
-    X86_VEXTRACTPSzrr	= 3343,
-    X86_VFMADD132PDZm	= 3344,
-    X86_VFMADD132PDZmb	= 3345,
-    X86_VFMADD132PSZm	= 3346,
-    X86_VFMADD132PSZmb	= 3347,
-    X86_VFMADD213PDZm	= 3348,
-    X86_VFMADD213PDZmb	= 3349,
-    X86_VFMADD213PDZr	= 3350,
-    X86_VFMADD213PSZm	= 3351,
-    X86_VFMADD213PSZmb	= 3352,
-    X86_VFMADD213PSZr	= 3353,
-    X86_VFMADDPD4mr	= 3354,
-    X86_VFMADDPD4mrY	= 3355,
-    X86_VFMADDPD4rm	= 3356,
-    X86_VFMADDPD4rmY	= 3357,
-    X86_VFMADDPD4rr	= 3358,
-    X86_VFMADDPD4rrY	= 3359,
-    X86_VFMADDPD4rrY_REV	= 3360,
-    X86_VFMADDPD4rr_REV	= 3361,
-    X86_VFMADDPDr132m	= 3362,
-    X86_VFMADDPDr132mY	= 3363,
-    X86_VFMADDPDr132r	= 3364,
-    X86_VFMADDPDr132rY	= 3365,
-    X86_VFMADDPDr213m	= 3366,
-    X86_VFMADDPDr213mY	= 3367,
-    X86_VFMADDPDr213r	= 3368,
-    X86_VFMADDPDr213rY	= 3369,
-    X86_VFMADDPDr231m	= 3370,
-    X86_VFMADDPDr231mY	= 3371,
-    X86_VFMADDPDr231r	= 3372,
-    X86_VFMADDPDr231rY	= 3373,
-    X86_VFMADDPS4mr	= 3374,
-    X86_VFMADDPS4mrY	= 3375,
-    X86_VFMADDPS4rm	= 3376,
-    X86_VFMADDPS4rmY	= 3377,
-    X86_VFMADDPS4rr	= 3378,
-    X86_VFMADDPS4rrY	= 3379,
-    X86_VFMADDPS4rrY_REV	= 3380,
-    X86_VFMADDPS4rr_REV	= 3381,
-    X86_VFMADDPSr132m	= 3382,
-    X86_VFMADDPSr132mY	= 3383,
-    X86_VFMADDPSr132r	= 3384,
-    X86_VFMADDPSr132rY	= 3385,
-    X86_VFMADDPSr213m	= 3386,
-    X86_VFMADDPSr213mY	= 3387,
-    X86_VFMADDPSr213r	= 3388,
-    X86_VFMADDPSr213rY	= 3389,
-    X86_VFMADDPSr231m	= 3390,
-    X86_VFMADDPSr231mY	= 3391,
-    X86_VFMADDPSr231r	= 3392,
-    X86_VFMADDPSr231rY	= 3393,
-    X86_VFMADDSD4mr	= 3394,
-    X86_VFMADDSD4mr_Int	= 3395,
-    X86_VFMADDSD4rm	= 3396,
-    X86_VFMADDSD4rm_Int	= 3397,
-    X86_VFMADDSD4rr	= 3398,
-    X86_VFMADDSD4rr_Int	= 3399,
-    X86_VFMADDSD4rr_REV	= 3400,
-    X86_VFMADDSDZm	= 3401,
-    X86_VFMADDSDZr	= 3402,
-    X86_VFMADDSDr132m	= 3403,
-    X86_VFMADDSDr132r	= 3404,
-    X86_VFMADDSDr213m	= 3405,
-    X86_VFMADDSDr213r	= 3406,
-    X86_VFMADDSDr231m	= 3407,
-    X86_VFMADDSDr231r	= 3408,
-    X86_VFMADDSS4mr	= 3409,
-    X86_VFMADDSS4mr_Int	= 3410,
-    X86_VFMADDSS4rm	= 3411,
-    X86_VFMADDSS4rm_Int	= 3412,
-    X86_VFMADDSS4rr	= 3413,
-    X86_VFMADDSS4rr_Int	= 3414,
-    X86_VFMADDSS4rr_REV	= 3415,
-    X86_VFMADDSSZm	= 3416,
-    X86_VFMADDSSZr	= 3417,
-    X86_VFMADDSSr132m	= 3418,
-    X86_VFMADDSSr132r	= 3419,
-    X86_VFMADDSSr213m	= 3420,
-    X86_VFMADDSSr213r	= 3421,
-    X86_VFMADDSSr231m	= 3422,
-    X86_VFMADDSSr231r	= 3423,
-    X86_VFMADDSUB132PDZm	= 3424,
-    X86_VFMADDSUB132PDZmb	= 3425,
-    X86_VFMADDSUB132PSZm	= 3426,
-    X86_VFMADDSUB132PSZmb	= 3427,
-    X86_VFMADDSUB213PDZm	= 3428,
-    X86_VFMADDSUB213PDZmb	= 3429,
-    X86_VFMADDSUB213PDZr	= 3430,
+    X86_CVTDQ2PDrm	= 605,
+    X86_CVTDQ2PDrr	= 606,
+    X86_CVTDQ2PSrm	= 607,
+    X86_CVTDQ2PSrr	= 608,
+    X86_CVTPD2DQrm	= 609,
+    X86_CVTPD2DQrr	= 610,
+    X86_CVTPD2PSrm	= 611,
+    X86_CVTPD2PSrr	= 612,
+    X86_CVTPS2DQrm	= 613,
+    X86_CVTPS2DQrr	= 614,
+    X86_CVTPS2PDrm	= 615,
+    X86_CVTPS2PDrr	= 616,
+    X86_CVTSD2SI64rm	= 617,
+    X86_CVTSD2SI64rr	= 618,
+    X86_CVTSD2SIrm	= 619,
+    X86_CVTSD2SIrr	= 620,
+    X86_CVTSD2SSrm	= 621,
+    X86_CVTSD2SSrr	= 622,
+    X86_CVTSI2SD64rm	= 623,
+    X86_CVTSI2SD64rr	= 624,
+    X86_CVTSI2SDrm	= 625,
+    X86_CVTSI2SDrr	= 626,
+    X86_CVTSI2SS64rm	= 627,
+    X86_CVTSI2SS64rr	= 628,
+    X86_CVTSI2SSrm	= 629,
+    X86_CVTSI2SSrr	= 630,
+    X86_CVTSS2SDrm	= 631,
+    X86_CVTSS2SDrr	= 632,
+    X86_CVTSS2SI64rm	= 633,
+    X86_CVTSS2SI64rr	= 634,
+    X86_CVTSS2SIrm	= 635,
+    X86_CVTSS2SIrr	= 636,
+    X86_CVTTPD2DQrm	= 637,
+    X86_CVTTPD2DQrr	= 638,
+    X86_CVTTPS2DQrm	= 639,
+    X86_CVTTPS2DQrr	= 640,
+    X86_CVTTSD2SI64rm	= 641,
+    X86_CVTTSD2SI64rr	= 642,
+    X86_CVTTSD2SIrm	= 643,
+    X86_CVTTSD2SIrr	= 644,
+    X86_CVTTSS2SI64rm	= 645,
+    X86_CVTTSS2SI64rr	= 646,
+    X86_CVTTSS2SIrm	= 647,
+    X86_CVTTSS2SIrr	= 648,
+    X86_CWD	= 649,
+    X86_CWDE	= 650,
+    X86_DAA	= 651,
+    X86_DAS	= 652,
+    X86_DATA16_PREFIX	= 653,
+    X86_DEC16m	= 654,
+    X86_DEC16r	= 655,
+    X86_DEC32_16r	= 656,
+    X86_DEC32_32r	= 657,
+    X86_DEC32m	= 658,
+    X86_DEC32r	= 659,
+    X86_DEC64_16m	= 660,
+    X86_DEC64_16r	= 661,
+    X86_DEC64_32m	= 662,
+    X86_DEC64_32r	= 663,
+    X86_DEC64m	= 664,
+    X86_DEC64r	= 665,
+    X86_DEC8m	= 666,
+    X86_DEC8r	= 667,
+    X86_DIV16m	= 668,
+    X86_DIV16r	= 669,
+    X86_DIV32m	= 670,
+    X86_DIV32r	= 671,
+    X86_DIV64m	= 672,
+    X86_DIV64r	= 673,
+    X86_DIV8m	= 674,
+    X86_DIV8r	= 675,
+    X86_DIVPDrm	= 676,
+    X86_DIVPDrr	= 677,
+    X86_DIVPSrm	= 678,
+    X86_DIVPSrr	= 679,
+    X86_DIVR_F32m	= 680,
+    X86_DIVR_F64m	= 681,
+    X86_DIVR_FI16m	= 682,
+    X86_DIVR_FI32m	= 683,
+    X86_DIVR_FPrST0	= 684,
+    X86_DIVR_FST0r	= 685,
+    X86_DIVR_Fp32m	= 686,
+    X86_DIVR_Fp64m	= 687,
+    X86_DIVR_Fp64m32	= 688,
+    X86_DIVR_Fp80m32	= 689,
+    X86_DIVR_Fp80m64	= 690,
+    X86_DIVR_FpI16m32	= 691,
+    X86_DIVR_FpI16m64	= 692,
+    X86_DIVR_FpI16m80	= 693,
+    X86_DIVR_FpI32m32	= 694,
+    X86_DIVR_FpI32m64	= 695,
+    X86_DIVR_FpI32m80	= 696,
+    X86_DIVR_FrST0	= 697,
+    X86_DIVSDrm	= 698,
+    X86_DIVSDrm_Int	= 699,
+    X86_DIVSDrr	= 700,
+    X86_DIVSDrr_Int	= 701,
+    X86_DIVSSrm	= 702,
+    X86_DIVSSrm_Int	= 703,
+    X86_DIVSSrr	= 704,
+    X86_DIVSSrr_Int	= 705,
+    X86_DIV_F32m	= 706,
+    X86_DIV_F64m	= 707,
+    X86_DIV_FI16m	= 708,
+    X86_DIV_FI32m	= 709,
+    X86_DIV_FPrST0	= 710,
+    X86_DIV_FST0r	= 711,
+    X86_DIV_Fp32	= 712,
+    X86_DIV_Fp32m	= 713,
+    X86_DIV_Fp64	= 714,
+    X86_DIV_Fp64m	= 715,
+    X86_DIV_Fp64m32	= 716,
+    X86_DIV_Fp80	= 717,
+    X86_DIV_Fp80m32	= 718,
+    X86_DIV_Fp80m64	= 719,
+    X86_DIV_FpI16m32	= 720,
+    X86_DIV_FpI16m64	= 721,
+    X86_DIV_FpI16m80	= 722,
+    X86_DIV_FpI32m32	= 723,
+    X86_DIV_FpI32m64	= 724,
+    X86_DIV_FpI32m80	= 725,
+    X86_DIV_FrST0	= 726,
+    X86_DPPDrmi	= 727,
+    X86_DPPDrri	= 728,
+    X86_DPPSrmi	= 729,
+    X86_DPPSrri	= 730,
+    X86_EH_RETURN	= 731,
+    X86_EH_RETURN64	= 732,
+    X86_EH_SjLj_LongJmp32	= 733,
+    X86_EH_SjLj_LongJmp64	= 734,
+    X86_EH_SjLj_SetJmp32	= 735,
+    X86_EH_SjLj_SetJmp64	= 736,
+    X86_EH_SjLj_Setup	= 737,
+    X86_ENCLS	= 738,
+    X86_ENCLU	= 739,
+    X86_ENTER	= 740,
+    X86_EXTRACTPSmr	= 741,
+    X86_EXTRACTPSrr	= 742,
+    X86_EXTRQ	= 743,
+    X86_EXTRQI	= 744,
+    X86_F2XM1	= 745,
+    X86_FARCALL16i	= 746,
+    X86_FARCALL16m	= 747,
+    X86_FARCALL32i	= 748,
+    X86_FARCALL32m	= 749,
+    X86_FARCALL64	= 750,
+    X86_FARJMP16i	= 751,
+    X86_FARJMP16m	= 752,
+    X86_FARJMP32i	= 753,
+    X86_FARJMP32m	= 754,
+    X86_FARJMP64	= 755,
+    X86_FBLDm	= 756,
+    X86_FBSTPm	= 757,
+    X86_FCOM32m	= 758,
+    X86_FCOM64m	= 759,
+    X86_FCOMP32m	= 760,
+    X86_FCOMP64m	= 761,
+    X86_FCOMPP	= 762,
+    X86_FDECSTP	= 763,
+    X86_FEMMS	= 764,
+    X86_FFREE	= 765,
+    X86_FICOM16m	= 766,
+    X86_FICOM32m	= 767,
+    X86_FICOMP16m	= 768,
+    X86_FICOMP32m	= 769,
+    X86_FINCSTP	= 770,
+    X86_FLDCW16m	= 771,
+    X86_FLDENVm	= 772,
+    X86_FLDL2E	= 773,
+    X86_FLDL2T	= 774,
+    X86_FLDLG2	= 775,
+    X86_FLDLN2	= 776,
+    X86_FLDPI	= 777,
+    X86_FNCLEX	= 778,
+    X86_FNINIT	= 779,
+    X86_FNOP	= 780,
+    X86_FNSTCW16m	= 781,
+    X86_FNSTSW16r	= 782,
+    X86_FNSTSWm	= 783,
+    X86_FP32_TO_INT16_IN_MEM	= 784,
+    X86_FP32_TO_INT32_IN_MEM	= 785,
+    X86_FP32_TO_INT64_IN_MEM	= 786,
+    X86_FP64_TO_INT16_IN_MEM	= 787,
+    X86_FP64_TO_INT32_IN_MEM	= 788,
+    X86_FP64_TO_INT64_IN_MEM	= 789,
+    X86_FP80_TO_INT16_IN_MEM	= 790,
+    X86_FP80_TO_INT32_IN_MEM	= 791,
+    X86_FP80_TO_INT64_IN_MEM	= 792,
+    X86_FPATAN	= 793,
+    X86_FPREM	= 794,
+    X86_FPREM1	= 795,
+    X86_FPTAN	= 796,
+    X86_FRNDINT	= 797,
+    X86_FRSTORm	= 798,
+    X86_FSAVEm	= 799,
+    X86_FSCALE	= 800,
+    X86_FSETPM	= 801,
+    X86_FSINCOS	= 802,
+    X86_FSTENVm	= 803,
+    X86_FXAM	= 804,
+    X86_FXRSTOR	= 805,
+    X86_FXRSTOR64	= 806,
+    X86_FXSAVE	= 807,
+    X86_FXSAVE64	= 808,
+    X86_FXTRACT	= 809,
+    X86_FYL2X	= 810,
+    X86_FYL2XP1	= 811,
+    X86_FsANDNPDrm	= 812,
+    X86_FsANDNPDrr	= 813,
+    X86_FsANDNPSrm	= 814,
+    X86_FsANDNPSrr	= 815,
+    X86_FsANDPDrm	= 816,
+    X86_FsANDPDrr	= 817,
+    X86_FsANDPSrm	= 818,
+    X86_FsANDPSrr	= 819,
+    X86_FsFLD0SD	= 820,
+    X86_FsFLD0SS	= 821,
+    X86_FsMOVAPDrm	= 822,
+    X86_FsMOVAPSrm	= 823,
+    X86_FsORPDrm	= 824,
+    X86_FsORPDrr	= 825,
+    X86_FsORPSrm	= 826,
+    X86_FsORPSrr	= 827,
+    X86_FsVMOVAPDrm	= 828,
+    X86_FsVMOVAPSrm	= 829,
+    X86_FsXORPDrm	= 830,
+    X86_FsXORPDrr	= 831,
+    X86_FsXORPSrm	= 832,
+    X86_FsXORPSrr	= 833,
+    X86_GETSEC	= 834,
+    X86_HADDPDrm	= 835,
+    X86_HADDPDrr	= 836,
+    X86_HADDPSrm	= 837,
+    X86_HADDPSrr	= 838,
+    X86_HLT	= 839,
+    X86_HSUBPDrm	= 840,
+    X86_HSUBPDrr	= 841,
+    X86_HSUBPSrm	= 842,
+    X86_HSUBPSrr	= 843,
+    X86_IDIV16m	= 844,
+    X86_IDIV16r	= 845,
+    X86_IDIV32m	= 846,
+    X86_IDIV32r	= 847,
+    X86_IDIV64m	= 848,
+    X86_IDIV64r	= 849,
+    X86_IDIV8m	= 850,
+    X86_IDIV8r	= 851,
+    X86_ILD_F16m	= 852,
+    X86_ILD_F32m	= 853,
+    X86_ILD_F64m	= 854,
+    X86_ILD_Fp16m32	= 855,
+    X86_ILD_Fp16m64	= 856,
+    X86_ILD_Fp16m80	= 857,
+    X86_ILD_Fp32m32	= 858,
+    X86_ILD_Fp32m64	= 859,
+    X86_ILD_Fp32m80	= 860,
+    X86_ILD_Fp64m32	= 861,
+    X86_ILD_Fp64m64	= 862,
+    X86_ILD_Fp64m80	= 863,
+    X86_IMUL16m	= 864,
+    X86_IMUL16r	= 865,
+    X86_IMUL16rm	= 866,
+    X86_IMUL16rmi	= 867,
+    X86_IMUL16rmi8	= 868,
+    X86_IMUL16rr	= 869,
+    X86_IMUL16rri	= 870,
+    X86_IMUL16rri8	= 871,
+    X86_IMUL32m	= 872,
+    X86_IMUL32r	= 873,
+    X86_IMUL32rm	= 874,
+    X86_IMUL32rmi	= 875,
+    X86_IMUL32rmi8	= 876,
+    X86_IMUL32rr	= 877,
+    X86_IMUL32rri	= 878,
+    X86_IMUL32rri8	= 879,
+    X86_IMUL64m	= 880,
+    X86_IMUL64r	= 881,
+    X86_IMUL64rm	= 882,
+    X86_IMUL64rmi32	= 883,
+    X86_IMUL64rmi8	= 884,
+    X86_IMUL64rr	= 885,
+    X86_IMUL64rri32	= 886,
+    X86_IMUL64rri8	= 887,
+    X86_IMUL8m	= 888,
+    X86_IMUL8r	= 889,
+    X86_IN16ri	= 890,
+    X86_IN16rr	= 891,
+    X86_IN32ri	= 892,
+    X86_IN32rr	= 893,
+    X86_IN8ri	= 894,
+    X86_IN8rr	= 895,
+    X86_INC16m	= 896,
+    X86_INC16r	= 897,
+    X86_INC32_16r	= 898,
+    X86_INC32_32r	= 899,
+    X86_INC32m	= 900,
+    X86_INC32r	= 901,
+    X86_INC64_16m	= 902,
+    X86_INC64_16r	= 903,
+    X86_INC64_32m	= 904,
+    X86_INC64_32r	= 905,
+    X86_INC64m	= 906,
+    X86_INC64r	= 907,
+    X86_INC8m	= 908,
+    X86_INC8r	= 909,
+    X86_INSB	= 910,
+    X86_INSERTPSrm	= 911,
+    X86_INSERTPSrr	= 912,
+    X86_INSERTQ	= 913,
+    X86_INSERTQI	= 914,
+    X86_INSL	= 915,
+    X86_INSW	= 916,
+    X86_INT	= 917,
+    X86_INT1	= 918,
+    X86_INT3	= 919,
+    X86_INTO	= 920,
+    X86_INVD	= 921,
+    X86_INVEPT32	= 922,
+    X86_INVEPT64	= 923,
+    X86_INVLPG	= 924,
+    X86_INVLPGA32	= 925,
+    X86_INVLPGA64	= 926,
+    X86_INVPCID32	= 927,
+    X86_INVPCID64	= 928,
+    X86_INVVPID32	= 929,
+    X86_INVVPID64	= 930,
+    X86_IRET16	= 931,
+    X86_IRET32	= 932,
+    X86_IRET64	= 933,
+    X86_ISTT_FP16m	= 934,
+    X86_ISTT_FP32m	= 935,
+    X86_ISTT_FP64m	= 936,
+    X86_ISTT_Fp16m32	= 937,
+    X86_ISTT_Fp16m64	= 938,
+    X86_ISTT_Fp16m80	= 939,
+    X86_ISTT_Fp32m32	= 940,
+    X86_ISTT_Fp32m64	= 941,
+    X86_ISTT_Fp32m80	= 942,
+    X86_ISTT_Fp64m32	= 943,
+    X86_ISTT_Fp64m64	= 944,
+    X86_ISTT_Fp64m80	= 945,
+    X86_IST_F16m	= 946,
+    X86_IST_F32m	= 947,
+    X86_IST_FP16m	= 948,
+    X86_IST_FP32m	= 949,
+    X86_IST_FP64m	= 950,
+    X86_IST_Fp16m32	= 951,
+    X86_IST_Fp16m64	= 952,
+    X86_IST_Fp16m80	= 953,
+    X86_IST_Fp32m32	= 954,
+    X86_IST_Fp32m64	= 955,
+    X86_IST_Fp32m80	= 956,
+    X86_IST_Fp64m32	= 957,
+    X86_IST_Fp64m64	= 958,
+    X86_IST_Fp64m80	= 959,
+    X86_Int_CMPSDrm	= 960,
+    X86_Int_CMPSDrr	= 961,
+    X86_Int_CMPSSrm	= 962,
+    X86_Int_CMPSSrr	= 963,
+    X86_Int_COMISDrm	= 964,
+    X86_Int_COMISDrr	= 965,
+    X86_Int_COMISSrm	= 966,
+    X86_Int_COMISSrr	= 967,
+    X86_Int_CVTSD2SSrm	= 968,
+    X86_Int_CVTSD2SSrr	= 969,
+    X86_Int_CVTSI2SD64rm	= 970,
+    X86_Int_CVTSI2SD64rr	= 971,
+    X86_Int_CVTSI2SDrm	= 972,
+    X86_Int_CVTSI2SDrr	= 973,
+    X86_Int_CVTSI2SS64rm	= 974,
+    X86_Int_CVTSI2SS64rr	= 975,
+    X86_Int_CVTSI2SSrm	= 976,
+    X86_Int_CVTSI2SSrr	= 977,
+    X86_Int_CVTSS2SDrm	= 978,
+    X86_Int_CVTSS2SDrr	= 979,
+    X86_Int_CVTTSD2SI64rm	= 980,
+    X86_Int_CVTTSD2SI64rr	= 981,
+    X86_Int_CVTTSD2SIrm	= 982,
+    X86_Int_CVTTSD2SIrr	= 983,
+    X86_Int_CVTTSS2SI64rm	= 984,
+    X86_Int_CVTTSS2SI64rr	= 985,
+    X86_Int_CVTTSS2SIrm	= 986,
+    X86_Int_CVTTSS2SIrr	= 987,
+    X86_Int_MemBarrier	= 988,
+    X86_Int_UCOMISDrm	= 989,
+    X86_Int_UCOMISDrr	= 990,
+    X86_Int_UCOMISSrm	= 991,
+    X86_Int_UCOMISSrr	= 992,
+    X86_Int_VCMPSDrm	= 993,
+    X86_Int_VCMPSDrr	= 994,
+    X86_Int_VCMPSSrm	= 995,
+    X86_Int_VCMPSSrr	= 996,
+    X86_Int_VCOMISDZrm	= 997,
+    X86_Int_VCOMISDZrr	= 998,
+    X86_Int_VCOMISDrm	= 999,
+    X86_Int_VCOMISDrr	= 1000,
+    X86_Int_VCOMISSZrm	= 1001,
+    X86_Int_VCOMISSZrr	= 1002,
+    X86_Int_VCOMISSrm	= 1003,
+    X86_Int_VCOMISSrr	= 1004,
+    X86_Int_VCVTSD2SSrm	= 1005,
+    X86_Int_VCVTSD2SSrr	= 1006,
+    X86_Int_VCVTSI2SD64Zrm	= 1007,
+    X86_Int_VCVTSI2SD64Zrr	= 1008,
+    X86_Int_VCVTSI2SD64rm	= 1009,
+    X86_Int_VCVTSI2SD64rr	= 1010,
+    X86_Int_VCVTSI2SDZrm	= 1011,
+    X86_Int_VCVTSI2SDZrr	= 1012,
+    X86_Int_VCVTSI2SDrm	= 1013,
+    X86_Int_VCVTSI2SDrr	= 1014,
+    X86_Int_VCVTSI2SS64Zrm	= 1015,
+    X86_Int_VCVTSI2SS64Zrr	= 1016,
+    X86_Int_VCVTSI2SS64rm	= 1017,
+    X86_Int_VCVTSI2SS64rr	= 1018,
+    X86_Int_VCVTSI2SSZrm	= 1019,
+    X86_Int_VCVTSI2SSZrr	= 1020,
+    X86_Int_VCVTSI2SSrm	= 1021,
+    X86_Int_VCVTSI2SSrr	= 1022,
+    X86_Int_VCVTSS2SDrm	= 1023,
+    X86_Int_VCVTSS2SDrr	= 1024,
+    X86_Int_VCVTTSD2SI64Zrm	= 1025,
+    X86_Int_VCVTTSD2SI64Zrr	= 1026,
+    X86_Int_VCVTTSD2SI64rm	= 1027,
+    X86_Int_VCVTTSD2SI64rr	= 1028,
+    X86_Int_VCVTTSD2SIZrm	= 1029,
+    X86_Int_VCVTTSD2SIZrr	= 1030,
+    X86_Int_VCVTTSD2SIrm	= 1031,
+    X86_Int_VCVTTSD2SIrr	= 1032,
+    X86_Int_VCVTTSD2USI64Zrm	= 1033,
+    X86_Int_VCVTTSD2USI64Zrr	= 1034,
+    X86_Int_VCVTTSD2USIZrm	= 1035,
+    X86_Int_VCVTTSD2USIZrr	= 1036,
+    X86_Int_VCVTTSS2SI64Zrm	= 1037,
+    X86_Int_VCVTTSS2SI64Zrr	= 1038,
+    X86_Int_VCVTTSS2SI64rm	= 1039,
+    X86_Int_VCVTTSS2SI64rr	= 1040,
+    X86_Int_VCVTTSS2SIZrm	= 1041,
+    X86_Int_VCVTTSS2SIZrr	= 1042,
+    X86_Int_VCVTTSS2SIrm	= 1043,
+    X86_Int_VCVTTSS2SIrr	= 1044,
+    X86_Int_VCVTTSS2USI64Zrm	= 1045,
+    X86_Int_VCVTTSS2USI64Zrr	= 1046,
+    X86_Int_VCVTTSS2USIZrm	= 1047,
+    X86_Int_VCVTTSS2USIZrr	= 1048,
+    X86_Int_VCVTUSI2SD64Zrm	= 1049,
+    X86_Int_VCVTUSI2SD64Zrr	= 1050,
+    X86_Int_VCVTUSI2SDZrm	= 1051,
+    X86_Int_VCVTUSI2SDZrr	= 1052,
+    X86_Int_VCVTUSI2SS64Zrm	= 1053,
+    X86_Int_VCVTUSI2SS64Zrr	= 1054,
+    X86_Int_VCVTUSI2SSZrm	= 1055,
+    X86_Int_VCVTUSI2SSZrr	= 1056,
+    X86_Int_VUCOMISDZrm	= 1057,
+    X86_Int_VUCOMISDZrr	= 1058,
+    X86_Int_VUCOMISDrm	= 1059,
+    X86_Int_VUCOMISDrr	= 1060,
+    X86_Int_VUCOMISSZrm	= 1061,
+    X86_Int_VUCOMISSZrr	= 1062,
+    X86_Int_VUCOMISSrm	= 1063,
+    X86_Int_VUCOMISSrr	= 1064,
+    X86_JAE_1	= 1065,
+    X86_JAE_2	= 1066,
+    X86_JAE_4	= 1067,
+    X86_JA_1	= 1068,
+    X86_JA_2	= 1069,
+    X86_JA_4	= 1070,
+    X86_JBE_1	= 1071,
+    X86_JBE_2	= 1072,
+    X86_JBE_4	= 1073,
+    X86_JB_1	= 1074,
+    X86_JB_2	= 1075,
+    X86_JB_4	= 1076,
+    X86_JCXZ	= 1077,
+    X86_JECXZ_32	= 1078,
+    X86_JECXZ_64	= 1079,
+    X86_JE_1	= 1080,
+    X86_JE_2	= 1081,
+    X86_JE_4	= 1082,
+    X86_JGE_1	= 1083,
+    X86_JGE_2	= 1084,
+    X86_JGE_4	= 1085,
+    X86_JG_1	= 1086,
+    X86_JG_2	= 1087,
+    X86_JG_4	= 1088,
+    X86_JLE_1	= 1089,
+    X86_JLE_2	= 1090,
+    X86_JLE_4	= 1091,
+    X86_JL_1	= 1092,
+    X86_JL_2	= 1093,
+    X86_JL_4	= 1094,
+    X86_JMP16m	= 1095,
+    X86_JMP16r	= 1096,
+    X86_JMP32m	= 1097,
+    X86_JMP32r	= 1098,
+    X86_JMP64m	= 1099,
+    X86_JMP64r	= 1100,
+    X86_JMP_1	= 1101,
+    X86_JMP_2	= 1102,
+    X86_JMP_4	= 1103,
+    X86_JNE_1	= 1104,
+    X86_JNE_2	= 1105,
+    X86_JNE_4	= 1106,
+    X86_JNO_1	= 1107,
+    X86_JNO_2	= 1108,
+    X86_JNO_4	= 1109,
+    X86_JNP_1	= 1110,
+    X86_JNP_2	= 1111,
+    X86_JNP_4	= 1112,
+    X86_JNS_1	= 1113,
+    X86_JNS_2	= 1114,
+    X86_JNS_4	= 1115,
+    X86_JO_1	= 1116,
+    X86_JO_2	= 1117,
+    X86_JO_4	= 1118,
+    X86_JP_1	= 1119,
+    X86_JP_2	= 1120,
+    X86_JP_4	= 1121,
+    X86_JRCXZ	= 1122,
+    X86_JS_1	= 1123,
+    X86_JS_2	= 1124,
+    X86_JS_4	= 1125,
+    X86_KANDBrr	= 1126,
+    X86_KANDDrr	= 1127,
+    X86_KANDNBrr	= 1128,
+    X86_KANDNDrr	= 1129,
+    X86_KANDNQrr	= 1130,
+    X86_KANDNWrr	= 1131,
+    X86_KANDQrr	= 1132,
+    X86_KANDWrr	= 1133,
+    X86_KMOVBkk	= 1134,
+    X86_KMOVBkm	= 1135,
+    X86_KMOVBkr	= 1136,
+    X86_KMOVBmk	= 1137,
+    X86_KMOVBrk	= 1138,
+    X86_KMOVDkk	= 1139,
+    X86_KMOVDkm	= 1140,
+    X86_KMOVDkr	= 1141,
+    X86_KMOVDmk	= 1142,
+    X86_KMOVDrk	= 1143,
+    X86_KMOVQkk	= 1144,
+    X86_KMOVQkm	= 1145,
+    X86_KMOVQkr	= 1146,
+    X86_KMOVQmk	= 1147,
+    X86_KMOVQrk	= 1148,
+    X86_KMOVWkk	= 1149,
+    X86_KMOVWkm	= 1150,
+    X86_KMOVWkr	= 1151,
+    X86_KMOVWmk	= 1152,
+    X86_KMOVWrk	= 1153,
+    X86_KNOTBrr	= 1154,
+    X86_KNOTDrr	= 1155,
+    X86_KNOTQrr	= 1156,
+    X86_KNOTWrr	= 1157,
+    X86_KORBrr	= 1158,
+    X86_KORDrr	= 1159,
+    X86_KORQrr	= 1160,
+    X86_KORTESTWrr	= 1161,
+    X86_KORWrr	= 1162,
+    X86_KSET0B	= 1163,
+    X86_KSET0W	= 1164,
+    X86_KSET1B	= 1165,
+    X86_KSET1W	= 1166,
+    X86_KSHIFTLWri	= 1167,
+    X86_KSHIFTRWri	= 1168,
+    X86_KUNPCKBWrr	= 1169,
+    X86_KXNORBrr	= 1170,
+    X86_KXNORDrr	= 1171,
+    X86_KXNORQrr	= 1172,
+    X86_KXNORWrr	= 1173,
+    X86_KXORBrr	= 1174,
+    X86_KXORDrr	= 1175,
+    X86_KXORQrr	= 1176,
+    X86_KXORWrr	= 1177,
+    X86_LAHF	= 1178,
+    X86_LAR16rm	= 1179,
+    X86_LAR16rr	= 1180,
+    X86_LAR32rm	= 1181,
+    X86_LAR32rr	= 1182,
+    X86_LAR64rm	= 1183,
+    X86_LAR64rr	= 1184,
+    X86_LCMPXCHG16	= 1185,
+    X86_LCMPXCHG16B	= 1186,
+    X86_LCMPXCHG32	= 1187,
+    X86_LCMPXCHG64	= 1188,
+    X86_LCMPXCHG8	= 1189,
+    X86_LCMPXCHG8B	= 1190,
+    X86_LDDQUrm	= 1191,
+    X86_LDMXCSR	= 1192,
+    X86_LDS16rm	= 1193,
+    X86_LDS32rm	= 1194,
+    X86_LD_F0	= 1195,
+    X86_LD_F1	= 1196,
+    X86_LD_F32m	= 1197,
+    X86_LD_F64m	= 1198,
+    X86_LD_F80m	= 1199,
+    X86_LD_Fp032	= 1200,
+    X86_LD_Fp064	= 1201,
+    X86_LD_Fp080	= 1202,
+    X86_LD_Fp132	= 1203,
+    X86_LD_Fp164	= 1204,
+    X86_LD_Fp180	= 1205,
+    X86_LD_Fp32m	= 1206,
+    X86_LD_Fp32m64	= 1207,
+    X86_LD_Fp32m80	= 1208,
+    X86_LD_Fp64m	= 1209,
+    X86_LD_Fp64m80	= 1210,
+    X86_LD_Fp80m	= 1211,
+    X86_LD_Frr	= 1212,
+    X86_LEA16r	= 1213,
+    X86_LEA32r	= 1214,
+    X86_LEA64_32r	= 1215,
+    X86_LEA64r	= 1216,
+    X86_LEAVE	= 1217,
+    X86_LEAVE64	= 1218,
+    X86_LES16rm	= 1219,
+    X86_LES32rm	= 1220,
+    X86_LFENCE	= 1221,
+    X86_LFS16rm	= 1222,
+    X86_LFS32rm	= 1223,
+    X86_LFS64rm	= 1224,
+    X86_LGDT16m	= 1225,
+    X86_LGDT32m	= 1226,
+    X86_LGDT64m	= 1227,
+    X86_LGS16rm	= 1228,
+    X86_LGS32rm	= 1229,
+    X86_LGS64rm	= 1230,
+    X86_LIDT16m	= 1231,
+    X86_LIDT32m	= 1232,
+    X86_LIDT64m	= 1233,
+    X86_LLDT16m	= 1234,
+    X86_LLDT16r	= 1235,
+    X86_LMSW16m	= 1236,
+    X86_LMSW16r	= 1237,
+    X86_LOCK_ADD16mi	= 1238,
+    X86_LOCK_ADD16mi8	= 1239,
+    X86_LOCK_ADD16mr	= 1240,
+    X86_LOCK_ADD32mi	= 1241,
+    X86_LOCK_ADD32mi8	= 1242,
+    X86_LOCK_ADD32mr	= 1243,
+    X86_LOCK_ADD64mi32	= 1244,
+    X86_LOCK_ADD64mi8	= 1245,
+    X86_LOCK_ADD64mr	= 1246,
+    X86_LOCK_ADD8mi	= 1247,
+    X86_LOCK_ADD8mr	= 1248,
+    X86_LOCK_AND16mi	= 1249,
+    X86_LOCK_AND16mi8	= 1250,
+    X86_LOCK_AND16mr	= 1251,
+    X86_LOCK_AND32mi	= 1252,
+    X86_LOCK_AND32mi8	= 1253,
+    X86_LOCK_AND32mr	= 1254,
+    X86_LOCK_AND64mi32	= 1255,
+    X86_LOCK_AND64mi8	= 1256,
+    X86_LOCK_AND64mr	= 1257,
+    X86_LOCK_AND8mi	= 1258,
+    X86_LOCK_AND8mr	= 1259,
+    X86_LOCK_DEC16m	= 1260,
+    X86_LOCK_DEC32m	= 1261,
+    X86_LOCK_DEC64m	= 1262,
+    X86_LOCK_DEC8m	= 1263,
+    X86_LOCK_INC16m	= 1264,
+    X86_LOCK_INC32m	= 1265,
+    X86_LOCK_INC64m	= 1266,
+    X86_LOCK_INC8m	= 1267,
+    X86_LOCK_OR16mi	= 1268,
+    X86_LOCK_OR16mi8	= 1269,
+    X86_LOCK_OR16mr	= 1270,
+    X86_LOCK_OR32mi	= 1271,
+    X86_LOCK_OR32mi8	= 1272,
+    X86_LOCK_OR32mr	= 1273,
+    X86_LOCK_OR64mi32	= 1274,
+    X86_LOCK_OR64mi8	= 1275,
+    X86_LOCK_OR64mr	= 1276,
+    X86_LOCK_OR8mi	= 1277,
+    X86_LOCK_OR8mr	= 1278,
+    X86_LOCK_PREFIX	= 1279,
+    X86_LOCK_SUB16mi	= 1280,
+    X86_LOCK_SUB16mi8	= 1281,
+    X86_LOCK_SUB16mr	= 1282,
+    X86_LOCK_SUB32mi	= 1283,
+    X86_LOCK_SUB32mi8	= 1284,
+    X86_LOCK_SUB32mr	= 1285,
+    X86_LOCK_SUB64mi32	= 1286,
+    X86_LOCK_SUB64mi8	= 1287,
+    X86_LOCK_SUB64mr	= 1288,
+    X86_LOCK_SUB8mi	= 1289,
+    X86_LOCK_SUB8mr	= 1290,
+    X86_LOCK_XOR16mi	= 1291,
+    X86_LOCK_XOR16mi8	= 1292,
+    X86_LOCK_XOR16mr	= 1293,
+    X86_LOCK_XOR32mi	= 1294,
+    X86_LOCK_XOR32mi8	= 1295,
+    X86_LOCK_XOR32mr	= 1296,
+    X86_LOCK_XOR64mi32	= 1297,
+    X86_LOCK_XOR64mi8	= 1298,
+    X86_LOCK_XOR64mr	= 1299,
+    X86_LOCK_XOR8mi	= 1300,
+    X86_LOCK_XOR8mr	= 1301,
+    X86_LODSB	= 1302,
+    X86_LODSL	= 1303,
+    X86_LODSQ	= 1304,
+    X86_LODSW	= 1305,
+    X86_LOOP	= 1306,
+    X86_LOOPE	= 1307,
+    X86_LOOPNE	= 1308,
+    X86_LRETIL	= 1309,
+    X86_LRETIQ	= 1310,
+    X86_LRETIW	= 1311,
+    X86_LRETL	= 1312,
+    X86_LRETQ	= 1313,
+    X86_LRETW	= 1314,
+    X86_LSL16rm	= 1315,
+    X86_LSL16rr	= 1316,
+    X86_LSL32rm	= 1317,
+    X86_LSL32rr	= 1318,
+    X86_LSL64rm	= 1319,
+    X86_LSL64rr	= 1320,
+    X86_LSS16rm	= 1321,
+    X86_LSS32rm	= 1322,
+    X86_LSS64rm	= 1323,
+    X86_LTRm	= 1324,
+    X86_LTRr	= 1325,
+    X86_LXADD16	= 1326,
+    X86_LXADD32	= 1327,
+    X86_LXADD64	= 1328,
+    X86_LXADD8	= 1329,
+    X86_LZCNT16rm	= 1330,
+    X86_LZCNT16rr	= 1331,
+    X86_LZCNT32rm	= 1332,
+    X86_LZCNT32rr	= 1333,
+    X86_LZCNT64rm	= 1334,
+    X86_LZCNT64rr	= 1335,
+    X86_MASKMOVDQU	= 1336,
+    X86_MASKMOVDQU64	= 1337,
+    X86_MAXCPDrm	= 1338,
+    X86_MAXCPDrr	= 1339,
+    X86_MAXCPSrm	= 1340,
+    X86_MAXCPSrr	= 1341,
+    X86_MAXCSDrm	= 1342,
+    X86_MAXCSDrr	= 1343,
+    X86_MAXCSSrm	= 1344,
+    X86_MAXCSSrr	= 1345,
+    X86_MAXPDrm	= 1346,
+    X86_MAXPDrr	= 1347,
+    X86_MAXPSrm	= 1348,
+    X86_MAXPSrr	= 1349,
+    X86_MAXSDrm	= 1350,
+    X86_MAXSDrm_Int	= 1351,
+    X86_MAXSDrr	= 1352,
+    X86_MAXSDrr_Int	= 1353,
+    X86_MAXSSrm	= 1354,
+    X86_MAXSSrm_Int	= 1355,
+    X86_MAXSSrr	= 1356,
+    X86_MAXSSrr_Int	= 1357,
+    X86_MFENCE	= 1358,
+    X86_MINCPDrm	= 1359,
+    X86_MINCPDrr	= 1360,
+    X86_MINCPSrm	= 1361,
+    X86_MINCPSrr	= 1362,
+    X86_MINCSDrm	= 1363,
+    X86_MINCSDrr	= 1364,
+    X86_MINCSSrm	= 1365,
+    X86_MINCSSrr	= 1366,
+    X86_MINPDrm	= 1367,
+    X86_MINPDrr	= 1368,
+    X86_MINPSrm	= 1369,
+    X86_MINPSrr	= 1370,
+    X86_MINSDrm	= 1371,
+    X86_MINSDrm_Int	= 1372,
+    X86_MINSDrr	= 1373,
+    X86_MINSDrr_Int	= 1374,
+    X86_MINSSrm	= 1375,
+    X86_MINSSrm_Int	= 1376,
+    X86_MINSSrr	= 1377,
+    X86_MINSSrr_Int	= 1378,
+    X86_MMX_CVTPD2PIirm	= 1379,
+    X86_MMX_CVTPD2PIirr	= 1380,
+    X86_MMX_CVTPI2PDirm	= 1381,
+    X86_MMX_CVTPI2PDirr	= 1382,
+    X86_MMX_CVTPI2PSirm	= 1383,
+    X86_MMX_CVTPI2PSirr	= 1384,
+    X86_MMX_CVTPS2PIirm	= 1385,
+    X86_MMX_CVTPS2PIirr	= 1386,
+    X86_MMX_CVTTPD2PIirm	= 1387,
+    X86_MMX_CVTTPD2PIirr	= 1388,
+    X86_MMX_CVTTPS2PIirm	= 1389,
+    X86_MMX_CVTTPS2PIirr	= 1390,
+    X86_MMX_EMMS	= 1391,
+    X86_MMX_MASKMOVQ	= 1392,
+    X86_MMX_MASKMOVQ64	= 1393,
+    X86_MMX_MOVD64from64rr	= 1394,
+    X86_MMX_MOVD64grr	= 1395,
+    X86_MMX_MOVD64mr	= 1396,
+    X86_MMX_MOVD64rm	= 1397,
+    X86_MMX_MOVD64rr	= 1398,
+    X86_MMX_MOVD64to64rr	= 1399,
+    X86_MMX_MOVDQ2Qrr	= 1400,
+    X86_MMX_MOVFR642Qrr	= 1401,
+    X86_MMX_MOVNTQmr	= 1402,
+    X86_MMX_MOVQ2DQrr	= 1403,
+    X86_MMX_MOVQ2FR64rr	= 1404,
+    X86_MMX_MOVQ64mr	= 1405,
+    X86_MMX_MOVQ64rm	= 1406,
+    X86_MMX_MOVQ64rr	= 1407,
+    X86_MMX_MOVQ64rr_REV	= 1408,
+    X86_MMX_PABSBrm64	= 1409,
+    X86_MMX_PABSBrr64	= 1410,
+    X86_MMX_PABSDrm64	= 1411,
+    X86_MMX_PABSDrr64	= 1412,
+    X86_MMX_PABSWrm64	= 1413,
+    X86_MMX_PABSWrr64	= 1414,
+    X86_MMX_PACKSSDWirm	= 1415,
+    X86_MMX_PACKSSDWirr	= 1416,
+    X86_MMX_PACKSSWBirm	= 1417,
+    X86_MMX_PACKSSWBirr	= 1418,
+    X86_MMX_PACKUSWBirm	= 1419,
+    X86_MMX_PACKUSWBirr	= 1420,
+    X86_MMX_PADDBirm	= 1421,
+    X86_MMX_PADDBirr	= 1422,
+    X86_MMX_PADDDirm	= 1423,
+    X86_MMX_PADDDirr	= 1424,
+    X86_MMX_PADDQirm	= 1425,
+    X86_MMX_PADDQirr	= 1426,
+    X86_MMX_PADDSBirm	= 1427,
+    X86_MMX_PADDSBirr	= 1428,
+    X86_MMX_PADDSWirm	= 1429,
+    X86_MMX_PADDSWirr	= 1430,
+    X86_MMX_PADDUSBirm	= 1431,
+    X86_MMX_PADDUSBirr	= 1432,
+    X86_MMX_PADDUSWirm	= 1433,
+    X86_MMX_PADDUSWirr	= 1434,
+    X86_MMX_PADDWirm	= 1435,
+    X86_MMX_PADDWirr	= 1436,
+    X86_MMX_PALIGNR64irm	= 1437,
+    X86_MMX_PALIGNR64irr	= 1438,
+    X86_MMX_PANDNirm	= 1439,
+    X86_MMX_PANDNirr	= 1440,
+    X86_MMX_PANDirm	= 1441,
+    X86_MMX_PANDirr	= 1442,
+    X86_MMX_PAVGBirm	= 1443,
+    X86_MMX_PAVGBirr	= 1444,
+    X86_MMX_PAVGWirm	= 1445,
+    X86_MMX_PAVGWirr	= 1446,
+    X86_MMX_PCMPEQBirm	= 1447,
+    X86_MMX_PCMPEQBirr	= 1448,
+    X86_MMX_PCMPEQDirm	= 1449,
+    X86_MMX_PCMPEQDirr	= 1450,
+    X86_MMX_PCMPEQWirm	= 1451,
+    X86_MMX_PCMPEQWirr	= 1452,
+    X86_MMX_PCMPGTBirm	= 1453,
+    X86_MMX_PCMPGTBirr	= 1454,
+    X86_MMX_PCMPGTDirm	= 1455,
+    X86_MMX_PCMPGTDirr	= 1456,
+    X86_MMX_PCMPGTWirm	= 1457,
+    X86_MMX_PCMPGTWirr	= 1458,
+    X86_MMX_PEXTRWirri	= 1459,
+    X86_MMX_PHADDSWrm64	= 1460,
+    X86_MMX_PHADDSWrr64	= 1461,
+    X86_MMX_PHADDWrm64	= 1462,
+    X86_MMX_PHADDWrr64	= 1463,
+    X86_MMX_PHADDrm64	= 1464,
+    X86_MMX_PHADDrr64	= 1465,
+    X86_MMX_PHSUBDrm64	= 1466,
+    X86_MMX_PHSUBDrr64	= 1467,
+    X86_MMX_PHSUBSWrm64	= 1468,
+    X86_MMX_PHSUBSWrr64	= 1469,
+    X86_MMX_PHSUBWrm64	= 1470,
+    X86_MMX_PHSUBWrr64	= 1471,
+    X86_MMX_PINSRWirmi	= 1472,
+    X86_MMX_PINSRWirri	= 1473,
+    X86_MMX_PMADDUBSWrm64	= 1474,
+    X86_MMX_PMADDUBSWrr64	= 1475,
+    X86_MMX_PMADDWDirm	= 1476,
+    X86_MMX_PMADDWDirr	= 1477,
+    X86_MMX_PMAXSWirm	= 1478,
+    X86_MMX_PMAXSWirr	= 1479,
+    X86_MMX_PMAXUBirm	= 1480,
+    X86_MMX_PMAXUBirr	= 1481,
+    X86_MMX_PMINSWirm	= 1482,
+    X86_MMX_PMINSWirr	= 1483,
+    X86_MMX_PMINUBirm	= 1484,
+    X86_MMX_PMINUBirr	= 1485,
+    X86_MMX_PMOVMSKBrr	= 1486,
+    X86_MMX_PMULHRSWrm64	= 1487,
+    X86_MMX_PMULHRSWrr64	= 1488,
+    X86_MMX_PMULHUWirm	= 1489,
+    X86_MMX_PMULHUWirr	= 1490,
+    X86_MMX_PMULHWirm	= 1491,
+    X86_MMX_PMULHWirr	= 1492,
+    X86_MMX_PMULLWirm	= 1493,
+    X86_MMX_PMULLWirr	= 1494,
+    X86_MMX_PMULUDQirm	= 1495,
+    X86_MMX_PMULUDQirr	= 1496,
+    X86_MMX_PORirm	= 1497,
+    X86_MMX_PORirr	= 1498,
+    X86_MMX_PSADBWirm	= 1499,
+    X86_MMX_PSADBWirr	= 1500,
+    X86_MMX_PSHUFBrm64	= 1501,
+    X86_MMX_PSHUFBrr64	= 1502,
+    X86_MMX_PSHUFWmi	= 1503,
+    X86_MMX_PSHUFWri	= 1504,
+    X86_MMX_PSIGNBrm64	= 1505,
+    X86_MMX_PSIGNBrr64	= 1506,
+    X86_MMX_PSIGNDrm64	= 1507,
+    X86_MMX_PSIGNDrr64	= 1508,
+    X86_MMX_PSIGNWrm64	= 1509,
+    X86_MMX_PSIGNWrr64	= 1510,
+    X86_MMX_PSLLDri	= 1511,
+    X86_MMX_PSLLDrm	= 1512,
+    X86_MMX_PSLLDrr	= 1513,
+    X86_MMX_PSLLQri	= 1514,
+    X86_MMX_PSLLQrm	= 1515,
+    X86_MMX_PSLLQrr	= 1516,
+    X86_MMX_PSLLWri	= 1517,
+    X86_MMX_PSLLWrm	= 1518,
+    X86_MMX_PSLLWrr	= 1519,
+    X86_MMX_PSRADri	= 1520,
+    X86_MMX_PSRADrm	= 1521,
+    X86_MMX_PSRADrr	= 1522,
+    X86_MMX_PSRAWri	= 1523,
+    X86_MMX_PSRAWrm	= 1524,
+    X86_MMX_PSRAWrr	= 1525,
+    X86_MMX_PSRLDri	= 1526,
+    X86_MMX_PSRLDrm	= 1527,
+    X86_MMX_PSRLDrr	= 1528,
+    X86_MMX_PSRLQri	= 1529,
+    X86_MMX_PSRLQrm	= 1530,
+    X86_MMX_PSRLQrr	= 1531,
+    X86_MMX_PSRLWri	= 1532,
+    X86_MMX_PSRLWrm	= 1533,
+    X86_MMX_PSRLWrr	= 1534,
+    X86_MMX_PSUBBirm	= 1535,
+    X86_MMX_PSUBBirr	= 1536,
+    X86_MMX_PSUBDirm	= 1537,
+    X86_MMX_PSUBDirr	= 1538,
+    X86_MMX_PSUBQirm	= 1539,
+    X86_MMX_PSUBQirr	= 1540,
+    X86_MMX_PSUBSBirm	= 1541,
+    X86_MMX_PSUBSBirr	= 1542,
+    X86_MMX_PSUBSWirm	= 1543,
+    X86_MMX_PSUBSWirr	= 1544,
+    X86_MMX_PSUBUSBirm	= 1545,
+    X86_MMX_PSUBUSBirr	= 1546,
+    X86_MMX_PSUBUSWirm	= 1547,
+    X86_MMX_PSUBUSWirr	= 1548,
+    X86_MMX_PSUBWirm	= 1549,
+    X86_MMX_PSUBWirr	= 1550,
+    X86_MMX_PUNPCKHBWirm	= 1551,
+    X86_MMX_PUNPCKHBWirr	= 1552,
+    X86_MMX_PUNPCKHDQirm	= 1553,
+    X86_MMX_PUNPCKHDQirr	= 1554,
+    X86_MMX_PUNPCKHWDirm	= 1555,
+    X86_MMX_PUNPCKHWDirr	= 1556,
+    X86_MMX_PUNPCKLBWirm	= 1557,
+    X86_MMX_PUNPCKLBWirr	= 1558,
+    X86_MMX_PUNPCKLDQirm	= 1559,
+    X86_MMX_PUNPCKLDQirr	= 1560,
+    X86_MMX_PUNPCKLWDirm	= 1561,
+    X86_MMX_PUNPCKLWDirr	= 1562,
+    X86_MMX_PXORirm	= 1563,
+    X86_MMX_PXORirr	= 1564,
+    X86_MONITOR	= 1565,
+    X86_MONITORrrr	= 1566,
+    X86_MONTMUL	= 1567,
+    X86_MORESTACK_RET	= 1568,
+    X86_MORESTACK_RET_RESTORE_R10	= 1569,
+    X86_MOV16ao16	= 1570,
+    X86_MOV16ao16_16	= 1571,
+    X86_MOV16mi	= 1572,
+    X86_MOV16mr	= 1573,
+    X86_MOV16ms	= 1574,
+    X86_MOV16o16a	= 1575,
+    X86_MOV16o16a_16	= 1576,
+    X86_MOV16ri	= 1577,
+    X86_MOV16ri_alt	= 1578,
+    X86_MOV16rm	= 1579,
+    X86_MOV16rr	= 1580,
+    X86_MOV16rr_REV	= 1581,
+    X86_MOV16rs	= 1582,
+    X86_MOV16sm	= 1583,
+    X86_MOV16sr	= 1584,
+    X86_MOV32ao32	= 1585,
+    X86_MOV32ao32_16	= 1586,
+    X86_MOV32cr	= 1587,
+    X86_MOV32dr	= 1588,
+    X86_MOV32mi	= 1589,
+    X86_MOV32mr	= 1590,
+    X86_MOV32ms	= 1591,
+    X86_MOV32o32a	= 1592,
+    X86_MOV32o32a_16	= 1593,
+    X86_MOV32r0	= 1594,
+    X86_MOV32rc	= 1595,
+    X86_MOV32rd	= 1596,
+    X86_MOV32ri	= 1597,
+    X86_MOV32ri64	= 1598,
+    X86_MOV32ri_alt	= 1599,
+    X86_MOV32rm	= 1600,
+    X86_MOV32rr	= 1601,
+    X86_MOV32rr_REV	= 1602,
+    X86_MOV32rs	= 1603,
+    X86_MOV32sm	= 1604,
+    X86_MOV32sr	= 1605,
+    X86_MOV64ao16	= 1606,
+    X86_MOV64ao32	= 1607,
+    X86_MOV64ao64	= 1608,
+    X86_MOV64ao8	= 1609,
+    X86_MOV64cr	= 1610,
+    X86_MOV64dr	= 1611,
+    X86_MOV64mi32	= 1612,
+    X86_MOV64mr	= 1613,
+    X86_MOV64ms	= 1614,
+    X86_MOV64o16a	= 1615,
+    X86_MOV64o32a	= 1616,
+    X86_MOV64o64a	= 1617,
+    X86_MOV64o8a	= 1618,
+    X86_MOV64rc	= 1619,
+    X86_MOV64rd	= 1620,
+    X86_MOV64ri	= 1621,
+    X86_MOV64ri32	= 1622,
+    X86_MOV64rm	= 1623,
+    X86_MOV64rr	= 1624,
+    X86_MOV64rr_REV	= 1625,
+    X86_MOV64rs	= 1626,
+    X86_MOV64sm	= 1627,
+    X86_MOV64sr	= 1628,
+    X86_MOV64toPQIrr	= 1629,
+    X86_MOV64toSDrm	= 1630,
+    X86_MOV64toSDrr	= 1631,
+    X86_MOV8ao8	= 1632,
+    X86_MOV8ao8_16	= 1633,
+    X86_MOV8mi	= 1634,
+    X86_MOV8mr	= 1635,
+    X86_MOV8mr_NOREX	= 1636,
+    X86_MOV8o8a	= 1637,
+    X86_MOV8o8a_16	= 1638,
+    X86_MOV8ri	= 1639,
+    X86_MOV8ri_alt	= 1640,
+    X86_MOV8rm	= 1641,
+    X86_MOV8rm_NOREX	= 1642,
+    X86_MOV8rr	= 1643,
+    X86_MOV8rr_NOREX	= 1644,
+    X86_MOV8rr_REV	= 1645,
+    X86_MOVAPDmr	= 1646,
+    X86_MOVAPDrm	= 1647,
+    X86_MOVAPDrr	= 1648,
+    X86_MOVAPDrr_REV	= 1649,
+    X86_MOVAPSmr	= 1650,
+    X86_MOVAPSrm	= 1651,
+    X86_MOVAPSrr	= 1652,
+    X86_MOVAPSrr_REV	= 1653,
+    X86_MOVBE16mr	= 1654,
+    X86_MOVBE16rm	= 1655,
+    X86_MOVBE32mr	= 1656,
+    X86_MOVBE32rm	= 1657,
+    X86_MOVBE64mr	= 1658,
+    X86_MOVBE64rm	= 1659,
+    X86_MOVDDUPrm	= 1660,
+    X86_MOVDDUPrr	= 1661,
+    X86_MOVDI2PDIrm	= 1662,
+    X86_MOVDI2PDIrr	= 1663,
+    X86_MOVDI2SSrm	= 1664,
+    X86_MOVDI2SSrr	= 1665,
+    X86_MOVDQAmr	= 1666,
+    X86_MOVDQArm	= 1667,
+    X86_MOVDQArr	= 1668,
+    X86_MOVDQArr_REV	= 1669,
+    X86_MOVDQUmr	= 1670,
+    X86_MOVDQUrm	= 1671,
+    X86_MOVDQUrr	= 1672,
+    X86_MOVDQUrr_REV	= 1673,
+    X86_MOVHLPSrr	= 1674,
+    X86_MOVHPDmr	= 1675,
+    X86_MOVHPDrm	= 1676,
+    X86_MOVHPSmr	= 1677,
+    X86_MOVHPSrm	= 1678,
+    X86_MOVLHPSrr	= 1679,
+    X86_MOVLPDmr	= 1680,
+    X86_MOVLPDrm	= 1681,
+    X86_MOVLPSmr	= 1682,
+    X86_MOVLPSrm	= 1683,
+    X86_MOVMSKPDrr	= 1684,
+    X86_MOVMSKPSrr	= 1685,
+    X86_MOVNTDQArm	= 1686,
+    X86_MOVNTDQmr	= 1687,
+    X86_MOVNTI_64mr	= 1688,
+    X86_MOVNTImr	= 1689,
+    X86_MOVNTPDmr	= 1690,
+    X86_MOVNTPSmr	= 1691,
+    X86_MOVNTSD	= 1692,
+    X86_MOVNTSS	= 1693,
+    X86_MOVPC32r	= 1694,
+    X86_MOVPDI2DImr	= 1695,
+    X86_MOVPDI2DIrr	= 1696,
+    X86_MOVPQI2QImr	= 1697,
+    X86_MOVPQI2QIrr	= 1698,
+    X86_MOVPQIto64rr	= 1699,
+    X86_MOVQI2PQIrm	= 1700,
+    X86_MOVSB	= 1701,
+    X86_MOVSDmr	= 1702,
+    X86_MOVSDrm	= 1703,
+    X86_MOVSDrr	= 1704,
+    X86_MOVSDrr_REV	= 1705,
+    X86_MOVSDto64mr	= 1706,
+    X86_MOVSDto64rr	= 1707,
+    X86_MOVSHDUPrm	= 1708,
+    X86_MOVSHDUPrr	= 1709,
+    X86_MOVSL	= 1710,
+    X86_MOVSLDUPrm	= 1711,
+    X86_MOVSLDUPrr	= 1712,
+    X86_MOVSQ	= 1713,
+    X86_MOVSS2DImr	= 1714,
+    X86_MOVSS2DIrr	= 1715,
+    X86_MOVSSmr	= 1716,
+    X86_MOVSSrm	= 1717,
+    X86_MOVSSrr	= 1718,
+    X86_MOVSSrr_REV	= 1719,
+    X86_MOVSW	= 1720,
+    X86_MOVSX16rm8	= 1721,
+    X86_MOVSX16rr8	= 1722,
+    X86_MOVSX32rm16	= 1723,
+    X86_MOVSX32rm8	= 1724,
+    X86_MOVSX32rr16	= 1725,
+    X86_MOVSX32rr8	= 1726,
+    X86_MOVSX64rm16	= 1727,
+    X86_MOVSX64rm32	= 1728,
+    X86_MOVSX64rm8	= 1729,
+    X86_MOVSX64rr16	= 1730,
+    X86_MOVSX64rr32	= 1731,
+    X86_MOVSX64rr8	= 1732,
+    X86_MOVUPDmr	= 1733,
+    X86_MOVUPDrm	= 1734,
+    X86_MOVUPDrr	= 1735,
+    X86_MOVUPDrr_REV	= 1736,
+    X86_MOVUPSmr	= 1737,
+    X86_MOVUPSrm	= 1738,
+    X86_MOVUPSrr	= 1739,
+    X86_MOVUPSrr_REV	= 1740,
+    X86_MOVZPQILo2PQIrm	= 1741,
+    X86_MOVZPQILo2PQIrr	= 1742,
+    X86_MOVZQI2PQIrm	= 1743,
+    X86_MOVZQI2PQIrr	= 1744,
+    X86_MOVZX16rm8	= 1745,
+    X86_MOVZX16rr8	= 1746,
+    X86_MOVZX32_NOREXrm8	= 1747,
+    X86_MOVZX32_NOREXrr8	= 1748,
+    X86_MOVZX32rm16	= 1749,
+    X86_MOVZX32rm8	= 1750,
+    X86_MOVZX32rr16	= 1751,
+    X86_MOVZX32rr8	= 1752,
+    X86_MOVZX64rm16_Q	= 1753,
+    X86_MOVZX64rm8_Q	= 1754,
+    X86_MOVZX64rr16_Q	= 1755,
+    X86_MOVZX64rr8_Q	= 1756,
+    X86_MPSADBWrmi	= 1757,
+    X86_MPSADBWrri	= 1758,
+    X86_MUL16m	= 1759,
+    X86_MUL16r	= 1760,
+    X86_MUL32m	= 1761,
+    X86_MUL32r	= 1762,
+    X86_MUL64m	= 1763,
+    X86_MUL64r	= 1764,
+    X86_MUL8m	= 1765,
+    X86_MUL8r	= 1766,
+    X86_MULPDrm	= 1767,
+    X86_MULPDrr	= 1768,
+    X86_MULPSrm	= 1769,
+    X86_MULPSrr	= 1770,
+    X86_MULSDrm	= 1771,
+    X86_MULSDrm_Int	= 1772,
+    X86_MULSDrr	= 1773,
+    X86_MULSDrr_Int	= 1774,
+    X86_MULSSrm	= 1775,
+    X86_MULSSrm_Int	= 1776,
+    X86_MULSSrr	= 1777,
+    X86_MULSSrr_Int	= 1778,
+    X86_MULX32rm	= 1779,
+    X86_MULX32rr	= 1780,
+    X86_MULX64rm	= 1781,
+    X86_MULX64rr	= 1782,
+    X86_MUL_F32m	= 1783,
+    X86_MUL_F64m	= 1784,
+    X86_MUL_FI16m	= 1785,
+    X86_MUL_FI32m	= 1786,
+    X86_MUL_FPrST0	= 1787,
+    X86_MUL_FST0r	= 1788,
+    X86_MUL_Fp32	= 1789,
+    X86_MUL_Fp32m	= 1790,
+    X86_MUL_Fp64	= 1791,
+    X86_MUL_Fp64m	= 1792,
+    X86_MUL_Fp64m32	= 1793,
+    X86_MUL_Fp80	= 1794,
+    X86_MUL_Fp80m32	= 1795,
+    X86_MUL_Fp80m64	= 1796,
+    X86_MUL_FpI16m32	= 1797,
+    X86_MUL_FpI16m64	= 1798,
+    X86_MUL_FpI16m80	= 1799,
+    X86_MUL_FpI32m32	= 1800,
+    X86_MUL_FpI32m64	= 1801,
+    X86_MUL_FpI32m80	= 1802,
+    X86_MUL_FrST0	= 1803,
+    X86_MWAITrr	= 1804,
+    X86_NEG16m	= 1805,
+    X86_NEG16r	= 1806,
+    X86_NEG32m	= 1807,
+    X86_NEG32r	= 1808,
+    X86_NEG64m	= 1809,
+    X86_NEG64r	= 1810,
+    X86_NEG8m	= 1811,
+    X86_NEG8r	= 1812,
+    X86_NOOP	= 1813,
+    X86_NOOP18_16m4	= 1814,
+    X86_NOOP18_16m5	= 1815,
+    X86_NOOP18_16m6	= 1816,
+    X86_NOOP18_16m7	= 1817,
+    X86_NOOP18_16r4	= 1818,
+    X86_NOOP18_16r5	= 1819,
+    X86_NOOP18_16r6	= 1820,
+    X86_NOOP18_16r7	= 1821,
+    X86_NOOP18_m4	= 1822,
+    X86_NOOP18_m5	= 1823,
+    X86_NOOP18_m6	= 1824,
+    X86_NOOP18_m7	= 1825,
+    X86_NOOP18_r4	= 1826,
+    X86_NOOP18_r5	= 1827,
+    X86_NOOP18_r6	= 1828,
+    X86_NOOP18_r7	= 1829,
+    X86_NOOPL	= 1830,
+    X86_NOOPL_19	= 1831,
+    X86_NOOPL_1a	= 1832,
+    X86_NOOPL_1b	= 1833,
+    X86_NOOPL_1c	= 1834,
+    X86_NOOPL_1d	= 1835,
+    X86_NOOPL_1e	= 1836,
+    X86_NOOPW	= 1837,
+    X86_NOOPW_19	= 1838,
+    X86_NOOPW_1a	= 1839,
+    X86_NOOPW_1b	= 1840,
+    X86_NOOPW_1c	= 1841,
+    X86_NOOPW_1d	= 1842,
+    X86_NOOPW_1e	= 1843,
+    X86_NOT16m	= 1844,
+    X86_NOT16r	= 1845,
+    X86_NOT32m	= 1846,
+    X86_NOT32r	= 1847,
+    X86_NOT64m	= 1848,
+    X86_NOT64r	= 1849,
+    X86_NOT8m	= 1850,
+    X86_NOT8r	= 1851,
+    X86_OR16i16	= 1852,
+    X86_OR16mi	= 1853,
+    X86_OR16mi8	= 1854,
+    X86_OR16mr	= 1855,
+    X86_OR16ri	= 1856,
+    X86_OR16ri8	= 1857,
+    X86_OR16rm	= 1858,
+    X86_OR16rr	= 1859,
+    X86_OR16rr_REV	= 1860,
+    X86_OR32i32	= 1861,
+    X86_OR32mi	= 1862,
+    X86_OR32mi8	= 1863,
+    X86_OR32mr	= 1864,
+    X86_OR32mrLocked	= 1865,
+    X86_OR32ri	= 1866,
+    X86_OR32ri8	= 1867,
+    X86_OR32rm	= 1868,
+    X86_OR32rr	= 1869,
+    X86_OR32rr_REV	= 1870,
+    X86_OR64i32	= 1871,
+    X86_OR64mi32	= 1872,
+    X86_OR64mi8	= 1873,
+    X86_OR64mr	= 1874,
+    X86_OR64ri32	= 1875,
+    X86_OR64ri8	= 1876,
+    X86_OR64rm	= 1877,
+    X86_OR64rr	= 1878,
+    X86_OR64rr_REV	= 1879,
+    X86_OR8i8	= 1880,
+    X86_OR8mi	= 1881,
+    X86_OR8mr	= 1882,
+    X86_OR8ri	= 1883,
+    X86_OR8ri8	= 1884,
+    X86_OR8rm	= 1885,
+    X86_OR8rr	= 1886,
+    X86_OR8rr_REV	= 1887,
+    X86_ORPDrm	= 1888,
+    X86_ORPDrr	= 1889,
+    X86_ORPSrm	= 1890,
+    X86_ORPSrr	= 1891,
+    X86_OUT16ir	= 1892,
+    X86_OUT16rr	= 1893,
+    X86_OUT32ir	= 1894,
+    X86_OUT32rr	= 1895,
+    X86_OUT8ir	= 1896,
+    X86_OUT8rr	= 1897,
+    X86_OUTSB	= 1898,
+    X86_OUTSL	= 1899,
+    X86_OUTSW	= 1900,
+    X86_PABSBrm128	= 1901,
+    X86_PABSBrr128	= 1902,
+    X86_PABSDrm128	= 1903,
+    X86_PABSDrr128	= 1904,
+    X86_PABSWrm128	= 1905,
+    X86_PABSWrr128	= 1906,
+    X86_PACKSSDWrm	= 1907,
+    X86_PACKSSDWrr	= 1908,
+    X86_PACKSSWBrm	= 1909,
+    X86_PACKSSWBrr	= 1910,
+    X86_PACKUSDWrm	= 1911,
+    X86_PACKUSDWrr	= 1912,
+    X86_PACKUSWBrm	= 1913,
+    X86_PACKUSWBrr	= 1914,
+    X86_PADDBrm	= 1915,
+    X86_PADDBrr	= 1916,
+    X86_PADDDrm	= 1917,
+    X86_PADDDrr	= 1918,
+    X86_PADDQrm	= 1919,
+    X86_PADDQrr	= 1920,
+    X86_PADDSBrm	= 1921,
+    X86_PADDSBrr	= 1922,
+    X86_PADDSWrm	= 1923,
+    X86_PADDSWrr	= 1924,
+    X86_PADDUSBrm	= 1925,
+    X86_PADDUSBrr	= 1926,
+    X86_PADDUSWrm	= 1927,
+    X86_PADDUSWrr	= 1928,
+    X86_PADDWrm	= 1929,
+    X86_PADDWrr	= 1930,
+    X86_PALIGNR128rm	= 1931,
+    X86_PALIGNR128rr	= 1932,
+    X86_PANDNrm	= 1933,
+    X86_PANDNrr	= 1934,
+    X86_PANDrm	= 1935,
+    X86_PANDrr	= 1936,
+    X86_PAUSE	= 1937,
+    X86_PAVGBrm	= 1938,
+    X86_PAVGBrr	= 1939,
+    X86_PAVGUSBrm	= 1940,
+    X86_PAVGUSBrr	= 1941,
+    X86_PAVGWrm	= 1942,
+    X86_PAVGWrr	= 1943,
+    X86_PBLENDVBrm0	= 1944,
+    X86_PBLENDVBrr0	= 1945,
+    X86_PBLENDWrmi	= 1946,
+    X86_PBLENDWrri	= 1947,
+    X86_PCLMULQDQrm	= 1948,
+    X86_PCLMULQDQrr	= 1949,
+    X86_PCMPEQBrm	= 1950,
+    X86_PCMPEQBrr	= 1951,
+    X86_PCMPEQDrm	= 1952,
+    X86_PCMPEQDrr	= 1953,
+    X86_PCMPEQQrm	= 1954,
+    X86_PCMPEQQrr	= 1955,
+    X86_PCMPEQWrm	= 1956,
+    X86_PCMPEQWrr	= 1957,
+    X86_PCMPESTRIMEM	= 1958,
+    X86_PCMPESTRIREG	= 1959,
+    X86_PCMPESTRIrm	= 1960,
+    X86_PCMPESTRIrr	= 1961,
+    X86_PCMPESTRM128MEM	= 1962,
+    X86_PCMPESTRM128REG	= 1963,
+    X86_PCMPESTRM128rm	= 1964,
+    X86_PCMPESTRM128rr	= 1965,
+    X86_PCMPGTBrm	= 1966,
+    X86_PCMPGTBrr	= 1967,
+    X86_PCMPGTDrm	= 1968,
+    X86_PCMPGTDrr	= 1969,
+    X86_PCMPGTQrm	= 1970,
+    X86_PCMPGTQrr	= 1971,
+    X86_PCMPGTWrm	= 1972,
+    X86_PCMPGTWrr	= 1973,
+    X86_PCMPISTRIMEM	= 1974,
+    X86_PCMPISTRIREG	= 1975,
+    X86_PCMPISTRIrm	= 1976,
+    X86_PCMPISTRIrr	= 1977,
+    X86_PCMPISTRM128MEM	= 1978,
+    X86_PCMPISTRM128REG	= 1979,
+    X86_PCMPISTRM128rm	= 1980,
+    X86_PCMPISTRM128rr	= 1981,
+    X86_PDEP32rm	= 1982,
+    X86_PDEP32rr	= 1983,
+    X86_PDEP64rm	= 1984,
+    X86_PDEP64rr	= 1985,
+    X86_PEXT32rm	= 1986,
+    X86_PEXT32rr	= 1987,
+    X86_PEXT64rm	= 1988,
+    X86_PEXT64rr	= 1989,
+    X86_PEXTRBmr	= 1990,
+    X86_PEXTRBrr	= 1991,
+    X86_PEXTRDmr	= 1992,
+    X86_PEXTRDrr	= 1993,
+    X86_PEXTRQmr	= 1994,
+    X86_PEXTRQrr	= 1995,
+    X86_PEXTRWmr	= 1996,
+    X86_PEXTRWri	= 1997,
+    X86_PEXTRWrr_REV	= 1998,
+    X86_PF2IDrm	= 1999,
+    X86_PF2IDrr	= 2000,
+    X86_PF2IWrm	= 2001,
+    X86_PF2IWrr	= 2002,
+    X86_PFACCrm	= 2003,
+    X86_PFACCrr	= 2004,
+    X86_PFADDrm	= 2005,
+    X86_PFADDrr	= 2006,
+    X86_PFCMPEQrm	= 2007,
+    X86_PFCMPEQrr	= 2008,
+    X86_PFCMPGErm	= 2009,
+    X86_PFCMPGErr	= 2010,
+    X86_PFCMPGTrm	= 2011,
+    X86_PFCMPGTrr	= 2012,
+    X86_PFMAXrm	= 2013,
+    X86_PFMAXrr	= 2014,
+    X86_PFMINrm	= 2015,
+    X86_PFMINrr	= 2016,
+    X86_PFMULrm	= 2017,
+    X86_PFMULrr	= 2018,
+    X86_PFNACCrm	= 2019,
+    X86_PFNACCrr	= 2020,
+    X86_PFPNACCrm	= 2021,
+    X86_PFPNACCrr	= 2022,
+    X86_PFRCPIT1rm	= 2023,
+    X86_PFRCPIT1rr	= 2024,
+    X86_PFRCPIT2rm	= 2025,
+    X86_PFRCPIT2rr	= 2026,
+    X86_PFRCPrm	= 2027,
+    X86_PFRCPrr	= 2028,
+    X86_PFRSQIT1rm	= 2029,
+    X86_PFRSQIT1rr	= 2030,
+    X86_PFRSQRTrm	= 2031,
+    X86_PFRSQRTrr	= 2032,
+    X86_PFSUBRrm	= 2033,
+    X86_PFSUBRrr	= 2034,
+    X86_PFSUBrm	= 2035,
+    X86_PFSUBrr	= 2036,
+    X86_PHADDDrm	= 2037,
+    X86_PHADDDrr	= 2038,
+    X86_PHADDSWrm128	= 2039,
+    X86_PHADDSWrr128	= 2040,
+    X86_PHADDWrm	= 2041,
+    X86_PHADDWrr	= 2042,
+    X86_PHMINPOSUWrm128	= 2043,
+    X86_PHMINPOSUWrr128	= 2044,
+    X86_PHSUBDrm	= 2045,
+    X86_PHSUBDrr	= 2046,
+    X86_PHSUBSWrm128	= 2047,
+    X86_PHSUBSWrr128	= 2048,
+    X86_PHSUBWrm	= 2049,
+    X86_PHSUBWrr	= 2050,
+    X86_PI2FDrm	= 2051,
+    X86_PI2FDrr	= 2052,
+    X86_PI2FWrm	= 2053,
+    X86_PI2FWrr	= 2054,
+    X86_PINSRBrm	= 2055,
+    X86_PINSRBrr	= 2056,
+    X86_PINSRDrm	= 2057,
+    X86_PINSRDrr	= 2058,
+    X86_PINSRQrm	= 2059,
+    X86_PINSRQrr	= 2060,
+    X86_PINSRWrmi	= 2061,
+    X86_PINSRWrri	= 2062,
+    X86_PMADDUBSWrm128	= 2063,
+    X86_PMADDUBSWrr128	= 2064,
+    X86_PMADDWDrm	= 2065,
+    X86_PMADDWDrr	= 2066,
+    X86_PMAXSBrm	= 2067,
+    X86_PMAXSBrr	= 2068,
+    X86_PMAXSDrm	= 2069,
+    X86_PMAXSDrr	= 2070,
+    X86_PMAXSWrm	= 2071,
+    X86_PMAXSWrr	= 2072,
+    X86_PMAXUBrm	= 2073,
+    X86_PMAXUBrr	= 2074,
+    X86_PMAXUDrm	= 2075,
+    X86_PMAXUDrr	= 2076,
+    X86_PMAXUWrm	= 2077,
+    X86_PMAXUWrr	= 2078,
+    X86_PMINSBrm	= 2079,
+    X86_PMINSBrr	= 2080,
+    X86_PMINSDrm	= 2081,
+    X86_PMINSDrr	= 2082,
+    X86_PMINSWrm	= 2083,
+    X86_PMINSWrr	= 2084,
+    X86_PMINUBrm	= 2085,
+    X86_PMINUBrr	= 2086,
+    X86_PMINUDrm	= 2087,
+    X86_PMINUDrr	= 2088,
+    X86_PMINUWrm	= 2089,
+    X86_PMINUWrr	= 2090,
+    X86_PMOVMSKBrr	= 2091,
+    X86_PMOVSXBDrm	= 2092,
+    X86_PMOVSXBDrr	= 2093,
+    X86_PMOVSXBQrm	= 2094,
+    X86_PMOVSXBQrr	= 2095,
+    X86_PMOVSXBWrm	= 2096,
+    X86_PMOVSXBWrr	= 2097,
+    X86_PMOVSXDQrm	= 2098,
+    X86_PMOVSXDQrr	= 2099,
+    X86_PMOVSXWDrm	= 2100,
+    X86_PMOVSXWDrr	= 2101,
+    X86_PMOVSXWQrm	= 2102,
+    X86_PMOVSXWQrr	= 2103,
+    X86_PMOVZXBDrm	= 2104,
+    X86_PMOVZXBDrr	= 2105,
+    X86_PMOVZXBQrm	= 2106,
+    X86_PMOVZXBQrr	= 2107,
+    X86_PMOVZXBWrm	= 2108,
+    X86_PMOVZXBWrr	= 2109,
+    X86_PMOVZXDQrm	= 2110,
+    X86_PMOVZXDQrr	= 2111,
+    X86_PMOVZXWDrm	= 2112,
+    X86_PMOVZXWDrr	= 2113,
+    X86_PMOVZXWQrm	= 2114,
+    X86_PMOVZXWQrr	= 2115,
+    X86_PMULDQrm	= 2116,
+    X86_PMULDQrr	= 2117,
+    X86_PMULHRSWrm128	= 2118,
+    X86_PMULHRSWrr128	= 2119,
+    X86_PMULHRWrm	= 2120,
+    X86_PMULHRWrr	= 2121,
+    X86_PMULHUWrm	= 2122,
+    X86_PMULHUWrr	= 2123,
+    X86_PMULHWrm	= 2124,
+    X86_PMULHWrr	= 2125,
+    X86_PMULLDrm	= 2126,
+    X86_PMULLDrr	= 2127,
+    X86_PMULLWrm	= 2128,
+    X86_PMULLWrr	= 2129,
+    X86_PMULUDQrm	= 2130,
+    X86_PMULUDQrr	= 2131,
+    X86_POP16r	= 2132,
+    X86_POP16rmm	= 2133,
+    X86_POP16rmr	= 2134,
+    X86_POP32r	= 2135,
+    X86_POP32rmm	= 2136,
+    X86_POP32rmr	= 2137,
+    X86_POP64r	= 2138,
+    X86_POP64rmm	= 2139,
+    X86_POP64rmr	= 2140,
+    X86_POPA16	= 2141,
+    X86_POPA32	= 2142,
+    X86_POPCNT16rm	= 2143,
+    X86_POPCNT16rr	= 2144,
+    X86_POPCNT32rm	= 2145,
+    X86_POPCNT32rr	= 2146,
+    X86_POPCNT64rm	= 2147,
+    X86_POPCNT64rr	= 2148,
+    X86_POPDS16	= 2149,
+    X86_POPDS32	= 2150,
+    X86_POPES16	= 2151,
+    X86_POPES32	= 2152,
+    X86_POPF16	= 2153,
+    X86_POPF32	= 2154,
+    X86_POPF64	= 2155,
+    X86_POPFS16	= 2156,
+    X86_POPFS32	= 2157,
+    X86_POPFS64	= 2158,
+    X86_POPGS16	= 2159,
+    X86_POPGS32	= 2160,
+    X86_POPGS64	= 2161,
+    X86_POPSS16	= 2162,
+    X86_POPSS32	= 2163,
+    X86_PORrm	= 2164,
+    X86_PORrr	= 2165,
+    X86_PREFETCH	= 2166,
+    X86_PREFETCHNTA	= 2167,
+    X86_PREFETCHT0	= 2168,
+    X86_PREFETCHT1	= 2169,
+    X86_PREFETCHT2	= 2170,
+    X86_PREFETCHW	= 2171,
+    X86_PSADBWrm	= 2172,
+    X86_PSADBWrr	= 2173,
+    X86_PSHUFBrm	= 2174,
+    X86_PSHUFBrr	= 2175,
+    X86_PSHUFDmi	= 2176,
+    X86_PSHUFDri	= 2177,
+    X86_PSHUFHWmi	= 2178,
+    X86_PSHUFHWri	= 2179,
+    X86_PSHUFLWmi	= 2180,
+    X86_PSHUFLWri	= 2181,
+    X86_PSIGNBrm	= 2182,
+    X86_PSIGNBrr	= 2183,
+    X86_PSIGNDrm	= 2184,
+    X86_PSIGNDrr	= 2185,
+    X86_PSIGNWrm	= 2186,
+    X86_PSIGNWrr	= 2187,
+    X86_PSLLDQri	= 2188,
+    X86_PSLLDri	= 2189,
+    X86_PSLLDrm	= 2190,
+    X86_PSLLDrr	= 2191,
+    X86_PSLLQri	= 2192,
+    X86_PSLLQrm	= 2193,
+    X86_PSLLQrr	= 2194,
+    X86_PSLLWri	= 2195,
+    X86_PSLLWrm	= 2196,
+    X86_PSLLWrr	= 2197,
+    X86_PSRADri	= 2198,
+    X86_PSRADrm	= 2199,
+    X86_PSRADrr	= 2200,
+    X86_PSRAWri	= 2201,
+    X86_PSRAWrm	= 2202,
+    X86_PSRAWrr	= 2203,
+    X86_PSRLDQri	= 2204,
+    X86_PSRLDri	= 2205,
+    X86_PSRLDrm	= 2206,
+    X86_PSRLDrr	= 2207,
+    X86_PSRLQri	= 2208,
+    X86_PSRLQrm	= 2209,
+    X86_PSRLQrr	= 2210,
+    X86_PSRLWri	= 2211,
+    X86_PSRLWrm	= 2212,
+    X86_PSRLWrr	= 2213,
+    X86_PSUBBrm	= 2214,
+    X86_PSUBBrr	= 2215,
+    X86_PSUBDrm	= 2216,
+    X86_PSUBDrr	= 2217,
+    X86_PSUBQrm	= 2218,
+    X86_PSUBQrr	= 2219,
+    X86_PSUBSBrm	= 2220,
+    X86_PSUBSBrr	= 2221,
+    X86_PSUBSWrm	= 2222,
+    X86_PSUBSWrr	= 2223,
+    X86_PSUBUSBrm	= 2224,
+    X86_PSUBUSBrr	= 2225,
+    X86_PSUBUSWrm	= 2226,
+    X86_PSUBUSWrr	= 2227,
+    X86_PSUBWrm	= 2228,
+    X86_PSUBWrr	= 2229,
+    X86_PSWAPDrm	= 2230,
+    X86_PSWAPDrr	= 2231,
+    X86_PTESTrm	= 2232,
+    X86_PTESTrr	= 2233,
+    X86_PUNPCKHBWrm	= 2234,
+    X86_PUNPCKHBWrr	= 2235,
+    X86_PUNPCKHDQrm	= 2236,
+    X86_PUNPCKHDQrr	= 2237,
+    X86_PUNPCKHQDQrm	= 2238,
+    X86_PUNPCKHQDQrr	= 2239,
+    X86_PUNPCKHWDrm	= 2240,
+    X86_PUNPCKHWDrr	= 2241,
+    X86_PUNPCKLBWrm	= 2242,
+    X86_PUNPCKLBWrr	= 2243,
+    X86_PUNPCKLDQrm	= 2244,
+    X86_PUNPCKLDQrr	= 2245,
+    X86_PUNPCKLQDQrm	= 2246,
+    X86_PUNPCKLQDQrr	= 2247,
+    X86_PUNPCKLWDrm	= 2248,
+    X86_PUNPCKLWDrr	= 2249,
+    X86_PUSH16i8	= 2250,
+    X86_PUSH16r	= 2251,
+    X86_PUSH16rmm	= 2252,
+    X86_PUSH16rmr	= 2253,
+    X86_PUSH32i8	= 2254,
+    X86_PUSH32r	= 2255,
+    X86_PUSH32rmm	= 2256,
+    X86_PUSH32rmr	= 2257,
+    X86_PUSH64i16	= 2258,
+    X86_PUSH64i32	= 2259,
+    X86_PUSH64i8	= 2260,
+    X86_PUSH64r	= 2261,
+    X86_PUSH64rmm	= 2262,
+    X86_PUSH64rmr	= 2263,
+    X86_PUSHA16	= 2264,
+    X86_PUSHA32	= 2265,
+    X86_PUSHCS16	= 2266,
+    X86_PUSHCS32	= 2267,
+    X86_PUSHDS16	= 2268,
+    X86_PUSHDS32	= 2269,
+    X86_PUSHES16	= 2270,
+    X86_PUSHES32	= 2271,
+    X86_PUSHF16	= 2272,
+    X86_PUSHF32	= 2273,
+    X86_PUSHF64	= 2274,
+    X86_PUSHFS16	= 2275,
+    X86_PUSHFS32	= 2276,
+    X86_PUSHFS64	= 2277,
+    X86_PUSHGS16	= 2278,
+    X86_PUSHGS32	= 2279,
+    X86_PUSHGS64	= 2280,
+    X86_PUSHSS16	= 2281,
+    X86_PUSHSS32	= 2282,
+    X86_PUSHi16	= 2283,
+    X86_PUSHi32	= 2284,
+    X86_PXORrm	= 2285,
+    X86_PXORrr	= 2286,
+    X86_RCL16m1	= 2287,
+    X86_RCL16mCL	= 2288,
+    X86_RCL16mi	= 2289,
+    X86_RCL16r1	= 2290,
+    X86_RCL16rCL	= 2291,
+    X86_RCL16ri	= 2292,
+    X86_RCL32m1	= 2293,
+    X86_RCL32mCL	= 2294,
+    X86_RCL32mi	= 2295,
+    X86_RCL32r1	= 2296,
+    X86_RCL32rCL	= 2297,
+    X86_RCL32ri	= 2298,
+    X86_RCL64m1	= 2299,
+    X86_RCL64mCL	= 2300,
+    X86_RCL64mi	= 2301,
+    X86_RCL64r1	= 2302,
+    X86_RCL64rCL	= 2303,
+    X86_RCL64ri	= 2304,
+    X86_RCL8m1	= 2305,
+    X86_RCL8mCL	= 2306,
+    X86_RCL8mi	= 2307,
+    X86_RCL8r1	= 2308,
+    X86_RCL8rCL	= 2309,
+    X86_RCL8ri	= 2310,
+    X86_RCPPSm	= 2311,
+    X86_RCPPSm_Int	= 2312,
+    X86_RCPPSr	= 2313,
+    X86_RCPPSr_Int	= 2314,
+    X86_RCPSSm	= 2315,
+    X86_RCPSSm_Int	= 2316,
+    X86_RCPSSr	= 2317,
+    X86_RCPSSr_Int	= 2318,
+    X86_RCR16m1	= 2319,
+    X86_RCR16mCL	= 2320,
+    X86_RCR16mi	= 2321,
+    X86_RCR16r1	= 2322,
+    X86_RCR16rCL	= 2323,
+    X86_RCR16ri	= 2324,
+    X86_RCR32m1	= 2325,
+    X86_RCR32mCL	= 2326,
+    X86_RCR32mi	= 2327,
+    X86_RCR32r1	= 2328,
+    X86_RCR32rCL	= 2329,
+    X86_RCR32ri	= 2330,
+    X86_RCR64m1	= 2331,
+    X86_RCR64mCL	= 2332,
+    X86_RCR64mi	= 2333,
+    X86_RCR64r1	= 2334,
+    X86_RCR64rCL	= 2335,
+    X86_RCR64ri	= 2336,
+    X86_RCR8m1	= 2337,
+    X86_RCR8mCL	= 2338,
+    X86_RCR8mi	= 2339,
+    X86_RCR8r1	= 2340,
+    X86_RCR8rCL	= 2341,
+    X86_RCR8ri	= 2342,
+    X86_RDFSBASE	= 2343,
+    X86_RDFSBASE64	= 2344,
+    X86_RDGSBASE	= 2345,
+    X86_RDGSBASE64	= 2346,
+    X86_RDMSR	= 2347,
+    X86_RDPMC	= 2348,
+    X86_RDRAND16r	= 2349,
+    X86_RDRAND32r	= 2350,
+    X86_RDRAND64r	= 2351,
+    X86_RDSEED16r	= 2352,
+    X86_RDSEED32r	= 2353,
+    X86_RDSEED64r	= 2354,
+    X86_RDTSC	= 2355,
+    X86_RDTSCP	= 2356,
+    X86_RELEASE_MOV16mr	= 2357,
+    X86_RELEASE_MOV32mr	= 2358,
+    X86_RELEASE_MOV64mr	= 2359,
+    X86_RELEASE_MOV8mr	= 2360,
+    X86_REPNE_PREFIX	= 2361,
+    X86_REP_MOVSB_32	= 2362,
+    X86_REP_MOVSB_64	= 2363,
+    X86_REP_MOVSD_32	= 2364,
+    X86_REP_MOVSD_64	= 2365,
+    X86_REP_MOVSQ_64	= 2366,
+    X86_REP_MOVSW_32	= 2367,
+    X86_REP_MOVSW_64	= 2368,
+    X86_REP_PREFIX	= 2369,
+    X86_REP_STOSB_32	= 2370,
+    X86_REP_STOSB_64	= 2371,
+    X86_REP_STOSD_32	= 2372,
+    X86_REP_STOSD_64	= 2373,
+    X86_REP_STOSQ_64	= 2374,
+    X86_REP_STOSW_32	= 2375,
+    X86_REP_STOSW_64	= 2376,
+    X86_RETIL	= 2377,
+    X86_RETIQ	= 2378,
+    X86_RETIW	= 2379,
+    X86_RETL	= 2380,
+    X86_RETQ	= 2381,
+    X86_RETW	= 2382,
+    X86_REX64_PREFIX	= 2383,
+    X86_ROL16m1	= 2384,
+    X86_ROL16mCL	= 2385,
+    X86_ROL16mi	= 2386,
+    X86_ROL16r1	= 2387,
+    X86_ROL16rCL	= 2388,
+    X86_ROL16ri	= 2389,
+    X86_ROL32m1	= 2390,
+    X86_ROL32mCL	= 2391,
+    X86_ROL32mi	= 2392,
+    X86_ROL32r1	= 2393,
+    X86_ROL32rCL	= 2394,
+    X86_ROL32ri	= 2395,
+    X86_ROL64m1	= 2396,
+    X86_ROL64mCL	= 2397,
+    X86_ROL64mi	= 2398,
+    X86_ROL64r1	= 2399,
+    X86_ROL64rCL	= 2400,
+    X86_ROL64ri	= 2401,
+    X86_ROL8m1	= 2402,
+    X86_ROL8mCL	= 2403,
+    X86_ROL8mi	= 2404,
+    X86_ROL8r1	= 2405,
+    X86_ROL8rCL	= 2406,
+    X86_ROL8ri	= 2407,
+    X86_ROR16m1	= 2408,
+    X86_ROR16mCL	= 2409,
+    X86_ROR16mi	= 2410,
+    X86_ROR16r1	= 2411,
+    X86_ROR16rCL	= 2412,
+    X86_ROR16ri	= 2413,
+    X86_ROR32m1	= 2414,
+    X86_ROR32mCL	= 2415,
+    X86_ROR32mi	= 2416,
+    X86_ROR32r1	= 2417,
+    X86_ROR32rCL	= 2418,
+    X86_ROR32ri	= 2419,
+    X86_ROR64m1	= 2420,
+    X86_ROR64mCL	= 2421,
+    X86_ROR64mi	= 2422,
+    X86_ROR64r1	= 2423,
+    X86_ROR64rCL	= 2424,
+    X86_ROR64ri	= 2425,
+    X86_ROR8m1	= 2426,
+    X86_ROR8mCL	= 2427,
+    X86_ROR8mi	= 2428,
+    X86_ROR8r1	= 2429,
+    X86_ROR8rCL	= 2430,
+    X86_ROR8ri	= 2431,
+    X86_RORX32mi	= 2432,
+    X86_RORX32ri	= 2433,
+    X86_RORX64mi	= 2434,
+    X86_RORX64ri	= 2435,
+    X86_ROUNDPDm	= 2436,
+    X86_ROUNDPDr	= 2437,
+    X86_ROUNDPSm	= 2438,
+    X86_ROUNDPSr	= 2439,
+    X86_ROUNDSDm	= 2440,
+    X86_ROUNDSDr	= 2441,
+    X86_ROUNDSDr_Int	= 2442,
+    X86_ROUNDSSm	= 2443,
+    X86_ROUNDSSr	= 2444,
+    X86_ROUNDSSr_Int	= 2445,
+    X86_RSM	= 2446,
+    X86_RSQRTPSm	= 2447,
+    X86_RSQRTPSm_Int	= 2448,
+    X86_RSQRTPSr	= 2449,
+    X86_RSQRTPSr_Int	= 2450,
+    X86_RSQRTSSm	= 2451,
+    X86_RSQRTSSm_Int	= 2452,
+    X86_RSQRTSSr	= 2453,
+    X86_RSQRTSSr_Int	= 2454,
+    X86_SAHF	= 2455,
+    X86_SAL16m1	= 2456,
+    X86_SAL16mCL	= 2457,
+    X86_SAL16mi	= 2458,
+    X86_SAL16r1	= 2459,
+    X86_SAL16rCL	= 2460,
+    X86_SAL16ri	= 2461,
+    X86_SAL32m1	= 2462,
+    X86_SAL32mCL	= 2463,
+    X86_SAL32mi	= 2464,
+    X86_SAL32r1	= 2465,
+    X86_SAL32rCL	= 2466,
+    X86_SAL32ri	= 2467,
+    X86_SAL64m1	= 2468,
+    X86_SAL64mCL	= 2469,
+    X86_SAL64mi	= 2470,
+    X86_SAL64r1	= 2471,
+    X86_SAL64rCL	= 2472,
+    X86_SAL64ri	= 2473,
+    X86_SAL8m1	= 2474,
+    X86_SAL8mCL	= 2475,
+    X86_SAL8mi	= 2476,
+    X86_SAL8r1	= 2477,
+    X86_SAL8rCL	= 2478,
+    X86_SAL8ri	= 2479,
+    X86_SALC	= 2480,
+    X86_SAR16m1	= 2481,
+    X86_SAR16mCL	= 2482,
+    X86_SAR16mi	= 2483,
+    X86_SAR16r1	= 2484,
+    X86_SAR16rCL	= 2485,
+    X86_SAR16ri	= 2486,
+    X86_SAR32m1	= 2487,
+    X86_SAR32mCL	= 2488,
+    X86_SAR32mi	= 2489,
+    X86_SAR32r1	= 2490,
+    X86_SAR32rCL	= 2491,
+    X86_SAR32ri	= 2492,
+    X86_SAR64m1	= 2493,
+    X86_SAR64mCL	= 2494,
+    X86_SAR64mi	= 2495,
+    X86_SAR64r1	= 2496,
+    X86_SAR64rCL	= 2497,
+    X86_SAR64ri	= 2498,
+    X86_SAR8m1	= 2499,
+    X86_SAR8mCL	= 2500,
+    X86_SAR8mi	= 2501,
+    X86_SAR8r1	= 2502,
+    X86_SAR8rCL	= 2503,
+    X86_SAR8ri	= 2504,
+    X86_SARX32rm	= 2505,
+    X86_SARX32rr	= 2506,
+    X86_SARX64rm	= 2507,
+    X86_SARX64rr	= 2508,
+    X86_SBB16i16	= 2509,
+    X86_SBB16mi	= 2510,
+    X86_SBB16mi8	= 2511,
+    X86_SBB16mr	= 2512,
+    X86_SBB16ri	= 2513,
+    X86_SBB16ri8	= 2514,
+    X86_SBB16rm	= 2515,
+    X86_SBB16rr	= 2516,
+    X86_SBB16rr_REV	= 2517,
+    X86_SBB32i32	= 2518,
+    X86_SBB32mi	= 2519,
+    X86_SBB32mi8	= 2520,
+    X86_SBB32mr	= 2521,
+    X86_SBB32ri	= 2522,
+    X86_SBB32ri8	= 2523,
+    X86_SBB32rm	= 2524,
+    X86_SBB32rr	= 2525,
+    X86_SBB32rr_REV	= 2526,
+    X86_SBB64i32	= 2527,
+    X86_SBB64mi32	= 2528,
+    X86_SBB64mi8	= 2529,
+    X86_SBB64mr	= 2530,
+    X86_SBB64ri32	= 2531,
+    X86_SBB64ri8	= 2532,
+    X86_SBB64rm	= 2533,
+    X86_SBB64rr	= 2534,
+    X86_SBB64rr_REV	= 2535,
+    X86_SBB8i8	= 2536,
+    X86_SBB8mi	= 2537,
+    X86_SBB8mr	= 2538,
+    X86_SBB8ri	= 2539,
+    X86_SBB8rm	= 2540,
+    X86_SBB8rr	= 2541,
+    X86_SBB8rr_REV	= 2542,
+    X86_SCASB	= 2543,
+    X86_SCASL	= 2544,
+    X86_SCASQ	= 2545,
+    X86_SCASW	= 2546,
+    X86_SEG_ALLOCA_32	= 2547,
+    X86_SEG_ALLOCA_64	= 2548,
+    X86_SEH_EndPrologue	= 2549,
+    X86_SEH_Epilogue	= 2550,
+    X86_SEH_PushFrame	= 2551,
+    X86_SEH_PushReg	= 2552,
+    X86_SEH_SaveReg	= 2553,
+    X86_SEH_SaveXMM	= 2554,
+    X86_SEH_SetFrame	= 2555,
+    X86_SEH_StackAlloc	= 2556,
+    X86_SETAEm	= 2557,
+    X86_SETAEr	= 2558,
+    X86_SETAm	= 2559,
+    X86_SETAr	= 2560,
+    X86_SETBEm	= 2561,
+    X86_SETBEr	= 2562,
+    X86_SETB_C16r	= 2563,
+    X86_SETB_C32r	= 2564,
+    X86_SETB_C64r	= 2565,
+    X86_SETB_C8r	= 2566,
+    X86_SETBm	= 2567,
+    X86_SETBr	= 2568,
+    X86_SETEm	= 2569,
+    X86_SETEr	= 2570,
+    X86_SETGEm	= 2571,
+    X86_SETGEr	= 2572,
+    X86_SETGm	= 2573,
+    X86_SETGr	= 2574,
+    X86_SETLEm	= 2575,
+    X86_SETLEr	= 2576,
+    X86_SETLm	= 2577,
+    X86_SETLr	= 2578,
+    X86_SETNEm	= 2579,
+    X86_SETNEr	= 2580,
+    X86_SETNOm	= 2581,
+    X86_SETNOr	= 2582,
+    X86_SETNPm	= 2583,
+    X86_SETNPr	= 2584,
+    X86_SETNSm	= 2585,
+    X86_SETNSr	= 2586,
+    X86_SETOm	= 2587,
+    X86_SETOr	= 2588,
+    X86_SETPm	= 2589,
+    X86_SETPr	= 2590,
+    X86_SETSm	= 2591,
+    X86_SETSr	= 2592,
+    X86_SFENCE	= 2593,
+    X86_SGDT16m	= 2594,
+    X86_SGDT32m	= 2595,
+    X86_SGDT64m	= 2596,
+    X86_SHA1MSG1rm	= 2597,
+    X86_SHA1MSG1rr	= 2598,
+    X86_SHA1MSG2rm	= 2599,
+    X86_SHA1MSG2rr	= 2600,
+    X86_SHA1NEXTErm	= 2601,
+    X86_SHA1NEXTErr	= 2602,
+    X86_SHA1RNDS4rmi	= 2603,
+    X86_SHA1RNDS4rri	= 2604,
+    X86_SHA256MSG1rm	= 2605,
+    X86_SHA256MSG1rr	= 2606,
+    X86_SHA256MSG2rm	= 2607,
+    X86_SHA256MSG2rr	= 2608,
+    X86_SHA256RNDS2rm	= 2609,
+    X86_SHA256RNDS2rr	= 2610,
+    X86_SHL16m1	= 2611,
+    X86_SHL16mCL	= 2612,
+    X86_SHL16mi	= 2613,
+    X86_SHL16r1	= 2614,
+    X86_SHL16rCL	= 2615,
+    X86_SHL16ri	= 2616,
+    X86_SHL32m1	= 2617,
+    X86_SHL32mCL	= 2618,
+    X86_SHL32mi	= 2619,
+    X86_SHL32r1	= 2620,
+    X86_SHL32rCL	= 2621,
+    X86_SHL32ri	= 2622,
+    X86_SHL64m1	= 2623,
+    X86_SHL64mCL	= 2624,
+    X86_SHL64mi	= 2625,
+    X86_SHL64r1	= 2626,
+    X86_SHL64rCL	= 2627,
+    X86_SHL64ri	= 2628,
+    X86_SHL8m1	= 2629,
+    X86_SHL8mCL	= 2630,
+    X86_SHL8mi	= 2631,
+    X86_SHL8r1	= 2632,
+    X86_SHL8rCL	= 2633,
+    X86_SHL8ri	= 2634,
+    X86_SHLD16mrCL	= 2635,
+    X86_SHLD16mri8	= 2636,
+    X86_SHLD16rrCL	= 2637,
+    X86_SHLD16rri8	= 2638,
+    X86_SHLD32mrCL	= 2639,
+    X86_SHLD32mri8	= 2640,
+    X86_SHLD32rrCL	= 2641,
+    X86_SHLD32rri8	= 2642,
+    X86_SHLD64mrCL	= 2643,
+    X86_SHLD64mri8	= 2644,
+    X86_SHLD64rrCL	= 2645,
+    X86_SHLD64rri8	= 2646,
+    X86_SHLX32rm	= 2647,
+    X86_SHLX32rr	= 2648,
+    X86_SHLX64rm	= 2649,
+    X86_SHLX64rr	= 2650,
+    X86_SHR16m1	= 2651,
+    X86_SHR16mCL	= 2652,
+    X86_SHR16mi	= 2653,
+    X86_SHR16r1	= 2654,
+    X86_SHR16rCL	= 2655,
+    X86_SHR16ri	= 2656,
+    X86_SHR32m1	= 2657,
+    X86_SHR32mCL	= 2658,
+    X86_SHR32mi	= 2659,
+    X86_SHR32r1	= 2660,
+    X86_SHR32rCL	= 2661,
+    X86_SHR32ri	= 2662,
+    X86_SHR64m1	= 2663,
+    X86_SHR64mCL	= 2664,
+    X86_SHR64mi	= 2665,
+    X86_SHR64r1	= 2666,
+    X86_SHR64rCL	= 2667,
+    X86_SHR64ri	= 2668,
+    X86_SHR8m1	= 2669,
+    X86_SHR8mCL	= 2670,
+    X86_SHR8mi	= 2671,
+    X86_SHR8r1	= 2672,
+    X86_SHR8rCL	= 2673,
+    X86_SHR8ri	= 2674,
+    X86_SHRD16mrCL	= 2675,
+    X86_SHRD16mri8	= 2676,
+    X86_SHRD16rrCL	= 2677,
+    X86_SHRD16rri8	= 2678,
+    X86_SHRD32mrCL	= 2679,
+    X86_SHRD32mri8	= 2680,
+    X86_SHRD32rrCL	= 2681,
+    X86_SHRD32rri8	= 2682,
+    X86_SHRD64mrCL	= 2683,
+    X86_SHRD64mri8	= 2684,
+    X86_SHRD64rrCL	= 2685,
+    X86_SHRD64rri8	= 2686,
+    X86_SHRX32rm	= 2687,
+    X86_SHRX32rr	= 2688,
+    X86_SHRX64rm	= 2689,
+    X86_SHRX64rr	= 2690,
+    X86_SHUFPDrmi	= 2691,
+    X86_SHUFPDrri	= 2692,
+    X86_SHUFPSrmi	= 2693,
+    X86_SHUFPSrri	= 2694,
+    X86_SIDT16m	= 2695,
+    X86_SIDT32m	= 2696,
+    X86_SIDT64m	= 2697,
+    X86_SIN_F	= 2698,
+    X86_SIN_Fp32	= 2699,
+    X86_SIN_Fp64	= 2700,
+    X86_SIN_Fp80	= 2701,
+    X86_SKINIT	= 2702,
+    X86_SLDT16m	= 2703,
+    X86_SLDT16r	= 2704,
+    X86_SLDT32r	= 2705,
+    X86_SLDT64m	= 2706,
+    X86_SLDT64r	= 2707,
+    X86_SMSW16m	= 2708,
+    X86_SMSW16r	= 2709,
+    X86_SMSW32r	= 2710,
+    X86_SMSW64r	= 2711,
+    X86_SQRTPDm	= 2712,
+    X86_SQRTPDr	= 2713,
+    X86_SQRTPSm	= 2714,
+    X86_SQRTPSr	= 2715,
+    X86_SQRTSDm	= 2716,
+    X86_SQRTSDm_Int	= 2717,
+    X86_SQRTSDr	= 2718,
+    X86_SQRTSDr_Int	= 2719,
+    X86_SQRTSSm	= 2720,
+    X86_SQRTSSm_Int	= 2721,
+    X86_SQRTSSr	= 2722,
+    X86_SQRTSSr_Int	= 2723,
+    X86_SQRT_F	= 2724,
+    X86_SQRT_Fp32	= 2725,
+    X86_SQRT_Fp64	= 2726,
+    X86_SQRT_Fp80	= 2727,
+    X86_STAC	= 2728,
+    X86_STC	= 2729,
+    X86_STD	= 2730,
+    X86_STGI	= 2731,
+    X86_STI	= 2732,
+    X86_STMXCSR	= 2733,
+    X86_STOSB	= 2734,
+    X86_STOSL	= 2735,
+    X86_STOSQ	= 2736,
+    X86_STOSW	= 2737,
+    X86_STR16r	= 2738,
+    X86_STR32r	= 2739,
+    X86_STR64r	= 2740,
+    X86_STRm	= 2741,
+    X86_ST_F32m	= 2742,
+    X86_ST_F64m	= 2743,
+    X86_ST_FP32m	= 2744,
+    X86_ST_FP64m	= 2745,
+    X86_ST_FP80m	= 2746,
+    X86_ST_FPNCE	= 2747,
+    X86_ST_FPr0r7	= 2748,
+    X86_ST_FPrr	= 2749,
+    X86_ST_Fp32m	= 2750,
+    X86_ST_Fp64m	= 2751,
+    X86_ST_Fp64m32	= 2752,
+    X86_ST_Fp80m32	= 2753,
+    X86_ST_Fp80m64	= 2754,
+    X86_ST_FpP32m	= 2755,
+    X86_ST_FpP64m	= 2756,
+    X86_ST_FpP64m32	= 2757,
+    X86_ST_FpP80m	= 2758,
+    X86_ST_FpP80m32	= 2759,
+    X86_ST_FpP80m64	= 2760,
+    X86_ST_Frr	= 2761,
+    X86_SUB16i16	= 2762,
+    X86_SUB16mi	= 2763,
+    X86_SUB16mi8	= 2764,
+    X86_SUB16mr	= 2765,
+    X86_SUB16ri	= 2766,
+    X86_SUB16ri8	= 2767,
+    X86_SUB16rm	= 2768,
+    X86_SUB16rr	= 2769,
+    X86_SUB16rr_REV	= 2770,
+    X86_SUB32i32	= 2771,
+    X86_SUB32mi	= 2772,
+    X86_SUB32mi8	= 2773,
+    X86_SUB32mr	= 2774,
+    X86_SUB32ri	= 2775,
+    X86_SUB32ri8	= 2776,
+    X86_SUB32rm	= 2777,
+    X86_SUB32rr	= 2778,
+    X86_SUB32rr_REV	= 2779,
+    X86_SUB64i32	= 2780,
+    X86_SUB64mi32	= 2781,
+    X86_SUB64mi8	= 2782,
+    X86_SUB64mr	= 2783,
+    X86_SUB64ri32	= 2784,
+    X86_SUB64ri8	= 2785,
+    X86_SUB64rm	= 2786,
+    X86_SUB64rr	= 2787,
+    X86_SUB64rr_REV	= 2788,
+    X86_SUB8i8	= 2789,
+    X86_SUB8mi	= 2790,
+    X86_SUB8mr	= 2791,
+    X86_SUB8ri	= 2792,
+    X86_SUB8ri8	= 2793,
+    X86_SUB8rm	= 2794,
+    X86_SUB8rr	= 2795,
+    X86_SUB8rr_REV	= 2796,
+    X86_SUBPDrm	= 2797,
+    X86_SUBPDrr	= 2798,
+    X86_SUBPSrm	= 2799,
+    X86_SUBPSrr	= 2800,
+    X86_SUBR_F32m	= 2801,
+    X86_SUBR_F64m	= 2802,
+    X86_SUBR_FI16m	= 2803,
+    X86_SUBR_FI32m	= 2804,
+    X86_SUBR_FPrST0	= 2805,
+    X86_SUBR_FST0r	= 2806,
+    X86_SUBR_Fp32m	= 2807,
+    X86_SUBR_Fp64m	= 2808,
+    X86_SUBR_Fp64m32	= 2809,
+    X86_SUBR_Fp80m32	= 2810,
+    X86_SUBR_Fp80m64	= 2811,
+    X86_SUBR_FpI16m32	= 2812,
+    X86_SUBR_FpI16m64	= 2813,
+    X86_SUBR_FpI16m80	= 2814,
+    X86_SUBR_FpI32m32	= 2815,
+    X86_SUBR_FpI32m64	= 2816,
+    X86_SUBR_FpI32m80	= 2817,
+    X86_SUBR_FrST0	= 2818,
+    X86_SUBSDrm	= 2819,
+    X86_SUBSDrm_Int	= 2820,
+    X86_SUBSDrr	= 2821,
+    X86_SUBSDrr_Int	= 2822,
+    X86_SUBSSrm	= 2823,
+    X86_SUBSSrm_Int	= 2824,
+    X86_SUBSSrr	= 2825,
+    X86_SUBSSrr_Int	= 2826,
+    X86_SUB_F32m	= 2827,
+    X86_SUB_F64m	= 2828,
+    X86_SUB_FI16m	= 2829,
+    X86_SUB_FI32m	= 2830,
+    X86_SUB_FPrST0	= 2831,
+    X86_SUB_FST0r	= 2832,
+    X86_SUB_Fp32	= 2833,
+    X86_SUB_Fp32m	= 2834,
+    X86_SUB_Fp64	= 2835,
+    X86_SUB_Fp64m	= 2836,
+    X86_SUB_Fp64m32	= 2837,
+    X86_SUB_Fp80	= 2838,
+    X86_SUB_Fp80m32	= 2839,
+    X86_SUB_Fp80m64	= 2840,
+    X86_SUB_FpI16m32	= 2841,
+    X86_SUB_FpI16m64	= 2842,
+    X86_SUB_FpI16m80	= 2843,
+    X86_SUB_FpI32m32	= 2844,
+    X86_SUB_FpI32m64	= 2845,
+    X86_SUB_FpI32m80	= 2846,
+    X86_SUB_FrST0	= 2847,
+    X86_SWAPGS	= 2848,
+    X86_SYSCALL	= 2849,
+    X86_SYSENTER	= 2850,
+    X86_SYSEXIT	= 2851,
+    X86_SYSEXIT64	= 2852,
+    X86_SYSRET	= 2853,
+    X86_SYSRET64	= 2854,
+    X86_T1MSKC32rm	= 2855,
+    X86_T1MSKC32rr	= 2856,
+    X86_T1MSKC64rm	= 2857,
+    X86_T1MSKC64rr	= 2858,
+    X86_TAILJMPd	= 2859,
+    X86_TAILJMPd64	= 2860,
+    X86_TAILJMPm	= 2861,
+    X86_TAILJMPm64	= 2862,
+    X86_TAILJMPr	= 2863,
+    X86_TAILJMPr64	= 2864,
+    X86_TCRETURNdi	= 2865,
+    X86_TCRETURNdi64	= 2866,
+    X86_TCRETURNmi	= 2867,
+    X86_TCRETURNmi64	= 2868,
+    X86_TCRETURNri	= 2869,
+    X86_TCRETURNri64	= 2870,
+    X86_TEST16i16	= 2871,
+    X86_TEST16mi	= 2872,
+    X86_TEST16mi_alt	= 2873,
+    X86_TEST16ri	= 2874,
+    X86_TEST16ri_alt	= 2875,
+    X86_TEST16rm	= 2876,
+    X86_TEST16rr	= 2877,
+    X86_TEST32i32	= 2878,
+    X86_TEST32mi	= 2879,
+    X86_TEST32mi_alt	= 2880,
+    X86_TEST32ri	= 2881,
+    X86_TEST32ri_alt	= 2882,
+    X86_TEST32rm	= 2883,
+    X86_TEST32rr	= 2884,
+    X86_TEST64i32	= 2885,
+    X86_TEST64mi32	= 2886,
+    X86_TEST64mi32_alt	= 2887,
+    X86_TEST64ri32	= 2888,
+    X86_TEST64ri32_alt	= 2889,
+    X86_TEST64rm	= 2890,
+    X86_TEST64rr	= 2891,
+    X86_TEST8i8	= 2892,
+    X86_TEST8mi	= 2893,
+    X86_TEST8mi_alt	= 2894,
+    X86_TEST8ri	= 2895,
+    X86_TEST8ri_NOREX	= 2896,
+    X86_TEST8ri_alt	= 2897,
+    X86_TEST8rm	= 2898,
+    X86_TEST8rr	= 2899,
+    X86_TLSCall_32	= 2900,
+    X86_TLSCall_64	= 2901,
+    X86_TLS_addr32	= 2902,
+    X86_TLS_addr64	= 2903,
+    X86_TLS_base_addr32	= 2904,
+    X86_TLS_base_addr64	= 2905,
+    X86_TRAP	= 2906,
+    X86_TST_F	= 2907,
+    X86_TST_Fp32	= 2908,
+    X86_TST_Fp64	= 2909,
+    X86_TST_Fp80	= 2910,
+    X86_TZCNT16rm	= 2911,
+    X86_TZCNT16rr	= 2912,
+    X86_TZCNT32rm	= 2913,
+    X86_TZCNT32rr	= 2914,
+    X86_TZCNT64rm	= 2915,
+    X86_TZCNT64rr	= 2916,
+    X86_TZMSK32rm	= 2917,
+    X86_TZMSK32rr	= 2918,
+    X86_TZMSK64rm	= 2919,
+    X86_TZMSK64rr	= 2920,
+    X86_UCOMISDrm	= 2921,
+    X86_UCOMISDrr	= 2922,
+    X86_UCOMISSrm	= 2923,
+    X86_UCOMISSrr	= 2924,
+    X86_UCOM_FIPr	= 2925,
+    X86_UCOM_FIr	= 2926,
+    X86_UCOM_FPPr	= 2927,
+    X86_UCOM_FPr	= 2928,
+    X86_UCOM_FpIr32	= 2929,
+    X86_UCOM_FpIr64	= 2930,
+    X86_UCOM_FpIr80	= 2931,
+    X86_UCOM_Fpr32	= 2932,
+    X86_UCOM_Fpr64	= 2933,
+    X86_UCOM_Fpr80	= 2934,
+    X86_UCOM_Fr	= 2935,
+    X86_UD2B	= 2936,
+    X86_UNPCKHPDrm	= 2937,
+    X86_UNPCKHPDrr	= 2938,
+    X86_UNPCKHPSrm	= 2939,
+    X86_UNPCKHPSrr	= 2940,
+    X86_UNPCKLPDrm	= 2941,
+    X86_UNPCKLPDrr	= 2942,
+    X86_UNPCKLPSrm	= 2943,
+    X86_UNPCKLPSrr	= 2944,
+    X86_VAARG_64	= 2945,
+    X86_VADDPDYrm	= 2946,
+    X86_VADDPDYrr	= 2947,
+    X86_VADDPDZrm	= 2948,
+    X86_VADDPDZrmb	= 2949,
+    X86_VADDPDZrmbk	= 2950,
+    X86_VADDPDZrmbkz	= 2951,
+    X86_VADDPDZrmk	= 2952,
+    X86_VADDPDZrmkz	= 2953,
+    X86_VADDPDZrr	= 2954,
+    X86_VADDPDZrrk	= 2955,
+    X86_VADDPDZrrkz	= 2956,
+    X86_VADDPDrm	= 2957,
+    X86_VADDPDrr	= 2958,
+    X86_VADDPSYrm	= 2959,
+    X86_VADDPSYrr	= 2960,
+    X86_VADDPSZrm	= 2961,
+    X86_VADDPSZrmb	= 2962,
+    X86_VADDPSZrmbk	= 2963,
+    X86_VADDPSZrmbkz	= 2964,
+    X86_VADDPSZrmk	= 2965,
+    X86_VADDPSZrmkz	= 2966,
+    X86_VADDPSZrr	= 2967,
+    X86_VADDPSZrrk	= 2968,
+    X86_VADDPSZrrkz	= 2969,
+    X86_VADDPSrm	= 2970,
+    X86_VADDPSrr	= 2971,
+    X86_VADDSDZrm	= 2972,
+    X86_VADDSDZrr	= 2973,
+    X86_VADDSDrm	= 2974,
+    X86_VADDSDrm_Int	= 2975,
+    X86_VADDSDrr	= 2976,
+    X86_VADDSDrr_Int	= 2977,
+    X86_VADDSSZrm	= 2978,
+    X86_VADDSSZrr	= 2979,
+    X86_VADDSSrm	= 2980,
+    X86_VADDSSrm_Int	= 2981,
+    X86_VADDSSrr	= 2982,
+    X86_VADDSSrr_Int	= 2983,
+    X86_VADDSUBPDYrm	= 2984,
+    X86_VADDSUBPDYrr	= 2985,
+    X86_VADDSUBPDrm	= 2986,
+    X86_VADDSUBPDrr	= 2987,
+    X86_VADDSUBPSYrm	= 2988,
+    X86_VADDSUBPSYrr	= 2989,
+    X86_VADDSUBPSrm	= 2990,
+    X86_VADDSUBPSrr	= 2991,
+    X86_VAESDECLASTrm	= 2992,
+    X86_VAESDECLASTrr	= 2993,
+    X86_VAESDECrm	= 2994,
+    X86_VAESDECrr	= 2995,
+    X86_VAESENCLASTrm	= 2996,
+    X86_VAESENCLASTrr	= 2997,
+    X86_VAESENCrm	= 2998,
+    X86_VAESENCrr	= 2999,
+    X86_VAESIMCrm	= 3000,
+    X86_VAESIMCrr	= 3001,
+    X86_VAESKEYGENASSIST128rm	= 3002,
+    X86_VAESKEYGENASSIST128rr	= 3003,
+    X86_VALIGNDrmi	= 3004,
+    X86_VALIGNDrri	= 3005,
+    X86_VALIGNDrrik	= 3006,
+    X86_VALIGNDrrikz	= 3007,
+    X86_VALIGNQrmi	= 3008,
+    X86_VALIGNQrri	= 3009,
+    X86_VALIGNQrrik	= 3010,
+    X86_VALIGNQrrikz	= 3011,
+    X86_VANDNPDYrm	= 3012,
+    X86_VANDNPDYrr	= 3013,
+    X86_VANDNPDrm	= 3014,
+    X86_VANDNPDrr	= 3015,
+    X86_VANDNPSYrm	= 3016,
+    X86_VANDNPSYrr	= 3017,
+    X86_VANDNPSrm	= 3018,
+    X86_VANDNPSrr	= 3019,
+    X86_VANDPDYrm	= 3020,
+    X86_VANDPDYrr	= 3021,
+    X86_VANDPDrm	= 3022,
+    X86_VANDPDrr	= 3023,
+    X86_VANDPSYrm	= 3024,
+    X86_VANDPSYrr	= 3025,
+    X86_VANDPSrm	= 3026,
+    X86_VANDPSrr	= 3027,
+    X86_VASTART_SAVE_XMM_REGS	= 3028,
+    X86_VBLENDMPDZrm	= 3029,
+    X86_VBLENDMPDZrr	= 3030,
+    X86_VBLENDMPSZrm	= 3031,
+    X86_VBLENDMPSZrr	= 3032,
+    X86_VBLENDPDYrmi	= 3033,
+    X86_VBLENDPDYrri	= 3034,
+    X86_VBLENDPDrmi	= 3035,
+    X86_VBLENDPDrri	= 3036,
+    X86_VBLENDPSYrmi	= 3037,
+    X86_VBLENDPSYrri	= 3038,
+    X86_VBLENDPSrmi	= 3039,
+    X86_VBLENDPSrri	= 3040,
+    X86_VBLENDVPDYrm	= 3041,
+    X86_VBLENDVPDYrr	= 3042,
+    X86_VBLENDVPDrm	= 3043,
+    X86_VBLENDVPDrr	= 3044,
+    X86_VBLENDVPSYrm	= 3045,
+    X86_VBLENDVPSYrr	= 3046,
+    X86_VBLENDVPSrm	= 3047,
+    X86_VBLENDVPSrr	= 3048,
+    X86_VBROADCASTF128	= 3049,
+    X86_VBROADCASTI128	= 3050,
+    X86_VBROADCASTI32X4krm	= 3051,
+    X86_VBROADCASTI32X4rm	= 3052,
+    X86_VBROADCASTI64X4krm	= 3053,
+    X86_VBROADCASTI64X4rm	= 3054,
+    X86_VBROADCASTSDYrm	= 3055,
+    X86_VBROADCASTSDYrr	= 3056,
+    X86_VBROADCASTSDZrm	= 3057,
+    X86_VBROADCASTSDZrr	= 3058,
+    X86_VBROADCASTSSYrm	= 3059,
+    X86_VBROADCASTSSYrr	= 3060,
+    X86_VBROADCASTSSZrm	= 3061,
+    X86_VBROADCASTSSZrr	= 3062,
+    X86_VBROADCASTSSrm	= 3063,
+    X86_VBROADCASTSSrr	= 3064,
+    X86_VCMPPDYrmi	= 3065,
+    X86_VCMPPDYrmi_alt	= 3066,
+    X86_VCMPPDYrri	= 3067,
+    X86_VCMPPDYrri_alt	= 3068,
+    X86_VCMPPDZrmi	= 3069,
+    X86_VCMPPDZrmi_alt	= 3070,
+    X86_VCMPPDZrri	= 3071,
+    X86_VCMPPDZrri_alt	= 3072,
+    X86_VCMPPDZrrib	= 3073,
+    X86_VCMPPDrmi	= 3074,
+    X86_VCMPPDrmi_alt	= 3075,
+    X86_VCMPPDrri	= 3076,
+    X86_VCMPPDrri_alt	= 3077,
+    X86_VCMPPSYrmi	= 3078,
+    X86_VCMPPSYrmi_alt	= 3079,
+    X86_VCMPPSYrri	= 3080,
+    X86_VCMPPSYrri_alt	= 3081,
+    X86_VCMPPSZrmi	= 3082,
+    X86_VCMPPSZrmi_alt	= 3083,
+    X86_VCMPPSZrri	= 3084,
+    X86_VCMPPSZrri_alt	= 3085,
+    X86_VCMPPSZrrib	= 3086,
+    X86_VCMPPSrmi	= 3087,
+    X86_VCMPPSrmi_alt	= 3088,
+    X86_VCMPPSrri	= 3089,
+    X86_VCMPPSrri_alt	= 3090,
+    X86_VCMPSDZrm	= 3091,
+    X86_VCMPSDZrmi_alt	= 3092,
+    X86_VCMPSDZrr	= 3093,
+    X86_VCMPSDZrri_alt	= 3094,
+    X86_VCMPSDrm	= 3095,
+    X86_VCMPSDrm_alt	= 3096,
+    X86_VCMPSDrr	= 3097,
+    X86_VCMPSDrr_alt	= 3098,
+    X86_VCMPSSZrm	= 3099,
+    X86_VCMPSSZrmi_alt	= 3100,
+    X86_VCMPSSZrr	= 3101,
+    X86_VCMPSSZrri_alt	= 3102,
+    X86_VCMPSSrm	= 3103,
+    X86_VCMPSSrm_alt	= 3104,
+    X86_VCMPSSrr	= 3105,
+    X86_VCMPSSrr_alt	= 3106,
+    X86_VCOMISDZrm	= 3107,
+    X86_VCOMISDZrr	= 3108,
+    X86_VCOMISDrm	= 3109,
+    X86_VCOMISDrr	= 3110,
+    X86_VCOMISSZrm	= 3111,
+    X86_VCOMISSZrr	= 3112,
+    X86_VCOMISSrm	= 3113,
+    X86_VCOMISSrr	= 3114,
+    X86_VCVTDQ2PDYrm	= 3115,
+    X86_VCVTDQ2PDYrr	= 3116,
+    X86_VCVTDQ2PDZrm	= 3117,
+    X86_VCVTDQ2PDZrr	= 3118,
+    X86_VCVTDQ2PDrm	= 3119,
+    X86_VCVTDQ2PDrr	= 3120,
+    X86_VCVTDQ2PSYrm	= 3121,
+    X86_VCVTDQ2PSYrr	= 3122,
+    X86_VCVTDQ2PSZrm	= 3123,
+    X86_VCVTDQ2PSZrr	= 3124,
+    X86_VCVTDQ2PSZrrb	= 3125,
+    X86_VCVTDQ2PSrm	= 3126,
+    X86_VCVTDQ2PSrr	= 3127,
+    X86_VCVTPD2DQXrm	= 3128,
+    X86_VCVTPD2DQYrm	= 3129,
+    X86_VCVTPD2DQYrr	= 3130,
+    X86_VCVTPD2DQZrm	= 3131,
+    X86_VCVTPD2DQZrr	= 3132,
+    X86_VCVTPD2DQZrrb	= 3133,
+    X86_VCVTPD2DQrr	= 3134,
+    X86_VCVTPD2PSXrm	= 3135,
+    X86_VCVTPD2PSYrm	= 3136,
+    X86_VCVTPD2PSYrr	= 3137,
+    X86_VCVTPD2PSZrm	= 3138,
+    X86_VCVTPD2PSZrr	= 3139,
+    X86_VCVTPD2PSZrrb	= 3140,
+    X86_VCVTPD2PSrr	= 3141,
+    X86_VCVTPD2UDQZrm	= 3142,
+    X86_VCVTPD2UDQZrr	= 3143,
+    X86_VCVTPD2UDQZrrb	= 3144,
+    X86_VCVTPH2PSYrm	= 3145,
+    X86_VCVTPH2PSYrr	= 3146,
+    X86_VCVTPH2PSZrm	= 3147,
+    X86_VCVTPH2PSZrr	= 3148,
+    X86_VCVTPH2PSrm	= 3149,
+    X86_VCVTPH2PSrr	= 3150,
+    X86_VCVTPS2DQYrm	= 3151,
+    X86_VCVTPS2DQYrr	= 3152,
+    X86_VCVTPS2DQZrm	= 3153,
+    X86_VCVTPS2DQZrr	= 3154,
+    X86_VCVTPS2DQZrrb	= 3155,
+    X86_VCVTPS2DQrm	= 3156,
+    X86_VCVTPS2DQrr	= 3157,
+    X86_VCVTPS2PDYrm	= 3158,
+    X86_VCVTPS2PDYrr	= 3159,
+    X86_VCVTPS2PDZrm	= 3160,
+    X86_VCVTPS2PDZrr	= 3161,
+    X86_VCVTPS2PDrm	= 3162,
+    X86_VCVTPS2PDrr	= 3163,
+    X86_VCVTPS2PHYmr	= 3164,
+    X86_VCVTPS2PHYrr	= 3165,
+    X86_VCVTPS2PHZmr	= 3166,
+    X86_VCVTPS2PHZrr	= 3167,
+    X86_VCVTPS2PHmr	= 3168,
+    X86_VCVTPS2PHrr	= 3169,
+    X86_VCVTPS2UDQZrm	= 3170,
+    X86_VCVTPS2UDQZrr	= 3171,
+    X86_VCVTPS2UDQZrrb	= 3172,
+    X86_VCVTSD2SI64Zrm	= 3173,
+    X86_VCVTSD2SI64Zrr	= 3174,
+    X86_VCVTSD2SI64rm	= 3175,
+    X86_VCVTSD2SI64rr	= 3176,
+    X86_VCVTSD2SIZrm	= 3177,
+    X86_VCVTSD2SIZrr	= 3178,
+    X86_VCVTSD2SIrm	= 3179,
+    X86_VCVTSD2SIrr	= 3180,
+    X86_VCVTSD2SSZrm	= 3181,
+    X86_VCVTSD2SSZrr	= 3182,
+    X86_VCVTSD2SSrm	= 3183,
+    X86_VCVTSD2SSrr	= 3184,
+    X86_VCVTSD2USI64Zrm	= 3185,
+    X86_VCVTSD2USI64Zrr	= 3186,
+    X86_VCVTSD2USIZrm	= 3187,
+    X86_VCVTSD2USIZrr	= 3188,
+    X86_VCVTSI2SD64rm	= 3189,
+    X86_VCVTSI2SD64rr	= 3190,
+    X86_VCVTSI2SDZrm	= 3191,
+    X86_VCVTSI2SDZrr	= 3192,
+    X86_VCVTSI2SDrm	= 3193,
+    X86_VCVTSI2SDrr	= 3194,
+    X86_VCVTSI2SS64rm	= 3195,
+    X86_VCVTSI2SS64rr	= 3196,
+    X86_VCVTSI2SSZrm	= 3197,
+    X86_VCVTSI2SSZrr	= 3198,
+    X86_VCVTSI2SSrm	= 3199,
+    X86_VCVTSI2SSrr	= 3200,
+    X86_VCVTSI642SDZrm	= 3201,
+    X86_VCVTSI642SDZrr	= 3202,
+    X86_VCVTSI642SSZrm	= 3203,
+    X86_VCVTSI642SSZrr	= 3204,
+    X86_VCVTSS2SDZrm	= 3205,
+    X86_VCVTSS2SDZrr	= 3206,
+    X86_VCVTSS2SDrm	= 3207,
+    X86_VCVTSS2SDrr	= 3208,
+    X86_VCVTSS2SI64Zrm	= 3209,
+    X86_VCVTSS2SI64Zrr	= 3210,
+    X86_VCVTSS2SI64rm	= 3211,
+    X86_VCVTSS2SI64rr	= 3212,
+    X86_VCVTSS2SIZrm	= 3213,
+    X86_VCVTSS2SIZrr	= 3214,
+    X86_VCVTSS2SIrm	= 3215,
+    X86_VCVTSS2SIrr	= 3216,
+    X86_VCVTSS2USI64Zrm	= 3217,
+    X86_VCVTSS2USI64Zrr	= 3218,
+    X86_VCVTSS2USIZrm	= 3219,
+    X86_VCVTSS2USIZrr	= 3220,
+    X86_VCVTTPD2DQXrm	= 3221,
+    X86_VCVTTPD2DQYrm	= 3222,
+    X86_VCVTTPD2DQYrr	= 3223,
+    X86_VCVTTPD2DQZrm	= 3224,
+    X86_VCVTTPD2DQZrr	= 3225,
+    X86_VCVTTPD2DQrr	= 3226,
+    X86_VCVTTPD2UDQZrm	= 3227,
+    X86_VCVTTPD2UDQZrr	= 3228,
+    X86_VCVTTPS2DQYrm	= 3229,
+    X86_VCVTTPS2DQYrr	= 3230,
+    X86_VCVTTPS2DQZrm	= 3231,
+    X86_VCVTTPS2DQZrr	= 3232,
+    X86_VCVTTPS2DQrm	= 3233,
+    X86_VCVTTPS2DQrr	= 3234,
+    X86_VCVTTPS2UDQZrm	= 3235,
+    X86_VCVTTPS2UDQZrr	= 3236,
+    X86_VCVTTSD2SI64Zrm	= 3237,
+    X86_VCVTTSD2SI64Zrr	= 3238,
+    X86_VCVTTSD2SI64rm	= 3239,
+    X86_VCVTTSD2SI64rr	= 3240,
+    X86_VCVTTSD2SIZrm	= 3241,
+    X86_VCVTTSD2SIZrr	= 3242,
+    X86_VCVTTSD2SIrm	= 3243,
+    X86_VCVTTSD2SIrr	= 3244,
+    X86_VCVTTSD2USI64Zrm	= 3245,
+    X86_VCVTTSD2USI64Zrr	= 3246,
+    X86_VCVTTSD2USIZrm	= 3247,
+    X86_VCVTTSD2USIZrr	= 3248,
+    X86_VCVTTSS2SI64Zrm	= 3249,
+    X86_VCVTTSS2SI64Zrr	= 3250,
+    X86_VCVTTSS2SI64rm	= 3251,
+    X86_VCVTTSS2SI64rr	= 3252,
+    X86_VCVTTSS2SIZrm	= 3253,
+    X86_VCVTTSS2SIZrr	= 3254,
+    X86_VCVTTSS2SIrm	= 3255,
+    X86_VCVTTSS2SIrr	= 3256,
+    X86_VCVTTSS2USI64Zrm	= 3257,
+    X86_VCVTTSS2USI64Zrr	= 3258,
+    X86_VCVTTSS2USIZrm	= 3259,
+    X86_VCVTTSS2USIZrr	= 3260,
+    X86_VCVTUDQ2PDZrm	= 3261,
+    X86_VCVTUDQ2PDZrr	= 3262,
+    X86_VCVTUDQ2PSZrm	= 3263,
+    X86_VCVTUDQ2PSZrr	= 3264,
+    X86_VCVTUDQ2PSZrrb	= 3265,
+    X86_VCVTUSI2SDZrm	= 3266,
+    X86_VCVTUSI2SDZrr	= 3267,
+    X86_VCVTUSI2SSZrm	= 3268,
+    X86_VCVTUSI2SSZrr	= 3269,
+    X86_VCVTUSI642SDZrm	= 3270,
+    X86_VCVTUSI642SDZrr	= 3271,
+    X86_VCVTUSI642SSZrm	= 3272,
+    X86_VCVTUSI642SSZrr	= 3273,
+    X86_VDIVPDYrm	= 3274,
+    X86_VDIVPDYrr	= 3275,
+    X86_VDIVPDZrm	= 3276,
+    X86_VDIVPDZrmb	= 3277,
+    X86_VDIVPDZrmbk	= 3278,
+    X86_VDIVPDZrmbkz	= 3279,
+    X86_VDIVPDZrmk	= 3280,
+    X86_VDIVPDZrmkz	= 3281,
+    X86_VDIVPDZrr	= 3282,
+    X86_VDIVPDZrrk	= 3283,
+    X86_VDIVPDZrrkz	= 3284,
+    X86_VDIVPDrm	= 3285,
+    X86_VDIVPDrr	= 3286,
+    X86_VDIVPSYrm	= 3287,
+    X86_VDIVPSYrr	= 3288,
+    X86_VDIVPSZrm	= 3289,
+    X86_VDIVPSZrmb	= 3290,
+    X86_VDIVPSZrmbk	= 3291,
+    X86_VDIVPSZrmbkz	= 3292,
+    X86_VDIVPSZrmk	= 3293,
+    X86_VDIVPSZrmkz	= 3294,
+    X86_VDIVPSZrr	= 3295,
+    X86_VDIVPSZrrk	= 3296,
+    X86_VDIVPSZrrkz	= 3297,
+    X86_VDIVPSrm	= 3298,
+    X86_VDIVPSrr	= 3299,
+    X86_VDIVSDZrm	= 3300,
+    X86_VDIVSDZrr	= 3301,
+    X86_VDIVSDrm	= 3302,
+    X86_VDIVSDrm_Int	= 3303,
+    X86_VDIVSDrr	= 3304,
+    X86_VDIVSDrr_Int	= 3305,
+    X86_VDIVSSZrm	= 3306,
+    X86_VDIVSSZrr	= 3307,
+    X86_VDIVSSrm	= 3308,
+    X86_VDIVSSrm_Int	= 3309,
+    X86_VDIVSSrr	= 3310,
+    X86_VDIVSSrr_Int	= 3311,
+    X86_VDPPDrmi	= 3312,
+    X86_VDPPDrri	= 3313,
+    X86_VDPPSYrmi	= 3314,
+    X86_VDPPSYrri	= 3315,
+    X86_VDPPSrmi	= 3316,
+    X86_VDPPSrri	= 3317,
+    X86_VERRm	= 3318,
+    X86_VERRr	= 3319,
+    X86_VERWm	= 3320,
+    X86_VERWr	= 3321,
+    X86_VEXTRACTF128mr	= 3322,
+    X86_VEXTRACTF128rr	= 3323,
+    X86_VEXTRACTF32x4mr	= 3324,
+    X86_VEXTRACTF32x4rr	= 3325,
+    X86_VEXTRACTF64x4mr	= 3326,
+    X86_VEXTRACTF64x4rr	= 3327,
+    X86_VEXTRACTI128mr	= 3328,
+    X86_VEXTRACTI128rr	= 3329,
+    X86_VEXTRACTI32x4mr	= 3330,
+    X86_VEXTRACTI32x4rr	= 3331,
+    X86_VEXTRACTI64x4mr	= 3332,
+    X86_VEXTRACTI64x4rr	= 3333,
+    X86_VEXTRACTPSmr	= 3334,
+    X86_VEXTRACTPSrr	= 3335,
+    X86_VEXTRACTPSzmr	= 3336,
+    X86_VEXTRACTPSzrr	= 3337,
+    X86_VFMADD132PDZm	= 3338,
+    X86_VFMADD132PDZmb	= 3339,
+    X86_VFMADD132PSZm	= 3340,
+    X86_VFMADD132PSZmb	= 3341,
+    X86_VFMADD213PDZm	= 3342,
+    X86_VFMADD213PDZmb	= 3343,
+    X86_VFMADD213PDZr	= 3344,
+    X86_VFMADD213PDZrk	= 3345,
+    X86_VFMADD213PDZrkz	= 3346,
+    X86_VFMADD213PSZm	= 3347,
+    X86_VFMADD213PSZmb	= 3348,
+    X86_VFMADD213PSZr	= 3349,
+    X86_VFMADD213PSZrk	= 3350,
+    X86_VFMADD213PSZrkz	= 3351,
+    X86_VFMADDPD4mr	= 3352,
+    X86_VFMADDPD4mrY	= 3353,
+    X86_VFMADDPD4rm	= 3354,
+    X86_VFMADDPD4rmY	= 3355,
+    X86_VFMADDPD4rr	= 3356,
+    X86_VFMADDPD4rrY	= 3357,
+    X86_VFMADDPD4rrY_REV	= 3358,
+    X86_VFMADDPD4rr_REV	= 3359,
+    X86_VFMADDPDr132m	= 3360,
+    X86_VFMADDPDr132mY	= 3361,
+    X86_VFMADDPDr132r	= 3362,
+    X86_VFMADDPDr132rY	= 3363,
+    X86_VFMADDPDr213m	= 3364,
+    X86_VFMADDPDr213mY	= 3365,
+    X86_VFMADDPDr213r	= 3366,
+    X86_VFMADDPDr213rY	= 3367,
+    X86_VFMADDPDr231m	= 3368,
+    X86_VFMADDPDr231mY	= 3369,
+    X86_VFMADDPDr231r	= 3370,
+    X86_VFMADDPDr231rY	= 3371,
+    X86_VFMADDPS4mr	= 3372,
+    X86_VFMADDPS4mrY	= 3373,
+    X86_VFMADDPS4rm	= 3374,
+    X86_VFMADDPS4rmY	= 3375,
+    X86_VFMADDPS4rr	= 3376,
+    X86_VFMADDPS4rrY	= 3377,
+    X86_VFMADDPS4rrY_REV	= 3378,
+    X86_VFMADDPS4rr_REV	= 3379,
+    X86_VFMADDPSr132m	= 3380,
+    X86_VFMADDPSr132mY	= 3381,
+    X86_VFMADDPSr132r	= 3382,
+    X86_VFMADDPSr132rY	= 3383,
+    X86_VFMADDPSr213m	= 3384,
+    X86_VFMADDPSr213mY	= 3385,
+    X86_VFMADDPSr213r	= 3386,
+    X86_VFMADDPSr213rY	= 3387,
+    X86_VFMADDPSr231m	= 3388,
+    X86_VFMADDPSr231mY	= 3389,
+    X86_VFMADDPSr231r	= 3390,
+    X86_VFMADDPSr231rY	= 3391,
+    X86_VFMADDSD4mr	= 3392,
+    X86_VFMADDSD4mr_Int	= 3393,
+    X86_VFMADDSD4rm	= 3394,
+    X86_VFMADDSD4rm_Int	= 3395,
+    X86_VFMADDSD4rr	= 3396,
+    X86_VFMADDSD4rr_Int	= 3397,
+    X86_VFMADDSD4rr_REV	= 3398,
+    X86_VFMADDSDZm	= 3399,
+    X86_VFMADDSDZr	= 3400,
+    X86_VFMADDSDr132m	= 3401,
+    X86_VFMADDSDr132r	= 3402,
+    X86_VFMADDSDr213m	= 3403,
+    X86_VFMADDSDr213r	= 3404,
+    X86_VFMADDSDr231m	= 3405,
+    X86_VFMADDSDr231r	= 3406,
+    X86_VFMADDSS4mr	= 3407,
+    X86_VFMADDSS4mr_Int	= 3408,
+    X86_VFMADDSS4rm	= 3409,
+    X86_VFMADDSS4rm_Int	= 3410,
+    X86_VFMADDSS4rr	= 3411,
+    X86_VFMADDSS4rr_Int	= 3412,
+    X86_VFMADDSS4rr_REV	= 3413,
+    X86_VFMADDSSZm	= 3414,
+    X86_VFMADDSSZr	= 3415,
+    X86_VFMADDSSr132m	= 3416,
+    X86_VFMADDSSr132r	= 3417,
+    X86_VFMADDSSr213m	= 3418,
+    X86_VFMADDSSr213r	= 3419,
+    X86_VFMADDSSr231m	= 3420,
+    X86_VFMADDSSr231r	= 3421,
+    X86_VFMADDSUB132PDZm	= 3422,
+    X86_VFMADDSUB132PDZmb	= 3423,
+    X86_VFMADDSUB132PSZm	= 3424,
+    X86_VFMADDSUB132PSZmb	= 3425,
+    X86_VFMADDSUB213PDZm	= 3426,
+    X86_VFMADDSUB213PDZmb	= 3427,
+    X86_VFMADDSUB213PDZr	= 3428,
+    X86_VFMADDSUB213PDZrk	= 3429,
+    X86_VFMADDSUB213PDZrkz	= 3430,
     X86_VFMADDSUB213PSZm	= 3431,
     X86_VFMADDSUB213PSZmb	= 3432,
     X86_VFMADDSUB213PSZr	= 3433,
-    X86_VFMADDSUBPD4mr	= 3434,
-    X86_VFMADDSUBPD4mrY	= 3435,
-    X86_VFMADDSUBPD4rm	= 3436,
-    X86_VFMADDSUBPD4rmY	= 3437,
-    X86_VFMADDSUBPD4rr	= 3438,
-    X86_VFMADDSUBPD4rrY	= 3439,
-    X86_VFMADDSUBPD4rrY_REV	= 3440,
-    X86_VFMADDSUBPD4rr_REV	= 3441,
-    X86_VFMADDSUBPDr132m	= 3442,
-    X86_VFMADDSUBPDr132mY	= 3443,
-    X86_VFMADDSUBPDr132r	= 3444,
-    X86_VFMADDSUBPDr132rY	= 3445,
-    X86_VFMADDSUBPDr213m	= 3446,
-    X86_VFMADDSUBPDr213mY	= 3447,
-    X86_VFMADDSUBPDr213r	= 3448,
-    X86_VFMADDSUBPDr213rY	= 3449,
-    X86_VFMADDSUBPDr231m	= 3450,
-    X86_VFMADDSUBPDr231mY	= 3451,
-    X86_VFMADDSUBPDr231r	= 3452,
-    X86_VFMADDSUBPDr231rY	= 3453,
-    X86_VFMADDSUBPS4mr	= 3454,
-    X86_VFMADDSUBPS4mrY	= 3455,
-    X86_VFMADDSUBPS4rm	= 3456,
-    X86_VFMADDSUBPS4rmY	= 3457,
-    X86_VFMADDSUBPS4rr	= 3458,
-    X86_VFMADDSUBPS4rrY	= 3459,
-    X86_VFMADDSUBPS4rrY_REV	= 3460,
-    X86_VFMADDSUBPS4rr_REV	= 3461,
-    X86_VFMADDSUBPSr132m	= 3462,
-    X86_VFMADDSUBPSr132mY	= 3463,
-    X86_VFMADDSUBPSr132r	= 3464,
-    X86_VFMADDSUBPSr132rY	= 3465,
-    X86_VFMADDSUBPSr213m	= 3466,
-    X86_VFMADDSUBPSr213mY	= 3467,
-    X86_VFMADDSUBPSr213r	= 3468,
-    X86_VFMADDSUBPSr213rY	= 3469,
-    X86_VFMADDSUBPSr231m	= 3470,
-    X86_VFMADDSUBPSr231mY	= 3471,
-    X86_VFMADDSUBPSr231r	= 3472,
-    X86_VFMADDSUBPSr231rY	= 3473,
-    X86_VFMSUB132PDZm	= 3474,
-    X86_VFMSUB132PDZmb	= 3475,
-    X86_VFMSUB132PSZm	= 3476,
-    X86_VFMSUB132PSZmb	= 3477,
-    X86_VFMSUB213PDZm	= 3478,
-    X86_VFMSUB213PDZmb	= 3479,
-    X86_VFMSUB213PDZr	= 3480,
-    X86_VFMSUB213PSZm	= 3481,
-    X86_VFMSUB213PSZmb	= 3482,
-    X86_VFMSUB213PSZr	= 3483,
-    X86_VFMSUBADD132PDZm	= 3484,
-    X86_VFMSUBADD132PDZmb	= 3485,
-    X86_VFMSUBADD132PSZm	= 3486,
-    X86_VFMSUBADD132PSZmb	= 3487,
-    X86_VFMSUBADD213PDZm	= 3488,
-    X86_VFMSUBADD213PDZmb	= 3489,
-    X86_VFMSUBADD213PDZr	= 3490,
-    X86_VFMSUBADD213PSZm	= 3491,
-    X86_VFMSUBADD213PSZmb	= 3492,
-    X86_VFMSUBADD213PSZr	= 3493,
-    X86_VFMSUBADDPD4mr	= 3494,
-    X86_VFMSUBADDPD4mrY	= 3495,
-    X86_VFMSUBADDPD4rm	= 3496,
-    X86_VFMSUBADDPD4rmY	= 3497,
-    X86_VFMSUBADDPD4rr	= 3498,
-    X86_VFMSUBADDPD4rrY	= 3499,
-    X86_VFMSUBADDPD4rrY_REV	= 3500,
-    X86_VFMSUBADDPD4rr_REV	= 3501,
-    X86_VFMSUBADDPDr132m	= 3502,
-    X86_VFMSUBADDPDr132mY	= 3503,
-    X86_VFMSUBADDPDr132r	= 3504,
-    X86_VFMSUBADDPDr132rY	= 3505,
-    X86_VFMSUBADDPDr213m	= 3506,
-    X86_VFMSUBADDPDr213mY	= 3507,
-    X86_VFMSUBADDPDr213r	= 3508,
-    X86_VFMSUBADDPDr213rY	= 3509,
-    X86_VFMSUBADDPDr231m	= 3510,
-    X86_VFMSUBADDPDr231mY	= 3511,
-    X86_VFMSUBADDPDr231r	= 3512,
-    X86_VFMSUBADDPDr231rY	= 3513,
-    X86_VFMSUBADDPS4mr	= 3514,
-    X86_VFMSUBADDPS4mrY	= 3515,
-    X86_VFMSUBADDPS4rm	= 3516,
-    X86_VFMSUBADDPS4rmY	= 3517,
-    X86_VFMSUBADDPS4rr	= 3518,
-    X86_VFMSUBADDPS4rrY	= 3519,
-    X86_VFMSUBADDPS4rrY_REV	= 3520,
-    X86_VFMSUBADDPS4rr_REV	= 3521,
-    X86_VFMSUBADDPSr132m	= 3522,
-    X86_VFMSUBADDPSr132mY	= 3523,
-    X86_VFMSUBADDPSr132r	= 3524,
-    X86_VFMSUBADDPSr132rY	= 3525,
-    X86_VFMSUBADDPSr213m	= 3526,
-    X86_VFMSUBADDPSr213mY	= 3527,
-    X86_VFMSUBADDPSr213r	= 3528,
-    X86_VFMSUBADDPSr213rY	= 3529,
-    X86_VFMSUBADDPSr231m	= 3530,
-    X86_VFMSUBADDPSr231mY	= 3531,
-    X86_VFMSUBADDPSr231r	= 3532,
-    X86_VFMSUBADDPSr231rY	= 3533,
-    X86_VFMSUBPD4mr	= 3534,
-    X86_VFMSUBPD4mrY	= 3535,
-    X86_VFMSUBPD4rm	= 3536,
-    X86_VFMSUBPD4rmY	= 3537,
-    X86_VFMSUBPD4rr	= 3538,
-    X86_VFMSUBPD4rrY	= 3539,
-    X86_VFMSUBPD4rrY_REV	= 3540,
-    X86_VFMSUBPD4rr_REV	= 3541,
-    X86_VFMSUBPDr132m	= 3542,
-    X86_VFMSUBPDr132mY	= 3543,
-    X86_VFMSUBPDr132r	= 3544,
-    X86_VFMSUBPDr132rY	= 3545,
-    X86_VFMSUBPDr213m	= 3546,
-    X86_VFMSUBPDr213mY	= 3547,
-    X86_VFMSUBPDr213r	= 3548,
-    X86_VFMSUBPDr213rY	= 3549,
-    X86_VFMSUBPDr231m	= 3550,
-    X86_VFMSUBPDr231mY	= 3551,
-    X86_VFMSUBPDr231r	= 3552,
-    X86_VFMSUBPDr231rY	= 3553,
-    X86_VFMSUBPS4mr	= 3554,
-    X86_VFMSUBPS4mrY	= 3555,
-    X86_VFMSUBPS4rm	= 3556,
-    X86_VFMSUBPS4rmY	= 3557,
-    X86_VFMSUBPS4rr	= 3558,
-    X86_VFMSUBPS4rrY	= 3559,
-    X86_VFMSUBPS4rrY_REV	= 3560,
-    X86_VFMSUBPS4rr_REV	= 3561,
-    X86_VFMSUBPSr132m	= 3562,
-    X86_VFMSUBPSr132mY	= 3563,
-    X86_VFMSUBPSr132r	= 3564,
-    X86_VFMSUBPSr132rY	= 3565,
-    X86_VFMSUBPSr213m	= 3566,
-    X86_VFMSUBPSr213mY	= 3567,
-    X86_VFMSUBPSr213r	= 3568,
-    X86_VFMSUBPSr213rY	= 3569,
-    X86_VFMSUBPSr231m	= 3570,
-    X86_VFMSUBPSr231mY	= 3571,
-    X86_VFMSUBPSr231r	= 3572,
-    X86_VFMSUBPSr231rY	= 3573,
-    X86_VFMSUBSD4mr	= 3574,
-    X86_VFMSUBSD4mr_Int	= 3575,
-    X86_VFMSUBSD4rm	= 3576,
-    X86_VFMSUBSD4rm_Int	= 3577,
-    X86_VFMSUBSD4rr	= 3578,
-    X86_VFMSUBSD4rr_Int	= 3579,
-    X86_VFMSUBSD4rr_REV	= 3580,
-    X86_VFMSUBSDZm	= 3581,
-    X86_VFMSUBSDZr	= 3582,
-    X86_VFMSUBSDr132m	= 3583,
-    X86_VFMSUBSDr132r	= 3584,
-    X86_VFMSUBSDr213m	= 3585,
-    X86_VFMSUBSDr213r	= 3586,
-    X86_VFMSUBSDr231m	= 3587,
-    X86_VFMSUBSDr231r	= 3588,
-    X86_VFMSUBSS4mr	= 3589,
-    X86_VFMSUBSS4mr_Int	= 3590,
-    X86_VFMSUBSS4rm	= 3591,
-    X86_VFMSUBSS4rm_Int	= 3592,
-    X86_VFMSUBSS4rr	= 3593,
-    X86_VFMSUBSS4rr_Int	= 3594,
-    X86_VFMSUBSS4rr_REV	= 3595,
-    X86_VFMSUBSSZm	= 3596,
-    X86_VFMSUBSSZr	= 3597,
-    X86_VFMSUBSSr132m	= 3598,
-    X86_VFMSUBSSr132r	= 3599,
-    X86_VFMSUBSSr213m	= 3600,
-    X86_VFMSUBSSr213r	= 3601,
-    X86_VFMSUBSSr231m	= 3602,
-    X86_VFMSUBSSr231r	= 3603,
-    X86_VFNMADD132PDZm	= 3604,
-    X86_VFNMADD132PDZmb	= 3605,
-    X86_VFNMADD132PSZm	= 3606,
-    X86_VFNMADD132PSZmb	= 3607,
-    X86_VFNMADD213PDZm	= 3608,
-    X86_VFNMADD213PDZmb	= 3609,
-    X86_VFNMADD213PDZr	= 3610,
-    X86_VFNMADD213PSZm	= 3611,
-    X86_VFNMADD213PSZmb	= 3612,
-    X86_VFNMADD213PSZr	= 3613,
-    X86_VFNMADDPD4mr	= 3614,
-    X86_VFNMADDPD4mrY	= 3615,
-    X86_VFNMADDPD4rm	= 3616,
-    X86_VFNMADDPD4rmY	= 3617,
-    X86_VFNMADDPD4rr	= 3618,
-    X86_VFNMADDPD4rrY	= 3619,
-    X86_VFNMADDPD4rrY_REV	= 3620,
-    X86_VFNMADDPD4rr_REV	= 3621,
-    X86_VFNMADDPDr132m	= 3622,
-    X86_VFNMADDPDr132mY	= 3623,
-    X86_VFNMADDPDr132r	= 3624,
-    X86_VFNMADDPDr132rY	= 3625,
-    X86_VFNMADDPDr213m	= 3626,
-    X86_VFNMADDPDr213mY	= 3627,
-    X86_VFNMADDPDr213r	= 3628,
-    X86_VFNMADDPDr213rY	= 3629,
-    X86_VFNMADDPDr231m	= 3630,
-    X86_VFNMADDPDr231mY	= 3631,
-    X86_VFNMADDPDr231r	= 3632,
-    X86_VFNMADDPDr231rY	= 3633,
-    X86_VFNMADDPS4mr	= 3634,
-    X86_VFNMADDPS4mrY	= 3635,
-    X86_VFNMADDPS4rm	= 3636,
-    X86_VFNMADDPS4rmY	= 3637,
-    X86_VFNMADDPS4rr	= 3638,
-    X86_VFNMADDPS4rrY	= 3639,
-    X86_VFNMADDPS4rrY_REV	= 3640,
-    X86_VFNMADDPS4rr_REV	= 3641,
-    X86_VFNMADDPSr132m	= 3642,
-    X86_VFNMADDPSr132mY	= 3643,
-    X86_VFNMADDPSr132r	= 3644,
-    X86_VFNMADDPSr132rY	= 3645,
-    X86_VFNMADDPSr213m	= 3646,
-    X86_VFNMADDPSr213mY	= 3647,
-    X86_VFNMADDPSr213r	= 3648,
-    X86_VFNMADDPSr213rY	= 3649,
-    X86_VFNMADDPSr231m	= 3650,
-    X86_VFNMADDPSr231mY	= 3651,
-    X86_VFNMADDPSr231r	= 3652,
-    X86_VFNMADDPSr231rY	= 3653,
-    X86_VFNMADDSD4mr	= 3654,
-    X86_VFNMADDSD4mr_Int	= 3655,
-    X86_VFNMADDSD4rm	= 3656,
-    X86_VFNMADDSD4rm_Int	= 3657,
-    X86_VFNMADDSD4rr	= 3658,
-    X86_VFNMADDSD4rr_Int	= 3659,
-    X86_VFNMADDSD4rr_REV	= 3660,
-    X86_VFNMADDSDZm	= 3661,
-    X86_VFNMADDSDZr	= 3662,
-    X86_VFNMADDSDr132m	= 3663,
-    X86_VFNMADDSDr132r	= 3664,
-    X86_VFNMADDSDr213m	= 3665,
-    X86_VFNMADDSDr213r	= 3666,
-    X86_VFNMADDSDr231m	= 3667,
-    X86_VFNMADDSDr231r	= 3668,
-    X86_VFNMADDSS4mr	= 3669,
-    X86_VFNMADDSS4mr_Int	= 3670,
-    X86_VFNMADDSS4rm	= 3671,
-    X86_VFNMADDSS4rm_Int	= 3672,
-    X86_VFNMADDSS4rr	= 3673,
-    X86_VFNMADDSS4rr_Int	= 3674,
-    X86_VFNMADDSS4rr_REV	= 3675,
-    X86_VFNMADDSSZm	= 3676,
-    X86_VFNMADDSSZr	= 3677,
-    X86_VFNMADDSSr132m	= 3678,
-    X86_VFNMADDSSr132r	= 3679,
-    X86_VFNMADDSSr213m	= 3680,
-    X86_VFNMADDSSr213r	= 3681,
-    X86_VFNMADDSSr231m	= 3682,
-    X86_VFNMADDSSr231r	= 3683,
-    X86_VFNMSUB132PDZm	= 3684,
-    X86_VFNMSUB132PDZmb	= 3685,
-    X86_VFNMSUB132PSZm	= 3686,
-    X86_VFNMSUB132PSZmb	= 3687,
-    X86_VFNMSUB213PDZm	= 3688,
-    X86_VFNMSUB213PDZmb	= 3689,
-    X86_VFNMSUB213PDZr	= 3690,
-    X86_VFNMSUB213PSZm	= 3691,
-    X86_VFNMSUB213PSZmb	= 3692,
-    X86_VFNMSUB213PSZr	= 3693,
-    X86_VFNMSUBPD4mr	= 3694,
-    X86_VFNMSUBPD4mrY	= 3695,
-    X86_VFNMSUBPD4rm	= 3696,
-    X86_VFNMSUBPD4rmY	= 3697,
-    X86_VFNMSUBPD4rr	= 3698,
-    X86_VFNMSUBPD4rrY	= 3699,
-    X86_VFNMSUBPD4rrY_REV	= 3700,
-    X86_VFNMSUBPD4rr_REV	= 3701,
-    X86_VFNMSUBPDr132m	= 3702,
-    X86_VFNMSUBPDr132mY	= 3703,
-    X86_VFNMSUBPDr132r	= 3704,
-    X86_VFNMSUBPDr132rY	= 3705,
-    X86_VFNMSUBPDr213m	= 3706,
-    X86_VFNMSUBPDr213mY	= 3707,
-    X86_VFNMSUBPDr213r	= 3708,
-    X86_VFNMSUBPDr213rY	= 3709,
-    X86_VFNMSUBPDr231m	= 3710,
-    X86_VFNMSUBPDr231mY	= 3711,
-    X86_VFNMSUBPDr231r	= 3712,
-    X86_VFNMSUBPDr231rY	= 3713,
-    X86_VFNMSUBPS4mr	= 3714,
-    X86_VFNMSUBPS4mrY	= 3715,
-    X86_VFNMSUBPS4rm	= 3716,
-    X86_VFNMSUBPS4rmY	= 3717,
-    X86_VFNMSUBPS4rr	= 3718,
-    X86_VFNMSUBPS4rrY	= 3719,
-    X86_VFNMSUBPS4rrY_REV	= 3720,
-    X86_VFNMSUBPS4rr_REV	= 3721,
-    X86_VFNMSUBPSr132m	= 3722,
-    X86_VFNMSUBPSr132mY	= 3723,
-    X86_VFNMSUBPSr132r	= 3724,
-    X86_VFNMSUBPSr132rY	= 3725,
-    X86_VFNMSUBPSr213m	= 3726,
-    X86_VFNMSUBPSr213mY	= 3727,
-    X86_VFNMSUBPSr213r	= 3728,
-    X86_VFNMSUBPSr213rY	= 3729,
-    X86_VFNMSUBPSr231m	= 3730,
-    X86_VFNMSUBPSr231mY	= 3731,
-    X86_VFNMSUBPSr231r	= 3732,
-    X86_VFNMSUBPSr231rY	= 3733,
-    X86_VFNMSUBSD4mr	= 3734,
-    X86_VFNMSUBSD4mr_Int	= 3735,
-    X86_VFNMSUBSD4rm	= 3736,
-    X86_VFNMSUBSD4rm_Int	= 3737,
-    X86_VFNMSUBSD4rr	= 3738,
-    X86_VFNMSUBSD4rr_Int	= 3739,
-    X86_VFNMSUBSD4rr_REV	= 3740,
-    X86_VFNMSUBSDZm	= 3741,
-    X86_VFNMSUBSDZr	= 3742,
-    X86_VFNMSUBSDr132m	= 3743,
-    X86_VFNMSUBSDr132r	= 3744,
-    X86_VFNMSUBSDr213m	= 3745,
-    X86_VFNMSUBSDr213r	= 3746,
-    X86_VFNMSUBSDr231m	= 3747,
-    X86_VFNMSUBSDr231r	= 3748,
-    X86_VFNMSUBSS4mr	= 3749,
-    X86_VFNMSUBSS4mr_Int	= 3750,
-    X86_VFNMSUBSS4rm	= 3751,
-    X86_VFNMSUBSS4rm_Int	= 3752,
-    X86_VFNMSUBSS4rr	= 3753,
-    X86_VFNMSUBSS4rr_Int	= 3754,
-    X86_VFNMSUBSS4rr_REV	= 3755,
-    X86_VFNMSUBSSZm	= 3756,
-    X86_VFNMSUBSSZr	= 3757,
-    X86_VFNMSUBSSr132m	= 3758,
-    X86_VFNMSUBSSr132r	= 3759,
-    X86_VFNMSUBSSr213m	= 3760,
-    X86_VFNMSUBSSr213r	= 3761,
-    X86_VFNMSUBSSr231m	= 3762,
-    X86_VFNMSUBSSr231r	= 3763,
-    X86_VFRCZPDrm	= 3764,
-    X86_VFRCZPDrmY	= 3765,
-    X86_VFRCZPDrr	= 3766,
-    X86_VFRCZPDrrY	= 3767,
-    X86_VFRCZPSrm	= 3768,
-    X86_VFRCZPSrmY	= 3769,
-    X86_VFRCZPSrr	= 3770,
-    X86_VFRCZPSrrY	= 3771,
-    X86_VFRCZSDrm	= 3772,
-    X86_VFRCZSDrr	= 3773,
-    X86_VFRCZSSrm	= 3774,
-    X86_VFRCZSSrr	= 3775,
-    X86_VFsANDNPDrm	= 3776,
-    X86_VFsANDNPDrr	= 3777,
-    X86_VFsANDNPSrm	= 3778,
-    X86_VFsANDNPSrr	= 3779,
-    X86_VFsANDPDrm	= 3780,
-    X86_VFsANDPDrr	= 3781,
-    X86_VFsANDPSrm	= 3782,
-    X86_VFsANDPSrr	= 3783,
-    X86_VFsORPDrm	= 3784,
-    X86_VFsORPDrr	= 3785,
-    X86_VFsORPSrm	= 3786,
-    X86_VFsORPSrr	= 3787,
-    X86_VFsXORPDrm	= 3788,
-    X86_VFsXORPDrr	= 3789,
-    X86_VFsXORPSrm	= 3790,
-    X86_VFsXORPSrr	= 3791,
-    X86_VGATHERDPDYrm	= 3792,
-    X86_VGATHERDPDZrm	= 3793,
-    X86_VGATHERDPDrm	= 3794,
-    X86_VGATHERDPSYrm	= 3795,
-    X86_VGATHERDPSZrm	= 3796,
-    X86_VGATHERDPSrm	= 3797,
-    X86_VGATHERPF0DPDm	= 3798,
-    X86_VGATHERPF0DPSm	= 3799,
-    X86_VGATHERPF0QPDm	= 3800,
-    X86_VGATHERPF0QPSm	= 3801,
-    X86_VGATHERPF1DPDm	= 3802,
-    X86_VGATHERPF1DPSm	= 3803,
-    X86_VGATHERPF1QPDm	= 3804,
-    X86_VGATHERPF1QPSm	= 3805,
-    X86_VGATHERQPDYrm	= 3806,
-    X86_VGATHERQPDZrm	= 3807,
-    X86_VGATHERQPDrm	= 3808,
-    X86_VGATHERQPSYrm	= 3809,
-    X86_VGATHERQPSZrm	= 3810,
-    X86_VGATHERQPSrm	= 3811,
-    X86_VHADDPDYrm	= 3812,
-    X86_VHADDPDYrr	= 3813,
-    X86_VHADDPDrm	= 3814,
-    X86_VHADDPDrr	= 3815,
-    X86_VHADDPSYrm	= 3816,
-    X86_VHADDPSYrr	= 3817,
-    X86_VHADDPSrm	= 3818,
-    X86_VHADDPSrr	= 3819,
-    X86_VHSUBPDYrm	= 3820,
-    X86_VHSUBPDYrr	= 3821,
-    X86_VHSUBPDrm	= 3822,
-    X86_VHSUBPDrr	= 3823,
-    X86_VHSUBPSYrm	= 3824,
-    X86_VHSUBPSYrr	= 3825,
-    X86_VHSUBPSrm	= 3826,
-    X86_VHSUBPSrr	= 3827,
-    X86_VINSERTF128rm	= 3828,
-    X86_VINSERTF128rr	= 3829,
-    X86_VINSERTF32x4rm	= 3830,
-    X86_VINSERTF32x4rr	= 3831,
-    X86_VINSERTF64x4rm	= 3832,
-    X86_VINSERTF64x4rr	= 3833,
-    X86_VINSERTI128rm	= 3834,
-    X86_VINSERTI128rr	= 3835,
-    X86_VINSERTI32x4rm	= 3836,
-    X86_VINSERTI32x4rr	= 3837,
-    X86_VINSERTI64x4rm	= 3838,
-    X86_VINSERTI64x4rr	= 3839,
-    X86_VINSERTPSrm	= 3840,
-    X86_VINSERTPSrr	= 3841,
-    X86_VINSERTPSzrm	= 3842,
-    X86_VINSERTPSzrr	= 3843,
-    X86_VLDDQUYrm	= 3844,
-    X86_VLDDQUrm	= 3845,
-    X86_VLDMXCSR	= 3846,
-    X86_VMASKMOVDQU	= 3847,
-    X86_VMASKMOVDQU64	= 3848,
-    X86_VMASKMOVPDYmr	= 3849,
-    X86_VMASKMOVPDYrm	= 3850,
-    X86_VMASKMOVPDmr	= 3851,
-    X86_VMASKMOVPDrm	= 3852,
-    X86_VMASKMOVPSYmr	= 3853,
-    X86_VMASKMOVPSYrm	= 3854,
-    X86_VMASKMOVPSmr	= 3855,
-    X86_VMASKMOVPSrm	= 3856,
-    X86_VMAXCPDYrm	= 3857,
-    X86_VMAXCPDYrr	= 3858,
-    X86_VMAXCPDrm	= 3859,
-    X86_VMAXCPDrr	= 3860,
-    X86_VMAXCPSYrm	= 3861,
-    X86_VMAXCPSYrr	= 3862,
-    X86_VMAXCPSrm	= 3863,
-    X86_VMAXCPSrr	= 3864,
-    X86_VMAXCSDrm	= 3865,
-    X86_VMAXCSDrr	= 3866,
-    X86_VMAXCSSrm	= 3867,
-    X86_VMAXCSSrr	= 3868,
-    X86_VMAXPDYrm	= 3869,
-    X86_VMAXPDYrr	= 3870,
-    X86_VMAXPDZrm	= 3871,
-    X86_VMAXPDZrmb	= 3872,
-    X86_VMAXPDZrmbk	= 3873,
-    X86_VMAXPDZrmbkz	= 3874,
-    X86_VMAXPDZrmk	= 3875,
-    X86_VMAXPDZrmkz	= 3876,
-    X86_VMAXPDZrr	= 3877,
-    X86_VMAXPDZrrk	= 3878,
-    X86_VMAXPDZrrkz	= 3879,
-    X86_VMAXPDrm	= 3880,
-    X86_VMAXPDrr	= 3881,
-    X86_VMAXPSYrm	= 3882,
-    X86_VMAXPSYrr	= 3883,
-    X86_VMAXPSZrm	= 3884,
-    X86_VMAXPSZrmb	= 3885,
-    X86_VMAXPSZrmbk	= 3886,
-    X86_VMAXPSZrmbkz	= 3887,
-    X86_VMAXPSZrmk	= 3888,
-    X86_VMAXPSZrmkz	= 3889,
-    X86_VMAXPSZrr	= 3890,
-    X86_VMAXPSZrrk	= 3891,
-    X86_VMAXPSZrrkz	= 3892,
-    X86_VMAXPSrm	= 3893,
-    X86_VMAXPSrr	= 3894,
-    X86_VMAXSDZrm	= 3895,
-    X86_VMAXSDZrr	= 3896,
-    X86_VMAXSDrm	= 3897,
-    X86_VMAXSDrm_Int	= 3898,
-    X86_VMAXSDrr	= 3899,
-    X86_VMAXSDrr_Int	= 3900,
-    X86_VMAXSSZrm	= 3901,
-    X86_VMAXSSZrr	= 3902,
-    X86_VMAXSSrm	= 3903,
-    X86_VMAXSSrm_Int	= 3904,
-    X86_VMAXSSrr	= 3905,
-    X86_VMAXSSrr_Int	= 3906,
-    X86_VMCALL	= 3907,
-    X86_VMCLEARm	= 3908,
-    X86_VMFUNC	= 3909,
-    X86_VMINCPDYrm	= 3910,
-    X86_VMINCPDYrr	= 3911,
-    X86_VMINCPDrm	= 3912,
-    X86_VMINCPDrr	= 3913,
-    X86_VMINCPSYrm	= 3914,
-    X86_VMINCPSYrr	= 3915,
-    X86_VMINCPSrm	= 3916,
-    X86_VMINCPSrr	= 3917,
-    X86_VMINCSDrm	= 3918,
-    X86_VMINCSDrr	= 3919,
-    X86_VMINCSSrm	= 3920,
-    X86_VMINCSSrr	= 3921,
-    X86_VMINPDYrm	= 3922,
-    X86_VMINPDYrr	= 3923,
-    X86_VMINPDZrm	= 3924,
-    X86_VMINPDZrmb	= 3925,
-    X86_VMINPDZrmbk	= 3926,
-    X86_VMINPDZrmbkz	= 3927,
-    X86_VMINPDZrmk	= 3928,
-    X86_VMINPDZrmkz	= 3929,
-    X86_VMINPDZrr	= 3930,
-    X86_VMINPDZrrk	= 3931,
-    X86_VMINPDZrrkz	= 3932,
-    X86_VMINPDrm	= 3933,
-    X86_VMINPDrr	= 3934,
-    X86_VMINPSYrm	= 3935,
-    X86_VMINPSYrr	= 3936,
-    X86_VMINPSZrm	= 3937,
-    X86_VMINPSZrmb	= 3938,
-    X86_VMINPSZrmbk	= 3939,
-    X86_VMINPSZrmbkz	= 3940,
-    X86_VMINPSZrmk	= 3941,
-    X86_VMINPSZrmkz	= 3942,
-    X86_VMINPSZrr	= 3943,
-    X86_VMINPSZrrk	= 3944,
-    X86_VMINPSZrrkz	= 3945,
-    X86_VMINPSrm	= 3946,
-    X86_VMINPSrr	= 3947,
-    X86_VMINSDZrm	= 3948,
-    X86_VMINSDZrr	= 3949,
-    X86_VMINSDrm	= 3950,
-    X86_VMINSDrm_Int	= 3951,
-    X86_VMINSDrr	= 3952,
-    X86_VMINSDrr_Int	= 3953,
-    X86_VMINSSZrm	= 3954,
-    X86_VMINSSZrr	= 3955,
-    X86_VMINSSrm	= 3956,
-    X86_VMINSSrm_Int	= 3957,
-    X86_VMINSSrr	= 3958,
-    X86_VMINSSrr_Int	= 3959,
-    X86_VMLAUNCH	= 3960,
-    X86_VMLOAD32	= 3961,
-    X86_VMLOAD64	= 3962,
-    X86_VMMCALL	= 3963,
-    X86_VMOV64toPQIZrr	= 3964,
-    X86_VMOV64toPQIrr	= 3965,
-    X86_VMOV64toSDZrr	= 3966,
-    X86_VMOV64toSDrm	= 3967,
-    X86_VMOV64toSDrr	= 3968,
-    X86_VMOVAPDYmr	= 3969,
-    X86_VMOVAPDYrm	= 3970,
-    X86_VMOVAPDYrr	= 3971,
-    X86_VMOVAPDYrr_REV	= 3972,
-    X86_VMOVAPDZ128mr	= 3973,
-    X86_VMOVAPDZ128mrk	= 3974,
-    X86_VMOVAPDZ128rm	= 3975,
-    X86_VMOVAPDZ128rmk	= 3976,
-    X86_VMOVAPDZ128rmkz	= 3977,
-    X86_VMOVAPDZ128rr	= 3978,
-    X86_VMOVAPDZ128rr_alt	= 3979,
-    X86_VMOVAPDZ128rrk	= 3980,
-    X86_VMOVAPDZ128rrk_alt	= 3981,
-    X86_VMOVAPDZ128rrkz	= 3982,
-    X86_VMOVAPDZ128rrkz_alt	= 3983,
-    X86_VMOVAPDZ256mr	= 3984,
-    X86_VMOVAPDZ256mrk	= 3985,
-    X86_VMOVAPDZ256rm	= 3986,
-    X86_VMOVAPDZ256rmk	= 3987,
-    X86_VMOVAPDZ256rmkz	= 3988,
-    X86_VMOVAPDZ256rr	= 3989,
-    X86_VMOVAPDZ256rr_alt	= 3990,
-    X86_VMOVAPDZ256rrk	= 3991,
-    X86_VMOVAPDZ256rrk_alt	= 3992,
-    X86_VMOVAPDZ256rrkz	= 3993,
-    X86_VMOVAPDZ256rrkz_alt	= 3994,
-    X86_VMOVAPDZmr	= 3995,
-    X86_VMOVAPDZmrk	= 3996,
-    X86_VMOVAPDZrm	= 3997,
-    X86_VMOVAPDZrmk	= 3998,
-    X86_VMOVAPDZrmkz	= 3999,
-    X86_VMOVAPDZrr	= 4000,
-    X86_VMOVAPDZrr_alt	= 4001,
-    X86_VMOVAPDZrrk	= 4002,
-    X86_VMOVAPDZrrk_alt	= 4003,
-    X86_VMOVAPDZrrkz	= 4004,
-    X86_VMOVAPDZrrkz_alt	= 4005,
-    X86_VMOVAPDmr	= 4006,
-    X86_VMOVAPDrm	= 4007,
-    X86_VMOVAPDrr	= 4008,
-    X86_VMOVAPDrr_REV	= 4009,
-    X86_VMOVAPSYmr	= 4010,
-    X86_VMOVAPSYrm	= 4011,
-    X86_VMOVAPSYrr	= 4012,
-    X86_VMOVAPSYrr_REV	= 4013,
-    X86_VMOVAPSZ128mr	= 4014,
-    X86_VMOVAPSZ128mrk	= 4015,
-    X86_VMOVAPSZ128rm	= 4016,
-    X86_VMOVAPSZ128rmk	= 4017,
-    X86_VMOVAPSZ128rmkz	= 4018,
-    X86_VMOVAPSZ128rr	= 4019,
-    X86_VMOVAPSZ128rr_alt	= 4020,
-    X86_VMOVAPSZ128rrk	= 4021,
-    X86_VMOVAPSZ128rrk_alt	= 4022,
-    X86_VMOVAPSZ128rrkz	= 4023,
-    X86_VMOVAPSZ128rrkz_alt	= 4024,
-    X86_VMOVAPSZ256mr	= 4025,
-    X86_VMOVAPSZ256mrk	= 4026,
-    X86_VMOVAPSZ256rm	= 4027,
-    X86_VMOVAPSZ256rmk	= 4028,
-    X86_VMOVAPSZ256rmkz	= 4029,
-    X86_VMOVAPSZ256rr	= 4030,
-    X86_VMOVAPSZ256rr_alt	= 4031,
-    X86_VMOVAPSZ256rrk	= 4032,
-    X86_VMOVAPSZ256rrk_alt	= 4033,
-    X86_VMOVAPSZ256rrkz	= 4034,
-    X86_VMOVAPSZ256rrkz_alt	= 4035,
-    X86_VMOVAPSZmr	= 4036,
-    X86_VMOVAPSZmrk	= 4037,
-    X86_VMOVAPSZrm	= 4038,
-    X86_VMOVAPSZrmk	= 4039,
-    X86_VMOVAPSZrmkz	= 4040,
-    X86_VMOVAPSZrr	= 4041,
-    X86_VMOVAPSZrr_alt	= 4042,
-    X86_VMOVAPSZrrk	= 4043,
-    X86_VMOVAPSZrrk_alt	= 4044,
-    X86_VMOVAPSZrrkz	= 4045,
-    X86_VMOVAPSZrrkz_alt	= 4046,
-    X86_VMOVAPSmr	= 4047,
-    X86_VMOVAPSrm	= 4048,
-    X86_VMOVAPSrr	= 4049,
-    X86_VMOVAPSrr_REV	= 4050,
-    X86_VMOVDDUPYrm	= 4051,
-    X86_VMOVDDUPYrr	= 4052,
-    X86_VMOVDDUPZrm	= 4053,
-    X86_VMOVDDUPZrr	= 4054,
-    X86_VMOVDDUPrm	= 4055,
-    X86_VMOVDDUPrr	= 4056,
-    X86_VMOVDI2PDIZrm	= 4057,
-    X86_VMOVDI2PDIZrr	= 4058,
-    X86_VMOVDI2PDIrm	= 4059,
-    X86_VMOVDI2PDIrr	= 4060,
-    X86_VMOVDI2SSZrm	= 4061,
-    X86_VMOVDI2SSZrr	= 4062,
-    X86_VMOVDI2SSrm	= 4063,
-    X86_VMOVDI2SSrr	= 4064,
-    X86_VMOVDQA32Z128mr	= 4065,
-    X86_VMOVDQA32Z128mrk	= 4066,
-    X86_VMOVDQA32Z128rm	= 4067,
-    X86_VMOVDQA32Z128rmk	= 4068,
-    X86_VMOVDQA32Z128rmkz	= 4069,
-    X86_VMOVDQA32Z128rr	= 4070,
-    X86_VMOVDQA32Z128rr_alt	= 4071,
-    X86_VMOVDQA32Z128rrk	= 4072,
-    X86_VMOVDQA32Z128rrk_alt	= 4073,
-    X86_VMOVDQA32Z128rrkz	= 4074,
-    X86_VMOVDQA32Z128rrkz_alt	= 4075,
-    X86_VMOVDQA32Z256mr	= 4076,
-    X86_VMOVDQA32Z256mrk	= 4077,
-    X86_VMOVDQA32Z256rm	= 4078,
-    X86_VMOVDQA32Z256rmk	= 4079,
-    X86_VMOVDQA32Z256rmkz	= 4080,
-    X86_VMOVDQA32Z256rr	= 4081,
-    X86_VMOVDQA32Z256rr_alt	= 4082,
-    X86_VMOVDQA32Z256rrk	= 4083,
-    X86_VMOVDQA32Z256rrk_alt	= 4084,
-    X86_VMOVDQA32Z256rrkz	= 4085,
-    X86_VMOVDQA32Z256rrkz_alt	= 4086,
-    X86_VMOVDQA32Zmr	= 4087,
-    X86_VMOVDQA32Zmrk	= 4088,
-    X86_VMOVDQA32Zrm	= 4089,
-    X86_VMOVDQA32Zrmk	= 4090,
-    X86_VMOVDQA32Zrmkz	= 4091,
-    X86_VMOVDQA32Zrr	= 4092,
-    X86_VMOVDQA32Zrr_alt	= 4093,
-    X86_VMOVDQA32Zrrk	= 4094,
-    X86_VMOVDQA32Zrrk_alt	= 4095,
-    X86_VMOVDQA32Zrrkz	= 4096,
-    X86_VMOVDQA32Zrrkz_alt	= 4097,
-    X86_VMOVDQA64Z128mr	= 4098,
-    X86_VMOVDQA64Z128mrk	= 4099,
-    X86_VMOVDQA64Z128rm	= 4100,
-    X86_VMOVDQA64Z128rmk	= 4101,
-    X86_VMOVDQA64Z128rmkz	= 4102,
-    X86_VMOVDQA64Z128rr	= 4103,
-    X86_VMOVDQA64Z128rr_alt	= 4104,
-    X86_VMOVDQA64Z128rrk	= 4105,
-    X86_VMOVDQA64Z128rrk_alt	= 4106,
-    X86_VMOVDQA64Z128rrkz	= 4107,
-    X86_VMOVDQA64Z128rrkz_alt	= 4108,
-    X86_VMOVDQA64Z256mr	= 4109,
-    X86_VMOVDQA64Z256mrk	= 4110,
-    X86_VMOVDQA64Z256rm	= 4111,
-    X86_VMOVDQA64Z256rmk	= 4112,
-    X86_VMOVDQA64Z256rmkz	= 4113,
-    X86_VMOVDQA64Z256rr	= 4114,
-    X86_VMOVDQA64Z256rr_alt	= 4115,
-    X86_VMOVDQA64Z256rrk	= 4116,
-    X86_VMOVDQA64Z256rrk_alt	= 4117,
-    X86_VMOVDQA64Z256rrkz	= 4118,
-    X86_VMOVDQA64Z256rrkz_alt	= 4119,
-    X86_VMOVDQA64Zmr	= 4120,
-    X86_VMOVDQA64Zmrk	= 4121,
-    X86_VMOVDQA64Zrm	= 4122,
-    X86_VMOVDQA64Zrmk	= 4123,
-    X86_VMOVDQA64Zrmkz	= 4124,
-    X86_VMOVDQA64Zrr	= 4125,
-    X86_VMOVDQA64Zrr_alt	= 4126,
-    X86_VMOVDQA64Zrrk	= 4127,
-    X86_VMOVDQA64Zrrk_alt	= 4128,
-    X86_VMOVDQA64Zrrkz	= 4129,
-    X86_VMOVDQA64Zrrkz_alt	= 4130,
-    X86_VMOVDQAYmr	= 4131,
-    X86_VMOVDQAYrm	= 4132,
-    X86_VMOVDQAYrr	= 4133,
-    X86_VMOVDQAYrr_REV	= 4134,
-    X86_VMOVDQAmr	= 4135,
-    X86_VMOVDQArm	= 4136,
-    X86_VMOVDQArr	= 4137,
-    X86_VMOVDQArr_REV	= 4138,
-    X86_VMOVDQU16Z128mr	= 4139,
-    X86_VMOVDQU16Z128mrk	= 4140,
-    X86_VMOVDQU16Z128rm	= 4141,
-    X86_VMOVDQU16Z128rmk	= 4142,
-    X86_VMOVDQU16Z128rmkz	= 4143,
-    X86_VMOVDQU16Z128rr	= 4144,
-    X86_VMOVDQU16Z128rr_alt	= 4145,
-    X86_VMOVDQU16Z128rrk	= 4146,
-    X86_VMOVDQU16Z128rrk_alt	= 4147,
-    X86_VMOVDQU16Z128rrkz	= 4148,
-    X86_VMOVDQU16Z128rrkz_alt	= 4149,
-    X86_VMOVDQU16Z256mr	= 4150,
-    X86_VMOVDQU16Z256mrk	= 4151,
-    X86_VMOVDQU16Z256rm	= 4152,
-    X86_VMOVDQU16Z256rmk	= 4153,
-    X86_VMOVDQU16Z256rmkz	= 4154,
-    X86_VMOVDQU16Z256rr	= 4155,
-    X86_VMOVDQU16Z256rr_alt	= 4156,
-    X86_VMOVDQU16Z256rrk	= 4157,
-    X86_VMOVDQU16Z256rrk_alt	= 4158,
-    X86_VMOVDQU16Z256rrkz	= 4159,
-    X86_VMOVDQU16Z256rrkz_alt	= 4160,
-    X86_VMOVDQU16Zmr	= 4161,
-    X86_VMOVDQU16Zmrk	= 4162,
-    X86_VMOVDQU16Zrm	= 4163,
-    X86_VMOVDQU16Zrmk	= 4164,
-    X86_VMOVDQU16Zrmkz	= 4165,
-    X86_VMOVDQU16Zrr	= 4166,
-    X86_VMOVDQU16Zrr_alt	= 4167,
-    X86_VMOVDQU16Zrrk	= 4168,
-    X86_VMOVDQU16Zrrk_alt	= 4169,
-    X86_VMOVDQU16Zrrkz	= 4170,
-    X86_VMOVDQU16Zrrkz_alt	= 4171,
-    X86_VMOVDQU32Z128mr	= 4172,
-    X86_VMOVDQU32Z128mrk	= 4173,
-    X86_VMOVDQU32Z128rm	= 4174,
-    X86_VMOVDQU32Z128rmk	= 4175,
-    X86_VMOVDQU32Z128rmkz	= 4176,
-    X86_VMOVDQU32Z128rr	= 4177,
-    X86_VMOVDQU32Z128rr_alt	= 4178,
-    X86_VMOVDQU32Z128rrk	= 4179,
-    X86_VMOVDQU32Z128rrk_alt	= 4180,
-    X86_VMOVDQU32Z128rrkz	= 4181,
-    X86_VMOVDQU32Z128rrkz_alt	= 4182,
-    X86_VMOVDQU32Z256mr	= 4183,
-    X86_VMOVDQU32Z256mrk	= 4184,
-    X86_VMOVDQU32Z256rm	= 4185,
-    X86_VMOVDQU32Z256rmk	= 4186,
-    X86_VMOVDQU32Z256rmkz	= 4187,
-    X86_VMOVDQU32Z256rr	= 4188,
-    X86_VMOVDQU32Z256rr_alt	= 4189,
-    X86_VMOVDQU32Z256rrk	= 4190,
-    X86_VMOVDQU32Z256rrk_alt	= 4191,
-    X86_VMOVDQU32Z256rrkz	= 4192,
-    X86_VMOVDQU32Z256rrkz_alt	= 4193,
-    X86_VMOVDQU32Zmr	= 4194,
-    X86_VMOVDQU32Zmrk	= 4195,
-    X86_VMOVDQU32Zrm	= 4196,
-    X86_VMOVDQU32Zrmk	= 4197,
-    X86_VMOVDQU32Zrmkz	= 4198,
-    X86_VMOVDQU32Zrr	= 4199,
-    X86_VMOVDQU32Zrr_alt	= 4200,
-    X86_VMOVDQU32Zrrk	= 4201,
-    X86_VMOVDQU32Zrrk_alt	= 4202,
-    X86_VMOVDQU32Zrrkz	= 4203,
-    X86_VMOVDQU32Zrrkz_alt	= 4204,
-    X86_VMOVDQU64Z128mr	= 4205,
-    X86_VMOVDQU64Z128mrk	= 4206,
-    X86_VMOVDQU64Z128rm	= 4207,
-    X86_VMOVDQU64Z128rmk	= 4208,
-    X86_VMOVDQU64Z128rmkz	= 4209,
-    X86_VMOVDQU64Z128rr	= 4210,
-    X86_VMOVDQU64Z128rr_alt	= 4211,
-    X86_VMOVDQU64Z128rrk	= 4212,
-    X86_VMOVDQU64Z128rrk_alt	= 4213,
-    X86_VMOVDQU64Z128rrkz	= 4214,
-    X86_VMOVDQU64Z128rrkz_alt	= 4215,
-    X86_VMOVDQU64Z256mr	= 4216,
-    X86_VMOVDQU64Z256mrk	= 4217,
-    X86_VMOVDQU64Z256rm	= 4218,
-    X86_VMOVDQU64Z256rmk	= 4219,
-    X86_VMOVDQU64Z256rmkz	= 4220,
-    X86_VMOVDQU64Z256rr	= 4221,
-    X86_VMOVDQU64Z256rr_alt	= 4222,
-    X86_VMOVDQU64Z256rrk	= 4223,
-    X86_VMOVDQU64Z256rrk_alt	= 4224,
-    X86_VMOVDQU64Z256rrkz	= 4225,
-    X86_VMOVDQU64Z256rrkz_alt	= 4226,
-    X86_VMOVDQU64Zmr	= 4227,
-    X86_VMOVDQU64Zmrk	= 4228,
-    X86_VMOVDQU64Zrm	= 4229,
-    X86_VMOVDQU64Zrmk	= 4230,
-    X86_VMOVDQU64Zrmkz	= 4231,
-    X86_VMOVDQU64Zrr	= 4232,
-    X86_VMOVDQU64Zrr_alt	= 4233,
-    X86_VMOVDQU64Zrrk	= 4234,
-    X86_VMOVDQU64Zrrk_alt	= 4235,
-    X86_VMOVDQU64Zrrkz	= 4236,
-    X86_VMOVDQU64Zrrkz_alt	= 4237,
-    X86_VMOVDQU8Z128mr	= 4238,
-    X86_VMOVDQU8Z128mrk	= 4239,
-    X86_VMOVDQU8Z128rm	= 4240,
-    X86_VMOVDQU8Z128rmk	= 4241,
-    X86_VMOVDQU8Z128rmkz	= 4242,
-    X86_VMOVDQU8Z128rr	= 4243,
-    X86_VMOVDQU8Z128rr_alt	= 4244,
-    X86_VMOVDQU8Z128rrk	= 4245,
-    X86_VMOVDQU8Z128rrk_alt	= 4246,
-    X86_VMOVDQU8Z128rrkz	= 4247,
-    X86_VMOVDQU8Z128rrkz_alt	= 4248,
-    X86_VMOVDQU8Z256mr	= 4249,
-    X86_VMOVDQU8Z256mrk	= 4250,
-    X86_VMOVDQU8Z256rm	= 4251,
-    X86_VMOVDQU8Z256rmk	= 4252,
-    X86_VMOVDQU8Z256rmkz	= 4253,
-    X86_VMOVDQU8Z256rr	= 4254,
-    X86_VMOVDQU8Z256rr_alt	= 4255,
-    X86_VMOVDQU8Z256rrk	= 4256,
-    X86_VMOVDQU8Z256rrk_alt	= 4257,
-    X86_VMOVDQU8Z256rrkz	= 4258,
-    X86_VMOVDQU8Z256rrkz_alt	= 4259,
-    X86_VMOVDQU8Zmr	= 4260,
-    X86_VMOVDQU8Zmrk	= 4261,
-    X86_VMOVDQU8Zrm	= 4262,
-    X86_VMOVDQU8Zrmk	= 4263,
-    X86_VMOVDQU8Zrmkz	= 4264,
-    X86_VMOVDQU8Zrr	= 4265,
-    X86_VMOVDQU8Zrr_alt	= 4266,
-    X86_VMOVDQU8Zrrk	= 4267,
-    X86_VMOVDQU8Zrrk_alt	= 4268,
-    X86_VMOVDQU8Zrrkz	= 4269,
-    X86_VMOVDQU8Zrrkz_alt	= 4270,
-    X86_VMOVDQUYmr	= 4271,
-    X86_VMOVDQUYrm	= 4272,
-    X86_VMOVDQUYrr	= 4273,
-    X86_VMOVDQUYrr_REV	= 4274,
-    X86_VMOVDQUmr	= 4275,
-    X86_VMOVDQUrm	= 4276,
-    X86_VMOVDQUrr	= 4277,
-    X86_VMOVDQUrr_REV	= 4278,
-    X86_VMOVHLPSZrr	= 4279,
-    X86_VMOVHLPSrr	= 4280,
-    X86_VMOVHPDmr	= 4281,
-    X86_VMOVHPDrm	= 4282,
-    X86_VMOVHPSmr	= 4283,
-    X86_VMOVHPSrm	= 4284,
-    X86_VMOVLHPSZrr	= 4285,
-    X86_VMOVLHPSrr	= 4286,
-    X86_VMOVLPDmr	= 4287,
-    X86_VMOVLPDrm	= 4288,
-    X86_VMOVLPSmr	= 4289,
-    X86_VMOVLPSrm	= 4290,
-    X86_VMOVMSKPDYrr	= 4291,
-    X86_VMOVMSKPDrr	= 4292,
-    X86_VMOVMSKPSYrr	= 4293,
-    X86_VMOVMSKPSrr	= 4294,
-    X86_VMOVNTDQAYrm	= 4295,
-    X86_VMOVNTDQAZrm	= 4296,
-    X86_VMOVNTDQArm	= 4297,
-    X86_VMOVNTDQYmr	= 4298,
-    X86_VMOVNTDQZmr	= 4299,
-    X86_VMOVNTDQmr	= 4300,
-    X86_VMOVNTPDYmr	= 4301,
-    X86_VMOVNTPDZmr	= 4302,
-    X86_VMOVNTPDmr	= 4303,
-    X86_VMOVNTPSYmr	= 4304,
-    X86_VMOVNTPSZmr	= 4305,
-    X86_VMOVNTPSmr	= 4306,
-    X86_VMOVPDI2DIZmr	= 4307,
-    X86_VMOVPDI2DIZrr	= 4308,
-    X86_VMOVPDI2DImr	= 4309,
-    X86_VMOVPDI2DIrr	= 4310,
-    X86_VMOVPQI2QImr	= 4311,
-    X86_VMOVPQI2QIrr	= 4312,
-    X86_VMOVPQIto64Zmr	= 4313,
-    X86_VMOVPQIto64Zrr	= 4314,
-    X86_VMOVPQIto64rr	= 4315,
-    X86_VMOVQI2PQIZrm	= 4316,
-    X86_VMOVQI2PQIrm	= 4317,
-    X86_VMOVSDZmr	= 4318,
-    X86_VMOVSDZrm	= 4319,
-    X86_VMOVSDZrr	= 4320,
-    X86_VMOVSDZrr_REV	= 4321,
-    X86_VMOVSDZrrk	= 4322,
-    X86_VMOVSDmr	= 4323,
-    X86_VMOVSDrm	= 4324,
-    X86_VMOVSDrr	= 4325,
-    X86_VMOVSDrr_REV	= 4326,
-    X86_VMOVSDto64Zmr	= 4327,
-    X86_VMOVSDto64Zrr	= 4328,
-    X86_VMOVSDto64mr	= 4329,
-    X86_VMOVSDto64rr	= 4330,
-    X86_VMOVSHDUPYrm	= 4331,
-    X86_VMOVSHDUPYrr	= 4332,
-    X86_VMOVSHDUPZrm	= 4333,
-    X86_VMOVSHDUPZrr	= 4334,
-    X86_VMOVSHDUPrm	= 4335,
-    X86_VMOVSHDUPrr	= 4336,
-    X86_VMOVSLDUPYrm	= 4337,
-    X86_VMOVSLDUPYrr	= 4338,
-    X86_VMOVSLDUPZrm	= 4339,
-    X86_VMOVSLDUPZrr	= 4340,
-    X86_VMOVSLDUPrm	= 4341,
-    X86_VMOVSLDUPrr	= 4342,
-    X86_VMOVSS2DIZmr	= 4343,
-    X86_VMOVSS2DIZrr	= 4344,
-    X86_VMOVSS2DImr	= 4345,
-    X86_VMOVSS2DIrr	= 4346,
-    X86_VMOVSSZmr	= 4347,
-    X86_VMOVSSZrm	= 4348,
-    X86_VMOVSSZrr	= 4349,
-    X86_VMOVSSZrr_REV	= 4350,
-    X86_VMOVSSZrrk	= 4351,
-    X86_VMOVSSmr	= 4352,
-    X86_VMOVSSrm	= 4353,
-    X86_VMOVSSrr	= 4354,
-    X86_VMOVSSrr_REV	= 4355,
-    X86_VMOVUPDYmr	= 4356,
-    X86_VMOVUPDYrm	= 4357,
-    X86_VMOVUPDYrr	= 4358,
-    X86_VMOVUPDYrr_REV	= 4359,
-    X86_VMOVUPDZ128mr	= 4360,
-    X86_VMOVUPDZ128mrk	= 4361,
-    X86_VMOVUPDZ128rm	= 4362,
-    X86_VMOVUPDZ128rmk	= 4363,
-    X86_VMOVUPDZ128rmkz	= 4364,
-    X86_VMOVUPDZ128rr	= 4365,
-    X86_VMOVUPDZ128rr_alt	= 4366,
-    X86_VMOVUPDZ128rrk	= 4367,
-    X86_VMOVUPDZ128rrk_alt	= 4368,
-    X86_VMOVUPDZ128rrkz	= 4369,
-    X86_VMOVUPDZ128rrkz_alt	= 4370,
-    X86_VMOVUPDZ256mr	= 4371,
-    X86_VMOVUPDZ256mrk	= 4372,
-    X86_VMOVUPDZ256rm	= 4373,
-    X86_VMOVUPDZ256rmk	= 4374,
-    X86_VMOVUPDZ256rmkz	= 4375,
-    X86_VMOVUPDZ256rr	= 4376,
-    X86_VMOVUPDZ256rr_alt	= 4377,
-    X86_VMOVUPDZ256rrk	= 4378,
-    X86_VMOVUPDZ256rrk_alt	= 4379,
-    X86_VMOVUPDZ256rrkz	= 4380,
-    X86_VMOVUPDZ256rrkz_alt	= 4381,
-    X86_VMOVUPDZmr	= 4382,
-    X86_VMOVUPDZmrk	= 4383,
-    X86_VMOVUPDZrm	= 4384,
-    X86_VMOVUPDZrmk	= 4385,
-    X86_VMOVUPDZrmkz	= 4386,
-    X86_VMOVUPDZrr	= 4387,
-    X86_VMOVUPDZrr_alt	= 4388,
-    X86_VMOVUPDZrrk	= 4389,
-    X86_VMOVUPDZrrk_alt	= 4390,
-    X86_VMOVUPDZrrkz	= 4391,
-    X86_VMOVUPDZrrkz_alt	= 4392,
-    X86_VMOVUPDmr	= 4393,
-    X86_VMOVUPDrm	= 4394,
-    X86_VMOVUPDrr	= 4395,
-    X86_VMOVUPDrr_REV	= 4396,
-    X86_VMOVUPSYmr	= 4397,
-    X86_VMOVUPSYrm	= 4398,
-    X86_VMOVUPSYrr	= 4399,
-    X86_VMOVUPSYrr_REV	= 4400,
-    X86_VMOVUPSZ128mr	= 4401,
-    X86_VMOVUPSZ128mrk	= 4402,
-    X86_VMOVUPSZ128rm	= 4403,
-    X86_VMOVUPSZ128rmk	= 4404,
-    X86_VMOVUPSZ128rmkz	= 4405,
-    X86_VMOVUPSZ128rr	= 4406,
-    X86_VMOVUPSZ128rr_alt	= 4407,
-    X86_VMOVUPSZ128rrk	= 4408,
-    X86_VMOVUPSZ128rrk_alt	= 4409,
-    X86_VMOVUPSZ128rrkz	= 4410,
-    X86_VMOVUPSZ128rrkz_alt	= 4411,
-    X86_VMOVUPSZ256mr	= 4412,
-    X86_VMOVUPSZ256mrk	= 4413,
-    X86_VMOVUPSZ256rm	= 4414,
-    X86_VMOVUPSZ256rmk	= 4415,
-    X86_VMOVUPSZ256rmkz	= 4416,
-    X86_VMOVUPSZ256rr	= 4417,
-    X86_VMOVUPSZ256rr_alt	= 4418,
-    X86_VMOVUPSZ256rrk	= 4419,
-    X86_VMOVUPSZ256rrk_alt	= 4420,
-    X86_VMOVUPSZ256rrkz	= 4421,
-    X86_VMOVUPSZ256rrkz_alt	= 4422,
-    X86_VMOVUPSZmr	= 4423,
-    X86_VMOVUPSZmrk	= 4424,
-    X86_VMOVUPSZrm	= 4425,
-    X86_VMOVUPSZrmk	= 4426,
-    X86_VMOVUPSZrmkz	= 4427,
-    X86_VMOVUPSZrr	= 4428,
-    X86_VMOVUPSZrr_alt	= 4429,
-    X86_VMOVUPSZrrk	= 4430,
-    X86_VMOVUPSZrrk_alt	= 4431,
-    X86_VMOVUPSZrrkz	= 4432,
-    X86_VMOVUPSZrrkz_alt	= 4433,
-    X86_VMOVUPSmr	= 4434,
-    X86_VMOVUPSrm	= 4435,
-    X86_VMOVUPSrr	= 4436,
-    X86_VMOVUPSrr_REV	= 4437,
-    X86_VMOVZPQILo2PQIZrm	= 4438,
-    X86_VMOVZPQILo2PQIZrr	= 4439,
-    X86_VMOVZPQILo2PQIrm	= 4440,
-    X86_VMOVZPQILo2PQIrr	= 4441,
-    X86_VMOVZQI2PQIrm	= 4442,
-    X86_VMOVZQI2PQIrr	= 4443,
-    X86_VMPSADBWYrmi	= 4444,
-    X86_VMPSADBWYrri	= 4445,
-    X86_VMPSADBWrmi	= 4446,
-    X86_VMPSADBWrri	= 4447,
-    X86_VMPTRLDm	= 4448,
-    X86_VMPTRSTm	= 4449,
-    X86_VMREAD32rm	= 4450,
-    X86_VMREAD32rr	= 4451,
-    X86_VMREAD64rm	= 4452,
-    X86_VMREAD64rr	= 4453,
-    X86_VMRESUME	= 4454,
-    X86_VMRUN32	= 4455,
-    X86_VMRUN64	= 4456,
-    X86_VMSAVE32	= 4457,
-    X86_VMSAVE64	= 4458,
-    X86_VMULPDYrm	= 4459,
-    X86_VMULPDYrr	= 4460,
-    X86_VMULPDZrm	= 4461,
-    X86_VMULPDZrmb	= 4462,
-    X86_VMULPDZrmbk	= 4463,
-    X86_VMULPDZrmbkz	= 4464,
-    X86_VMULPDZrmk	= 4465,
-    X86_VMULPDZrmkz	= 4466,
-    X86_VMULPDZrr	= 4467,
-    X86_VMULPDZrrk	= 4468,
-    X86_VMULPDZrrkz	= 4469,
-    X86_VMULPDrm	= 4470,
-    X86_VMULPDrr	= 4471,
-    X86_VMULPSYrm	= 4472,
-    X86_VMULPSYrr	= 4473,
-    X86_VMULPSZrm	= 4474,
-    X86_VMULPSZrmb	= 4475,
-    X86_VMULPSZrmbk	= 4476,
-    X86_VMULPSZrmbkz	= 4477,
-    X86_VMULPSZrmk	= 4478,
-    X86_VMULPSZrmkz	= 4479,
-    X86_VMULPSZrr	= 4480,
-    X86_VMULPSZrrk	= 4481,
-    X86_VMULPSZrrkz	= 4482,
-    X86_VMULPSrm	= 4483,
-    X86_VMULPSrr	= 4484,
-    X86_VMULSDZrm	= 4485,
-    X86_VMULSDZrr	= 4486,
-    X86_VMULSDrm	= 4487,
-    X86_VMULSDrm_Int	= 4488,
-    X86_VMULSDrr	= 4489,
-    X86_VMULSDrr_Int	= 4490,
-    X86_VMULSSZrm	= 4491,
-    X86_VMULSSZrr	= 4492,
-    X86_VMULSSrm	= 4493,
-    X86_VMULSSrm_Int	= 4494,
-    X86_VMULSSrr	= 4495,
-    X86_VMULSSrr_Int	= 4496,
-    X86_VMWRITE32rm	= 4497,
-    X86_VMWRITE32rr	= 4498,
-    X86_VMWRITE64rm	= 4499,
-    X86_VMWRITE64rr	= 4500,
-    X86_VMXOFF	= 4501,
-    X86_VMXON	= 4502,
-    X86_VORPDYrm	= 4503,
-    X86_VORPDYrr	= 4504,
-    X86_VORPDrm	= 4505,
-    X86_VORPDrr	= 4506,
-    X86_VORPSYrm	= 4507,
-    X86_VORPSYrr	= 4508,
-    X86_VORPSrm	= 4509,
-    X86_VORPSrr	= 4510,
-    X86_VPABSBrm128	= 4511,
-    X86_VPABSBrm256	= 4512,
-    X86_VPABSBrr128	= 4513,
-    X86_VPABSBrr256	= 4514,
-    X86_VPABSDZrm	= 4515,
-    X86_VPABSDZrmb	= 4516,
-    X86_VPABSDZrmbk	= 4517,
-    X86_VPABSDZrmbkz	= 4518,
-    X86_VPABSDZrmk	= 4519,
-    X86_VPABSDZrmkz	= 4520,
-    X86_VPABSDZrr	= 4521,
-    X86_VPABSDZrrk	= 4522,
-    X86_VPABSDZrrkz	= 4523,
-    X86_VPABSDrm128	= 4524,
-    X86_VPABSDrm256	= 4525,
-    X86_VPABSDrr128	= 4526,
-    X86_VPABSDrr256	= 4527,
-    X86_VPABSQZrm	= 4528,
-    X86_VPABSQZrmb	= 4529,
-    X86_VPABSQZrmbk	= 4530,
-    X86_VPABSQZrmbkz	= 4531,
-    X86_VPABSQZrmk	= 4532,
-    X86_VPABSQZrmkz	= 4533,
-    X86_VPABSQZrr	= 4534,
-    X86_VPABSQZrrk	= 4535,
-    X86_VPABSQZrrkz	= 4536,
-    X86_VPABSWrm128	= 4537,
-    X86_VPABSWrm256	= 4538,
-    X86_VPABSWrr128	= 4539,
-    X86_VPABSWrr256	= 4540,
-    X86_VPACKSSDWYrm	= 4541,
-    X86_VPACKSSDWYrr	= 4542,
-    X86_VPACKSSDWrm	= 4543,
-    X86_VPACKSSDWrr	= 4544,
-    X86_VPACKSSWBYrm	= 4545,
-    X86_VPACKSSWBYrr	= 4546,
-    X86_VPACKSSWBrm	= 4547,
-    X86_VPACKSSWBrr	= 4548,
-    X86_VPACKUSDWYrm	= 4549,
-    X86_VPACKUSDWYrr	= 4550,
-    X86_VPACKUSDWrm	= 4551,
-    X86_VPACKUSDWrr	= 4552,
-    X86_VPACKUSWBYrm	= 4553,
-    X86_VPACKUSWBYrr	= 4554,
-    X86_VPACKUSWBrm	= 4555,
-    X86_VPACKUSWBrr	= 4556,
-    X86_VPADDBYrm	= 4557,
-    X86_VPADDBYrr	= 4558,
-    X86_VPADDBrm	= 4559,
-    X86_VPADDBrr	= 4560,
-    X86_VPADDDYrm	= 4561,
-    X86_VPADDDYrr	= 4562,
-    X86_VPADDDZrm	= 4563,
-    X86_VPADDDZrmb	= 4564,
-    X86_VPADDDZrmbk	= 4565,
-    X86_VPADDDZrmbkz	= 4566,
-    X86_VPADDDZrmk	= 4567,
-    X86_VPADDDZrmkz	= 4568,
-    X86_VPADDDZrr	= 4569,
-    X86_VPADDDZrrk	= 4570,
-    X86_VPADDDZrrkz	= 4571,
-    X86_VPADDDrm	= 4572,
-    X86_VPADDDrr	= 4573,
-    X86_VPADDQYrm	= 4574,
-    X86_VPADDQYrr	= 4575,
-    X86_VPADDQZrm	= 4576,
-    X86_VPADDQZrmb	= 4577,
-    X86_VPADDQZrmbk	= 4578,
-    X86_VPADDQZrmbkz	= 4579,
-    X86_VPADDQZrmk	= 4580,
-    X86_VPADDQZrmkz	= 4581,
-    X86_VPADDQZrr	= 4582,
-    X86_VPADDQZrrk	= 4583,
-    X86_VPADDQZrrkz	= 4584,
-    X86_VPADDQrm	= 4585,
-    X86_VPADDQrr	= 4586,
-    X86_VPADDSBYrm	= 4587,
-    X86_VPADDSBYrr	= 4588,
-    X86_VPADDSBrm	= 4589,
-    X86_VPADDSBrr	= 4590,
-    X86_VPADDSWYrm	= 4591,
-    X86_VPADDSWYrr	= 4592,
-    X86_VPADDSWrm	= 4593,
-    X86_VPADDSWrr	= 4594,
-    X86_VPADDUSBYrm	= 4595,
-    X86_VPADDUSBYrr	= 4596,
-    X86_VPADDUSBrm	= 4597,
-    X86_VPADDUSBrr	= 4598,
-    X86_VPADDUSWYrm	= 4599,
-    X86_VPADDUSWYrr	= 4600,
-    X86_VPADDUSWrm	= 4601,
-    X86_VPADDUSWrr	= 4602,
-    X86_VPADDWYrm	= 4603,
-    X86_VPADDWYrr	= 4604,
-    X86_VPADDWrm	= 4605,
-    X86_VPADDWrr	= 4606,
-    X86_VPALIGNR128rm	= 4607,
-    X86_VPALIGNR128rr	= 4608,
-    X86_VPALIGNR256rm	= 4609,
-    X86_VPALIGNR256rr	= 4610,
-    X86_VPANDDZrm	= 4611,
-    X86_VPANDDZrmb	= 4612,
-    X86_VPANDDZrmbk	= 4613,
-    X86_VPANDDZrmbkz	= 4614,
-    X86_VPANDDZrmk	= 4615,
-    X86_VPANDDZrmkz	= 4616,
-    X86_VPANDDZrr	= 4617,
-    X86_VPANDDZrrk	= 4618,
-    X86_VPANDDZrrkz	= 4619,
-    X86_VPANDNDZrm	= 4620,
-    X86_VPANDNDZrmb	= 4621,
-    X86_VPANDNDZrmbk	= 4622,
-    X86_VPANDNDZrmbkz	= 4623,
-    X86_VPANDNDZrmk	= 4624,
-    X86_VPANDNDZrmkz	= 4625,
-    X86_VPANDNDZrr	= 4626,
-    X86_VPANDNDZrrk	= 4627,
-    X86_VPANDNDZrrkz	= 4628,
-    X86_VPANDNQZrm	= 4629,
-    X86_VPANDNQZrmb	= 4630,
-    X86_VPANDNQZrmbk	= 4631,
-    X86_VPANDNQZrmbkz	= 4632,
-    X86_VPANDNQZrmk	= 4633,
-    X86_VPANDNQZrmkz	= 4634,
-    X86_VPANDNQZrr	= 4635,
-    X86_VPANDNQZrrk	= 4636,
-    X86_VPANDNQZrrkz	= 4637,
-    X86_VPANDNYrm	= 4638,
-    X86_VPANDNYrr	= 4639,
-    X86_VPANDNrm	= 4640,
-    X86_VPANDNrr	= 4641,
-    X86_VPANDQZrm	= 4642,
-    X86_VPANDQZrmb	= 4643,
-    X86_VPANDQZrmbk	= 4644,
-    X86_VPANDQZrmbkz	= 4645,
-    X86_VPANDQZrmk	= 4646,
-    X86_VPANDQZrmkz	= 4647,
-    X86_VPANDQZrr	= 4648,
-    X86_VPANDQZrrk	= 4649,
-    X86_VPANDQZrrkz	= 4650,
-    X86_VPANDYrm	= 4651,
-    X86_VPANDYrr	= 4652,
-    X86_VPANDrm	= 4653,
-    X86_VPANDrr	= 4654,
-    X86_VPAVGBYrm	= 4655,
-    X86_VPAVGBYrr	= 4656,
-    X86_VPAVGBrm	= 4657,
-    X86_VPAVGBrr	= 4658,
-    X86_VPAVGWYrm	= 4659,
-    X86_VPAVGWYrr	= 4660,
-    X86_VPAVGWrm	= 4661,
-    X86_VPAVGWrr	= 4662,
-    X86_VPBLENDDYrmi	= 4663,
-    X86_VPBLENDDYrri	= 4664,
-    X86_VPBLENDDrmi	= 4665,
-    X86_VPBLENDDrri	= 4666,
-    X86_VPBLENDMDZrm	= 4667,
-    X86_VPBLENDMDZrr	= 4668,
-    X86_VPBLENDMQZrm	= 4669,
-    X86_VPBLENDMQZrr	= 4670,
-    X86_VPBLENDVBYrm	= 4671,
-    X86_VPBLENDVBYrr	= 4672,
-    X86_VPBLENDVBrm	= 4673,
-    X86_VPBLENDVBrr	= 4674,
-    X86_VPBLENDWYrmi	= 4675,
-    X86_VPBLENDWYrri	= 4676,
-    X86_VPBLENDWrmi	= 4677,
-    X86_VPBLENDWrri	= 4678,
-    X86_VPBROADCASTBYrm	= 4679,
-    X86_VPBROADCASTBYrr	= 4680,
-    X86_VPBROADCASTBrm	= 4681,
-    X86_VPBROADCASTBrr	= 4682,
-    X86_VPBROADCASTDYrm	= 4683,
-    X86_VPBROADCASTDYrr	= 4684,
-    X86_VPBROADCASTDZkrm	= 4685,
-    X86_VPBROADCASTDZkrr	= 4686,
-    X86_VPBROADCASTDZrm	= 4687,
-    X86_VPBROADCASTDZrr	= 4688,
-    X86_VPBROADCASTDrZkrr	= 4689,
-    X86_VPBROADCASTDrZrr	= 4690,
-    X86_VPBROADCASTDrm	= 4691,
-    X86_VPBROADCASTDrr	= 4692,
-    X86_VPBROADCASTMB2Qrr	= 4693,
-    X86_VPBROADCASTMW2Drr	= 4694,
-    X86_VPBROADCASTQYrm	= 4695,
-    X86_VPBROADCASTQYrr	= 4696,
-    X86_VPBROADCASTQZkrm	= 4697,
-    X86_VPBROADCASTQZkrr	= 4698,
-    X86_VPBROADCASTQZrm	= 4699,
-    X86_VPBROADCASTQZrr	= 4700,
-    X86_VPBROADCASTQrZkrr	= 4701,
-    X86_VPBROADCASTQrZrr	= 4702,
-    X86_VPBROADCASTQrm	= 4703,
-    X86_VPBROADCASTQrr	= 4704,
-    X86_VPBROADCASTWYrm	= 4705,
-    X86_VPBROADCASTWYrr	= 4706,
-    X86_VPBROADCASTWrm	= 4707,
-    X86_VPBROADCASTWrr	= 4708,
-    X86_VPCLMULQDQrm	= 4709,
-    X86_VPCLMULQDQrr	= 4710,
-    X86_VPCMOVmr	= 4711,
-    X86_VPCMOVmrY	= 4712,
-    X86_VPCMOVrm	= 4713,
-    X86_VPCMOVrmY	= 4714,
-    X86_VPCMOVrr	= 4715,
-    X86_VPCMOVrrY	= 4716,
-    X86_VPCMPDZrmi	= 4717,
-    X86_VPCMPDZrmi_alt	= 4718,
-    X86_VPCMPDZrmik_alt	= 4719,
-    X86_VPCMPDZrri	= 4720,
-    X86_VPCMPDZrri_alt	= 4721,
-    X86_VPCMPDZrrik_alt	= 4722,
-    X86_VPCMPEQBYrm	= 4723,
-    X86_VPCMPEQBYrr	= 4724,
-    X86_VPCMPEQBrm	= 4725,
-    X86_VPCMPEQBrr	= 4726,
-    X86_VPCMPEQDYrm	= 4727,
-    X86_VPCMPEQDYrr	= 4728,
-    X86_VPCMPEQDZrm	= 4729,
-    X86_VPCMPEQDZrr	= 4730,
-    X86_VPCMPEQDrm	= 4731,
-    X86_VPCMPEQDrr	= 4732,
-    X86_VPCMPEQQYrm	= 4733,
-    X86_VPCMPEQQYrr	= 4734,
-    X86_VPCMPEQQZrm	= 4735,
-    X86_VPCMPEQQZrr	= 4736,
-    X86_VPCMPEQQrm	= 4737,
-    X86_VPCMPEQQrr	= 4738,
-    X86_VPCMPEQWYrm	= 4739,
-    X86_VPCMPEQWYrr	= 4740,
-    X86_VPCMPEQWrm	= 4741,
-    X86_VPCMPEQWrr	= 4742,
-    X86_VPCMPESTRIMEM	= 4743,
-    X86_VPCMPESTRIREG	= 4744,
-    X86_VPCMPESTRIrm	= 4745,
-    X86_VPCMPESTRIrr	= 4746,
-    X86_VPCMPESTRM128MEM	= 4747,
-    X86_VPCMPESTRM128REG	= 4748,
-    X86_VPCMPESTRM128rm	= 4749,
-    X86_VPCMPESTRM128rr	= 4750,
-    X86_VPCMPGTBYrm	= 4751,
-    X86_VPCMPGTBYrr	= 4752,
-    X86_VPCMPGTBrm	= 4753,
-    X86_VPCMPGTBrr	= 4754,
-    X86_VPCMPGTDYrm	= 4755,
-    X86_VPCMPGTDYrr	= 4756,
-    X86_VPCMPGTDZrm	= 4757,
-    X86_VPCMPGTDZrr	= 4758,
-    X86_VPCMPGTDrm	= 4759,
-    X86_VPCMPGTDrr	= 4760,
-    X86_VPCMPGTQYrm	= 4761,
-    X86_VPCMPGTQYrr	= 4762,
-    X86_VPCMPGTQZrm	= 4763,
-    X86_VPCMPGTQZrr	= 4764,
-    X86_VPCMPGTQrm	= 4765,
-    X86_VPCMPGTQrr	= 4766,
-    X86_VPCMPGTWYrm	= 4767,
-    X86_VPCMPGTWYrr	= 4768,
-    X86_VPCMPGTWrm	= 4769,
-    X86_VPCMPGTWrr	= 4770,
-    X86_VPCMPISTRIMEM	= 4771,
-    X86_VPCMPISTRIREG	= 4772,
-    X86_VPCMPISTRIrm	= 4773,
-    X86_VPCMPISTRIrr	= 4774,
-    X86_VPCMPISTRM128MEM	= 4775,
-    X86_VPCMPISTRM128REG	= 4776,
-    X86_VPCMPISTRM128rm	= 4777,
-    X86_VPCMPISTRM128rr	= 4778,
-    X86_VPCMPQZrmi	= 4779,
-    X86_VPCMPQZrmi_alt	= 4780,
-    X86_VPCMPQZrmik_alt	= 4781,
-    X86_VPCMPQZrri	= 4782,
-    X86_VPCMPQZrri_alt	= 4783,
-    X86_VPCMPQZrrik_alt	= 4784,
-    X86_VPCMPUDZrmi	= 4785,
-    X86_VPCMPUDZrmi_alt	= 4786,
-    X86_VPCMPUDZrmik_alt	= 4787,
-    X86_VPCMPUDZrri	= 4788,
-    X86_VPCMPUDZrri_alt	= 4789,
-    X86_VPCMPUDZrrik_alt	= 4790,
-    X86_VPCMPUQZrmi	= 4791,
-    X86_VPCMPUQZrmi_alt	= 4792,
-    X86_VPCMPUQZrmik_alt	= 4793,
-    X86_VPCMPUQZrri	= 4794,
-    X86_VPCMPUQZrri_alt	= 4795,
-    X86_VPCMPUQZrrik_alt	= 4796,
-    X86_VPCOMBmi	= 4797,
-    X86_VPCOMBri	= 4798,
-    X86_VPCOMDmi	= 4799,
-    X86_VPCOMDri	= 4800,
-    X86_VPCOMQmi	= 4801,
-    X86_VPCOMQri	= 4802,
-    X86_VPCOMUBmi	= 4803,
-    X86_VPCOMUBri	= 4804,
-    X86_VPCOMUDmi	= 4805,
-    X86_VPCOMUDri	= 4806,
-    X86_VPCOMUQmi	= 4807,
-    X86_VPCOMUQri	= 4808,
-    X86_VPCOMUWmi	= 4809,
-    X86_VPCOMUWri	= 4810,
-    X86_VPCOMWmi	= 4811,
-    X86_VPCOMWri	= 4812,
-    X86_VPCONFLICTDrm	= 4813,
-    X86_VPCONFLICTDrmb	= 4814,
-    X86_VPCONFLICTDrmbk	= 4815,
-    X86_VPCONFLICTDrmbkz	= 4816,
-    X86_VPCONFLICTDrmk	= 4817,
-    X86_VPCONFLICTDrmkz	= 4818,
-    X86_VPCONFLICTDrr	= 4819,
-    X86_VPCONFLICTDrrk	= 4820,
-    X86_VPCONFLICTDrrkz	= 4821,
-    X86_VPCONFLICTQrm	= 4822,
-    X86_VPCONFLICTQrmb	= 4823,
-    X86_VPCONFLICTQrmbk	= 4824,
-    X86_VPCONFLICTQrmbkz	= 4825,
-    X86_VPCONFLICTQrmk	= 4826,
-    X86_VPCONFLICTQrmkz	= 4827,
-    X86_VPCONFLICTQrr	= 4828,
-    X86_VPCONFLICTQrrk	= 4829,
-    X86_VPCONFLICTQrrkz	= 4830,
-    X86_VPERM2F128rm	= 4831,
-    X86_VPERM2F128rr	= 4832,
-    X86_VPERM2I128rm	= 4833,
-    X86_VPERM2I128rr	= 4834,
-    X86_VPERMDYrm	= 4835,
-    X86_VPERMDYrr	= 4836,
-    X86_VPERMDZrm	= 4837,
-    X86_VPERMDZrr	= 4838,
-    X86_VPERMI2Drm	= 4839,
-    X86_VPERMI2Drmk	= 4840,
-    X86_VPERMI2Drmkz	= 4841,
-    X86_VPERMI2Drr	= 4842,
-    X86_VPERMI2Drrk	= 4843,
-    X86_VPERMI2Drrkz	= 4844,
-    X86_VPERMI2PDrm	= 4845,
-    X86_VPERMI2PDrmk	= 4846,
-    X86_VPERMI2PDrmkz	= 4847,
-    X86_VPERMI2PDrr	= 4848,
-    X86_VPERMI2PDrrk	= 4849,
-    X86_VPERMI2PDrrkz	= 4850,
-    X86_VPERMI2PSrm	= 4851,
-    X86_VPERMI2PSrmk	= 4852,
-    X86_VPERMI2PSrmkz	= 4853,
-    X86_VPERMI2PSrr	= 4854,
-    X86_VPERMI2PSrrk	= 4855,
-    X86_VPERMI2PSrrkz	= 4856,
-    X86_VPERMI2Qrm	= 4857,
-    X86_VPERMI2Qrmk	= 4858,
-    X86_VPERMI2Qrmkz	= 4859,
-    X86_VPERMI2Qrr	= 4860,
-    X86_VPERMI2Qrrk	= 4861,
-    X86_VPERMI2Qrrkz	= 4862,
-    X86_VPERMIL2PDmr	= 4863,
-    X86_VPERMIL2PDmrY	= 4864,
-    X86_VPERMIL2PDrm	= 4865,
-    X86_VPERMIL2PDrmY	= 4866,
-    X86_VPERMIL2PDrr	= 4867,
-    X86_VPERMIL2PDrrY	= 4868,
-    X86_VPERMIL2PSmr	= 4869,
-    X86_VPERMIL2PSmrY	= 4870,
-    X86_VPERMIL2PSrm	= 4871,
-    X86_VPERMIL2PSrmY	= 4872,
-    X86_VPERMIL2PSrr	= 4873,
-    X86_VPERMIL2PSrrY	= 4874,
-    X86_VPERMILPDYmi	= 4875,
-    X86_VPERMILPDYri	= 4876,
-    X86_VPERMILPDYrm	= 4877,
-    X86_VPERMILPDYrr	= 4878,
-    X86_VPERMILPDZmi	= 4879,
-    X86_VPERMILPDZri	= 4880,
-    X86_VPERMILPDmi	= 4881,
-    X86_VPERMILPDri	= 4882,
-    X86_VPERMILPDrm	= 4883,
-    X86_VPERMILPDrr	= 4884,
-    X86_VPERMILPSYmi	= 4885,
-    X86_VPERMILPSYri	= 4886,
-    X86_VPERMILPSYrm	= 4887,
-    X86_VPERMILPSYrr	= 4888,
-    X86_VPERMILPSZmi	= 4889,
-    X86_VPERMILPSZri	= 4890,
-    X86_VPERMILPSmi	= 4891,
-    X86_VPERMILPSri	= 4892,
-    X86_VPERMILPSrm	= 4893,
-    X86_VPERMILPSrr	= 4894,
-    X86_VPERMPDYmi	= 4895,
-    X86_VPERMPDYri	= 4896,
-    X86_VPERMPDZmi	= 4897,
-    X86_VPERMPDZri	= 4898,
-    X86_VPERMPDZrm	= 4899,
-    X86_VPERMPDZrr	= 4900,
-    X86_VPERMPSYrm	= 4901,
-    X86_VPERMPSYrr	= 4902,
-    X86_VPERMPSZrm	= 4903,
-    X86_VPERMPSZrr	= 4904,
-    X86_VPERMQYmi	= 4905,
-    X86_VPERMQYri	= 4906,
-    X86_VPERMQZmi	= 4907,
-    X86_VPERMQZri	= 4908,
-    X86_VPERMQZrm	= 4909,
-    X86_VPERMQZrr	= 4910,
-    X86_VPERMT2Drm	= 4911,
-    X86_VPERMT2Drmk	= 4912,
-    X86_VPERMT2Drmkz	= 4913,
-    X86_VPERMT2Drr	= 4914,
-    X86_VPERMT2Drrk	= 4915,
-    X86_VPERMT2Drrkz	= 4916,
-    X86_VPERMT2PDrm	= 4917,
-    X86_VPERMT2PDrmk	= 4918,
-    X86_VPERMT2PDrmkz	= 4919,
-    X86_VPERMT2PDrr	= 4920,
-    X86_VPERMT2PDrrk	= 4921,
-    X86_VPERMT2PDrrkz	= 4922,
-    X86_VPERMT2PSrm	= 4923,
-    X86_VPERMT2PSrmk	= 4924,
-    X86_VPERMT2PSrmkz	= 4925,
-    X86_VPERMT2PSrr	= 4926,
-    X86_VPERMT2PSrrk	= 4927,
-    X86_VPERMT2PSrrkz	= 4928,
-    X86_VPERMT2Qrm	= 4929,
-    X86_VPERMT2Qrmk	= 4930,
-    X86_VPERMT2Qrmkz	= 4931,
-    X86_VPERMT2Qrr	= 4932,
-    X86_VPERMT2Qrrk	= 4933,
-    X86_VPERMT2Qrrkz	= 4934,
-    X86_VPEXTRBmr	= 4935,
-    X86_VPEXTRBrr	= 4936,
-    X86_VPEXTRDmr	= 4937,
-    X86_VPEXTRDrr	= 4938,
-    X86_VPEXTRQmr	= 4939,
-    X86_VPEXTRQrr	= 4940,
-    X86_VPEXTRWmr	= 4941,
-    X86_VPEXTRWri	= 4942,
-    X86_VPEXTRWrr_REV	= 4943,
-    X86_VPGATHERDDYrm	= 4944,
-    X86_VPGATHERDDZrm	= 4945,
-    X86_VPGATHERDDrm	= 4946,
-    X86_VPGATHERDQYrm	= 4947,
-    X86_VPGATHERDQZrm	= 4948,
-    X86_VPGATHERDQrm	= 4949,
-    X86_VPGATHERQDYrm	= 4950,
-    X86_VPGATHERQDZrm	= 4951,
-    X86_VPGATHERQDrm	= 4952,
-    X86_VPGATHERQQYrm	= 4953,
-    X86_VPGATHERQQZrm	= 4954,
-    X86_VPGATHERQQrm	= 4955,
-    X86_VPHADDBDrm	= 4956,
-    X86_VPHADDBDrr	= 4957,
-    X86_VPHADDBQrm	= 4958,
-    X86_VPHADDBQrr	= 4959,
-    X86_VPHADDBWrm	= 4960,
-    X86_VPHADDBWrr	= 4961,
-    X86_VPHADDDQrm	= 4962,
-    X86_VPHADDDQrr	= 4963,
-    X86_VPHADDDYrm	= 4964,
-    X86_VPHADDDYrr	= 4965,
-    X86_VPHADDDrm	= 4966,
-    X86_VPHADDDrr	= 4967,
-    X86_VPHADDSWrm128	= 4968,
-    X86_VPHADDSWrm256	= 4969,
-    X86_VPHADDSWrr128	= 4970,
-    X86_VPHADDSWrr256	= 4971,
-    X86_VPHADDUBDrm	= 4972,
-    X86_VPHADDUBDrr	= 4973,
-    X86_VPHADDUBQrm	= 4974,
-    X86_VPHADDUBQrr	= 4975,
-    X86_VPHADDUBWrm	= 4976,
-    X86_VPHADDUBWrr	= 4977,
-    X86_VPHADDUDQrm	= 4978,
-    X86_VPHADDUDQrr	= 4979,
-    X86_VPHADDUWDrm	= 4980,
-    X86_VPHADDUWDrr	= 4981,
-    X86_VPHADDUWQrm	= 4982,
-    X86_VPHADDUWQrr	= 4983,
-    X86_VPHADDWDrm	= 4984,
-    X86_VPHADDWDrr	= 4985,
-    X86_VPHADDWQrm	= 4986,
-    X86_VPHADDWQrr	= 4987,
-    X86_VPHADDWYrm	= 4988,
-    X86_VPHADDWYrr	= 4989,
-    X86_VPHADDWrm	= 4990,
-    X86_VPHADDWrr	= 4991,
-    X86_VPHMINPOSUWrm128	= 4992,
-    X86_VPHMINPOSUWrr128	= 4993,
-    X86_VPHSUBBWrm	= 4994,
-    X86_VPHSUBBWrr	= 4995,
-    X86_VPHSUBDQrm	= 4996,
-    X86_VPHSUBDQrr	= 4997,
-    X86_VPHSUBDYrm	= 4998,
-    X86_VPHSUBDYrr	= 4999,
-    X86_VPHSUBDrm	= 5000,
-    X86_VPHSUBDrr	= 5001,
-    X86_VPHSUBSWrm128	= 5002,
-    X86_VPHSUBSWrm256	= 5003,
-    X86_VPHSUBSWrr128	= 5004,
-    X86_VPHSUBSWrr256	= 5005,
-    X86_VPHSUBWDrm	= 5006,
-    X86_VPHSUBWDrr	= 5007,
-    X86_VPHSUBWYrm	= 5008,
-    X86_VPHSUBWYrr	= 5009,
-    X86_VPHSUBWrm	= 5010,
-    X86_VPHSUBWrr	= 5011,
-    X86_VPINSRBrm	= 5012,
-    X86_VPINSRBrr	= 5013,
-    X86_VPINSRDrm	= 5014,
-    X86_VPINSRDrr	= 5015,
-    X86_VPINSRQrm	= 5016,
-    X86_VPINSRQrr	= 5017,
-    X86_VPINSRWrmi	= 5018,
-    X86_VPINSRWrri	= 5019,
-    X86_VPLZCNTDrm	= 5020,
-    X86_VPLZCNTDrmb	= 5021,
-    X86_VPLZCNTDrmbk	= 5022,
-    X86_VPLZCNTDrmbkz	= 5023,
-    X86_VPLZCNTDrmk	= 5024,
-    X86_VPLZCNTDrmkz	= 5025,
-    X86_VPLZCNTDrr	= 5026,
-    X86_VPLZCNTDrrk	= 5027,
-    X86_VPLZCNTDrrkz	= 5028,
-    X86_VPLZCNTQrm	= 5029,
-    X86_VPLZCNTQrmb	= 5030,
-    X86_VPLZCNTQrmbk	= 5031,
-    X86_VPLZCNTQrmbkz	= 5032,
-    X86_VPLZCNTQrmk	= 5033,
-    X86_VPLZCNTQrmkz	= 5034,
-    X86_VPLZCNTQrr	= 5035,
-    X86_VPLZCNTQrrk	= 5036,
-    X86_VPLZCNTQrrkz	= 5037,
-    X86_VPMACSDDrm	= 5038,
-    X86_VPMACSDDrr	= 5039,
-    X86_VPMACSDQHrm	= 5040,
-    X86_VPMACSDQHrr	= 5041,
-    X86_VPMACSDQLrm	= 5042,
-    X86_VPMACSDQLrr	= 5043,
-    X86_VPMACSSDDrm	= 5044,
-    X86_VPMACSSDDrr	= 5045,
-    X86_VPMACSSDQHrm	= 5046,
-    X86_VPMACSSDQHrr	= 5047,
-    X86_VPMACSSDQLrm	= 5048,
-    X86_VPMACSSDQLrr	= 5049,
-    X86_VPMACSSWDrm	= 5050,
-    X86_VPMACSSWDrr	= 5051,
-    X86_VPMACSSWWrm	= 5052,
-    X86_VPMACSSWWrr	= 5053,
-    X86_VPMACSWDrm	= 5054,
-    X86_VPMACSWDrr	= 5055,
-    X86_VPMACSWWrm	= 5056,
-    X86_VPMACSWWrr	= 5057,
-    X86_VPMADCSSWDrm	= 5058,
-    X86_VPMADCSSWDrr	= 5059,
-    X86_VPMADCSWDrm	= 5060,
-    X86_VPMADCSWDrr	= 5061,
-    X86_VPMADDUBSWrm128	= 5062,
-    X86_VPMADDUBSWrm256	= 5063,
-    X86_VPMADDUBSWrr128	= 5064,
-    X86_VPMADDUBSWrr256	= 5065,
-    X86_VPMADDWDYrm	= 5066,
-    X86_VPMADDWDYrr	= 5067,
-    X86_VPMADDWDrm	= 5068,
-    X86_VPMADDWDrr	= 5069,
-    X86_VPMASKMOVDYmr	= 5070,
-    X86_VPMASKMOVDYrm	= 5071,
-    X86_VPMASKMOVDmr	= 5072,
-    X86_VPMASKMOVDrm	= 5073,
-    X86_VPMASKMOVQYmr	= 5074,
-    X86_VPMASKMOVQYrm	= 5075,
-    X86_VPMASKMOVQmr	= 5076,
-    X86_VPMASKMOVQrm	= 5077,
-    X86_VPMAXSBYrm	= 5078,
-    X86_VPMAXSBYrr	= 5079,
-    X86_VPMAXSBrm	= 5080,
-    X86_VPMAXSBrr	= 5081,
-    X86_VPMAXSDYrm	= 5082,
-    X86_VPMAXSDYrr	= 5083,
-    X86_VPMAXSDZrm	= 5084,
-    X86_VPMAXSDZrmb	= 5085,
-    X86_VPMAXSDZrmbk	= 5086,
-    X86_VPMAXSDZrmbkz	= 5087,
-    X86_VPMAXSDZrmk	= 5088,
-    X86_VPMAXSDZrmkz	= 5089,
-    X86_VPMAXSDZrr	= 5090,
-    X86_VPMAXSDZrrk	= 5091,
-    X86_VPMAXSDZrrkz	= 5092,
-    X86_VPMAXSDrm	= 5093,
-    X86_VPMAXSDrr	= 5094,
-    X86_VPMAXSQZrm	= 5095,
-    X86_VPMAXSQZrmb	= 5096,
-    X86_VPMAXSQZrmbk	= 5097,
-    X86_VPMAXSQZrmbkz	= 5098,
-    X86_VPMAXSQZrmk	= 5099,
-    X86_VPMAXSQZrmkz	= 5100,
-    X86_VPMAXSQZrr	= 5101,
-    X86_VPMAXSQZrrk	= 5102,
-    X86_VPMAXSQZrrkz	= 5103,
-    X86_VPMAXSWYrm	= 5104,
-    X86_VPMAXSWYrr	= 5105,
-    X86_VPMAXSWrm	= 5106,
-    X86_VPMAXSWrr	= 5107,
-    X86_VPMAXUBYrm	= 5108,
-    X86_VPMAXUBYrr	= 5109,
-    X86_VPMAXUBrm	= 5110,
-    X86_VPMAXUBrr	= 5111,
-    X86_VPMAXUDYrm	= 5112,
-    X86_VPMAXUDYrr	= 5113,
-    X86_VPMAXUDZrm	= 5114,
-    X86_VPMAXUDZrmb	= 5115,
-    X86_VPMAXUDZrmbk	= 5116,
-    X86_VPMAXUDZrmbkz	= 5117,
-    X86_VPMAXUDZrmk	= 5118,
-    X86_VPMAXUDZrmkz	= 5119,
-    X86_VPMAXUDZrr	= 5120,
-    X86_VPMAXUDZrrk	= 5121,
-    X86_VPMAXUDZrrkz	= 5122,
-    X86_VPMAXUDrm	= 5123,
-    X86_VPMAXUDrr	= 5124,
-    X86_VPMAXUQZrm	= 5125,
-    X86_VPMAXUQZrmb	= 5126,
-    X86_VPMAXUQZrmbk	= 5127,
-    X86_VPMAXUQZrmbkz	= 5128,
-    X86_VPMAXUQZrmk	= 5129,
-    X86_VPMAXUQZrmkz	= 5130,
-    X86_VPMAXUQZrr	= 5131,
-    X86_VPMAXUQZrrk	= 5132,
-    X86_VPMAXUQZrrkz	= 5133,
-    X86_VPMAXUWYrm	= 5134,
-    X86_VPMAXUWYrr	= 5135,
-    X86_VPMAXUWrm	= 5136,
-    X86_VPMAXUWrr	= 5137,
-    X86_VPMINSBYrm	= 5138,
-    X86_VPMINSBYrr	= 5139,
-    X86_VPMINSBrm	= 5140,
-    X86_VPMINSBrr	= 5141,
-    X86_VPMINSDYrm	= 5142,
-    X86_VPMINSDYrr	= 5143,
-    X86_VPMINSDZrm	= 5144,
-    X86_VPMINSDZrmb	= 5145,
-    X86_VPMINSDZrmbk	= 5146,
-    X86_VPMINSDZrmbkz	= 5147,
-    X86_VPMINSDZrmk	= 5148,
-    X86_VPMINSDZrmkz	= 5149,
-    X86_VPMINSDZrr	= 5150,
-    X86_VPMINSDZrrk	= 5151,
-    X86_VPMINSDZrrkz	= 5152,
-    X86_VPMINSDrm	= 5153,
-    X86_VPMINSDrr	= 5154,
-    X86_VPMINSQZrm	= 5155,
-    X86_VPMINSQZrmb	= 5156,
-    X86_VPMINSQZrmbk	= 5157,
-    X86_VPMINSQZrmbkz	= 5158,
-    X86_VPMINSQZrmk	= 5159,
-    X86_VPMINSQZrmkz	= 5160,
-    X86_VPMINSQZrr	= 5161,
-    X86_VPMINSQZrrk	= 5162,
-    X86_VPMINSQZrrkz	= 5163,
-    X86_VPMINSWYrm	= 5164,
-    X86_VPMINSWYrr	= 5165,
-    X86_VPMINSWrm	= 5166,
-    X86_VPMINSWrr	= 5167,
-    X86_VPMINUBYrm	= 5168,
-    X86_VPMINUBYrr	= 5169,
-    X86_VPMINUBrm	= 5170,
-    X86_VPMINUBrr	= 5171,
-    X86_VPMINUDYrm	= 5172,
-    X86_VPMINUDYrr	= 5173,
-    X86_VPMINUDZrm	= 5174,
-    X86_VPMINUDZrmb	= 5175,
-    X86_VPMINUDZrmbk	= 5176,
-    X86_VPMINUDZrmbkz	= 5177,
-    X86_VPMINUDZrmk	= 5178,
-    X86_VPMINUDZrmkz	= 5179,
-    X86_VPMINUDZrr	= 5180,
-    X86_VPMINUDZrrk	= 5181,
-    X86_VPMINUDZrrkz	= 5182,
-    X86_VPMINUDrm	= 5183,
-    X86_VPMINUDrr	= 5184,
-    X86_VPMINUQZrm	= 5185,
-    X86_VPMINUQZrmb	= 5186,
-    X86_VPMINUQZrmbk	= 5187,
-    X86_VPMINUQZrmbkz	= 5188,
-    X86_VPMINUQZrmk	= 5189,
-    X86_VPMINUQZrmkz	= 5190,
-    X86_VPMINUQZrr	= 5191,
-    X86_VPMINUQZrrk	= 5192,
-    X86_VPMINUQZrrkz	= 5193,
-    X86_VPMINUWYrm	= 5194,
-    X86_VPMINUWYrr	= 5195,
-    X86_VPMINUWrm	= 5196,
-    X86_VPMINUWrr	= 5197,
-    X86_VPMOVDBmr	= 5198,
-    X86_VPMOVDBmrk	= 5199,
-    X86_VPMOVDBrr	= 5200,
-    X86_VPMOVDBrrk	= 5201,
-    X86_VPMOVDBrrkz	= 5202,
-    X86_VPMOVDWmr	= 5203,
-    X86_VPMOVDWmrk	= 5204,
-    X86_VPMOVDWrr	= 5205,
-    X86_VPMOVDWrrk	= 5206,
-    X86_VPMOVDWrrkz	= 5207,
-    X86_VPMOVMSKBYrr	= 5208,
-    X86_VPMOVMSKBrr	= 5209,
-    X86_VPMOVQBmr	= 5210,
-    X86_VPMOVQBmrk	= 5211,
-    X86_VPMOVQBrr	= 5212,
-    X86_VPMOVQBrrk	= 5213,
-    X86_VPMOVQBrrkz	= 5214,
-    X86_VPMOVQDmr	= 5215,
-    X86_VPMOVQDmrk	= 5216,
-    X86_VPMOVQDrr	= 5217,
-    X86_VPMOVQDrrk	= 5218,
-    X86_VPMOVQDrrkz	= 5219,
-    X86_VPMOVQWmr	= 5220,
-    X86_VPMOVQWmrk	= 5221,
-    X86_VPMOVQWrr	= 5222,
-    X86_VPMOVQWrrk	= 5223,
-    X86_VPMOVQWrrkz	= 5224,
-    X86_VPMOVSDBmr	= 5225,
-    X86_VPMOVSDBmrk	= 5226,
-    X86_VPMOVSDBrr	= 5227,
-    X86_VPMOVSDBrrk	= 5228,
-    X86_VPMOVSDBrrkz	= 5229,
-    X86_VPMOVSDWmr	= 5230,
-    X86_VPMOVSDWmrk	= 5231,
-    X86_VPMOVSDWrr	= 5232,
-    X86_VPMOVSDWrrk	= 5233,
-    X86_VPMOVSDWrrkz	= 5234,
-    X86_VPMOVSQBmr	= 5235,
-    X86_VPMOVSQBmrk	= 5236,
-    X86_VPMOVSQBrr	= 5237,
-    X86_VPMOVSQBrrk	= 5238,
-    X86_VPMOVSQBrrkz	= 5239,
-    X86_VPMOVSQDmr	= 5240,
-    X86_VPMOVSQDmrk	= 5241,
-    X86_VPMOVSQDrr	= 5242,
-    X86_VPMOVSQDrrk	= 5243,
-    X86_VPMOVSQDrrkz	= 5244,
-    X86_VPMOVSQWmr	= 5245,
-    X86_VPMOVSQWmrk	= 5246,
-    X86_VPMOVSQWrr	= 5247,
-    X86_VPMOVSQWrrk	= 5248,
-    X86_VPMOVSQWrrkz	= 5249,
-    X86_VPMOVSXBDYrm	= 5250,
-    X86_VPMOVSXBDYrr	= 5251,
-    X86_VPMOVSXBDZrm	= 5252,
-    X86_VPMOVSXBDZrmk	= 5253,
-    X86_VPMOVSXBDZrmkz	= 5254,
-    X86_VPMOVSXBDZrr	= 5255,
-    X86_VPMOVSXBDZrrk	= 5256,
-    X86_VPMOVSXBDZrrkz	= 5257,
-    X86_VPMOVSXBDrm	= 5258,
-    X86_VPMOVSXBDrr	= 5259,
-    X86_VPMOVSXBQYrm	= 5260,
-    X86_VPMOVSXBQYrr	= 5261,
-    X86_VPMOVSXBQZrm	= 5262,
-    X86_VPMOVSXBQZrmk	= 5263,
-    X86_VPMOVSXBQZrmkz	= 5264,
-    X86_VPMOVSXBQZrr	= 5265,
-    X86_VPMOVSXBQZrrk	= 5266,
-    X86_VPMOVSXBQZrrkz	= 5267,
-    X86_VPMOVSXBQrm	= 5268,
-    X86_VPMOVSXBQrr	= 5269,
-    X86_VPMOVSXBWYrm	= 5270,
-    X86_VPMOVSXBWYrr	= 5271,
-    X86_VPMOVSXBWrm	= 5272,
-    X86_VPMOVSXBWrr	= 5273,
-    X86_VPMOVSXDQYrm	= 5274,
-    X86_VPMOVSXDQYrr	= 5275,
-    X86_VPMOVSXDQZrm	= 5276,
-    X86_VPMOVSXDQZrmk	= 5277,
-    X86_VPMOVSXDQZrmkz	= 5278,
-    X86_VPMOVSXDQZrr	= 5279,
-    X86_VPMOVSXDQZrrk	= 5280,
-    X86_VPMOVSXDQZrrkz	= 5281,
-    X86_VPMOVSXDQrm	= 5282,
-    X86_VPMOVSXDQrr	= 5283,
-    X86_VPMOVSXWDYrm	= 5284,
-    X86_VPMOVSXWDYrr	= 5285,
-    X86_VPMOVSXWDZrm	= 5286,
-    X86_VPMOVSXWDZrmk	= 5287,
-    X86_VPMOVSXWDZrmkz	= 5288,
-    X86_VPMOVSXWDZrr	= 5289,
-    X86_VPMOVSXWDZrrk	= 5290,
-    X86_VPMOVSXWDZrrkz	= 5291,
-    X86_VPMOVSXWDrm	= 5292,
-    X86_VPMOVSXWDrr	= 5293,
-    X86_VPMOVSXWQYrm	= 5294,
-    X86_VPMOVSXWQYrr	= 5295,
-    X86_VPMOVSXWQZrm	= 5296,
-    X86_VPMOVSXWQZrmk	= 5297,
-    X86_VPMOVSXWQZrmkz	= 5298,
-    X86_VPMOVSXWQZrr	= 5299,
-    X86_VPMOVSXWQZrrk	= 5300,
-    X86_VPMOVSXWQZrrkz	= 5301,
-    X86_VPMOVSXWQrm	= 5302,
-    X86_VPMOVSXWQrr	= 5303,
-    X86_VPMOVUSDBmr	= 5304,
-    X86_VPMOVUSDBmrk	= 5305,
-    X86_VPMOVUSDBrr	= 5306,
-    X86_VPMOVUSDBrrk	= 5307,
-    X86_VPMOVUSDBrrkz	= 5308,
-    X86_VPMOVUSDWmr	= 5309,
-    X86_VPMOVUSDWmrk	= 5310,
-    X86_VPMOVUSDWrr	= 5311,
-    X86_VPMOVUSDWrrk	= 5312,
-    X86_VPMOVUSDWrrkz	= 5313,
-    X86_VPMOVUSQBmr	= 5314,
-    X86_VPMOVUSQBmrk	= 5315,
-    X86_VPMOVUSQBrr	= 5316,
-    X86_VPMOVUSQBrrk	= 5317,
-    X86_VPMOVUSQBrrkz	= 5318,
-    X86_VPMOVUSQDmr	= 5319,
-    X86_VPMOVUSQDmrk	= 5320,
-    X86_VPMOVUSQDrr	= 5321,
-    X86_VPMOVUSQDrrk	= 5322,
-    X86_VPMOVUSQDrrkz	= 5323,
-    X86_VPMOVUSQWmr	= 5324,
-    X86_VPMOVUSQWmrk	= 5325,
-    X86_VPMOVUSQWrr	= 5326,
-    X86_VPMOVUSQWrrk	= 5327,
-    X86_VPMOVUSQWrrkz	= 5328,
-    X86_VPMOVZXBDYrm	= 5329,
-    X86_VPMOVZXBDYrr	= 5330,
-    X86_VPMOVZXBDZrm	= 5331,
-    X86_VPMOVZXBDZrmk	= 5332,
-    X86_VPMOVZXBDZrmkz	= 5333,
-    X86_VPMOVZXBDZrr	= 5334,
-    X86_VPMOVZXBDZrrk	= 5335,
-    X86_VPMOVZXBDZrrkz	= 5336,
-    X86_VPMOVZXBDrm	= 5337,
-    X86_VPMOVZXBDrr	= 5338,
-    X86_VPMOVZXBQYrm	= 5339,
-    X86_VPMOVZXBQYrr	= 5340,
-    X86_VPMOVZXBQZrm	= 5341,
-    X86_VPMOVZXBQZrmk	= 5342,
-    X86_VPMOVZXBQZrmkz	= 5343,
-    X86_VPMOVZXBQZrr	= 5344,
-    X86_VPMOVZXBQZrrk	= 5345,
-    X86_VPMOVZXBQZrrkz	= 5346,
-    X86_VPMOVZXBQrm	= 5347,
-    X86_VPMOVZXBQrr	= 5348,
-    X86_VPMOVZXBWYrm	= 5349,
-    X86_VPMOVZXBWYrr	= 5350,
-    X86_VPMOVZXBWrm	= 5351,
-    X86_VPMOVZXBWrr	= 5352,
-    X86_VPMOVZXDQYrm	= 5353,
-    X86_VPMOVZXDQYrr	= 5354,
-    X86_VPMOVZXDQZrm	= 5355,
-    X86_VPMOVZXDQZrmk	= 5356,
-    X86_VPMOVZXDQZrmkz	= 5357,
-    X86_VPMOVZXDQZrr	= 5358,
-    X86_VPMOVZXDQZrrk	= 5359,
-    X86_VPMOVZXDQZrrkz	= 5360,
-    X86_VPMOVZXDQrm	= 5361,
-    X86_VPMOVZXDQrr	= 5362,
-    X86_VPMOVZXWDYrm	= 5363,
-    X86_VPMOVZXWDYrr	= 5364,
-    X86_VPMOVZXWDZrm	= 5365,
-    X86_VPMOVZXWDZrmk	= 5366,
-    X86_VPMOVZXWDZrmkz	= 5367,
-    X86_VPMOVZXWDZrr	= 5368,
-    X86_VPMOVZXWDZrrk	= 5369,
-    X86_VPMOVZXWDZrrkz	= 5370,
-    X86_VPMOVZXWDrm	= 5371,
-    X86_VPMOVZXWDrr	= 5372,
-    X86_VPMOVZXWQYrm	= 5373,
-    X86_VPMOVZXWQYrr	= 5374,
-    X86_VPMOVZXWQZrm	= 5375,
-    X86_VPMOVZXWQZrmk	= 5376,
-    X86_VPMOVZXWQZrmkz	= 5377,
-    X86_VPMOVZXWQZrr	= 5378,
-    X86_VPMOVZXWQZrrk	= 5379,
-    X86_VPMOVZXWQZrrkz	= 5380,
-    X86_VPMOVZXWQrm	= 5381,
-    X86_VPMOVZXWQrr	= 5382,
-    X86_VPMULDQYrm	= 5383,
-    X86_VPMULDQYrr	= 5384,
-    X86_VPMULDQZrm	= 5385,
-    X86_VPMULDQZrmb	= 5386,
-    X86_VPMULDQZrmbk	= 5387,
-    X86_VPMULDQZrmbkz	= 5388,
-    X86_VPMULDQZrmk	= 5389,
-    X86_VPMULDQZrmkz	= 5390,
-    X86_VPMULDQZrr	= 5391,
-    X86_VPMULDQZrrk	= 5392,
-    X86_VPMULDQZrrkz	= 5393,
-    X86_VPMULDQrm	= 5394,
-    X86_VPMULDQrr	= 5395,
-    X86_VPMULHRSWrm128	= 5396,
-    X86_VPMULHRSWrm256	= 5397,
-    X86_VPMULHRSWrr128	= 5398,
-    X86_VPMULHRSWrr256	= 5399,
-    X86_VPMULHUWYrm	= 5400,
-    X86_VPMULHUWYrr	= 5401,
-    X86_VPMULHUWrm	= 5402,
-    X86_VPMULHUWrr	= 5403,
-    X86_VPMULHWYrm	= 5404,
-    X86_VPMULHWYrr	= 5405,
-    X86_VPMULHWrm	= 5406,
-    X86_VPMULHWrr	= 5407,
-    X86_VPMULLDYrm	= 5408,
-    X86_VPMULLDYrr	= 5409,
-    X86_VPMULLDZrm	= 5410,
-    X86_VPMULLDZrmb	= 5411,
-    X86_VPMULLDZrmbk	= 5412,
-    X86_VPMULLDZrmbkz	= 5413,
-    X86_VPMULLDZrmk	= 5414,
-    X86_VPMULLDZrmkz	= 5415,
-    X86_VPMULLDZrr	= 5416,
-    X86_VPMULLDZrrk	= 5417,
-    X86_VPMULLDZrrkz	= 5418,
-    X86_VPMULLDrm	= 5419,
-    X86_VPMULLDrr	= 5420,
-    X86_VPMULLWYrm	= 5421,
-    X86_VPMULLWYrr	= 5422,
-    X86_VPMULLWrm	= 5423,
-    X86_VPMULLWrr	= 5424,
-    X86_VPMULUDQYrm	= 5425,
-    X86_VPMULUDQYrr	= 5426,
-    X86_VPMULUDQZrm	= 5427,
-    X86_VPMULUDQZrmb	= 5428,
-    X86_VPMULUDQZrmbk	= 5429,
-    X86_VPMULUDQZrmbkz	= 5430,
-    X86_VPMULUDQZrmk	= 5431,
-    X86_VPMULUDQZrmkz	= 5432,
-    X86_VPMULUDQZrr	= 5433,
-    X86_VPMULUDQZrrk	= 5434,
-    X86_VPMULUDQZrrkz	= 5435,
-    X86_VPMULUDQrm	= 5436,
-    X86_VPMULUDQrr	= 5437,
-    X86_VPORDZrm	= 5438,
-    X86_VPORDZrmb	= 5439,
-    X86_VPORDZrmbk	= 5440,
-    X86_VPORDZrmbkz	= 5441,
-    X86_VPORDZrmk	= 5442,
-    X86_VPORDZrmkz	= 5443,
-    X86_VPORDZrr	= 5444,
-    X86_VPORDZrrk	= 5445,
-    X86_VPORDZrrkz	= 5446,
-    X86_VPORQZrm	= 5447,
-    X86_VPORQZrmb	= 5448,
-    X86_VPORQZrmbk	= 5449,
-    X86_VPORQZrmbkz	= 5450,
-    X86_VPORQZrmk	= 5451,
-    X86_VPORQZrmkz	= 5452,
-    X86_VPORQZrr	= 5453,
-    X86_VPORQZrrk	= 5454,
-    X86_VPORQZrrkz	= 5455,
-    X86_VPORYrm	= 5456,
-    X86_VPORYrr	= 5457,
-    X86_VPORrm	= 5458,
-    X86_VPORrr	= 5459,
-    X86_VPPERMmr	= 5460,
-    X86_VPPERMrm	= 5461,
-    X86_VPPERMrr	= 5462,
-    X86_VPROTBmi	= 5463,
-    X86_VPROTBmr	= 5464,
-    X86_VPROTBri	= 5465,
-    X86_VPROTBrm	= 5466,
-    X86_VPROTBrr	= 5467,
-    X86_VPROTDmi	= 5468,
-    X86_VPROTDmr	= 5469,
-    X86_VPROTDri	= 5470,
-    X86_VPROTDrm	= 5471,
-    X86_VPROTDrr	= 5472,
-    X86_VPROTQmi	= 5473,
-    X86_VPROTQmr	= 5474,
-    X86_VPROTQri	= 5475,
-    X86_VPROTQrm	= 5476,
-    X86_VPROTQrr	= 5477,
-    X86_VPROTWmi	= 5478,
-    X86_VPROTWmr	= 5479,
-    X86_VPROTWri	= 5480,
-    X86_VPROTWrm	= 5481,
-    X86_VPROTWrr	= 5482,
-    X86_VPSADBWYrm	= 5483,
-    X86_VPSADBWYrr	= 5484,
-    X86_VPSADBWrm	= 5485,
-    X86_VPSADBWrr	= 5486,
-    X86_VPSCATTERDDZmr	= 5487,
-    X86_VPSCATTERDQZmr	= 5488,
-    X86_VPSCATTERQDZmr	= 5489,
-    X86_VPSCATTERQQZmr	= 5490,
-    X86_VPSHABmr	= 5491,
-    X86_VPSHABrm	= 5492,
-    X86_VPSHABrr	= 5493,
-    X86_VPSHADmr	= 5494,
-    X86_VPSHADrm	= 5495,
-    X86_VPSHADrr	= 5496,
-    X86_VPSHAQmr	= 5497,
-    X86_VPSHAQrm	= 5498,
-    X86_VPSHAQrr	= 5499,
-    X86_VPSHAWmr	= 5500,
-    X86_VPSHAWrm	= 5501,
-    X86_VPSHAWrr	= 5502,
-    X86_VPSHLBmr	= 5503,
-    X86_VPSHLBrm	= 5504,
-    X86_VPSHLBrr	= 5505,
-    X86_VPSHLDmr	= 5506,
-    X86_VPSHLDrm	= 5507,
-    X86_VPSHLDrr	= 5508,
-    X86_VPSHLQmr	= 5509,
-    X86_VPSHLQrm	= 5510,
-    X86_VPSHLQrr	= 5511,
-    X86_VPSHLWmr	= 5512,
-    X86_VPSHLWrm	= 5513,
-    X86_VPSHLWrr	= 5514,
-    X86_VPSHUFBYrm	= 5515,
-    X86_VPSHUFBYrr	= 5516,
-    X86_VPSHUFBrm	= 5517,
-    X86_VPSHUFBrr	= 5518,
-    X86_VPSHUFDYmi	= 5519,
-    X86_VPSHUFDYri	= 5520,
-    X86_VPSHUFDZmi	= 5521,
-    X86_VPSHUFDZri	= 5522,
-    X86_VPSHUFDmi	= 5523,
-    X86_VPSHUFDri	= 5524,
-    X86_VPSHUFHWYmi	= 5525,
-    X86_VPSHUFHWYri	= 5526,
-    X86_VPSHUFHWmi	= 5527,
-    X86_VPSHUFHWri	= 5528,
-    X86_VPSHUFLWYmi	= 5529,
-    X86_VPSHUFLWYri	= 5530,
-    X86_VPSHUFLWmi	= 5531,
-    X86_VPSHUFLWri	= 5532,
-    X86_VPSIGNBYrm	= 5533,
-    X86_VPSIGNBYrr	= 5534,
-    X86_VPSIGNBrm	= 5535,
-    X86_VPSIGNBrr	= 5536,
-    X86_VPSIGNDYrm	= 5537,
-    X86_VPSIGNDYrr	= 5538,
-    X86_VPSIGNDrm	= 5539,
-    X86_VPSIGNDrr	= 5540,
-    X86_VPSIGNWYrm	= 5541,
-    X86_VPSIGNWYrr	= 5542,
-    X86_VPSIGNWrm	= 5543,
-    X86_VPSIGNWrr	= 5544,
-    X86_VPSLLDQYri	= 5545,
-    X86_VPSLLDQri	= 5546,
-    X86_VPSLLDYri	= 5547,
-    X86_VPSLLDYrm	= 5548,
-    X86_VPSLLDYrr	= 5549,
-    X86_VPSLLDZmi	= 5550,
-    X86_VPSLLDZmik	= 5551,
-    X86_VPSLLDZri	= 5552,
-    X86_VPSLLDZrik	= 5553,
-    X86_VPSLLDZrm	= 5554,
-    X86_VPSLLDZrmk	= 5555,
-    X86_VPSLLDZrr	= 5556,
-    X86_VPSLLDZrrk	= 5557,
-    X86_VPSLLDri	= 5558,
-    X86_VPSLLDrm	= 5559,
-    X86_VPSLLDrr	= 5560,
-    X86_VPSLLQYri	= 5561,
-    X86_VPSLLQYrm	= 5562,
-    X86_VPSLLQYrr	= 5563,
-    X86_VPSLLQZmi	= 5564,
-    X86_VPSLLQZmik	= 5565,
-    X86_VPSLLQZri	= 5566,
-    X86_VPSLLQZrik	= 5567,
-    X86_VPSLLQZrm	= 5568,
-    X86_VPSLLQZrmk	= 5569,
-    X86_VPSLLQZrr	= 5570,
-    X86_VPSLLQZrrk	= 5571,
-    X86_VPSLLQri	= 5572,
-    X86_VPSLLQrm	= 5573,
-    X86_VPSLLQrr	= 5574,
-    X86_VPSLLVDYrm	= 5575,
-    X86_VPSLLVDYrr	= 5576,
-    X86_VPSLLVDZrm	= 5577,
-    X86_VPSLLVDZrr	= 5578,
-    X86_VPSLLVDrm	= 5579,
-    X86_VPSLLVDrr	= 5580,
-    X86_VPSLLVQYrm	= 5581,
-    X86_VPSLLVQYrr	= 5582,
-    X86_VPSLLVQZrm	= 5583,
-    X86_VPSLLVQZrr	= 5584,
-    X86_VPSLLVQrm	= 5585,
-    X86_VPSLLVQrr	= 5586,
-    X86_VPSLLWYri	= 5587,
-    X86_VPSLLWYrm	= 5588,
-    X86_VPSLLWYrr	= 5589,
-    X86_VPSLLWri	= 5590,
-    X86_VPSLLWrm	= 5591,
-    X86_VPSLLWrr	= 5592,
-    X86_VPSRADYri	= 5593,
-    X86_VPSRADYrm	= 5594,
-    X86_VPSRADYrr	= 5595,
-    X86_VPSRADZmi	= 5596,
-    X86_VPSRADZmik	= 5597,
-    X86_VPSRADZri	= 5598,
-    X86_VPSRADZrik	= 5599,
-    X86_VPSRADZrm	= 5600,
-    X86_VPSRADZrmk	= 5601,
-    X86_VPSRADZrr	= 5602,
-    X86_VPSRADZrrk	= 5603,
-    X86_VPSRADri	= 5604,
-    X86_VPSRADrm	= 5605,
-    X86_VPSRADrr	= 5606,
-    X86_VPSRAQZmi	= 5607,
-    X86_VPSRAQZmik	= 5608,
-    X86_VPSRAQZri	= 5609,
-    X86_VPSRAQZrik	= 5610,
-    X86_VPSRAQZrm	= 5611,
-    X86_VPSRAQZrmk	= 5612,
-    X86_VPSRAQZrr	= 5613,
-    X86_VPSRAQZrrk	= 5614,
-    X86_VPSRAVDYrm	= 5615,
-    X86_VPSRAVDYrr	= 5616,
-    X86_VPSRAVDZrm	= 5617,
-    X86_VPSRAVDZrr	= 5618,
-    X86_VPSRAVDrm	= 5619,
-    X86_VPSRAVDrr	= 5620,
-    X86_VPSRAVQZrm	= 5621,
-    X86_VPSRAVQZrr	= 5622,
-    X86_VPSRAWYri	= 5623,
-    X86_VPSRAWYrm	= 5624,
-    X86_VPSRAWYrr	= 5625,
-    X86_VPSRAWri	= 5626,
-    X86_VPSRAWrm	= 5627,
-    X86_VPSRAWrr	= 5628,
-    X86_VPSRLDQYri	= 5629,
-    X86_VPSRLDQri	= 5630,
-    X86_VPSRLDYri	= 5631,
-    X86_VPSRLDYrm	= 5632,
-    X86_VPSRLDYrr	= 5633,
-    X86_VPSRLDZmi	= 5634,
-    X86_VPSRLDZmik	= 5635,
-    X86_VPSRLDZri	= 5636,
-    X86_VPSRLDZrik	= 5637,
-    X86_VPSRLDZrm	= 5638,
-    X86_VPSRLDZrmk	= 5639,
-    X86_VPSRLDZrr	= 5640,
-    X86_VPSRLDZrrk	= 5641,
-    X86_VPSRLDri	= 5642,
-    X86_VPSRLDrm	= 5643,
-    X86_VPSRLDrr	= 5644,
-    X86_VPSRLQYri	= 5645,
-    X86_VPSRLQYrm	= 5646,
-    X86_VPSRLQYrr	= 5647,
-    X86_VPSRLQZmi	= 5648,
-    X86_VPSRLQZmik	= 5649,
-    X86_VPSRLQZri	= 5650,
-    X86_VPSRLQZrik	= 5651,
-    X86_VPSRLQZrm	= 5652,
-    X86_VPSRLQZrmk	= 5653,
-    X86_VPSRLQZrr	= 5654,
-    X86_VPSRLQZrrk	= 5655,
-    X86_VPSRLQri	= 5656,
-    X86_VPSRLQrm	= 5657,
-    X86_VPSRLQrr	= 5658,
-    X86_VPSRLVDYrm	= 5659,
-    X86_VPSRLVDYrr	= 5660,
-    X86_VPSRLVDZrm	= 5661,
-    X86_VPSRLVDZrr	= 5662,
-    X86_VPSRLVDrm	= 5663,
-    X86_VPSRLVDrr	= 5664,
-    X86_VPSRLVQYrm	= 5665,
-    X86_VPSRLVQYrr	= 5666,
-    X86_VPSRLVQZrm	= 5667,
-    X86_VPSRLVQZrr	= 5668,
-    X86_VPSRLVQrm	= 5669,
-    X86_VPSRLVQrr	= 5670,
-    X86_VPSRLWYri	= 5671,
-    X86_VPSRLWYrm	= 5672,
-    X86_VPSRLWYrr	= 5673,
-    X86_VPSRLWri	= 5674,
-    X86_VPSRLWrm	= 5675,
-    X86_VPSRLWrr	= 5676,
-    X86_VPSUBBYrm	= 5677,
-    X86_VPSUBBYrr	= 5678,
-    X86_VPSUBBrm	= 5679,
-    X86_VPSUBBrr	= 5680,
-    X86_VPSUBDYrm	= 5681,
-    X86_VPSUBDYrr	= 5682,
-    X86_VPSUBDZrm	= 5683,
-    X86_VPSUBDZrmb	= 5684,
-    X86_VPSUBDZrmbk	= 5685,
-    X86_VPSUBDZrmbkz	= 5686,
-    X86_VPSUBDZrmk	= 5687,
-    X86_VPSUBDZrmkz	= 5688,
-    X86_VPSUBDZrr	= 5689,
-    X86_VPSUBDZrrk	= 5690,
-    X86_VPSUBDZrrkz	= 5691,
-    X86_VPSUBDrm	= 5692,
-    X86_VPSUBDrr	= 5693,
-    X86_VPSUBQYrm	= 5694,
-    X86_VPSUBQYrr	= 5695,
-    X86_VPSUBQZrm	= 5696,
-    X86_VPSUBQZrmb	= 5697,
-    X86_VPSUBQZrmbk	= 5698,
-    X86_VPSUBQZrmbkz	= 5699,
-    X86_VPSUBQZrmk	= 5700,
-    X86_VPSUBQZrmkz	= 5701,
-    X86_VPSUBQZrr	= 5702,
-    X86_VPSUBQZrrk	= 5703,
-    X86_VPSUBQZrrkz	= 5704,
-    X86_VPSUBQrm	= 5705,
-    X86_VPSUBQrr	= 5706,
-    X86_VPSUBSBYrm	= 5707,
-    X86_VPSUBSBYrr	= 5708,
-    X86_VPSUBSBrm	= 5709,
-    X86_VPSUBSBrr	= 5710,
-    X86_VPSUBSWYrm	= 5711,
-    X86_VPSUBSWYrr	= 5712,
-    X86_VPSUBSWrm	= 5713,
-    X86_VPSUBSWrr	= 5714,
-    X86_VPSUBUSBYrm	= 5715,
-    X86_VPSUBUSBYrr	= 5716,
-    X86_VPSUBUSBrm	= 5717,
-    X86_VPSUBUSBrr	= 5718,
-    X86_VPSUBUSWYrm	= 5719,
-    X86_VPSUBUSWYrr	= 5720,
-    X86_VPSUBUSWrm	= 5721,
-    X86_VPSUBUSWrr	= 5722,
-    X86_VPSUBWYrm	= 5723,
-    X86_VPSUBWYrr	= 5724,
-    X86_VPSUBWrm	= 5725,
-    X86_VPSUBWrr	= 5726,
-    X86_VPTESTMDZrm	= 5727,
-    X86_VPTESTMDZrr	= 5728,
-    X86_VPTESTMQZrm	= 5729,
-    X86_VPTESTMQZrr	= 5730,
-    X86_VPTESTNMDZrm	= 5731,
-    X86_VPTESTNMDZrr	= 5732,
-    X86_VPTESTNMQZrm	= 5733,
-    X86_VPTESTNMQZrr	= 5734,
-    X86_VPTESTYrm	= 5735,
-    X86_VPTESTYrr	= 5736,
-    X86_VPTESTrm	= 5737,
-    X86_VPTESTrr	= 5738,
-    X86_VPUNPCKHBWYrm	= 5739,
-    X86_VPUNPCKHBWYrr	= 5740,
-    X86_VPUNPCKHBWrm	= 5741,
-    X86_VPUNPCKHBWrr	= 5742,
-    X86_VPUNPCKHDQYrm	= 5743,
-    X86_VPUNPCKHDQYrr	= 5744,
-    X86_VPUNPCKHDQZrm	= 5745,
-    X86_VPUNPCKHDQZrr	= 5746,
-    X86_VPUNPCKHDQrm	= 5747,
-    X86_VPUNPCKHDQrr	= 5748,
-    X86_VPUNPCKHQDQYrm	= 5749,
-    X86_VPUNPCKHQDQYrr	= 5750,
-    X86_VPUNPCKHQDQZrm	= 5751,
-    X86_VPUNPCKHQDQZrr	= 5752,
-    X86_VPUNPCKHQDQrm	= 5753,
-    X86_VPUNPCKHQDQrr	= 5754,
-    X86_VPUNPCKHWDYrm	= 5755,
-    X86_VPUNPCKHWDYrr	= 5756,
-    X86_VPUNPCKHWDrm	= 5757,
-    X86_VPUNPCKHWDrr	= 5758,
-    X86_VPUNPCKLBWYrm	= 5759,
-    X86_VPUNPCKLBWYrr	= 5760,
-    X86_VPUNPCKLBWrm	= 5761,
-    X86_VPUNPCKLBWrr	= 5762,
-    X86_VPUNPCKLDQYrm	= 5763,
-    X86_VPUNPCKLDQYrr	= 5764,
-    X86_VPUNPCKLDQZrm	= 5765,
-    X86_VPUNPCKLDQZrr	= 5766,
-    X86_VPUNPCKLDQrm	= 5767,
-    X86_VPUNPCKLDQrr	= 5768,
-    X86_VPUNPCKLQDQYrm	= 5769,
-    X86_VPUNPCKLQDQYrr	= 5770,
-    X86_VPUNPCKLQDQZrm	= 5771,
-    X86_VPUNPCKLQDQZrr	= 5772,
-    X86_VPUNPCKLQDQrm	= 5773,
-    X86_VPUNPCKLQDQrr	= 5774,
-    X86_VPUNPCKLWDYrm	= 5775,
-    X86_VPUNPCKLWDYrr	= 5776,
-    X86_VPUNPCKLWDrm	= 5777,
-    X86_VPUNPCKLWDrr	= 5778,
-    X86_VPXORDZrm	= 5779,
-    X86_VPXORDZrmb	= 5780,
-    X86_VPXORDZrmbk	= 5781,
-    X86_VPXORDZrmbkz	= 5782,
-    X86_VPXORDZrmk	= 5783,
-    X86_VPXORDZrmkz	= 5784,
-    X86_VPXORDZrr	= 5785,
-    X86_VPXORDZrrk	= 5786,
-    X86_VPXORDZrrkz	= 5787,
-    X86_VPXORQZrm	= 5788,
-    X86_VPXORQZrmb	= 5789,
-    X86_VPXORQZrmbk	= 5790,
-    X86_VPXORQZrmbkz	= 5791,
-    X86_VPXORQZrmk	= 5792,
-    X86_VPXORQZrmkz	= 5793,
-    X86_VPXORQZrr	= 5794,
-    X86_VPXORQZrrk	= 5795,
-    X86_VPXORQZrrkz	= 5796,
-    X86_VPXORYrm	= 5797,
-    X86_VPXORYrr	= 5798,
-    X86_VPXORrm	= 5799,
-    X86_VPXORrr	= 5800,
-    X86_VRCP14PDZm	= 5801,
-    X86_VRCP14PDZr	= 5802,
-    X86_VRCP14PSZm	= 5803,
-    X86_VRCP14PSZr	= 5804,
-    X86_VRCP14SDrm	= 5805,
-    X86_VRCP14SDrr	= 5806,
-    X86_VRCP14SSrm	= 5807,
-    X86_VRCP14SSrr	= 5808,
-    X86_VRCP28PDZm	= 5809,
-    X86_VRCP28PDZr	= 5810,
-    X86_VRCP28PDZrb	= 5811,
-    X86_VRCP28PSZm	= 5812,
-    X86_VRCP28PSZr	= 5813,
-    X86_VRCP28PSZrb	= 5814,
-    X86_VRCP28SDrm	= 5815,
-    X86_VRCP28SDrr	= 5816,
-    X86_VRCP28SDrrb	= 5817,
-    X86_VRCP28SSrm	= 5818,
-    X86_VRCP28SSrr	= 5819,
-    X86_VRCP28SSrrb	= 5820,
-    X86_VRCPPSYm	= 5821,
-    X86_VRCPPSYm_Int	= 5822,
-    X86_VRCPPSYr	= 5823,
-    X86_VRCPPSYr_Int	= 5824,
-    X86_VRCPPSm	= 5825,
-    X86_VRCPPSm_Int	= 5826,
-    X86_VRCPPSr	= 5827,
-    X86_VRCPPSr_Int	= 5828,
-    X86_VRCPSSm	= 5829,
-    X86_VRCPSSm_Int	= 5830,
-    X86_VRCPSSr	= 5831,
-    X86_VRNDSCALEPDZm	= 5832,
-    X86_VRNDSCALEPDZr	= 5833,
-    X86_VRNDSCALEPSZm	= 5834,
-    X86_VRNDSCALEPSZr	= 5835,
-    X86_VRNDSCALESDm	= 5836,
-    X86_VRNDSCALESDr	= 5837,
-    X86_VRNDSCALESSm	= 5838,
-    X86_VRNDSCALESSr	= 5839,
-    X86_VROUNDPDm	= 5840,
-    X86_VROUNDPDr	= 5841,
-    X86_VROUNDPSm	= 5842,
-    X86_VROUNDPSr	= 5843,
-    X86_VROUNDSDm	= 5844,
-    X86_VROUNDSDr	= 5845,
-    X86_VROUNDSDr_Int	= 5846,
-    X86_VROUNDSSm	= 5847,
-    X86_VROUNDSSr	= 5848,
-    X86_VROUNDSSr_Int	= 5849,
-    X86_VROUNDYPDm	= 5850,
-    X86_VROUNDYPDr	= 5851,
-    X86_VROUNDYPSm	= 5852,
-    X86_VROUNDYPSr	= 5853,
-    X86_VRSQRT14PDZm	= 5854,
-    X86_VRSQRT14PDZr	= 5855,
-    X86_VRSQRT14PSZm	= 5856,
-    X86_VRSQRT14PSZr	= 5857,
-    X86_VRSQRT14SDrm	= 5858,
-    X86_VRSQRT14SDrr	= 5859,
-    X86_VRSQRT14SSrm	= 5860,
-    X86_VRSQRT14SSrr	= 5861,
-    X86_VRSQRT28PDZm	= 5862,
-    X86_VRSQRT28PDZr	= 5863,
-    X86_VRSQRT28PDZrb	= 5864,
-    X86_VRSQRT28PSZm	= 5865,
-    X86_VRSQRT28PSZr	= 5866,
-    X86_VRSQRT28PSZrb	= 5867,
-    X86_VRSQRT28SDrm	= 5868,
-    X86_VRSQRT28SDrr	= 5869,
-    X86_VRSQRT28SDrrb	= 5870,
-    X86_VRSQRT28SSrm	= 5871,
-    X86_VRSQRT28SSrr	= 5872,
-    X86_VRSQRT28SSrrb	= 5873,
-    X86_VRSQRTPSYm	= 5874,
-    X86_VRSQRTPSYm_Int	= 5875,
-    X86_VRSQRTPSYr	= 5876,
-    X86_VRSQRTPSYr_Int	= 5877,
-    X86_VRSQRTPSm	= 5878,
-    X86_VRSQRTPSm_Int	= 5879,
-    X86_VRSQRTPSr	= 5880,
-    X86_VRSQRTPSr_Int	= 5881,
-    X86_VRSQRTSSm	= 5882,
-    X86_VRSQRTSSm_Int	= 5883,
-    X86_VRSQRTSSr	= 5884,
-    X86_VSCATTERDPDZmr	= 5885,
-    X86_VSCATTERDPSZmr	= 5886,
-    X86_VSCATTERPF0DPDm	= 5887,
-    X86_VSCATTERPF0DPSm	= 5888,
-    X86_VSCATTERPF0QPDm	= 5889,
-    X86_VSCATTERPF0QPSm	= 5890,
-    X86_VSCATTERPF1DPDm	= 5891,
-    X86_VSCATTERPF1DPSm	= 5892,
-    X86_VSCATTERPF1QPDm	= 5893,
-    X86_VSCATTERPF1QPSm	= 5894,
-    X86_VSCATTERQPDZmr	= 5895,
-    X86_VSCATTERQPSZmr	= 5896,
-    X86_VSHUFPDYrmi	= 5897,
-    X86_VSHUFPDYrri	= 5898,
-    X86_VSHUFPDZrmi	= 5899,
-    X86_VSHUFPDZrri	= 5900,
-    X86_VSHUFPDrmi	= 5901,
-    X86_VSHUFPDrri	= 5902,
-    X86_VSHUFPSYrmi	= 5903,
-    X86_VSHUFPSYrri	= 5904,
-    X86_VSHUFPSZrmi	= 5905,
-    X86_VSHUFPSZrri	= 5906,
-    X86_VSHUFPSrmi	= 5907,
-    X86_VSHUFPSrri	= 5908,
-    X86_VSQRTPDYm	= 5909,
-    X86_VSQRTPDYr	= 5910,
-    X86_VSQRTPDZrm	= 5911,
-    X86_VSQRTPDZrr	= 5912,
-    X86_VSQRTPDm	= 5913,
-    X86_VSQRTPDr	= 5914,
-    X86_VSQRTPSYm	= 5915,
-    X86_VSQRTPSYr	= 5916,
-    X86_VSQRTPSZrm	= 5917,
-    X86_VSQRTPSZrr	= 5918,
-    X86_VSQRTPSm	= 5919,
-    X86_VSQRTPSr	= 5920,
-    X86_VSQRTSDZm	= 5921,
-    X86_VSQRTSDZm_Int	= 5922,
-    X86_VSQRTSDZr	= 5923,
-    X86_VSQRTSDZr_Int	= 5924,
-    X86_VSQRTSDm	= 5925,
-    X86_VSQRTSDm_Int	= 5926,
-    X86_VSQRTSDr	= 5927,
-    X86_VSQRTSSZm	= 5928,
-    X86_VSQRTSSZm_Int	= 5929,
-    X86_VSQRTSSZr	= 5930,
-    X86_VSQRTSSZr_Int	= 5931,
-    X86_VSQRTSSm	= 5932,
-    X86_VSQRTSSm_Int	= 5933,
-    X86_VSQRTSSr	= 5934,
-    X86_VSTMXCSR	= 5935,
-    X86_VSUBPDYrm	= 5936,
-    X86_VSUBPDYrr	= 5937,
-    X86_VSUBPDZrm	= 5938,
-    X86_VSUBPDZrmb	= 5939,
-    X86_VSUBPDZrmbk	= 5940,
-    X86_VSUBPDZrmbkz	= 5941,
-    X86_VSUBPDZrmk	= 5942,
-    X86_VSUBPDZrmkz	= 5943,
-    X86_VSUBPDZrr	= 5944,
-    X86_VSUBPDZrrk	= 5945,
-    X86_VSUBPDZrrkz	= 5946,
-    X86_VSUBPDrm	= 5947,
-    X86_VSUBPDrr	= 5948,
-    X86_VSUBPSYrm	= 5949,
-    X86_VSUBPSYrr	= 5950,
-    X86_VSUBPSZrm	= 5951,
-    X86_VSUBPSZrmb	= 5952,
-    X86_VSUBPSZrmbk	= 5953,
-    X86_VSUBPSZrmbkz	= 5954,
-    X86_VSUBPSZrmk	= 5955,
-    X86_VSUBPSZrmkz	= 5956,
-    X86_VSUBPSZrr	= 5957,
-    X86_VSUBPSZrrk	= 5958,
-    X86_VSUBPSZrrkz	= 5959,
-    X86_VSUBPSrm	= 5960,
-    X86_VSUBPSrr	= 5961,
-    X86_VSUBSDZrm	= 5962,
-    X86_VSUBSDZrr	= 5963,
-    X86_VSUBSDrm	= 5964,
-    X86_VSUBSDrm_Int	= 5965,
-    X86_VSUBSDrr	= 5966,
-    X86_VSUBSDrr_Int	= 5967,
-    X86_VSUBSSZrm	= 5968,
-    X86_VSUBSSZrr	= 5969,
-    X86_VSUBSSrm	= 5970,
-    X86_VSUBSSrm_Int	= 5971,
-    X86_VSUBSSrr	= 5972,
-    X86_VSUBSSrr_Int	= 5973,
-    X86_VTESTPDYrm	= 5974,
-    X86_VTESTPDYrr	= 5975,
-    X86_VTESTPDrm	= 5976,
-    X86_VTESTPDrr	= 5977,
-    X86_VTESTPSYrm	= 5978,
-    X86_VTESTPSYrr	= 5979,
-    X86_VTESTPSrm	= 5980,
-    X86_VTESTPSrr	= 5981,
-    X86_VUCOMISDZrm	= 5982,
-    X86_VUCOMISDZrr	= 5983,
-    X86_VUCOMISDrm	= 5984,
-    X86_VUCOMISDrr	= 5985,
-    X86_VUCOMISSZrm	= 5986,
-    X86_VUCOMISSZrr	= 5987,
-    X86_VUCOMISSrm	= 5988,
-    X86_VUCOMISSrr	= 5989,
-    X86_VUNPCKHPDYrm	= 5990,
-    X86_VUNPCKHPDYrr	= 5991,
-    X86_VUNPCKHPDZrm	= 5992,
-    X86_VUNPCKHPDZrr	= 5993,
-    X86_VUNPCKHPDrm	= 5994,
-    X86_VUNPCKHPDrr	= 5995,
-    X86_VUNPCKHPSYrm	= 5996,
-    X86_VUNPCKHPSYrr	= 5997,
-    X86_VUNPCKHPSZrm	= 5998,
-    X86_VUNPCKHPSZrr	= 5999,
-    X86_VUNPCKHPSrm	= 6000,
-    X86_VUNPCKHPSrr	= 6001,
-    X86_VUNPCKLPDYrm	= 6002,
-    X86_VUNPCKLPDYrr	= 6003,
-    X86_VUNPCKLPDZrm	= 6004,
-    X86_VUNPCKLPDZrr	= 6005,
-    X86_VUNPCKLPDrm	= 6006,
-    X86_VUNPCKLPDrr	= 6007,
-    X86_VUNPCKLPSYrm	= 6008,
-    X86_VUNPCKLPSYrr	= 6009,
-    X86_VUNPCKLPSZrm	= 6010,
-    X86_VUNPCKLPSZrr	= 6011,
-    X86_VUNPCKLPSrm	= 6012,
-    X86_VUNPCKLPSrr	= 6013,
-    X86_VXORPDYrm	= 6014,
-    X86_VXORPDYrr	= 6015,
-    X86_VXORPDrm	= 6016,
-    X86_VXORPDrr	= 6017,
-    X86_VXORPSYrm	= 6018,
-    X86_VXORPSYrr	= 6019,
-    X86_VXORPSrm	= 6020,
-    X86_VXORPSrr	= 6021,
-    X86_VZEROALL	= 6022,
-    X86_VZEROUPPER	= 6023,
-    X86_V_SET0	= 6024,
-    X86_V_SETALLONES	= 6025,
-    X86_W64ALLOCA	= 6026,
-    X86_WAIT	= 6027,
-    X86_WBINVD	= 6028,
-    X86_WIN_ALLOCA	= 6029,
-    X86_WIN_FTOL_32	= 6030,
-    X86_WIN_FTOL_64	= 6031,
-    X86_WRFSBASE	= 6032,
-    X86_WRFSBASE64	= 6033,
-    X86_WRGSBASE	= 6034,
-    X86_WRGSBASE64	= 6035,
-    X86_WRMSR	= 6036,
-    X86_XABORT	= 6037,
-    X86_XACQUIRE_PREFIX	= 6038,
-    X86_XADD16rm	= 6039,
-    X86_XADD16rr	= 6040,
-    X86_XADD32rm	= 6041,
-    X86_XADD32rr	= 6042,
-    X86_XADD64rm	= 6043,
-    X86_XADD64rr	= 6044,
-    X86_XADD8rm	= 6045,
-    X86_XADD8rr	= 6046,
-    X86_XBEGIN	= 6047,
-    X86_XBEGIN_4	= 6048,
-    X86_XCHG16ar	= 6049,
-    X86_XCHG16rm	= 6050,
-    X86_XCHG16rr	= 6051,
-    X86_XCHG32ar	= 6052,
-    X86_XCHG32ar64	= 6053,
-    X86_XCHG32rm	= 6054,
-    X86_XCHG32rr	= 6055,
-    X86_XCHG64ar	= 6056,
-    X86_XCHG64rm	= 6057,
-    X86_XCHG64rr	= 6058,
-    X86_XCHG8rm	= 6059,
-    X86_XCHG8rr	= 6060,
-    X86_XCH_F	= 6061,
-    X86_XCRYPTCBC	= 6062,
-    X86_XCRYPTCFB	= 6063,
-    X86_XCRYPTCTR	= 6064,
-    X86_XCRYPTECB	= 6065,
-    X86_XCRYPTOFB	= 6066,
-    X86_XEND	= 6067,
-    X86_XGETBV	= 6068,
-    X86_XLAT	= 6069,
-    X86_XOR16i16	= 6070,
-    X86_XOR16mi	= 6071,
-    X86_XOR16mi8	= 6072,
-    X86_XOR16mr	= 6073,
-    X86_XOR16ri	= 6074,
-    X86_XOR16ri8	= 6075,
-    X86_XOR16rm	= 6076,
-    X86_XOR16rr	= 6077,
-    X86_XOR16rr_REV	= 6078,
-    X86_XOR32i32	= 6079,
-    X86_XOR32mi	= 6080,
-    X86_XOR32mi8	= 6081,
-    X86_XOR32mr	= 6082,
-    X86_XOR32ri	= 6083,
-    X86_XOR32ri8	= 6084,
-    X86_XOR32rm	= 6085,
-    X86_XOR32rr	= 6086,
-    X86_XOR32rr_REV	= 6087,
-    X86_XOR64i32	= 6088,
-    X86_XOR64mi32	= 6089,
-    X86_XOR64mi8	= 6090,
-    X86_XOR64mr	= 6091,
-    X86_XOR64ri32	= 6092,
-    X86_XOR64ri8	= 6093,
-    X86_XOR64rm	= 6094,
-    X86_XOR64rr	= 6095,
-    X86_XOR64rr_REV	= 6096,
-    X86_XOR8i8	= 6097,
-    X86_XOR8mi	= 6098,
-    X86_XOR8mr	= 6099,
-    X86_XOR8ri	= 6100,
-    X86_XOR8ri8	= 6101,
-    X86_XOR8rm	= 6102,
-    X86_XOR8rr	= 6103,
-    X86_XOR8rr_REV	= 6104,
-    X86_XORPDrm	= 6105,
-    X86_XORPDrr	= 6106,
-    X86_XORPSrm	= 6107,
-    X86_XORPSrr	= 6108,
-    X86_XRELEASE_PREFIX	= 6109,
-    X86_XRSTOR	= 6110,
-    X86_XRSTOR64	= 6111,
-    X86_XSAVE	= 6112,
-    X86_XSAVE64	= 6113,
-    X86_XSAVEOPT	= 6114,
-    X86_XSAVEOPT64	= 6115,
-    X86_XSETBV	= 6116,
-    X86_XSHA1	= 6117,
-    X86_XSHA256	= 6118,
-    X86_XSTORE	= 6119,
-    X86_XTEST	= 6120,
-    X86_INSTRUCTION_LIST_END = 6121
+    X86_VFMADDSUB213PSZrk	= 3434,
+    X86_VFMADDSUB213PSZrkz	= 3435,
+    X86_VFMADDSUBPD4mr	= 3436,
+    X86_VFMADDSUBPD4mrY	= 3437,
+    X86_VFMADDSUBPD4rm	= 3438,
+    X86_VFMADDSUBPD4rmY	= 3439,
+    X86_VFMADDSUBPD4rr	= 3440,
+    X86_VFMADDSUBPD4rrY	= 3441,
+    X86_VFMADDSUBPD4rrY_REV	= 3442,
+    X86_VFMADDSUBPD4rr_REV	= 3443,
+    X86_VFMADDSUBPDr132m	= 3444,
+    X86_VFMADDSUBPDr132mY	= 3445,
+    X86_VFMADDSUBPDr132r	= 3446,
+    X86_VFMADDSUBPDr132rY	= 3447,
+    X86_VFMADDSUBPDr213m	= 3448,
+    X86_VFMADDSUBPDr213mY	= 3449,
+    X86_VFMADDSUBPDr213r	= 3450,
+    X86_VFMADDSUBPDr213rY	= 3451,
+    X86_VFMADDSUBPDr231m	= 3452,
+    X86_VFMADDSUBPDr231mY	= 3453,
+    X86_VFMADDSUBPDr231r	= 3454,
+    X86_VFMADDSUBPDr231rY	= 3455,
+    X86_VFMADDSUBPS4mr	= 3456,
+    X86_VFMADDSUBPS4mrY	= 3457,
+    X86_VFMADDSUBPS4rm	= 3458,
+    X86_VFMADDSUBPS4rmY	= 3459,
+    X86_VFMADDSUBPS4rr	= 3460,
+    X86_VFMADDSUBPS4rrY	= 3461,
+    X86_VFMADDSUBPS4rrY_REV	= 3462,
+    X86_VFMADDSUBPS4rr_REV	= 3463,
+    X86_VFMADDSUBPSr132m	= 3464,
+    X86_VFMADDSUBPSr132mY	= 3465,
+    X86_VFMADDSUBPSr132r	= 3466,
+    X86_VFMADDSUBPSr132rY	= 3467,
+    X86_VFMADDSUBPSr213m	= 3468,
+    X86_VFMADDSUBPSr213mY	= 3469,
+    X86_VFMADDSUBPSr213r	= 3470,
+    X86_VFMADDSUBPSr213rY	= 3471,
+    X86_VFMADDSUBPSr231m	= 3472,
+    X86_VFMADDSUBPSr231mY	= 3473,
+    X86_VFMADDSUBPSr231r	= 3474,
+    X86_VFMADDSUBPSr231rY	= 3475,
+    X86_VFMSUB132PDZm	= 3476,
+    X86_VFMSUB132PDZmb	= 3477,
+    X86_VFMSUB132PSZm	= 3478,
+    X86_VFMSUB132PSZmb	= 3479,
+    X86_VFMSUB213PDZm	= 3480,
+    X86_VFMSUB213PDZmb	= 3481,
+    X86_VFMSUB213PDZr	= 3482,
+    X86_VFMSUB213PDZrk	= 3483,
+    X86_VFMSUB213PDZrkz	= 3484,
+    X86_VFMSUB213PSZm	= 3485,
+    X86_VFMSUB213PSZmb	= 3486,
+    X86_VFMSUB213PSZr	= 3487,
+    X86_VFMSUB213PSZrk	= 3488,
+    X86_VFMSUB213PSZrkz	= 3489,
+    X86_VFMSUBADD132PDZm	= 3490,
+    X86_VFMSUBADD132PDZmb	= 3491,
+    X86_VFMSUBADD132PSZm	= 3492,
+    X86_VFMSUBADD132PSZmb	= 3493,
+    X86_VFMSUBADD213PDZm	= 3494,
+    X86_VFMSUBADD213PDZmb	= 3495,
+    X86_VFMSUBADD213PDZr	= 3496,
+    X86_VFMSUBADD213PDZrk	= 3497,
+    X86_VFMSUBADD213PDZrkz	= 3498,
+    X86_VFMSUBADD213PSZm	= 3499,
+    X86_VFMSUBADD213PSZmb	= 3500,
+    X86_VFMSUBADD213PSZr	= 3501,
+    X86_VFMSUBADD213PSZrk	= 3502,
+    X86_VFMSUBADD213PSZrkz	= 3503,
+    X86_VFMSUBADDPD4mr	= 3504,
+    X86_VFMSUBADDPD4mrY	= 3505,
+    X86_VFMSUBADDPD4rm	= 3506,
+    X86_VFMSUBADDPD4rmY	= 3507,
+    X86_VFMSUBADDPD4rr	= 3508,
+    X86_VFMSUBADDPD4rrY	= 3509,
+    X86_VFMSUBADDPD4rrY_REV	= 3510,
+    X86_VFMSUBADDPD4rr_REV	= 3511,
+    X86_VFMSUBADDPDr132m	= 3512,
+    X86_VFMSUBADDPDr132mY	= 3513,
+    X86_VFMSUBADDPDr132r	= 3514,
+    X86_VFMSUBADDPDr132rY	= 3515,
+    X86_VFMSUBADDPDr213m	= 3516,
+    X86_VFMSUBADDPDr213mY	= 3517,
+    X86_VFMSUBADDPDr213r	= 3518,
+    X86_VFMSUBADDPDr213rY	= 3519,
+    X86_VFMSUBADDPDr231m	= 3520,
+    X86_VFMSUBADDPDr231mY	= 3521,
+    X86_VFMSUBADDPDr231r	= 3522,
+    X86_VFMSUBADDPDr231rY	= 3523,
+    X86_VFMSUBADDPS4mr	= 3524,
+    X86_VFMSUBADDPS4mrY	= 3525,
+    X86_VFMSUBADDPS4rm	= 3526,
+    X86_VFMSUBADDPS4rmY	= 3527,
+    X86_VFMSUBADDPS4rr	= 3528,
+    X86_VFMSUBADDPS4rrY	= 3529,
+    X86_VFMSUBADDPS4rrY_REV	= 3530,
+    X86_VFMSUBADDPS4rr_REV	= 3531,
+    X86_VFMSUBADDPSr132m	= 3532,
+    X86_VFMSUBADDPSr132mY	= 3533,
+    X86_VFMSUBADDPSr132r	= 3534,
+    X86_VFMSUBADDPSr132rY	= 3535,
+    X86_VFMSUBADDPSr213m	= 3536,
+    X86_VFMSUBADDPSr213mY	= 3537,
+    X86_VFMSUBADDPSr213r	= 3538,
+    X86_VFMSUBADDPSr213rY	= 3539,
+    X86_VFMSUBADDPSr231m	= 3540,
+    X86_VFMSUBADDPSr231mY	= 3541,
+    X86_VFMSUBADDPSr231r	= 3542,
+    X86_VFMSUBADDPSr231rY	= 3543,
+    X86_VFMSUBPD4mr	= 3544,
+    X86_VFMSUBPD4mrY	= 3545,
+    X86_VFMSUBPD4rm	= 3546,
+    X86_VFMSUBPD4rmY	= 3547,
+    X86_VFMSUBPD4rr	= 3548,
+    X86_VFMSUBPD4rrY	= 3549,
+    X86_VFMSUBPD4rrY_REV	= 3550,
+    X86_VFMSUBPD4rr_REV	= 3551,
+    X86_VFMSUBPDr132m	= 3552,
+    X86_VFMSUBPDr132mY	= 3553,
+    X86_VFMSUBPDr132r	= 3554,
+    X86_VFMSUBPDr132rY	= 3555,
+    X86_VFMSUBPDr213m	= 3556,
+    X86_VFMSUBPDr213mY	= 3557,
+    X86_VFMSUBPDr213r	= 3558,
+    X86_VFMSUBPDr213rY	= 3559,
+    X86_VFMSUBPDr231m	= 3560,
+    X86_VFMSUBPDr231mY	= 3561,
+    X86_VFMSUBPDr231r	= 3562,
+    X86_VFMSUBPDr231rY	= 3563,
+    X86_VFMSUBPS4mr	= 3564,
+    X86_VFMSUBPS4mrY	= 3565,
+    X86_VFMSUBPS4rm	= 3566,
+    X86_VFMSUBPS4rmY	= 3567,
+    X86_VFMSUBPS4rr	= 3568,
+    X86_VFMSUBPS4rrY	= 3569,
+    X86_VFMSUBPS4rrY_REV	= 3570,
+    X86_VFMSUBPS4rr_REV	= 3571,
+    X86_VFMSUBPSr132m	= 3572,
+    X86_VFMSUBPSr132mY	= 3573,
+    X86_VFMSUBPSr132r	= 3574,
+    X86_VFMSUBPSr132rY	= 3575,
+    X86_VFMSUBPSr213m	= 3576,
+    X86_VFMSUBPSr213mY	= 3577,
+    X86_VFMSUBPSr213r	= 3578,
+    X86_VFMSUBPSr213rY	= 3579,
+    X86_VFMSUBPSr231m	= 3580,
+    X86_VFMSUBPSr231mY	= 3581,
+    X86_VFMSUBPSr231r	= 3582,
+    X86_VFMSUBPSr231rY	= 3583,
+    X86_VFMSUBSD4mr	= 3584,
+    X86_VFMSUBSD4mr_Int	= 3585,
+    X86_VFMSUBSD4rm	= 3586,
+    X86_VFMSUBSD4rm_Int	= 3587,
+    X86_VFMSUBSD4rr	= 3588,
+    X86_VFMSUBSD4rr_Int	= 3589,
+    X86_VFMSUBSD4rr_REV	= 3590,
+    X86_VFMSUBSDZm	= 3591,
+    X86_VFMSUBSDZr	= 3592,
+    X86_VFMSUBSDr132m	= 3593,
+    X86_VFMSUBSDr132r	= 3594,
+    X86_VFMSUBSDr213m	= 3595,
+    X86_VFMSUBSDr213r	= 3596,
+    X86_VFMSUBSDr231m	= 3597,
+    X86_VFMSUBSDr231r	= 3598,
+    X86_VFMSUBSS4mr	= 3599,
+    X86_VFMSUBSS4mr_Int	= 3600,
+    X86_VFMSUBSS4rm	= 3601,
+    X86_VFMSUBSS4rm_Int	= 3602,
+    X86_VFMSUBSS4rr	= 3603,
+    X86_VFMSUBSS4rr_Int	= 3604,
+    X86_VFMSUBSS4rr_REV	= 3605,
+    X86_VFMSUBSSZm	= 3606,
+    X86_VFMSUBSSZr	= 3607,
+    X86_VFMSUBSSr132m	= 3608,
+    X86_VFMSUBSSr132r	= 3609,
+    X86_VFMSUBSSr213m	= 3610,
+    X86_VFMSUBSSr213r	= 3611,
+    X86_VFMSUBSSr231m	= 3612,
+    X86_VFMSUBSSr231r	= 3613,
+    X86_VFNMADD132PDZm	= 3614,
+    X86_VFNMADD132PDZmb	= 3615,
+    X86_VFNMADD132PSZm	= 3616,
+    X86_VFNMADD132PSZmb	= 3617,
+    X86_VFNMADD213PDZm	= 3618,
+    X86_VFNMADD213PDZmb	= 3619,
+    X86_VFNMADD213PDZr	= 3620,
+    X86_VFNMADD213PDZrk	= 3621,
+    X86_VFNMADD213PDZrkz	= 3622,
+    X86_VFNMADD213PSZm	= 3623,
+    X86_VFNMADD213PSZmb	= 3624,
+    X86_VFNMADD213PSZr	= 3625,
+    X86_VFNMADD213PSZrk	= 3626,
+    X86_VFNMADD213PSZrkz	= 3627,
+    X86_VFNMADDPD4mr	= 3628,
+    X86_VFNMADDPD4mrY	= 3629,
+    X86_VFNMADDPD4rm	= 3630,
+    X86_VFNMADDPD4rmY	= 3631,
+    X86_VFNMADDPD4rr	= 3632,
+    X86_VFNMADDPD4rrY	= 3633,
+    X86_VFNMADDPD4rrY_REV	= 3634,
+    X86_VFNMADDPD4rr_REV	= 3635,
+    X86_VFNMADDPDr132m	= 3636,
+    X86_VFNMADDPDr132mY	= 3637,
+    X86_VFNMADDPDr132r	= 3638,
+    X86_VFNMADDPDr132rY	= 3639,
+    X86_VFNMADDPDr213m	= 3640,
+    X86_VFNMADDPDr213mY	= 3641,
+    X86_VFNMADDPDr213r	= 3642,
+    X86_VFNMADDPDr213rY	= 3643,
+    X86_VFNMADDPDr231m	= 3644,
+    X86_VFNMADDPDr231mY	= 3645,
+    X86_VFNMADDPDr231r	= 3646,
+    X86_VFNMADDPDr231rY	= 3647,
+    X86_VFNMADDPS4mr	= 3648,
+    X86_VFNMADDPS4mrY	= 3649,
+    X86_VFNMADDPS4rm	= 3650,
+    X86_VFNMADDPS4rmY	= 3651,
+    X86_VFNMADDPS4rr	= 3652,
+    X86_VFNMADDPS4rrY	= 3653,
+    X86_VFNMADDPS4rrY_REV	= 3654,
+    X86_VFNMADDPS4rr_REV	= 3655,
+    X86_VFNMADDPSr132m	= 3656,
+    X86_VFNMADDPSr132mY	= 3657,
+    X86_VFNMADDPSr132r	= 3658,
+    X86_VFNMADDPSr132rY	= 3659,
+    X86_VFNMADDPSr213m	= 3660,
+    X86_VFNMADDPSr213mY	= 3661,
+    X86_VFNMADDPSr213r	= 3662,
+    X86_VFNMADDPSr213rY	= 3663,
+    X86_VFNMADDPSr231m	= 3664,
+    X86_VFNMADDPSr231mY	= 3665,
+    X86_VFNMADDPSr231r	= 3666,
+    X86_VFNMADDPSr231rY	= 3667,
+    X86_VFNMADDSD4mr	= 3668,
+    X86_VFNMADDSD4mr_Int	= 3669,
+    X86_VFNMADDSD4rm	= 3670,
+    X86_VFNMADDSD4rm_Int	= 3671,
+    X86_VFNMADDSD4rr	= 3672,
+    X86_VFNMADDSD4rr_Int	= 3673,
+    X86_VFNMADDSD4rr_REV	= 3674,
+    X86_VFNMADDSDZm	= 3675,
+    X86_VFNMADDSDZr	= 3676,
+    X86_VFNMADDSDr132m	= 3677,
+    X86_VFNMADDSDr132r	= 3678,
+    X86_VFNMADDSDr213m	= 3679,
+    X86_VFNMADDSDr213r	= 3680,
+    X86_VFNMADDSDr231m	= 3681,
+    X86_VFNMADDSDr231r	= 3682,
+    X86_VFNMADDSS4mr	= 3683,
+    X86_VFNMADDSS4mr_Int	= 3684,
+    X86_VFNMADDSS4rm	= 3685,
+    X86_VFNMADDSS4rm_Int	= 3686,
+    X86_VFNMADDSS4rr	= 3687,
+    X86_VFNMADDSS4rr_Int	= 3688,
+    X86_VFNMADDSS4rr_REV	= 3689,
+    X86_VFNMADDSSZm	= 3690,
+    X86_VFNMADDSSZr	= 3691,
+    X86_VFNMADDSSr132m	= 3692,
+    X86_VFNMADDSSr132r	= 3693,
+    X86_VFNMADDSSr213m	= 3694,
+    X86_VFNMADDSSr213r	= 3695,
+    X86_VFNMADDSSr231m	= 3696,
+    X86_VFNMADDSSr231r	= 3697,
+    X86_VFNMSUB132PDZm	= 3698,
+    X86_VFNMSUB132PDZmb	= 3699,
+    X86_VFNMSUB132PSZm	= 3700,
+    X86_VFNMSUB132PSZmb	= 3701,
+    X86_VFNMSUB213PDZm	= 3702,
+    X86_VFNMSUB213PDZmb	= 3703,
+    X86_VFNMSUB213PDZr	= 3704,
+    X86_VFNMSUB213PDZrk	= 3705,
+    X86_VFNMSUB213PDZrkz	= 3706,
+    X86_VFNMSUB213PSZm	= 3707,
+    X86_VFNMSUB213PSZmb	= 3708,
+    X86_VFNMSUB213PSZr	= 3709,
+    X86_VFNMSUB213PSZrk	= 3710,
+    X86_VFNMSUB213PSZrkz	= 3711,
+    X86_VFNMSUBPD4mr	= 3712,
+    X86_VFNMSUBPD4mrY	= 3713,
+    X86_VFNMSUBPD4rm	= 3714,
+    X86_VFNMSUBPD4rmY	= 3715,
+    X86_VFNMSUBPD4rr	= 3716,
+    X86_VFNMSUBPD4rrY	= 3717,
+    X86_VFNMSUBPD4rrY_REV	= 3718,
+    X86_VFNMSUBPD4rr_REV	= 3719,
+    X86_VFNMSUBPDr132m	= 3720,
+    X86_VFNMSUBPDr132mY	= 3721,
+    X86_VFNMSUBPDr132r	= 3722,
+    X86_VFNMSUBPDr132rY	= 3723,
+    X86_VFNMSUBPDr213m	= 3724,
+    X86_VFNMSUBPDr213mY	= 3725,
+    X86_VFNMSUBPDr213r	= 3726,
+    X86_VFNMSUBPDr213rY	= 3727,
+    X86_VFNMSUBPDr231m	= 3728,
+    X86_VFNMSUBPDr231mY	= 3729,
+    X86_VFNMSUBPDr231r	= 3730,
+    X86_VFNMSUBPDr231rY	= 3731,
+    X86_VFNMSUBPS4mr	= 3732,
+    X86_VFNMSUBPS4mrY	= 3733,
+    X86_VFNMSUBPS4rm	= 3734,
+    X86_VFNMSUBPS4rmY	= 3735,
+    X86_VFNMSUBPS4rr	= 3736,
+    X86_VFNMSUBPS4rrY	= 3737,
+    X86_VFNMSUBPS4rrY_REV	= 3738,
+    X86_VFNMSUBPS4rr_REV	= 3739,
+    X86_VFNMSUBPSr132m	= 3740,
+    X86_VFNMSUBPSr132mY	= 3741,
+    X86_VFNMSUBPSr132r	= 3742,
+    X86_VFNMSUBPSr132rY	= 3743,
+    X86_VFNMSUBPSr213m	= 3744,
+    X86_VFNMSUBPSr213mY	= 3745,
+    X86_VFNMSUBPSr213r	= 3746,
+    X86_VFNMSUBPSr213rY	= 3747,
+    X86_VFNMSUBPSr231m	= 3748,
+    X86_VFNMSUBPSr231mY	= 3749,
+    X86_VFNMSUBPSr231r	= 3750,
+    X86_VFNMSUBPSr231rY	= 3751,
+    X86_VFNMSUBSD4mr	= 3752,
+    X86_VFNMSUBSD4mr_Int	= 3753,
+    X86_VFNMSUBSD4rm	= 3754,
+    X86_VFNMSUBSD4rm_Int	= 3755,
+    X86_VFNMSUBSD4rr	= 3756,
+    X86_VFNMSUBSD4rr_Int	= 3757,
+    X86_VFNMSUBSD4rr_REV	= 3758,
+    X86_VFNMSUBSDZm	= 3759,
+    X86_VFNMSUBSDZr	= 3760,
+    X86_VFNMSUBSDr132m	= 3761,
+    X86_VFNMSUBSDr132r	= 3762,
+    X86_VFNMSUBSDr213m	= 3763,
+    X86_VFNMSUBSDr213r	= 3764,
+    X86_VFNMSUBSDr231m	= 3765,
+    X86_VFNMSUBSDr231r	= 3766,
+    X86_VFNMSUBSS4mr	= 3767,
+    X86_VFNMSUBSS4mr_Int	= 3768,
+    X86_VFNMSUBSS4rm	= 3769,
+    X86_VFNMSUBSS4rm_Int	= 3770,
+    X86_VFNMSUBSS4rr	= 3771,
+    X86_VFNMSUBSS4rr_Int	= 3772,
+    X86_VFNMSUBSS4rr_REV	= 3773,
+    X86_VFNMSUBSSZm	= 3774,
+    X86_VFNMSUBSSZr	= 3775,
+    X86_VFNMSUBSSr132m	= 3776,
+    X86_VFNMSUBSSr132r	= 3777,
+    X86_VFNMSUBSSr213m	= 3778,
+    X86_VFNMSUBSSr213r	= 3779,
+    X86_VFNMSUBSSr231m	= 3780,
+    X86_VFNMSUBSSr231r	= 3781,
+    X86_VFRCZPDrm	= 3782,
+    X86_VFRCZPDrmY	= 3783,
+    X86_VFRCZPDrr	= 3784,
+    X86_VFRCZPDrrY	= 3785,
+    X86_VFRCZPSrm	= 3786,
+    X86_VFRCZPSrmY	= 3787,
+    X86_VFRCZPSrr	= 3788,
+    X86_VFRCZPSrrY	= 3789,
+    X86_VFRCZSDrm	= 3790,
+    X86_VFRCZSDrr	= 3791,
+    X86_VFRCZSSrm	= 3792,
+    X86_VFRCZSSrr	= 3793,
+    X86_VFsANDNPDrm	= 3794,
+    X86_VFsANDNPDrr	= 3795,
+    X86_VFsANDNPSrm	= 3796,
+    X86_VFsANDNPSrr	= 3797,
+    X86_VFsANDPDrm	= 3798,
+    X86_VFsANDPDrr	= 3799,
+    X86_VFsANDPSrm	= 3800,
+    X86_VFsANDPSrr	= 3801,
+    X86_VFsORPDrm	= 3802,
+    X86_VFsORPDrr	= 3803,
+    X86_VFsORPSrm	= 3804,
+    X86_VFsORPSrr	= 3805,
+    X86_VFsXORPDrm	= 3806,
+    X86_VFsXORPDrr	= 3807,
+    X86_VFsXORPSrm	= 3808,
+    X86_VFsXORPSrr	= 3809,
+    X86_VGATHERDPDYrm	= 3810,
+    X86_VGATHERDPDZrm	= 3811,
+    X86_VGATHERDPDrm	= 3812,
+    X86_VGATHERDPSYrm	= 3813,
+    X86_VGATHERDPSZrm	= 3814,
+    X86_VGATHERDPSrm	= 3815,
+    X86_VGATHERPF0DPDm	= 3816,
+    X86_VGATHERPF0DPSm	= 3817,
+    X86_VGATHERPF0QPDm	= 3818,
+    X86_VGATHERPF0QPSm	= 3819,
+    X86_VGATHERPF1DPDm	= 3820,
+    X86_VGATHERPF1DPSm	= 3821,
+    X86_VGATHERPF1QPDm	= 3822,
+    X86_VGATHERPF1QPSm	= 3823,
+    X86_VGATHERQPDYrm	= 3824,
+    X86_VGATHERQPDZrm	= 3825,
+    X86_VGATHERQPDrm	= 3826,
+    X86_VGATHERQPSYrm	= 3827,
+    X86_VGATHERQPSZrm	= 3828,
+    X86_VGATHERQPSrm	= 3829,
+    X86_VHADDPDYrm	= 3830,
+    X86_VHADDPDYrr	= 3831,
+    X86_VHADDPDrm	= 3832,
+    X86_VHADDPDrr	= 3833,
+    X86_VHADDPSYrm	= 3834,
+    X86_VHADDPSYrr	= 3835,
+    X86_VHADDPSrm	= 3836,
+    X86_VHADDPSrr	= 3837,
+    X86_VHSUBPDYrm	= 3838,
+    X86_VHSUBPDYrr	= 3839,
+    X86_VHSUBPDrm	= 3840,
+    X86_VHSUBPDrr	= 3841,
+    X86_VHSUBPSYrm	= 3842,
+    X86_VHSUBPSYrr	= 3843,
+    X86_VHSUBPSrm	= 3844,
+    X86_VHSUBPSrr	= 3845,
+    X86_VINSERTF128rm	= 3846,
+    X86_VINSERTF128rr	= 3847,
+    X86_VINSERTF32x4rm	= 3848,
+    X86_VINSERTF32x4rr	= 3849,
+    X86_VINSERTF64x4rm	= 3850,
+    X86_VINSERTF64x4rr	= 3851,
+    X86_VINSERTI128rm	= 3852,
+    X86_VINSERTI128rr	= 3853,
+    X86_VINSERTI32x4rm	= 3854,
+    X86_VINSERTI32x4rr	= 3855,
+    X86_VINSERTI64x4rm	= 3856,
+    X86_VINSERTI64x4rr	= 3857,
+    X86_VINSERTPSrm	= 3858,
+    X86_VINSERTPSrr	= 3859,
+    X86_VINSERTPSzrm	= 3860,
+    X86_VINSERTPSzrr	= 3861,
+    X86_VLDDQUYrm	= 3862,
+    X86_VLDDQUrm	= 3863,
+    X86_VLDMXCSR	= 3864,
+    X86_VMASKMOVDQU	= 3865,
+    X86_VMASKMOVDQU64	= 3866,
+    X86_VMASKMOVPDYmr	= 3867,
+    X86_VMASKMOVPDYrm	= 3868,
+    X86_VMASKMOVPDmr	= 3869,
+    X86_VMASKMOVPDrm	= 3870,
+    X86_VMASKMOVPSYmr	= 3871,
+    X86_VMASKMOVPSYrm	= 3872,
+    X86_VMASKMOVPSmr	= 3873,
+    X86_VMASKMOVPSrm	= 3874,
+    X86_VMAXCPDYrm	= 3875,
+    X86_VMAXCPDYrr	= 3876,
+    X86_VMAXCPDrm	= 3877,
+    X86_VMAXCPDrr	= 3878,
+    X86_VMAXCPSYrm	= 3879,
+    X86_VMAXCPSYrr	= 3880,
+    X86_VMAXCPSrm	= 3881,
+    X86_VMAXCPSrr	= 3882,
+    X86_VMAXCSDrm	= 3883,
+    X86_VMAXCSDrr	= 3884,
+    X86_VMAXCSSrm	= 3885,
+    X86_VMAXCSSrr	= 3886,
+    X86_VMAXPDYrm	= 3887,
+    X86_VMAXPDYrr	= 3888,
+    X86_VMAXPDZrm	= 3889,
+    X86_VMAXPDZrmb	= 3890,
+    X86_VMAXPDZrmbk	= 3891,
+    X86_VMAXPDZrmbkz	= 3892,
+    X86_VMAXPDZrmk	= 3893,
+    X86_VMAXPDZrmkz	= 3894,
+    X86_VMAXPDZrr	= 3895,
+    X86_VMAXPDZrrk	= 3896,
+    X86_VMAXPDZrrkz	= 3897,
+    X86_VMAXPDrm	= 3898,
+    X86_VMAXPDrr	= 3899,
+    X86_VMAXPSYrm	= 3900,
+    X86_VMAXPSYrr	= 3901,
+    X86_VMAXPSZrm	= 3902,
+    X86_VMAXPSZrmb	= 3903,
+    X86_VMAXPSZrmbk	= 3904,
+    X86_VMAXPSZrmbkz	= 3905,
+    X86_VMAXPSZrmk	= 3906,
+    X86_VMAXPSZrmkz	= 3907,
+    X86_VMAXPSZrr	= 3908,
+    X86_VMAXPSZrrk	= 3909,
+    X86_VMAXPSZrrkz	= 3910,
+    X86_VMAXPSrm	= 3911,
+    X86_VMAXPSrr	= 3912,
+    X86_VMAXSDZrm	= 3913,
+    X86_VMAXSDZrr	= 3914,
+    X86_VMAXSDrm	= 3915,
+    X86_VMAXSDrm_Int	= 3916,
+    X86_VMAXSDrr	= 3917,
+    X86_VMAXSDrr_Int	= 3918,
+    X86_VMAXSSZrm	= 3919,
+    X86_VMAXSSZrr	= 3920,
+    X86_VMAXSSrm	= 3921,
+    X86_VMAXSSrm_Int	= 3922,
+    X86_VMAXSSrr	= 3923,
+    X86_VMAXSSrr_Int	= 3924,
+    X86_VMCALL	= 3925,
+    X86_VMCLEARm	= 3926,
+    X86_VMFUNC	= 3927,
+    X86_VMINCPDYrm	= 3928,
+    X86_VMINCPDYrr	= 3929,
+    X86_VMINCPDrm	= 3930,
+    X86_VMINCPDrr	= 3931,
+    X86_VMINCPSYrm	= 3932,
+    X86_VMINCPSYrr	= 3933,
+    X86_VMINCPSrm	= 3934,
+    X86_VMINCPSrr	= 3935,
+    X86_VMINCSDrm	= 3936,
+    X86_VMINCSDrr	= 3937,
+    X86_VMINCSSrm	= 3938,
+    X86_VMINCSSrr	= 3939,
+    X86_VMINPDYrm	= 3940,
+    X86_VMINPDYrr	= 3941,
+    X86_VMINPDZrm	= 3942,
+    X86_VMINPDZrmb	= 3943,
+    X86_VMINPDZrmbk	= 3944,
+    X86_VMINPDZrmbkz	= 3945,
+    X86_VMINPDZrmk	= 3946,
+    X86_VMINPDZrmkz	= 3947,
+    X86_VMINPDZrr	= 3948,
+    X86_VMINPDZrrk	= 3949,
+    X86_VMINPDZrrkz	= 3950,
+    X86_VMINPDrm	= 3951,
+    X86_VMINPDrr	= 3952,
+    X86_VMINPSYrm	= 3953,
+    X86_VMINPSYrr	= 3954,
+    X86_VMINPSZrm	= 3955,
+    X86_VMINPSZrmb	= 3956,
+    X86_VMINPSZrmbk	= 3957,
+    X86_VMINPSZrmbkz	= 3958,
+    X86_VMINPSZrmk	= 3959,
+    X86_VMINPSZrmkz	= 3960,
+    X86_VMINPSZrr	= 3961,
+    X86_VMINPSZrrk	= 3962,
+    X86_VMINPSZrrkz	= 3963,
+    X86_VMINPSrm	= 3964,
+    X86_VMINPSrr	= 3965,
+    X86_VMINSDZrm	= 3966,
+    X86_VMINSDZrr	= 3967,
+    X86_VMINSDrm	= 3968,
+    X86_VMINSDrm_Int	= 3969,
+    X86_VMINSDrr	= 3970,
+    X86_VMINSDrr_Int	= 3971,
+    X86_VMINSSZrm	= 3972,
+    X86_VMINSSZrr	= 3973,
+    X86_VMINSSrm	= 3974,
+    X86_VMINSSrm_Int	= 3975,
+    X86_VMINSSrr	= 3976,
+    X86_VMINSSrr_Int	= 3977,
+    X86_VMLAUNCH	= 3978,
+    X86_VMLOAD32	= 3979,
+    X86_VMLOAD64	= 3980,
+    X86_VMMCALL	= 3981,
+    X86_VMOV64toPQIZrr	= 3982,
+    X86_VMOV64toPQIrr	= 3983,
+    X86_VMOV64toSDZrr	= 3984,
+    X86_VMOV64toSDrm	= 3985,
+    X86_VMOV64toSDrr	= 3986,
+    X86_VMOVAPDYmr	= 3987,
+    X86_VMOVAPDYrm	= 3988,
+    X86_VMOVAPDYrr	= 3989,
+    X86_VMOVAPDYrr_REV	= 3990,
+    X86_VMOVAPDZ128mr	= 3991,
+    X86_VMOVAPDZ128mrk	= 3992,
+    X86_VMOVAPDZ128rm	= 3993,
+    X86_VMOVAPDZ128rmk	= 3994,
+    X86_VMOVAPDZ128rmkz	= 3995,
+    X86_VMOVAPDZ128rr	= 3996,
+    X86_VMOVAPDZ128rr_alt	= 3997,
+    X86_VMOVAPDZ128rrk	= 3998,
+    X86_VMOVAPDZ128rrk_alt	= 3999,
+    X86_VMOVAPDZ128rrkz	= 4000,
+    X86_VMOVAPDZ128rrkz_alt	= 4001,
+    X86_VMOVAPDZ256mr	= 4002,
+    X86_VMOVAPDZ256mrk	= 4003,
+    X86_VMOVAPDZ256rm	= 4004,
+    X86_VMOVAPDZ256rmk	= 4005,
+    X86_VMOVAPDZ256rmkz	= 4006,
+    X86_VMOVAPDZ256rr	= 4007,
+    X86_VMOVAPDZ256rr_alt	= 4008,
+    X86_VMOVAPDZ256rrk	= 4009,
+    X86_VMOVAPDZ256rrk_alt	= 4010,
+    X86_VMOVAPDZ256rrkz	= 4011,
+    X86_VMOVAPDZ256rrkz_alt	= 4012,
+    X86_VMOVAPDZmr	= 4013,
+    X86_VMOVAPDZmrk	= 4014,
+    X86_VMOVAPDZrm	= 4015,
+    X86_VMOVAPDZrmk	= 4016,
+    X86_VMOVAPDZrmkz	= 4017,
+    X86_VMOVAPDZrr	= 4018,
+    X86_VMOVAPDZrr_alt	= 4019,
+    X86_VMOVAPDZrrk	= 4020,
+    X86_VMOVAPDZrrk_alt	= 4021,
+    X86_VMOVAPDZrrkz	= 4022,
+    X86_VMOVAPDZrrkz_alt	= 4023,
+    X86_VMOVAPDmr	= 4024,
+    X86_VMOVAPDrm	= 4025,
+    X86_VMOVAPDrr	= 4026,
+    X86_VMOVAPDrr_REV	= 4027,
+    X86_VMOVAPSYmr	= 4028,
+    X86_VMOVAPSYrm	= 4029,
+    X86_VMOVAPSYrr	= 4030,
+    X86_VMOVAPSYrr_REV	= 4031,
+    X86_VMOVAPSZ128mr	= 4032,
+    X86_VMOVAPSZ128mrk	= 4033,
+    X86_VMOVAPSZ128rm	= 4034,
+    X86_VMOVAPSZ128rmk	= 4035,
+    X86_VMOVAPSZ128rmkz	= 4036,
+    X86_VMOVAPSZ128rr	= 4037,
+    X86_VMOVAPSZ128rr_alt	= 4038,
+    X86_VMOVAPSZ128rrk	= 4039,
+    X86_VMOVAPSZ128rrk_alt	= 4040,
+    X86_VMOVAPSZ128rrkz	= 4041,
+    X86_VMOVAPSZ128rrkz_alt	= 4042,
+    X86_VMOVAPSZ256mr	= 4043,
+    X86_VMOVAPSZ256mrk	= 4044,
+    X86_VMOVAPSZ256rm	= 4045,
+    X86_VMOVAPSZ256rmk	= 4046,
+    X86_VMOVAPSZ256rmkz	= 4047,
+    X86_VMOVAPSZ256rr	= 4048,
+    X86_VMOVAPSZ256rr_alt	= 4049,
+    X86_VMOVAPSZ256rrk	= 4050,
+    X86_VMOVAPSZ256rrk_alt	= 4051,
+    X86_VMOVAPSZ256rrkz	= 4052,
+    X86_VMOVAPSZ256rrkz_alt	= 4053,
+    X86_VMOVAPSZmr	= 4054,
+    X86_VMOVAPSZmrk	= 4055,
+    X86_VMOVAPSZrm	= 4056,
+    X86_VMOVAPSZrmk	= 4057,
+    X86_VMOVAPSZrmkz	= 4058,
+    X86_VMOVAPSZrr	= 4059,
+    X86_VMOVAPSZrr_alt	= 4060,
+    X86_VMOVAPSZrrk	= 4061,
+    X86_VMOVAPSZrrk_alt	= 4062,
+    X86_VMOVAPSZrrkz	= 4063,
+    X86_VMOVAPSZrrkz_alt	= 4064,
+    X86_VMOVAPSmr	= 4065,
+    X86_VMOVAPSrm	= 4066,
+    X86_VMOVAPSrr	= 4067,
+    X86_VMOVAPSrr_REV	= 4068,
+    X86_VMOVDDUPYrm	= 4069,
+    X86_VMOVDDUPYrr	= 4070,
+    X86_VMOVDDUPZrm	= 4071,
+    X86_VMOVDDUPZrr	= 4072,
+    X86_VMOVDDUPrm	= 4073,
+    X86_VMOVDDUPrr	= 4074,
+    X86_VMOVDI2PDIZrm	= 4075,
+    X86_VMOVDI2PDIZrr	= 4076,
+    X86_VMOVDI2PDIrm	= 4077,
+    X86_VMOVDI2PDIrr	= 4078,
+    X86_VMOVDI2SSZrm	= 4079,
+    X86_VMOVDI2SSZrr	= 4080,
+    X86_VMOVDI2SSrm	= 4081,
+    X86_VMOVDI2SSrr	= 4082,
+    X86_VMOVDQA32Z128mr	= 4083,
+    X86_VMOVDQA32Z128mrk	= 4084,
+    X86_VMOVDQA32Z128rm	= 4085,
+    X86_VMOVDQA32Z128rmk	= 4086,
+    X86_VMOVDQA32Z128rmkz	= 4087,
+    X86_VMOVDQA32Z128rr	= 4088,
+    X86_VMOVDQA32Z128rr_alt	= 4089,
+    X86_VMOVDQA32Z128rrk	= 4090,
+    X86_VMOVDQA32Z128rrk_alt	= 4091,
+    X86_VMOVDQA32Z128rrkz	= 4092,
+    X86_VMOVDQA32Z128rrkz_alt	= 4093,
+    X86_VMOVDQA32Z256mr	= 4094,
+    X86_VMOVDQA32Z256mrk	= 4095,
+    X86_VMOVDQA32Z256rm	= 4096,
+    X86_VMOVDQA32Z256rmk	= 4097,
+    X86_VMOVDQA32Z256rmkz	= 4098,
+    X86_VMOVDQA32Z256rr	= 4099,
+    X86_VMOVDQA32Z256rr_alt	= 4100,
+    X86_VMOVDQA32Z256rrk	= 4101,
+    X86_VMOVDQA32Z256rrk_alt	= 4102,
+    X86_VMOVDQA32Z256rrkz	= 4103,
+    X86_VMOVDQA32Z256rrkz_alt	= 4104,
+    X86_VMOVDQA32Zmr	= 4105,
+    X86_VMOVDQA32Zmrk	= 4106,
+    X86_VMOVDQA32Zrm	= 4107,
+    X86_VMOVDQA32Zrmk	= 4108,
+    X86_VMOVDQA32Zrmkz	= 4109,
+    X86_VMOVDQA32Zrr	= 4110,
+    X86_VMOVDQA32Zrr_alt	= 4111,
+    X86_VMOVDQA32Zrrk	= 4112,
+    X86_VMOVDQA32Zrrk_alt	= 4113,
+    X86_VMOVDQA32Zrrkz	= 4114,
+    X86_VMOVDQA32Zrrkz_alt	= 4115,
+    X86_VMOVDQA64Z128mr	= 4116,
+    X86_VMOVDQA64Z128mrk	= 4117,
+    X86_VMOVDQA64Z128rm	= 4118,
+    X86_VMOVDQA64Z128rmk	= 4119,
+    X86_VMOVDQA64Z128rmkz	= 4120,
+    X86_VMOVDQA64Z128rr	= 4121,
+    X86_VMOVDQA64Z128rr_alt	= 4122,
+    X86_VMOVDQA64Z128rrk	= 4123,
+    X86_VMOVDQA64Z128rrk_alt	= 4124,
+    X86_VMOVDQA64Z128rrkz	= 4125,
+    X86_VMOVDQA64Z128rrkz_alt	= 4126,
+    X86_VMOVDQA64Z256mr	= 4127,
+    X86_VMOVDQA64Z256mrk	= 4128,
+    X86_VMOVDQA64Z256rm	= 4129,
+    X86_VMOVDQA64Z256rmk	= 4130,
+    X86_VMOVDQA64Z256rmkz	= 4131,
+    X86_VMOVDQA64Z256rr	= 4132,
+    X86_VMOVDQA64Z256rr_alt	= 4133,
+    X86_VMOVDQA64Z256rrk	= 4134,
+    X86_VMOVDQA64Z256rrk_alt	= 4135,
+    X86_VMOVDQA64Z256rrkz	= 4136,
+    X86_VMOVDQA64Z256rrkz_alt	= 4137,
+    X86_VMOVDQA64Zmr	= 4138,
+    X86_VMOVDQA64Zmrk	= 4139,
+    X86_VMOVDQA64Zrm	= 4140,
+    X86_VMOVDQA64Zrmk	= 4141,
+    X86_VMOVDQA64Zrmkz	= 4142,
+    X86_VMOVDQA64Zrr	= 4143,
+    X86_VMOVDQA64Zrr_alt	= 4144,
+    X86_VMOVDQA64Zrrk	= 4145,
+    X86_VMOVDQA64Zrrk_alt	= 4146,
+    X86_VMOVDQA64Zrrkz	= 4147,
+    X86_VMOVDQA64Zrrkz_alt	= 4148,
+    X86_VMOVDQAYmr	= 4149,
+    X86_VMOVDQAYrm	= 4150,
+    X86_VMOVDQAYrr	= 4151,
+    X86_VMOVDQAYrr_REV	= 4152,
+    X86_VMOVDQAmr	= 4153,
+    X86_VMOVDQArm	= 4154,
+    X86_VMOVDQArr	= 4155,
+    X86_VMOVDQArr_REV	= 4156,
+    X86_VMOVDQU16Z128mr	= 4157,
+    X86_VMOVDQU16Z128mrk	= 4158,
+    X86_VMOVDQU16Z128rm	= 4159,
+    X86_VMOVDQU16Z128rmk	= 4160,
+    X86_VMOVDQU16Z128rmkz	= 4161,
+    X86_VMOVDQU16Z128rr	= 4162,
+    X86_VMOVDQU16Z128rr_alt	= 4163,
+    X86_VMOVDQU16Z128rrk	= 4164,
+    X86_VMOVDQU16Z128rrk_alt	= 4165,
+    X86_VMOVDQU16Z128rrkz	= 4166,
+    X86_VMOVDQU16Z128rrkz_alt	= 4167,
+    X86_VMOVDQU16Z256mr	= 4168,
+    X86_VMOVDQU16Z256mrk	= 4169,
+    X86_VMOVDQU16Z256rm	= 4170,
+    X86_VMOVDQU16Z256rmk	= 4171,
+    X86_VMOVDQU16Z256rmkz	= 4172,
+    X86_VMOVDQU16Z256rr	= 4173,
+    X86_VMOVDQU16Z256rr_alt	= 4174,
+    X86_VMOVDQU16Z256rrk	= 4175,
+    X86_VMOVDQU16Z256rrk_alt	= 4176,
+    X86_VMOVDQU16Z256rrkz	= 4177,
+    X86_VMOVDQU16Z256rrkz_alt	= 4178,
+    X86_VMOVDQU16Zmr	= 4179,
+    X86_VMOVDQU16Zmrk	= 4180,
+    X86_VMOVDQU16Zrm	= 4181,
+    X86_VMOVDQU16Zrmk	= 4182,
+    X86_VMOVDQU16Zrmkz	= 4183,
+    X86_VMOVDQU16Zrr	= 4184,
+    X86_VMOVDQU16Zrr_alt	= 4185,
+    X86_VMOVDQU16Zrrk	= 4186,
+    X86_VMOVDQU16Zrrk_alt	= 4187,
+    X86_VMOVDQU16Zrrkz	= 4188,
+    X86_VMOVDQU16Zrrkz_alt	= 4189,
+    X86_VMOVDQU32Z128mr	= 4190,
+    X86_VMOVDQU32Z128mrk	= 4191,
+    X86_VMOVDQU32Z128rm	= 4192,
+    X86_VMOVDQU32Z128rmk	= 4193,
+    X86_VMOVDQU32Z128rmkz	= 4194,
+    X86_VMOVDQU32Z128rr	= 4195,
+    X86_VMOVDQU32Z128rr_alt	= 4196,
+    X86_VMOVDQU32Z128rrk	= 4197,
+    X86_VMOVDQU32Z128rrk_alt	= 4198,
+    X86_VMOVDQU32Z128rrkz	= 4199,
+    X86_VMOVDQU32Z128rrkz_alt	= 4200,
+    X86_VMOVDQU32Z256mr	= 4201,
+    X86_VMOVDQU32Z256mrk	= 4202,
+    X86_VMOVDQU32Z256rm	= 4203,
+    X86_VMOVDQU32Z256rmk	= 4204,
+    X86_VMOVDQU32Z256rmkz	= 4205,
+    X86_VMOVDQU32Z256rr	= 4206,
+    X86_VMOVDQU32Z256rr_alt	= 4207,
+    X86_VMOVDQU32Z256rrk	= 4208,
+    X86_VMOVDQU32Z256rrk_alt	= 4209,
+    X86_VMOVDQU32Z256rrkz	= 4210,
+    X86_VMOVDQU32Z256rrkz_alt	= 4211,
+    X86_VMOVDQU32Zmr	= 4212,
+    X86_VMOVDQU32Zmrk	= 4213,
+    X86_VMOVDQU32Zrm	= 4214,
+    X86_VMOVDQU32Zrmk	= 4215,
+    X86_VMOVDQU32Zrmkz	= 4216,
+    X86_VMOVDQU32Zrr	= 4217,
+    X86_VMOVDQU32Zrr_alt	= 4218,
+    X86_VMOVDQU32Zrrk	= 4219,
+    X86_VMOVDQU32Zrrk_alt	= 4220,
+    X86_VMOVDQU32Zrrkz	= 4221,
+    X86_VMOVDQU32Zrrkz_alt	= 4222,
+    X86_VMOVDQU64Z128mr	= 4223,
+    X86_VMOVDQU64Z128mrk	= 4224,
+    X86_VMOVDQU64Z128rm	= 4225,
+    X86_VMOVDQU64Z128rmk	= 4226,
+    X86_VMOVDQU64Z128rmkz	= 4227,
+    X86_VMOVDQU64Z128rr	= 4228,
+    X86_VMOVDQU64Z128rr_alt	= 4229,
+    X86_VMOVDQU64Z128rrk	= 4230,
+    X86_VMOVDQU64Z128rrk_alt	= 4231,
+    X86_VMOVDQU64Z128rrkz	= 4232,
+    X86_VMOVDQU64Z128rrkz_alt	= 4233,
+    X86_VMOVDQU64Z256mr	= 4234,
+    X86_VMOVDQU64Z256mrk	= 4235,
+    X86_VMOVDQU64Z256rm	= 4236,
+    X86_VMOVDQU64Z256rmk	= 4237,
+    X86_VMOVDQU64Z256rmkz	= 4238,
+    X86_VMOVDQU64Z256rr	= 4239,
+    X86_VMOVDQU64Z256rr_alt	= 4240,
+    X86_VMOVDQU64Z256rrk	= 4241,
+    X86_VMOVDQU64Z256rrk_alt	= 4242,
+    X86_VMOVDQU64Z256rrkz	= 4243,
+    X86_VMOVDQU64Z256rrkz_alt	= 4244,
+    X86_VMOVDQU64Zmr	= 4245,
+    X86_VMOVDQU64Zmrk	= 4246,
+    X86_VMOVDQU64Zrm	= 4247,
+    X86_VMOVDQU64Zrmk	= 4248,
+    X86_VMOVDQU64Zrmkz	= 4249,
+    X86_VMOVDQU64Zrr	= 4250,
+    X86_VMOVDQU64Zrr_alt	= 4251,
+    X86_VMOVDQU64Zrrk	= 4252,
+    X86_VMOVDQU64Zrrk_alt	= 4253,
+    X86_VMOVDQU64Zrrkz	= 4254,
+    X86_VMOVDQU64Zrrkz_alt	= 4255,
+    X86_VMOVDQU8Z128mr	= 4256,
+    X86_VMOVDQU8Z128mrk	= 4257,
+    X86_VMOVDQU8Z128rm	= 4258,
+    X86_VMOVDQU8Z128rmk	= 4259,
+    X86_VMOVDQU8Z128rmkz	= 4260,
+    X86_VMOVDQU8Z128rr	= 4261,
+    X86_VMOVDQU8Z128rr_alt	= 4262,
+    X86_VMOVDQU8Z128rrk	= 4263,
+    X86_VMOVDQU8Z128rrk_alt	= 4264,
+    X86_VMOVDQU8Z128rrkz	= 4265,
+    X86_VMOVDQU8Z128rrkz_alt	= 4266,
+    X86_VMOVDQU8Z256mr	= 4267,
+    X86_VMOVDQU8Z256mrk	= 4268,
+    X86_VMOVDQU8Z256rm	= 4269,
+    X86_VMOVDQU8Z256rmk	= 4270,
+    X86_VMOVDQU8Z256rmkz	= 4271,
+    X86_VMOVDQU8Z256rr	= 4272,
+    X86_VMOVDQU8Z256rr_alt	= 4273,
+    X86_VMOVDQU8Z256rrk	= 4274,
+    X86_VMOVDQU8Z256rrk_alt	= 4275,
+    X86_VMOVDQU8Z256rrkz	= 4276,
+    X86_VMOVDQU8Z256rrkz_alt	= 4277,
+    X86_VMOVDQU8Zmr	= 4278,
+    X86_VMOVDQU8Zmrk	= 4279,
+    X86_VMOVDQU8Zrm	= 4280,
+    X86_VMOVDQU8Zrmk	= 4281,
+    X86_VMOVDQU8Zrmkz	= 4282,
+    X86_VMOVDQU8Zrr	= 4283,
+    X86_VMOVDQU8Zrr_alt	= 4284,
+    X86_VMOVDQU8Zrrk	= 4285,
+    X86_VMOVDQU8Zrrk_alt	= 4286,
+    X86_VMOVDQU8Zrrkz	= 4287,
+    X86_VMOVDQU8Zrrkz_alt	= 4288,
+    X86_VMOVDQUYmr	= 4289,
+    X86_VMOVDQUYrm	= 4290,
+    X86_VMOVDQUYrr	= 4291,
+    X86_VMOVDQUYrr_REV	= 4292,
+    X86_VMOVDQUmr	= 4293,
+    X86_VMOVDQUrm	= 4294,
+    X86_VMOVDQUrr	= 4295,
+    X86_VMOVDQUrr_REV	= 4296,
+    X86_VMOVHLPSZrr	= 4297,
+    X86_VMOVHLPSrr	= 4298,
+    X86_VMOVHPDmr	= 4299,
+    X86_VMOVHPDrm	= 4300,
+    X86_VMOVHPSmr	= 4301,
+    X86_VMOVHPSrm	= 4302,
+    X86_VMOVLHPSZrr	= 4303,
+    X86_VMOVLHPSrr	= 4304,
+    X86_VMOVLPDmr	= 4305,
+    X86_VMOVLPDrm	= 4306,
+    X86_VMOVLPSmr	= 4307,
+    X86_VMOVLPSrm	= 4308,
+    X86_VMOVMSKPDYrr	= 4309,
+    X86_VMOVMSKPDrr	= 4310,
+    X86_VMOVMSKPSYrr	= 4311,
+    X86_VMOVMSKPSrr	= 4312,
+    X86_VMOVNTDQAYrm	= 4313,
+    X86_VMOVNTDQAZ128rm	= 4314,
+    X86_VMOVNTDQAZ256rm	= 4315,
+    X86_VMOVNTDQAZrm	= 4316,
+    X86_VMOVNTDQArm	= 4317,
+    X86_VMOVNTDQYmr	= 4318,
+    X86_VMOVNTDQZ128mr	= 4319,
+    X86_VMOVNTDQZ256mr	= 4320,
+    X86_VMOVNTDQZmr	= 4321,
+    X86_VMOVNTDQmr	= 4322,
+    X86_VMOVNTPDYmr	= 4323,
+    X86_VMOVNTPDZ128mr	= 4324,
+    X86_VMOVNTPDZ256mr	= 4325,
+    X86_VMOVNTPDZmr	= 4326,
+    X86_VMOVNTPDmr	= 4327,
+    X86_VMOVNTPSYmr	= 4328,
+    X86_VMOVNTPSZ128mr	= 4329,
+    X86_VMOVNTPSZ256mr	= 4330,
+    X86_VMOVNTPSZmr	= 4331,
+    X86_VMOVNTPSmr	= 4332,
+    X86_VMOVPDI2DIZmr	= 4333,
+    X86_VMOVPDI2DIZrr	= 4334,
+    X86_VMOVPDI2DImr	= 4335,
+    X86_VMOVPDI2DIrr	= 4336,
+    X86_VMOVPQI2QImr	= 4337,
+    X86_VMOVPQI2QIrr	= 4338,
+    X86_VMOVPQIto64Zmr	= 4339,
+    X86_VMOVPQIto64Zrr	= 4340,
+    X86_VMOVPQIto64rr	= 4341,
+    X86_VMOVQI2PQIZrm	= 4342,
+    X86_VMOVQI2PQIrm	= 4343,
+    X86_VMOVSDZmr	= 4344,
+    X86_VMOVSDZrm	= 4345,
+    X86_VMOVSDZrr	= 4346,
+    X86_VMOVSDZrr_REV	= 4347,
+    X86_VMOVSDZrrk	= 4348,
+    X86_VMOVSDmr	= 4349,
+    X86_VMOVSDrm	= 4350,
+    X86_VMOVSDrr	= 4351,
+    X86_VMOVSDrr_REV	= 4352,
+    X86_VMOVSDto64Zmr	= 4353,
+    X86_VMOVSDto64Zrr	= 4354,
+    X86_VMOVSDto64mr	= 4355,
+    X86_VMOVSDto64rr	= 4356,
+    X86_VMOVSHDUPYrm	= 4357,
+    X86_VMOVSHDUPYrr	= 4358,
+    X86_VMOVSHDUPZrm	= 4359,
+    X86_VMOVSHDUPZrr	= 4360,
+    X86_VMOVSHDUPrm	= 4361,
+    X86_VMOVSHDUPrr	= 4362,
+    X86_VMOVSLDUPYrm	= 4363,
+    X86_VMOVSLDUPYrr	= 4364,
+    X86_VMOVSLDUPZrm	= 4365,
+    X86_VMOVSLDUPZrr	= 4366,
+    X86_VMOVSLDUPrm	= 4367,
+    X86_VMOVSLDUPrr	= 4368,
+    X86_VMOVSS2DIZmr	= 4369,
+    X86_VMOVSS2DIZrr	= 4370,
+    X86_VMOVSS2DImr	= 4371,
+    X86_VMOVSS2DIrr	= 4372,
+    X86_VMOVSSZmr	= 4373,
+    X86_VMOVSSZrm	= 4374,
+    X86_VMOVSSZrr	= 4375,
+    X86_VMOVSSZrr_REV	= 4376,
+    X86_VMOVSSZrrk	= 4377,
+    X86_VMOVSSmr	= 4378,
+    X86_VMOVSSrm	= 4379,
+    X86_VMOVSSrr	= 4380,
+    X86_VMOVSSrr_REV	= 4381,
+    X86_VMOVUPDYmr	= 4382,
+    X86_VMOVUPDYrm	= 4383,
+    X86_VMOVUPDYrr	= 4384,
+    X86_VMOVUPDYrr_REV	= 4385,
+    X86_VMOVUPDZ128mr	= 4386,
+    X86_VMOVUPDZ128mrk	= 4387,
+    X86_VMOVUPDZ128rm	= 4388,
+    X86_VMOVUPDZ128rmk	= 4389,
+    X86_VMOVUPDZ128rmkz	= 4390,
+    X86_VMOVUPDZ128rr	= 4391,
+    X86_VMOVUPDZ128rr_alt	= 4392,
+    X86_VMOVUPDZ128rrk	= 4393,
+    X86_VMOVUPDZ128rrk_alt	= 4394,
+    X86_VMOVUPDZ128rrkz	= 4395,
+    X86_VMOVUPDZ128rrkz_alt	= 4396,
+    X86_VMOVUPDZ256mr	= 4397,
+    X86_VMOVUPDZ256mrk	= 4398,
+    X86_VMOVUPDZ256rm	= 4399,
+    X86_VMOVUPDZ256rmk	= 4400,
+    X86_VMOVUPDZ256rmkz	= 4401,
+    X86_VMOVUPDZ256rr	= 4402,
+    X86_VMOVUPDZ256rr_alt	= 4403,
+    X86_VMOVUPDZ256rrk	= 4404,
+    X86_VMOVUPDZ256rrk_alt	= 4405,
+    X86_VMOVUPDZ256rrkz	= 4406,
+    X86_VMOVUPDZ256rrkz_alt	= 4407,
+    X86_VMOVUPDZmr	= 4408,
+    X86_VMOVUPDZmrk	= 4409,
+    X86_VMOVUPDZrm	= 4410,
+    X86_VMOVUPDZrmk	= 4411,
+    X86_VMOVUPDZrmkz	= 4412,
+    X86_VMOVUPDZrr	= 4413,
+    X86_VMOVUPDZrr_alt	= 4414,
+    X86_VMOVUPDZrrk	= 4415,
+    X86_VMOVUPDZrrk_alt	= 4416,
+    X86_VMOVUPDZrrkz	= 4417,
+    X86_VMOVUPDZrrkz_alt	= 4418,
+    X86_VMOVUPDmr	= 4419,
+    X86_VMOVUPDrm	= 4420,
+    X86_VMOVUPDrr	= 4421,
+    X86_VMOVUPDrr_REV	= 4422,
+    X86_VMOVUPSYmr	= 4423,
+    X86_VMOVUPSYrm	= 4424,
+    X86_VMOVUPSYrr	= 4425,
+    X86_VMOVUPSYrr_REV	= 4426,
+    X86_VMOVUPSZ128mr	= 4427,
+    X86_VMOVUPSZ128mrk	= 4428,
+    X86_VMOVUPSZ128rm	= 4429,
+    X86_VMOVUPSZ128rmk	= 4430,
+    X86_VMOVUPSZ128rmkz	= 4431,
+    X86_VMOVUPSZ128rr	= 4432,
+    X86_VMOVUPSZ128rr_alt	= 4433,
+    X86_VMOVUPSZ128rrk	= 4434,
+    X86_VMOVUPSZ128rrk_alt	= 4435,
+    X86_VMOVUPSZ128rrkz	= 4436,
+    X86_VMOVUPSZ128rrkz_alt	= 4437,
+    X86_VMOVUPSZ256mr	= 4438,
+    X86_VMOVUPSZ256mrk	= 4439,
+    X86_VMOVUPSZ256rm	= 4440,
+    X86_VMOVUPSZ256rmk	= 4441,
+    X86_VMOVUPSZ256rmkz	= 4442,
+    X86_VMOVUPSZ256rr	= 4443,
+    X86_VMOVUPSZ256rr_alt	= 4444,
+    X86_VMOVUPSZ256rrk	= 4445,
+    X86_VMOVUPSZ256rrk_alt	= 4446,
+    X86_VMOVUPSZ256rrkz	= 4447,
+    X86_VMOVUPSZ256rrkz_alt	= 4448,
+    X86_VMOVUPSZmr	= 4449,
+    X86_VMOVUPSZmrk	= 4450,
+    X86_VMOVUPSZrm	= 4451,
+    X86_VMOVUPSZrmk	= 4452,
+    X86_VMOVUPSZrmkz	= 4453,
+    X86_VMOVUPSZrr	= 4454,
+    X86_VMOVUPSZrr_alt	= 4455,
+    X86_VMOVUPSZrrk	= 4456,
+    X86_VMOVUPSZrrk_alt	= 4457,
+    X86_VMOVUPSZrrkz	= 4458,
+    X86_VMOVUPSZrrkz_alt	= 4459,
+    X86_VMOVUPSmr	= 4460,
+    X86_VMOVUPSrm	= 4461,
+    X86_VMOVUPSrr	= 4462,
+    X86_VMOVUPSrr_REV	= 4463,
+    X86_VMOVZPQILo2PQIZrm	= 4464,
+    X86_VMOVZPQILo2PQIZrr	= 4465,
+    X86_VMOVZPQILo2PQIrm	= 4466,
+    X86_VMOVZPQILo2PQIrr	= 4467,
+    X86_VMOVZQI2PQIrm	= 4468,
+    X86_VMOVZQI2PQIrr	= 4469,
+    X86_VMPSADBWYrmi	= 4470,
+    X86_VMPSADBWYrri	= 4471,
+    X86_VMPSADBWrmi	= 4472,
+    X86_VMPSADBWrri	= 4473,
+    X86_VMPTRLDm	= 4474,
+    X86_VMPTRSTm	= 4475,
+    X86_VMREAD32rm	= 4476,
+    X86_VMREAD32rr	= 4477,
+    X86_VMREAD64rm	= 4478,
+    X86_VMREAD64rr	= 4479,
+    X86_VMRESUME	= 4480,
+    X86_VMRUN32	= 4481,
+    X86_VMRUN64	= 4482,
+    X86_VMSAVE32	= 4483,
+    X86_VMSAVE64	= 4484,
+    X86_VMULPDYrm	= 4485,
+    X86_VMULPDYrr	= 4486,
+    X86_VMULPDZrm	= 4487,
+    X86_VMULPDZrmb	= 4488,
+    X86_VMULPDZrmbk	= 4489,
+    X86_VMULPDZrmbkz	= 4490,
+    X86_VMULPDZrmk	= 4491,
+    X86_VMULPDZrmkz	= 4492,
+    X86_VMULPDZrr	= 4493,
+    X86_VMULPDZrrk	= 4494,
+    X86_VMULPDZrrkz	= 4495,
+    X86_VMULPDrm	= 4496,
+    X86_VMULPDrr	= 4497,
+    X86_VMULPSYrm	= 4498,
+    X86_VMULPSYrr	= 4499,
+    X86_VMULPSZrm	= 4500,
+    X86_VMULPSZrmb	= 4501,
+    X86_VMULPSZrmbk	= 4502,
+    X86_VMULPSZrmbkz	= 4503,
+    X86_VMULPSZrmk	= 4504,
+    X86_VMULPSZrmkz	= 4505,
+    X86_VMULPSZrr	= 4506,
+    X86_VMULPSZrrk	= 4507,
+    X86_VMULPSZrrkz	= 4508,
+    X86_VMULPSrm	= 4509,
+    X86_VMULPSrr	= 4510,
+    X86_VMULSDZrm	= 4511,
+    X86_VMULSDZrr	= 4512,
+    X86_VMULSDrm	= 4513,
+    X86_VMULSDrm_Int	= 4514,
+    X86_VMULSDrr	= 4515,
+    X86_VMULSDrr_Int	= 4516,
+    X86_VMULSSZrm	= 4517,
+    X86_VMULSSZrr	= 4518,
+    X86_VMULSSrm	= 4519,
+    X86_VMULSSrm_Int	= 4520,
+    X86_VMULSSrr	= 4521,
+    X86_VMULSSrr_Int	= 4522,
+    X86_VMWRITE32rm	= 4523,
+    X86_VMWRITE32rr	= 4524,
+    X86_VMWRITE64rm	= 4525,
+    X86_VMWRITE64rr	= 4526,
+    X86_VMXOFF	= 4527,
+    X86_VMXON	= 4528,
+    X86_VORPDYrm	= 4529,
+    X86_VORPDYrr	= 4530,
+    X86_VORPDrm	= 4531,
+    X86_VORPDrr	= 4532,
+    X86_VORPSYrm	= 4533,
+    X86_VORPSYrr	= 4534,
+    X86_VORPSrm	= 4535,
+    X86_VORPSrr	= 4536,
+    X86_VPABSBrm128	= 4537,
+    X86_VPABSBrm256	= 4538,
+    X86_VPABSBrr128	= 4539,
+    X86_VPABSBrr256	= 4540,
+    X86_VPABSDZrm	= 4541,
+    X86_VPABSDZrmb	= 4542,
+    X86_VPABSDZrmbk	= 4543,
+    X86_VPABSDZrmbkz	= 4544,
+    X86_VPABSDZrmk	= 4545,
+    X86_VPABSDZrmkz	= 4546,
+    X86_VPABSDZrr	= 4547,
+    X86_VPABSDZrrk	= 4548,
+    X86_VPABSDZrrkz	= 4549,
+    X86_VPABSDrm128	= 4550,
+    X86_VPABSDrm256	= 4551,
+    X86_VPABSDrr128	= 4552,
+    X86_VPABSDrr256	= 4553,
+    X86_VPABSQZrm	= 4554,
+    X86_VPABSQZrmb	= 4555,
+    X86_VPABSQZrmbk	= 4556,
+    X86_VPABSQZrmbkz	= 4557,
+    X86_VPABSQZrmk	= 4558,
+    X86_VPABSQZrmkz	= 4559,
+    X86_VPABSQZrr	= 4560,
+    X86_VPABSQZrrk	= 4561,
+    X86_VPABSQZrrkz	= 4562,
+    X86_VPABSWrm128	= 4563,
+    X86_VPABSWrm256	= 4564,
+    X86_VPABSWrr128	= 4565,
+    X86_VPABSWrr256	= 4566,
+    X86_VPACKSSDWYrm	= 4567,
+    X86_VPACKSSDWYrr	= 4568,
+    X86_VPACKSSDWrm	= 4569,
+    X86_VPACKSSDWrr	= 4570,
+    X86_VPACKSSWBYrm	= 4571,
+    X86_VPACKSSWBYrr	= 4572,
+    X86_VPACKSSWBrm	= 4573,
+    X86_VPACKSSWBrr	= 4574,
+    X86_VPACKUSDWYrm	= 4575,
+    X86_VPACKUSDWYrr	= 4576,
+    X86_VPACKUSDWrm	= 4577,
+    X86_VPACKUSDWrr	= 4578,
+    X86_VPACKUSWBYrm	= 4579,
+    X86_VPACKUSWBYrr	= 4580,
+    X86_VPACKUSWBrm	= 4581,
+    X86_VPACKUSWBrr	= 4582,
+    X86_VPADDBYrm	= 4583,
+    X86_VPADDBYrr	= 4584,
+    X86_VPADDBrm	= 4585,
+    X86_VPADDBrr	= 4586,
+    X86_VPADDDYrm	= 4587,
+    X86_VPADDDYrr	= 4588,
+    X86_VPADDDZrm	= 4589,
+    X86_VPADDDZrmb	= 4590,
+    X86_VPADDDZrmbk	= 4591,
+    X86_VPADDDZrmbkz	= 4592,
+    X86_VPADDDZrmk	= 4593,
+    X86_VPADDDZrmkz	= 4594,
+    X86_VPADDDZrr	= 4595,
+    X86_VPADDDZrrk	= 4596,
+    X86_VPADDDZrrkz	= 4597,
+    X86_VPADDDrm	= 4598,
+    X86_VPADDDrr	= 4599,
+    X86_VPADDQYrm	= 4600,
+    X86_VPADDQYrr	= 4601,
+    X86_VPADDQZrm	= 4602,
+    X86_VPADDQZrmb	= 4603,
+    X86_VPADDQZrmbk	= 4604,
+    X86_VPADDQZrmbkz	= 4605,
+    X86_VPADDQZrmk	= 4606,
+    X86_VPADDQZrmkz	= 4607,
+    X86_VPADDQZrr	= 4608,
+    X86_VPADDQZrrk	= 4609,
+    X86_VPADDQZrrkz	= 4610,
+    X86_VPADDQrm	= 4611,
+    X86_VPADDQrr	= 4612,
+    X86_VPADDSBYrm	= 4613,
+    X86_VPADDSBYrr	= 4614,
+    X86_VPADDSBrm	= 4615,
+    X86_VPADDSBrr	= 4616,
+    X86_VPADDSWYrm	= 4617,
+    X86_VPADDSWYrr	= 4618,
+    X86_VPADDSWrm	= 4619,
+    X86_VPADDSWrr	= 4620,
+    X86_VPADDUSBYrm	= 4621,
+    X86_VPADDUSBYrr	= 4622,
+    X86_VPADDUSBrm	= 4623,
+    X86_VPADDUSBrr	= 4624,
+    X86_VPADDUSWYrm	= 4625,
+    X86_VPADDUSWYrr	= 4626,
+    X86_VPADDUSWrm	= 4627,
+    X86_VPADDUSWrr	= 4628,
+    X86_VPADDWYrm	= 4629,
+    X86_VPADDWYrr	= 4630,
+    X86_VPADDWrm	= 4631,
+    X86_VPADDWrr	= 4632,
+    X86_VPALIGNR128rm	= 4633,
+    X86_VPALIGNR128rr	= 4634,
+    X86_VPALIGNR256rm	= 4635,
+    X86_VPALIGNR256rr	= 4636,
+    X86_VPANDDZrm	= 4637,
+    X86_VPANDDZrmb	= 4638,
+    X86_VPANDDZrmbk	= 4639,
+    X86_VPANDDZrmbkz	= 4640,
+    X86_VPANDDZrmk	= 4641,
+    X86_VPANDDZrmkz	= 4642,
+    X86_VPANDDZrr	= 4643,
+    X86_VPANDDZrrk	= 4644,
+    X86_VPANDDZrrkz	= 4645,
+    X86_VPANDNDZrm	= 4646,
+    X86_VPANDNDZrmb	= 4647,
+    X86_VPANDNDZrmbk	= 4648,
+    X86_VPANDNDZrmbkz	= 4649,
+    X86_VPANDNDZrmk	= 4650,
+    X86_VPANDNDZrmkz	= 4651,
+    X86_VPANDNDZrr	= 4652,
+    X86_VPANDNDZrrk	= 4653,
+    X86_VPANDNDZrrkz	= 4654,
+    X86_VPANDNQZrm	= 4655,
+    X86_VPANDNQZrmb	= 4656,
+    X86_VPANDNQZrmbk	= 4657,
+    X86_VPANDNQZrmbkz	= 4658,
+    X86_VPANDNQZrmk	= 4659,
+    X86_VPANDNQZrmkz	= 4660,
+    X86_VPANDNQZrr	= 4661,
+    X86_VPANDNQZrrk	= 4662,
+    X86_VPANDNQZrrkz	= 4663,
+    X86_VPANDNYrm	= 4664,
+    X86_VPANDNYrr	= 4665,
+    X86_VPANDNrm	= 4666,
+    X86_VPANDNrr	= 4667,
+    X86_VPANDQZrm	= 4668,
+    X86_VPANDQZrmb	= 4669,
+    X86_VPANDQZrmbk	= 4670,
+    X86_VPANDQZrmbkz	= 4671,
+    X86_VPANDQZrmk	= 4672,
+    X86_VPANDQZrmkz	= 4673,
+    X86_VPANDQZrr	= 4674,
+    X86_VPANDQZrrk	= 4675,
+    X86_VPANDQZrrkz	= 4676,
+    X86_VPANDYrm	= 4677,
+    X86_VPANDYrr	= 4678,
+    X86_VPANDrm	= 4679,
+    X86_VPANDrr	= 4680,
+    X86_VPAVGBYrm	= 4681,
+    X86_VPAVGBYrr	= 4682,
+    X86_VPAVGBrm	= 4683,
+    X86_VPAVGBrr	= 4684,
+    X86_VPAVGWYrm	= 4685,
+    X86_VPAVGWYrr	= 4686,
+    X86_VPAVGWrm	= 4687,
+    X86_VPAVGWrr	= 4688,
+    X86_VPBLENDDYrmi	= 4689,
+    X86_VPBLENDDYrri	= 4690,
+    X86_VPBLENDDrmi	= 4691,
+    X86_VPBLENDDrri	= 4692,
+    X86_VPBLENDMDZrm	= 4693,
+    X86_VPBLENDMDZrr	= 4694,
+    X86_VPBLENDMQZrm	= 4695,
+    X86_VPBLENDMQZrr	= 4696,
+    X86_VPBLENDVBYrm	= 4697,
+    X86_VPBLENDVBYrr	= 4698,
+    X86_VPBLENDVBrm	= 4699,
+    X86_VPBLENDVBrr	= 4700,
+    X86_VPBLENDWYrmi	= 4701,
+    X86_VPBLENDWYrri	= 4702,
+    X86_VPBLENDWrmi	= 4703,
+    X86_VPBLENDWrri	= 4704,
+    X86_VPBROADCASTBYrm	= 4705,
+    X86_VPBROADCASTBYrr	= 4706,
+    X86_VPBROADCASTBrm	= 4707,
+    X86_VPBROADCASTBrr	= 4708,
+    X86_VPBROADCASTDYrm	= 4709,
+    X86_VPBROADCASTDYrr	= 4710,
+    X86_VPBROADCASTDZkrm	= 4711,
+    X86_VPBROADCASTDZkrr	= 4712,
+    X86_VPBROADCASTDZrm	= 4713,
+    X86_VPBROADCASTDZrr	= 4714,
+    X86_VPBROADCASTDrZkrr	= 4715,
+    X86_VPBROADCASTDrZrr	= 4716,
+    X86_VPBROADCASTDrm	= 4717,
+    X86_VPBROADCASTDrr	= 4718,
+    X86_VPBROADCASTMB2Qrr	= 4719,
+    X86_VPBROADCASTMW2Drr	= 4720,
+    X86_VPBROADCASTQYrm	= 4721,
+    X86_VPBROADCASTQYrr	= 4722,
+    X86_VPBROADCASTQZkrm	= 4723,
+    X86_VPBROADCASTQZkrr	= 4724,
+    X86_VPBROADCASTQZrm	= 4725,
+    X86_VPBROADCASTQZrr	= 4726,
+    X86_VPBROADCASTQrZkrr	= 4727,
+    X86_VPBROADCASTQrZrr	= 4728,
+    X86_VPBROADCASTQrm	= 4729,
+    X86_VPBROADCASTQrr	= 4730,
+    X86_VPBROADCASTWYrm	= 4731,
+    X86_VPBROADCASTWYrr	= 4732,
+    X86_VPBROADCASTWrm	= 4733,
+    X86_VPBROADCASTWrr	= 4734,
+    X86_VPCLMULQDQrm	= 4735,
+    X86_VPCLMULQDQrr	= 4736,
+    X86_VPCMOVmr	= 4737,
+    X86_VPCMOVmrY	= 4738,
+    X86_VPCMOVrm	= 4739,
+    X86_VPCMOVrmY	= 4740,
+    X86_VPCMOVrr	= 4741,
+    X86_VPCMOVrrY	= 4742,
+    X86_VPCMPDZrmi	= 4743,
+    X86_VPCMPDZrmi_alt	= 4744,
+    X86_VPCMPDZrmik_alt	= 4745,
+    X86_VPCMPDZrri	= 4746,
+    X86_VPCMPDZrri_alt	= 4747,
+    X86_VPCMPDZrrik_alt	= 4748,
+    X86_VPCMPEQBYrm	= 4749,
+    X86_VPCMPEQBYrr	= 4750,
+    X86_VPCMPEQBZ128rm	= 4751,
+    X86_VPCMPEQBZ128rmk	= 4752,
+    X86_VPCMPEQBZ128rr	= 4753,
+    X86_VPCMPEQBZ128rrk	= 4754,
+    X86_VPCMPEQBZ256rm	= 4755,
+    X86_VPCMPEQBZ256rmk	= 4756,
+    X86_VPCMPEQBZ256rr	= 4757,
+    X86_VPCMPEQBZ256rrk	= 4758,
+    X86_VPCMPEQBZrm	= 4759,
+    X86_VPCMPEQBZrmk	= 4760,
+    X86_VPCMPEQBZrr	= 4761,
+    X86_VPCMPEQBZrrk	= 4762,
+    X86_VPCMPEQBrm	= 4763,
+    X86_VPCMPEQBrr	= 4764,
+    X86_VPCMPEQDYrm	= 4765,
+    X86_VPCMPEQDYrr	= 4766,
+    X86_VPCMPEQDZ128rm	= 4767,
+    X86_VPCMPEQDZ128rmb	= 4768,
+    X86_VPCMPEQDZ128rmbk	= 4769,
+    X86_VPCMPEQDZ128rmk	= 4770,
+    X86_VPCMPEQDZ128rr	= 4771,
+    X86_VPCMPEQDZ128rrk	= 4772,
+    X86_VPCMPEQDZ256rm	= 4773,
+    X86_VPCMPEQDZ256rmb	= 4774,
+    X86_VPCMPEQDZ256rmbk	= 4775,
+    X86_VPCMPEQDZ256rmk	= 4776,
+    X86_VPCMPEQDZ256rr	= 4777,
+    X86_VPCMPEQDZ256rrk	= 4778,
+    X86_VPCMPEQDZrm	= 4779,
+    X86_VPCMPEQDZrmb	= 4780,
+    X86_VPCMPEQDZrmbk	= 4781,
+    X86_VPCMPEQDZrmk	= 4782,
+    X86_VPCMPEQDZrr	= 4783,
+    X86_VPCMPEQDZrrk	= 4784,
+    X86_VPCMPEQDrm	= 4785,
+    X86_VPCMPEQDrr	= 4786,
+    X86_VPCMPEQQYrm	= 4787,
+    X86_VPCMPEQQYrr	= 4788,
+    X86_VPCMPEQQZ128rm	= 4789,
+    X86_VPCMPEQQZ128rmb	= 4790,
+    X86_VPCMPEQQZ128rmbk	= 4791,
+    X86_VPCMPEQQZ128rmk	= 4792,
+    X86_VPCMPEQQZ128rr	= 4793,
+    X86_VPCMPEQQZ128rrk	= 4794,
+    X86_VPCMPEQQZ256rm	= 4795,
+    X86_VPCMPEQQZ256rmb	= 4796,
+    X86_VPCMPEQQZ256rmbk	= 4797,
+    X86_VPCMPEQQZ256rmk	= 4798,
+    X86_VPCMPEQQZ256rr	= 4799,
+    X86_VPCMPEQQZ256rrk	= 4800,
+    X86_VPCMPEQQZrm	= 4801,
+    X86_VPCMPEQQZrmb	= 4802,
+    X86_VPCMPEQQZrmbk	= 4803,
+    X86_VPCMPEQQZrmk	= 4804,
+    X86_VPCMPEQQZrr	= 4805,
+    X86_VPCMPEQQZrrk	= 4806,
+    X86_VPCMPEQQrm	= 4807,
+    X86_VPCMPEQQrr	= 4808,
+    X86_VPCMPEQWYrm	= 4809,
+    X86_VPCMPEQWYrr	= 4810,
+    X86_VPCMPEQWZ128rm	= 4811,
+    X86_VPCMPEQWZ128rmk	= 4812,
+    X86_VPCMPEQWZ128rr	= 4813,
+    X86_VPCMPEQWZ128rrk	= 4814,
+    X86_VPCMPEQWZ256rm	= 4815,
+    X86_VPCMPEQWZ256rmk	= 4816,
+    X86_VPCMPEQWZ256rr	= 4817,
+    X86_VPCMPEQWZ256rrk	= 4818,
+    X86_VPCMPEQWZrm	= 4819,
+    X86_VPCMPEQWZrmk	= 4820,
+    X86_VPCMPEQWZrr	= 4821,
+    X86_VPCMPEQWZrrk	= 4822,
+    X86_VPCMPEQWrm	= 4823,
+    X86_VPCMPEQWrr	= 4824,
+    X86_VPCMPESTRIMEM	= 4825,
+    X86_VPCMPESTRIREG	= 4826,
+    X86_VPCMPESTRIrm	= 4827,
+    X86_VPCMPESTRIrr	= 4828,
+    X86_VPCMPESTRM128MEM	= 4829,
+    X86_VPCMPESTRM128REG	= 4830,
+    X86_VPCMPESTRM128rm	= 4831,
+    X86_VPCMPESTRM128rr	= 4832,
+    X86_VPCMPGTBYrm	= 4833,
+    X86_VPCMPGTBYrr	= 4834,
+    X86_VPCMPGTBZ128rm	= 4835,
+    X86_VPCMPGTBZ128rmk	= 4836,
+    X86_VPCMPGTBZ128rr	= 4837,
+    X86_VPCMPGTBZ128rrk	= 4838,
+    X86_VPCMPGTBZ256rm	= 4839,
+    X86_VPCMPGTBZ256rmk	= 4840,
+    X86_VPCMPGTBZ256rr	= 4841,
+    X86_VPCMPGTBZ256rrk	= 4842,
+    X86_VPCMPGTBZrm	= 4843,
+    X86_VPCMPGTBZrmk	= 4844,
+    X86_VPCMPGTBZrr	= 4845,
+    X86_VPCMPGTBZrrk	= 4846,
+    X86_VPCMPGTBrm	= 4847,
+    X86_VPCMPGTBrr	= 4848,
+    X86_VPCMPGTDYrm	= 4849,
+    X86_VPCMPGTDYrr	= 4850,
+    X86_VPCMPGTDZ128rm	= 4851,
+    X86_VPCMPGTDZ128rmb	= 4852,
+    X86_VPCMPGTDZ128rmbk	= 4853,
+    X86_VPCMPGTDZ128rmk	= 4854,
+    X86_VPCMPGTDZ128rr	= 4855,
+    X86_VPCMPGTDZ128rrk	= 4856,
+    X86_VPCMPGTDZ256rm	= 4857,
+    X86_VPCMPGTDZ256rmb	= 4858,
+    X86_VPCMPGTDZ256rmbk	= 4859,
+    X86_VPCMPGTDZ256rmk	= 4860,
+    X86_VPCMPGTDZ256rr	= 4861,
+    X86_VPCMPGTDZ256rrk	= 4862,
+    X86_VPCMPGTDZrm	= 4863,
+    X86_VPCMPGTDZrmb	= 4864,
+    X86_VPCMPGTDZrmbk	= 4865,
+    X86_VPCMPGTDZrmk	= 4866,
+    X86_VPCMPGTDZrr	= 4867,
+    X86_VPCMPGTDZrrk	= 4868,
+    X86_VPCMPGTDrm	= 4869,
+    X86_VPCMPGTDrr	= 4870,
+    X86_VPCMPGTQYrm	= 4871,
+    X86_VPCMPGTQYrr	= 4872,
+    X86_VPCMPGTQZ128rm	= 4873,
+    X86_VPCMPGTQZ128rmb	= 4874,
+    X86_VPCMPGTQZ128rmbk	= 4875,
+    X86_VPCMPGTQZ128rmk	= 4876,
+    X86_VPCMPGTQZ128rr	= 4877,
+    X86_VPCMPGTQZ128rrk	= 4878,
+    X86_VPCMPGTQZ256rm	= 4879,
+    X86_VPCMPGTQZ256rmb	= 4880,
+    X86_VPCMPGTQZ256rmbk	= 4881,
+    X86_VPCMPGTQZ256rmk	= 4882,
+    X86_VPCMPGTQZ256rr	= 4883,
+    X86_VPCMPGTQZ256rrk	= 4884,
+    X86_VPCMPGTQZrm	= 4885,
+    X86_VPCMPGTQZrmb	= 4886,
+    X86_VPCMPGTQZrmbk	= 4887,
+    X86_VPCMPGTQZrmk	= 4888,
+    X86_VPCMPGTQZrr	= 4889,
+    X86_VPCMPGTQZrrk	= 4890,
+    X86_VPCMPGTQrm	= 4891,
+    X86_VPCMPGTQrr	= 4892,
+    X86_VPCMPGTWYrm	= 4893,
+    X86_VPCMPGTWYrr	= 4894,
+    X86_VPCMPGTWZ128rm	= 4895,
+    X86_VPCMPGTWZ128rmk	= 4896,
+    X86_VPCMPGTWZ128rr	= 4897,
+    X86_VPCMPGTWZ128rrk	= 4898,
+    X86_VPCMPGTWZ256rm	= 4899,
+    X86_VPCMPGTWZ256rmk	= 4900,
+    X86_VPCMPGTWZ256rr	= 4901,
+    X86_VPCMPGTWZ256rrk	= 4902,
+    X86_VPCMPGTWZrm	= 4903,
+    X86_VPCMPGTWZrmk	= 4904,
+    X86_VPCMPGTWZrr	= 4905,
+    X86_VPCMPGTWZrrk	= 4906,
+    X86_VPCMPGTWrm	= 4907,
+    X86_VPCMPGTWrr	= 4908,
+    X86_VPCMPISTRIMEM	= 4909,
+    X86_VPCMPISTRIREG	= 4910,
+    X86_VPCMPISTRIrm	= 4911,
+    X86_VPCMPISTRIrr	= 4912,
+    X86_VPCMPISTRM128MEM	= 4913,
+    X86_VPCMPISTRM128REG	= 4914,
+    X86_VPCMPISTRM128rm	= 4915,
+    X86_VPCMPISTRM128rr	= 4916,
+    X86_VPCMPQZrmi	= 4917,
+    X86_VPCMPQZrmi_alt	= 4918,
+    X86_VPCMPQZrmik_alt	= 4919,
+    X86_VPCMPQZrri	= 4920,
+    X86_VPCMPQZrri_alt	= 4921,
+    X86_VPCMPQZrrik_alt	= 4922,
+    X86_VPCMPUDZrmi	= 4923,
+    X86_VPCMPUDZrmi_alt	= 4924,
+    X86_VPCMPUDZrmik_alt	= 4925,
+    X86_VPCMPUDZrri	= 4926,
+    X86_VPCMPUDZrri_alt	= 4927,
+    X86_VPCMPUDZrrik_alt	= 4928,
+    X86_VPCMPUQZrmi	= 4929,
+    X86_VPCMPUQZrmi_alt	= 4930,
+    X86_VPCMPUQZrmik_alt	= 4931,
+    X86_VPCMPUQZrri	= 4932,
+    X86_VPCMPUQZrri_alt	= 4933,
+    X86_VPCMPUQZrrik_alt	= 4934,
+    X86_VPCOMBmi	= 4935,
+    X86_VPCOMBri	= 4936,
+    X86_VPCOMDmi	= 4937,
+    X86_VPCOMDri	= 4938,
+    X86_VPCOMQmi	= 4939,
+    X86_VPCOMQri	= 4940,
+    X86_VPCOMUBmi	= 4941,
+    X86_VPCOMUBri	= 4942,
+    X86_VPCOMUDmi	= 4943,
+    X86_VPCOMUDri	= 4944,
+    X86_VPCOMUQmi	= 4945,
+    X86_VPCOMUQri	= 4946,
+    X86_VPCOMUWmi	= 4947,
+    X86_VPCOMUWri	= 4948,
+    X86_VPCOMWmi	= 4949,
+    X86_VPCOMWri	= 4950,
+    X86_VPCONFLICTDrm	= 4951,
+    X86_VPCONFLICTDrmb	= 4952,
+    X86_VPCONFLICTDrmbk	= 4953,
+    X86_VPCONFLICTDrmbkz	= 4954,
+    X86_VPCONFLICTDrmk	= 4955,
+    X86_VPCONFLICTDrmkz	= 4956,
+    X86_VPCONFLICTDrr	= 4957,
+    X86_VPCONFLICTDrrk	= 4958,
+    X86_VPCONFLICTDrrkz	= 4959,
+    X86_VPCONFLICTQrm	= 4960,
+    X86_VPCONFLICTQrmb	= 4961,
+    X86_VPCONFLICTQrmbk	= 4962,
+    X86_VPCONFLICTQrmbkz	= 4963,
+    X86_VPCONFLICTQrmk	= 4964,
+    X86_VPCONFLICTQrmkz	= 4965,
+    X86_VPCONFLICTQrr	= 4966,
+    X86_VPCONFLICTQrrk	= 4967,
+    X86_VPCONFLICTQrrkz	= 4968,
+    X86_VPERM2F128rm	= 4969,
+    X86_VPERM2F128rr	= 4970,
+    X86_VPERM2I128rm	= 4971,
+    X86_VPERM2I128rr	= 4972,
+    X86_VPERMDYrm	= 4973,
+    X86_VPERMDYrr	= 4974,
+    X86_VPERMDZrm	= 4975,
+    X86_VPERMDZrr	= 4976,
+    X86_VPERMI2Drm	= 4977,
+    X86_VPERMI2Drmk	= 4978,
+    X86_VPERMI2Drmkz	= 4979,
+    X86_VPERMI2Drr	= 4980,
+    X86_VPERMI2Drrk	= 4981,
+    X86_VPERMI2Drrkz	= 4982,
+    X86_VPERMI2PDrm	= 4983,
+    X86_VPERMI2PDrmk	= 4984,
+    X86_VPERMI2PDrmkz	= 4985,
+    X86_VPERMI2PDrr	= 4986,
+    X86_VPERMI2PDrrk	= 4987,
+    X86_VPERMI2PDrrkz	= 4988,
+    X86_VPERMI2PSrm	= 4989,
+    X86_VPERMI2PSrmk	= 4990,
+    X86_VPERMI2PSrmkz	= 4991,
+    X86_VPERMI2PSrr	= 4992,
+    X86_VPERMI2PSrrk	= 4993,
+    X86_VPERMI2PSrrkz	= 4994,
+    X86_VPERMI2Qrm	= 4995,
+    X86_VPERMI2Qrmk	= 4996,
+    X86_VPERMI2Qrmkz	= 4997,
+    X86_VPERMI2Qrr	= 4998,
+    X86_VPERMI2Qrrk	= 4999,
+    X86_VPERMI2Qrrkz	= 5000,
+    X86_VPERMIL2PDmr	= 5001,
+    X86_VPERMIL2PDmrY	= 5002,
+    X86_VPERMIL2PDrm	= 5003,
+    X86_VPERMIL2PDrmY	= 5004,
+    X86_VPERMIL2PDrr	= 5005,
+    X86_VPERMIL2PDrrY	= 5006,
+    X86_VPERMIL2PSmr	= 5007,
+    X86_VPERMIL2PSmrY	= 5008,
+    X86_VPERMIL2PSrm	= 5009,
+    X86_VPERMIL2PSrmY	= 5010,
+    X86_VPERMIL2PSrr	= 5011,
+    X86_VPERMIL2PSrrY	= 5012,
+    X86_VPERMILPDYmi	= 5013,
+    X86_VPERMILPDYri	= 5014,
+    X86_VPERMILPDYrm	= 5015,
+    X86_VPERMILPDYrr	= 5016,
+    X86_VPERMILPDZmi	= 5017,
+    X86_VPERMILPDZri	= 5018,
+    X86_VPERMILPDmi	= 5019,
+    X86_VPERMILPDri	= 5020,
+    X86_VPERMILPDrm	= 5021,
+    X86_VPERMILPDrr	= 5022,
+    X86_VPERMILPSYmi	= 5023,
+    X86_VPERMILPSYri	= 5024,
+    X86_VPERMILPSYrm	= 5025,
+    X86_VPERMILPSYrr	= 5026,
+    X86_VPERMILPSZmi	= 5027,
+    X86_VPERMILPSZri	= 5028,
+    X86_VPERMILPSmi	= 5029,
+    X86_VPERMILPSri	= 5030,
+    X86_VPERMILPSrm	= 5031,
+    X86_VPERMILPSrr	= 5032,
+    X86_VPERMPDYmi	= 5033,
+    X86_VPERMPDYri	= 5034,
+    X86_VPERMPDZmi	= 5035,
+    X86_VPERMPDZri	= 5036,
+    X86_VPERMPDZrm	= 5037,
+    X86_VPERMPDZrr	= 5038,
+    X86_VPERMPSYrm	= 5039,
+    X86_VPERMPSYrr	= 5040,
+    X86_VPERMPSZrm	= 5041,
+    X86_VPERMPSZrr	= 5042,
+    X86_VPERMQYmi	= 5043,
+    X86_VPERMQYri	= 5044,
+    X86_VPERMQZmi	= 5045,
+    X86_VPERMQZri	= 5046,
+    X86_VPERMQZrm	= 5047,
+    X86_VPERMQZrr	= 5048,
+    X86_VPERMT2Drm	= 5049,
+    X86_VPERMT2Drmk	= 5050,
+    X86_VPERMT2Drmkz	= 5051,
+    X86_VPERMT2Drr	= 5052,
+    X86_VPERMT2Drrk	= 5053,
+    X86_VPERMT2Drrkz	= 5054,
+    X86_VPERMT2PDrm	= 5055,
+    X86_VPERMT2PDrmk	= 5056,
+    X86_VPERMT2PDrmkz	= 5057,
+    X86_VPERMT2PDrr	= 5058,
+    X86_VPERMT2PDrrk	= 5059,
+    X86_VPERMT2PDrrkz	= 5060,
+    X86_VPERMT2PSrm	= 5061,
+    X86_VPERMT2PSrmk	= 5062,
+    X86_VPERMT2PSrmkz	= 5063,
+    X86_VPERMT2PSrr	= 5064,
+    X86_VPERMT2PSrrk	= 5065,
+    X86_VPERMT2PSrrkz	= 5066,
+    X86_VPERMT2Qrm	= 5067,
+    X86_VPERMT2Qrmk	= 5068,
+    X86_VPERMT2Qrmkz	= 5069,
+    X86_VPERMT2Qrr	= 5070,
+    X86_VPERMT2Qrrk	= 5071,
+    X86_VPERMT2Qrrkz	= 5072,
+    X86_VPEXTRBmr	= 5073,
+    X86_VPEXTRBrr	= 5074,
+    X86_VPEXTRDmr	= 5075,
+    X86_VPEXTRDrr	= 5076,
+    X86_VPEXTRQmr	= 5077,
+    X86_VPEXTRQrr	= 5078,
+    X86_VPEXTRWmr	= 5079,
+    X86_VPEXTRWri	= 5080,
+    X86_VPEXTRWrr_REV	= 5081,
+    X86_VPGATHERDDYrm	= 5082,
+    X86_VPGATHERDDZrm	= 5083,
+    X86_VPGATHERDDrm	= 5084,
+    X86_VPGATHERDQYrm	= 5085,
+    X86_VPGATHERDQZrm	= 5086,
+    X86_VPGATHERDQrm	= 5087,
+    X86_VPGATHERQDYrm	= 5088,
+    X86_VPGATHERQDZrm	= 5089,
+    X86_VPGATHERQDrm	= 5090,
+    X86_VPGATHERQQYrm	= 5091,
+    X86_VPGATHERQQZrm	= 5092,
+    X86_VPGATHERQQrm	= 5093,
+    X86_VPHADDBDrm	= 5094,
+    X86_VPHADDBDrr	= 5095,
+    X86_VPHADDBQrm	= 5096,
+    X86_VPHADDBQrr	= 5097,
+    X86_VPHADDBWrm	= 5098,
+    X86_VPHADDBWrr	= 5099,
+    X86_VPHADDDQrm	= 5100,
+    X86_VPHADDDQrr	= 5101,
+    X86_VPHADDDYrm	= 5102,
+    X86_VPHADDDYrr	= 5103,
+    X86_VPHADDDrm	= 5104,
+    X86_VPHADDDrr	= 5105,
+    X86_VPHADDSWrm128	= 5106,
+    X86_VPHADDSWrm256	= 5107,
+    X86_VPHADDSWrr128	= 5108,
+    X86_VPHADDSWrr256	= 5109,
+    X86_VPHADDUBDrm	= 5110,
+    X86_VPHADDUBDrr	= 5111,
+    X86_VPHADDUBQrm	= 5112,
+    X86_VPHADDUBQrr	= 5113,
+    X86_VPHADDUBWrm	= 5114,
+    X86_VPHADDUBWrr	= 5115,
+    X86_VPHADDUDQrm	= 5116,
+    X86_VPHADDUDQrr	= 5117,
+    X86_VPHADDUWDrm	= 5118,
+    X86_VPHADDUWDrr	= 5119,
+    X86_VPHADDUWQrm	= 5120,
+    X86_VPHADDUWQrr	= 5121,
+    X86_VPHADDWDrm	= 5122,
+    X86_VPHADDWDrr	= 5123,
+    X86_VPHADDWQrm	= 5124,
+    X86_VPHADDWQrr	= 5125,
+    X86_VPHADDWYrm	= 5126,
+    X86_VPHADDWYrr	= 5127,
+    X86_VPHADDWrm	= 5128,
+    X86_VPHADDWrr	= 5129,
+    X86_VPHMINPOSUWrm128	= 5130,
+    X86_VPHMINPOSUWrr128	= 5131,
+    X86_VPHSUBBWrm	= 5132,
+    X86_VPHSUBBWrr	= 5133,
+    X86_VPHSUBDQrm	= 5134,
+    X86_VPHSUBDQrr	= 5135,
+    X86_VPHSUBDYrm	= 5136,
+    X86_VPHSUBDYrr	= 5137,
+    X86_VPHSUBDrm	= 5138,
+    X86_VPHSUBDrr	= 5139,
+    X86_VPHSUBSWrm128	= 5140,
+    X86_VPHSUBSWrm256	= 5141,
+    X86_VPHSUBSWrr128	= 5142,
+    X86_VPHSUBSWrr256	= 5143,
+    X86_VPHSUBWDrm	= 5144,
+    X86_VPHSUBWDrr	= 5145,
+    X86_VPHSUBWYrm	= 5146,
+    X86_VPHSUBWYrr	= 5147,
+    X86_VPHSUBWrm	= 5148,
+    X86_VPHSUBWrr	= 5149,
+    X86_VPINSRBrm	= 5150,
+    X86_VPINSRBrr	= 5151,
+    X86_VPINSRDrm	= 5152,
+    X86_VPINSRDrr	= 5153,
+    X86_VPINSRQrm	= 5154,
+    X86_VPINSRQrr	= 5155,
+    X86_VPINSRWrmi	= 5156,
+    X86_VPINSRWrri	= 5157,
+    X86_VPLZCNTDrm	= 5158,
+    X86_VPLZCNTDrmb	= 5159,
+    X86_VPLZCNTDrmbk	= 5160,
+    X86_VPLZCNTDrmbkz	= 5161,
+    X86_VPLZCNTDrmk	= 5162,
+    X86_VPLZCNTDrmkz	= 5163,
+    X86_VPLZCNTDrr	= 5164,
+    X86_VPLZCNTDrrk	= 5165,
+    X86_VPLZCNTDrrkz	= 5166,
+    X86_VPLZCNTQrm	= 5167,
+    X86_VPLZCNTQrmb	= 5168,
+    X86_VPLZCNTQrmbk	= 5169,
+    X86_VPLZCNTQrmbkz	= 5170,
+    X86_VPLZCNTQrmk	= 5171,
+    X86_VPLZCNTQrmkz	= 5172,
+    X86_VPLZCNTQrr	= 5173,
+    X86_VPLZCNTQrrk	= 5174,
+    X86_VPLZCNTQrrkz	= 5175,
+    X86_VPMACSDDrm	= 5176,
+    X86_VPMACSDDrr	= 5177,
+    X86_VPMACSDQHrm	= 5178,
+    X86_VPMACSDQHrr	= 5179,
+    X86_VPMACSDQLrm	= 5180,
+    X86_VPMACSDQLrr	= 5181,
+    X86_VPMACSSDDrm	= 5182,
+    X86_VPMACSSDDrr	= 5183,
+    X86_VPMACSSDQHrm	= 5184,
+    X86_VPMACSSDQHrr	= 5185,
+    X86_VPMACSSDQLrm	= 5186,
+    X86_VPMACSSDQLrr	= 5187,
+    X86_VPMACSSWDrm	= 5188,
+    X86_VPMACSSWDrr	= 5189,
+    X86_VPMACSSWWrm	= 5190,
+    X86_VPMACSSWWrr	= 5191,
+    X86_VPMACSWDrm	= 5192,
+    X86_VPMACSWDrr	= 5193,
+    X86_VPMACSWWrm	= 5194,
+    X86_VPMACSWWrr	= 5195,
+    X86_VPMADCSSWDrm	= 5196,
+    X86_VPMADCSSWDrr	= 5197,
+    X86_VPMADCSWDrm	= 5198,
+    X86_VPMADCSWDrr	= 5199,
+    X86_VPMADDUBSWrm128	= 5200,
+    X86_VPMADDUBSWrm256	= 5201,
+    X86_VPMADDUBSWrr128	= 5202,
+    X86_VPMADDUBSWrr256	= 5203,
+    X86_VPMADDWDYrm	= 5204,
+    X86_VPMADDWDYrr	= 5205,
+    X86_VPMADDWDrm	= 5206,
+    X86_VPMADDWDrr	= 5207,
+    X86_VPMASKMOVDYmr	= 5208,
+    X86_VPMASKMOVDYrm	= 5209,
+    X86_VPMASKMOVDmr	= 5210,
+    X86_VPMASKMOVDrm	= 5211,
+    X86_VPMASKMOVQYmr	= 5212,
+    X86_VPMASKMOVQYrm	= 5213,
+    X86_VPMASKMOVQmr	= 5214,
+    X86_VPMASKMOVQrm	= 5215,
+    X86_VPMAXSBYrm	= 5216,
+    X86_VPMAXSBYrr	= 5217,
+    X86_VPMAXSBrm	= 5218,
+    X86_VPMAXSBrr	= 5219,
+    X86_VPMAXSDYrm	= 5220,
+    X86_VPMAXSDYrr	= 5221,
+    X86_VPMAXSDZrm	= 5222,
+    X86_VPMAXSDZrmb	= 5223,
+    X86_VPMAXSDZrmbk	= 5224,
+    X86_VPMAXSDZrmbkz	= 5225,
+    X86_VPMAXSDZrmk	= 5226,
+    X86_VPMAXSDZrmkz	= 5227,
+    X86_VPMAXSDZrr	= 5228,
+    X86_VPMAXSDZrrk	= 5229,
+    X86_VPMAXSDZrrkz	= 5230,
+    X86_VPMAXSDrm	= 5231,
+    X86_VPMAXSDrr	= 5232,
+    X86_VPMAXSQZrm	= 5233,
+    X86_VPMAXSQZrmb	= 5234,
+    X86_VPMAXSQZrmbk	= 5235,
+    X86_VPMAXSQZrmbkz	= 5236,
+    X86_VPMAXSQZrmk	= 5237,
+    X86_VPMAXSQZrmkz	= 5238,
+    X86_VPMAXSQZrr	= 5239,
+    X86_VPMAXSQZrrk	= 5240,
+    X86_VPMAXSQZrrkz	= 5241,
+    X86_VPMAXSWYrm	= 5242,
+    X86_VPMAXSWYrr	= 5243,
+    X86_VPMAXSWrm	= 5244,
+    X86_VPMAXSWrr	= 5245,
+    X86_VPMAXUBYrm	= 5246,
+    X86_VPMAXUBYrr	= 5247,
+    X86_VPMAXUBrm	= 5248,
+    X86_VPMAXUBrr	= 5249,
+    X86_VPMAXUDYrm	= 5250,
+    X86_VPMAXUDYrr	= 5251,
+    X86_VPMAXUDZrm	= 5252,
+    X86_VPMAXUDZrmb	= 5253,
+    X86_VPMAXUDZrmbk	= 5254,
+    X86_VPMAXUDZrmbkz	= 5255,
+    X86_VPMAXUDZrmk	= 5256,
+    X86_VPMAXUDZrmkz	= 5257,
+    X86_VPMAXUDZrr	= 5258,
+    X86_VPMAXUDZrrk	= 5259,
+    X86_VPMAXUDZrrkz	= 5260,
+    X86_VPMAXUDrm	= 5261,
+    X86_VPMAXUDrr	= 5262,
+    X86_VPMAXUQZrm	= 5263,
+    X86_VPMAXUQZrmb	= 5264,
+    X86_VPMAXUQZrmbk	= 5265,
+    X86_VPMAXUQZrmbkz	= 5266,
+    X86_VPMAXUQZrmk	= 5267,
+    X86_VPMAXUQZrmkz	= 5268,
+    X86_VPMAXUQZrr	= 5269,
+    X86_VPMAXUQZrrk	= 5270,
+    X86_VPMAXUQZrrkz	= 5271,
+    X86_VPMAXUWYrm	= 5272,
+    X86_VPMAXUWYrr	= 5273,
+    X86_VPMAXUWrm	= 5274,
+    X86_VPMAXUWrr	= 5275,
+    X86_VPMINSBYrm	= 5276,
+    X86_VPMINSBYrr	= 5277,
+    X86_VPMINSBrm	= 5278,
+    X86_VPMINSBrr	= 5279,
+    X86_VPMINSDYrm	= 5280,
+    X86_VPMINSDYrr	= 5281,
+    X86_VPMINSDZrm	= 5282,
+    X86_VPMINSDZrmb	= 5283,
+    X86_VPMINSDZrmbk	= 5284,
+    X86_VPMINSDZrmbkz	= 5285,
+    X86_VPMINSDZrmk	= 5286,
+    X86_VPMINSDZrmkz	= 5287,
+    X86_VPMINSDZrr	= 5288,
+    X86_VPMINSDZrrk	= 5289,
+    X86_VPMINSDZrrkz	= 5290,
+    X86_VPMINSDrm	= 5291,
+    X86_VPMINSDrr	= 5292,
+    X86_VPMINSQZrm	= 5293,
+    X86_VPMINSQZrmb	= 5294,
+    X86_VPMINSQZrmbk	= 5295,
+    X86_VPMINSQZrmbkz	= 5296,
+    X86_VPMINSQZrmk	= 5297,
+    X86_VPMINSQZrmkz	= 5298,
+    X86_VPMINSQZrr	= 5299,
+    X86_VPMINSQZrrk	= 5300,
+    X86_VPMINSQZrrkz	= 5301,
+    X86_VPMINSWYrm	= 5302,
+    X86_VPMINSWYrr	= 5303,
+    X86_VPMINSWrm	= 5304,
+    X86_VPMINSWrr	= 5305,
+    X86_VPMINUBYrm	= 5306,
+    X86_VPMINUBYrr	= 5307,
+    X86_VPMINUBrm	= 5308,
+    X86_VPMINUBrr	= 5309,
+    X86_VPMINUDYrm	= 5310,
+    X86_VPMINUDYrr	= 5311,
+    X86_VPMINUDZrm	= 5312,
+    X86_VPMINUDZrmb	= 5313,
+    X86_VPMINUDZrmbk	= 5314,
+    X86_VPMINUDZrmbkz	= 5315,
+    X86_VPMINUDZrmk	= 5316,
+    X86_VPMINUDZrmkz	= 5317,
+    X86_VPMINUDZrr	= 5318,
+    X86_VPMINUDZrrk	= 5319,
+    X86_VPMINUDZrrkz	= 5320,
+    X86_VPMINUDrm	= 5321,
+    X86_VPMINUDrr	= 5322,
+    X86_VPMINUQZrm	= 5323,
+    X86_VPMINUQZrmb	= 5324,
+    X86_VPMINUQZrmbk	= 5325,
+    X86_VPMINUQZrmbkz	= 5326,
+    X86_VPMINUQZrmk	= 5327,
+    X86_VPMINUQZrmkz	= 5328,
+    X86_VPMINUQZrr	= 5329,
+    X86_VPMINUQZrrk	= 5330,
+    X86_VPMINUQZrrkz	= 5331,
+    X86_VPMINUWYrm	= 5332,
+    X86_VPMINUWYrr	= 5333,
+    X86_VPMINUWrm	= 5334,
+    X86_VPMINUWrr	= 5335,
+    X86_VPMOVDBmr	= 5336,
+    X86_VPMOVDBmrk	= 5337,
+    X86_VPMOVDBrr	= 5338,
+    X86_VPMOVDBrrk	= 5339,
+    X86_VPMOVDBrrkz	= 5340,
+    X86_VPMOVDWmr	= 5341,
+    X86_VPMOVDWmrk	= 5342,
+    X86_VPMOVDWrr	= 5343,
+    X86_VPMOVDWrrk	= 5344,
+    X86_VPMOVDWrrkz	= 5345,
+    X86_VPMOVMSKBYrr	= 5346,
+    X86_VPMOVMSKBrr	= 5347,
+    X86_VPMOVQBmr	= 5348,
+    X86_VPMOVQBmrk	= 5349,
+    X86_VPMOVQBrr	= 5350,
+    X86_VPMOVQBrrk	= 5351,
+    X86_VPMOVQBrrkz	= 5352,
+    X86_VPMOVQDmr	= 5353,
+    X86_VPMOVQDmrk	= 5354,
+    X86_VPMOVQDrr	= 5355,
+    X86_VPMOVQDrrk	= 5356,
+    X86_VPMOVQDrrkz	= 5357,
+    X86_VPMOVQWmr	= 5358,
+    X86_VPMOVQWmrk	= 5359,
+    X86_VPMOVQWrr	= 5360,
+    X86_VPMOVQWrrk	= 5361,
+    X86_VPMOVQWrrkz	= 5362,
+    X86_VPMOVSDBmr	= 5363,
+    X86_VPMOVSDBmrk	= 5364,
+    X86_VPMOVSDBrr	= 5365,
+    X86_VPMOVSDBrrk	= 5366,
+    X86_VPMOVSDBrrkz	= 5367,
+    X86_VPMOVSDWmr	= 5368,
+    X86_VPMOVSDWmrk	= 5369,
+    X86_VPMOVSDWrr	= 5370,
+    X86_VPMOVSDWrrk	= 5371,
+    X86_VPMOVSDWrrkz	= 5372,
+    X86_VPMOVSQBmr	= 5373,
+    X86_VPMOVSQBmrk	= 5374,
+    X86_VPMOVSQBrr	= 5375,
+    X86_VPMOVSQBrrk	= 5376,
+    X86_VPMOVSQBrrkz	= 5377,
+    X86_VPMOVSQDmr	= 5378,
+    X86_VPMOVSQDmrk	= 5379,
+    X86_VPMOVSQDrr	= 5380,
+    X86_VPMOVSQDrrk	= 5381,
+    X86_VPMOVSQDrrkz	= 5382,
+    X86_VPMOVSQWmr	= 5383,
+    X86_VPMOVSQWmrk	= 5384,
+    X86_VPMOVSQWrr	= 5385,
+    X86_VPMOVSQWrrk	= 5386,
+    X86_VPMOVSQWrrkz	= 5387,
+    X86_VPMOVSXBDYrm	= 5388,
+    X86_VPMOVSXBDYrr	= 5389,
+    X86_VPMOVSXBDZrm	= 5390,
+    X86_VPMOVSXBDZrmk	= 5391,
+    X86_VPMOVSXBDZrmkz	= 5392,
+    X86_VPMOVSXBDZrr	= 5393,
+    X86_VPMOVSXBDZrrk	= 5394,
+    X86_VPMOVSXBDZrrkz	= 5395,
+    X86_VPMOVSXBDrm	= 5396,
+    X86_VPMOVSXBDrr	= 5397,
+    X86_VPMOVSXBQYrm	= 5398,
+    X86_VPMOVSXBQYrr	= 5399,
+    X86_VPMOVSXBQZrm	= 5400,
+    X86_VPMOVSXBQZrmk	= 5401,
+    X86_VPMOVSXBQZrmkz	= 5402,
+    X86_VPMOVSXBQZrr	= 5403,
+    X86_VPMOVSXBQZrrk	= 5404,
+    X86_VPMOVSXBQZrrkz	= 5405,
+    X86_VPMOVSXBQrm	= 5406,
+    X86_VPMOVSXBQrr	= 5407,
+    X86_VPMOVSXBWYrm	= 5408,
+    X86_VPMOVSXBWYrr	= 5409,
+    X86_VPMOVSXBWrm	= 5410,
+    X86_VPMOVSXBWrr	= 5411,
+    X86_VPMOVSXDQYrm	= 5412,
+    X86_VPMOVSXDQYrr	= 5413,
+    X86_VPMOVSXDQZrm	= 5414,
+    X86_VPMOVSXDQZrmk	= 5415,
+    X86_VPMOVSXDQZrmkz	= 5416,
+    X86_VPMOVSXDQZrr	= 5417,
+    X86_VPMOVSXDQZrrk	= 5418,
+    X86_VPMOVSXDQZrrkz	= 5419,
+    X86_VPMOVSXDQrm	= 5420,
+    X86_VPMOVSXDQrr	= 5421,
+    X86_VPMOVSXWDYrm	= 5422,
+    X86_VPMOVSXWDYrr	= 5423,
+    X86_VPMOVSXWDZrm	= 5424,
+    X86_VPMOVSXWDZrmk	= 5425,
+    X86_VPMOVSXWDZrmkz	= 5426,
+    X86_VPMOVSXWDZrr	= 5427,
+    X86_VPMOVSXWDZrrk	= 5428,
+    X86_VPMOVSXWDZrrkz	= 5429,
+    X86_VPMOVSXWDrm	= 5430,
+    X86_VPMOVSXWDrr	= 5431,
+    X86_VPMOVSXWQYrm	= 5432,
+    X86_VPMOVSXWQYrr	= 5433,
+    X86_VPMOVSXWQZrm	= 5434,
+    X86_VPMOVSXWQZrmk	= 5435,
+    X86_VPMOVSXWQZrmkz	= 5436,
+    X86_VPMOVSXWQZrr	= 5437,
+    X86_VPMOVSXWQZrrk	= 5438,
+    X86_VPMOVSXWQZrrkz	= 5439,
+    X86_VPMOVSXWQrm	= 5440,
+    X86_VPMOVSXWQrr	= 5441,
+    X86_VPMOVUSDBmr	= 5442,
+    X86_VPMOVUSDBmrk	= 5443,
+    X86_VPMOVUSDBrr	= 5444,
+    X86_VPMOVUSDBrrk	= 5445,
+    X86_VPMOVUSDBrrkz	= 5446,
+    X86_VPMOVUSDWmr	= 5447,
+    X86_VPMOVUSDWmrk	= 5448,
+    X86_VPMOVUSDWrr	= 5449,
+    X86_VPMOVUSDWrrk	= 5450,
+    X86_VPMOVUSDWrrkz	= 5451,
+    X86_VPMOVUSQBmr	= 5452,
+    X86_VPMOVUSQBmrk	= 5453,
+    X86_VPMOVUSQBrr	= 5454,
+    X86_VPMOVUSQBrrk	= 5455,
+    X86_VPMOVUSQBrrkz	= 5456,
+    X86_VPMOVUSQDmr	= 5457,
+    X86_VPMOVUSQDmrk	= 5458,
+    X86_VPMOVUSQDrr	= 5459,
+    X86_VPMOVUSQDrrk	= 5460,
+    X86_VPMOVUSQDrrkz	= 5461,
+    X86_VPMOVUSQWmr	= 5462,
+    X86_VPMOVUSQWmrk	= 5463,
+    X86_VPMOVUSQWrr	= 5464,
+    X86_VPMOVUSQWrrk	= 5465,
+    X86_VPMOVUSQWrrkz	= 5466,
+    X86_VPMOVZXBDYrm	= 5467,
+    X86_VPMOVZXBDYrr	= 5468,
+    X86_VPMOVZXBDZrm	= 5469,
+    X86_VPMOVZXBDZrmk	= 5470,
+    X86_VPMOVZXBDZrmkz	= 5471,
+    X86_VPMOVZXBDZrr	= 5472,
+    X86_VPMOVZXBDZrrk	= 5473,
+    X86_VPMOVZXBDZrrkz	= 5474,
+    X86_VPMOVZXBDrm	= 5475,
+    X86_VPMOVZXBDrr	= 5476,
+    X86_VPMOVZXBQYrm	= 5477,
+    X86_VPMOVZXBQYrr	= 5478,
+    X86_VPMOVZXBQZrm	= 5479,
+    X86_VPMOVZXBQZrmk	= 5480,
+    X86_VPMOVZXBQZrmkz	= 5481,
+    X86_VPMOVZXBQZrr	= 5482,
+    X86_VPMOVZXBQZrrk	= 5483,
+    X86_VPMOVZXBQZrrkz	= 5484,
+    X86_VPMOVZXBQrm	= 5485,
+    X86_VPMOVZXBQrr	= 5486,
+    X86_VPMOVZXBWYrm	= 5487,
+    X86_VPMOVZXBWYrr	= 5488,
+    X86_VPMOVZXBWrm	= 5489,
+    X86_VPMOVZXBWrr	= 5490,
+    X86_VPMOVZXDQYrm	= 5491,
+    X86_VPMOVZXDQYrr	= 5492,
+    X86_VPMOVZXDQZrm	= 5493,
+    X86_VPMOVZXDQZrmk	= 5494,
+    X86_VPMOVZXDQZrmkz	= 5495,
+    X86_VPMOVZXDQZrr	= 5496,
+    X86_VPMOVZXDQZrrk	= 5497,
+    X86_VPMOVZXDQZrrkz	= 5498,
+    X86_VPMOVZXDQrm	= 5499,
+    X86_VPMOVZXDQrr	= 5500,
+    X86_VPMOVZXWDYrm	= 5501,
+    X86_VPMOVZXWDYrr	= 5502,
+    X86_VPMOVZXWDZrm	= 5503,
+    X86_VPMOVZXWDZrmk	= 5504,
+    X86_VPMOVZXWDZrmkz	= 5505,
+    X86_VPMOVZXWDZrr	= 5506,
+    X86_VPMOVZXWDZrrk	= 5507,
+    X86_VPMOVZXWDZrrkz	= 5508,
+    X86_VPMOVZXWDrm	= 5509,
+    X86_VPMOVZXWDrr	= 5510,
+    X86_VPMOVZXWQYrm	= 5511,
+    X86_VPMOVZXWQYrr	= 5512,
+    X86_VPMOVZXWQZrm	= 5513,
+    X86_VPMOVZXWQZrmk	= 5514,
+    X86_VPMOVZXWQZrmkz	= 5515,
+    X86_VPMOVZXWQZrr	= 5516,
+    X86_VPMOVZXWQZrrk	= 5517,
+    X86_VPMOVZXWQZrrkz	= 5518,
+    X86_VPMOVZXWQrm	= 5519,
+    X86_VPMOVZXWQrr	= 5520,
+    X86_VPMULDQYrm	= 5521,
+    X86_VPMULDQYrr	= 5522,
+    X86_VPMULDQZrm	= 5523,
+    X86_VPMULDQZrmb	= 5524,
+    X86_VPMULDQZrmbk	= 5525,
+    X86_VPMULDQZrmbkz	= 5526,
+    X86_VPMULDQZrmk	= 5527,
+    X86_VPMULDQZrmkz	= 5528,
+    X86_VPMULDQZrr	= 5529,
+    X86_VPMULDQZrrk	= 5530,
+    X86_VPMULDQZrrkz	= 5531,
+    X86_VPMULDQrm	= 5532,
+    X86_VPMULDQrr	= 5533,
+    X86_VPMULHRSWrm128	= 5534,
+    X86_VPMULHRSWrm256	= 5535,
+    X86_VPMULHRSWrr128	= 5536,
+    X86_VPMULHRSWrr256	= 5537,
+    X86_VPMULHUWYrm	= 5538,
+    X86_VPMULHUWYrr	= 5539,
+    X86_VPMULHUWrm	= 5540,
+    X86_VPMULHUWrr	= 5541,
+    X86_VPMULHWYrm	= 5542,
+    X86_VPMULHWYrr	= 5543,
+    X86_VPMULHWrm	= 5544,
+    X86_VPMULHWrr	= 5545,
+    X86_VPMULLDYrm	= 5546,
+    X86_VPMULLDYrr	= 5547,
+    X86_VPMULLDZrm	= 5548,
+    X86_VPMULLDZrmb	= 5549,
+    X86_VPMULLDZrmbk	= 5550,
+    X86_VPMULLDZrmbkz	= 5551,
+    X86_VPMULLDZrmk	= 5552,
+    X86_VPMULLDZrmkz	= 5553,
+    X86_VPMULLDZrr	= 5554,
+    X86_VPMULLDZrrk	= 5555,
+    X86_VPMULLDZrrkz	= 5556,
+    X86_VPMULLDrm	= 5557,
+    X86_VPMULLDrr	= 5558,
+    X86_VPMULLWYrm	= 5559,
+    X86_VPMULLWYrr	= 5560,
+    X86_VPMULLWrm	= 5561,
+    X86_VPMULLWrr	= 5562,
+    X86_VPMULUDQYrm	= 5563,
+    X86_VPMULUDQYrr	= 5564,
+    X86_VPMULUDQZrm	= 5565,
+    X86_VPMULUDQZrmb	= 5566,
+    X86_VPMULUDQZrmbk	= 5567,
+    X86_VPMULUDQZrmbkz	= 5568,
+    X86_VPMULUDQZrmk	= 5569,
+    X86_VPMULUDQZrmkz	= 5570,
+    X86_VPMULUDQZrr	= 5571,
+    X86_VPMULUDQZrrk	= 5572,
+    X86_VPMULUDQZrrkz	= 5573,
+    X86_VPMULUDQrm	= 5574,
+    X86_VPMULUDQrr	= 5575,
+    X86_VPORDZrm	= 5576,
+    X86_VPORDZrmb	= 5577,
+    X86_VPORDZrmbk	= 5578,
+    X86_VPORDZrmbkz	= 5579,
+    X86_VPORDZrmk	= 5580,
+    X86_VPORDZrmkz	= 5581,
+    X86_VPORDZrr	= 5582,
+    X86_VPORDZrrk	= 5583,
+    X86_VPORDZrrkz	= 5584,
+    X86_VPORQZrm	= 5585,
+    X86_VPORQZrmb	= 5586,
+    X86_VPORQZrmbk	= 5587,
+    X86_VPORQZrmbkz	= 5588,
+    X86_VPORQZrmk	= 5589,
+    X86_VPORQZrmkz	= 5590,
+    X86_VPORQZrr	= 5591,
+    X86_VPORQZrrk	= 5592,
+    X86_VPORQZrrkz	= 5593,
+    X86_VPORYrm	= 5594,
+    X86_VPORYrr	= 5595,
+    X86_VPORrm	= 5596,
+    X86_VPORrr	= 5597,
+    X86_VPPERMmr	= 5598,
+    X86_VPPERMrm	= 5599,
+    X86_VPPERMrr	= 5600,
+    X86_VPROTBmi	= 5601,
+    X86_VPROTBmr	= 5602,
+    X86_VPROTBri	= 5603,
+    X86_VPROTBrm	= 5604,
+    X86_VPROTBrr	= 5605,
+    X86_VPROTDmi	= 5606,
+    X86_VPROTDmr	= 5607,
+    X86_VPROTDri	= 5608,
+    X86_VPROTDrm	= 5609,
+    X86_VPROTDrr	= 5610,
+    X86_VPROTQmi	= 5611,
+    X86_VPROTQmr	= 5612,
+    X86_VPROTQri	= 5613,
+    X86_VPROTQrm	= 5614,
+    X86_VPROTQrr	= 5615,
+    X86_VPROTWmi	= 5616,
+    X86_VPROTWmr	= 5617,
+    X86_VPROTWri	= 5618,
+    X86_VPROTWrm	= 5619,
+    X86_VPROTWrr	= 5620,
+    X86_VPSADBWYrm	= 5621,
+    X86_VPSADBWYrr	= 5622,
+    X86_VPSADBWrm	= 5623,
+    X86_VPSADBWrr	= 5624,
+    X86_VPSCATTERDDZmr	= 5625,
+    X86_VPSCATTERDQZmr	= 5626,
+    X86_VPSCATTERQDZmr	= 5627,
+    X86_VPSCATTERQQZmr	= 5628,
+    X86_VPSHABmr	= 5629,
+    X86_VPSHABrm	= 5630,
+    X86_VPSHABrr	= 5631,
+    X86_VPSHADmr	= 5632,
+    X86_VPSHADrm	= 5633,
+    X86_VPSHADrr	= 5634,
+    X86_VPSHAQmr	= 5635,
+    X86_VPSHAQrm	= 5636,
+    X86_VPSHAQrr	= 5637,
+    X86_VPSHAWmr	= 5638,
+    X86_VPSHAWrm	= 5639,
+    X86_VPSHAWrr	= 5640,
+    X86_VPSHLBmr	= 5641,
+    X86_VPSHLBrm	= 5642,
+    X86_VPSHLBrr	= 5643,
+    X86_VPSHLDmr	= 5644,
+    X86_VPSHLDrm	= 5645,
+    X86_VPSHLDrr	= 5646,
+    X86_VPSHLQmr	= 5647,
+    X86_VPSHLQrm	= 5648,
+    X86_VPSHLQrr	= 5649,
+    X86_VPSHLWmr	= 5650,
+    X86_VPSHLWrm	= 5651,
+    X86_VPSHLWrr	= 5652,
+    X86_VPSHUFBYrm	= 5653,
+    X86_VPSHUFBYrr	= 5654,
+    X86_VPSHUFBrm	= 5655,
+    X86_VPSHUFBrr	= 5656,
+    X86_VPSHUFDYmi	= 5657,
+    X86_VPSHUFDYri	= 5658,
+    X86_VPSHUFDZmi	= 5659,
+    X86_VPSHUFDZri	= 5660,
+    X86_VPSHUFDmi	= 5661,
+    X86_VPSHUFDri	= 5662,
+    X86_VPSHUFHWYmi	= 5663,
+    X86_VPSHUFHWYri	= 5664,
+    X86_VPSHUFHWmi	= 5665,
+    X86_VPSHUFHWri	= 5666,
+    X86_VPSHUFLWYmi	= 5667,
+    X86_VPSHUFLWYri	= 5668,
+    X86_VPSHUFLWmi	= 5669,
+    X86_VPSHUFLWri	= 5670,
+    X86_VPSIGNBYrm	= 5671,
+    X86_VPSIGNBYrr	= 5672,
+    X86_VPSIGNBrm	= 5673,
+    X86_VPSIGNBrr	= 5674,
+    X86_VPSIGNDYrm	= 5675,
+    X86_VPSIGNDYrr	= 5676,
+    X86_VPSIGNDrm	= 5677,
+    X86_VPSIGNDrr	= 5678,
+    X86_VPSIGNWYrm	= 5679,
+    X86_VPSIGNWYrr	= 5680,
+    X86_VPSIGNWrm	= 5681,
+    X86_VPSIGNWrr	= 5682,
+    X86_VPSLLDQYri	= 5683,
+    X86_VPSLLDQri	= 5684,
+    X86_VPSLLDYri	= 5685,
+    X86_VPSLLDYrm	= 5686,
+    X86_VPSLLDYrr	= 5687,
+    X86_VPSLLDZmi	= 5688,
+    X86_VPSLLDZmik	= 5689,
+    X86_VPSLLDZri	= 5690,
+    X86_VPSLLDZrik	= 5691,
+    X86_VPSLLDZrm	= 5692,
+    X86_VPSLLDZrmk	= 5693,
+    X86_VPSLLDZrr	= 5694,
+    X86_VPSLLDZrrk	= 5695,
+    X86_VPSLLDri	= 5696,
+    X86_VPSLLDrm	= 5697,
+    X86_VPSLLDrr	= 5698,
+    X86_VPSLLQYri	= 5699,
+    X86_VPSLLQYrm	= 5700,
+    X86_VPSLLQYrr	= 5701,
+    X86_VPSLLQZmi	= 5702,
+    X86_VPSLLQZmik	= 5703,
+    X86_VPSLLQZri	= 5704,
+    X86_VPSLLQZrik	= 5705,
+    X86_VPSLLQZrm	= 5706,
+    X86_VPSLLQZrmk	= 5707,
+    X86_VPSLLQZrr	= 5708,
+    X86_VPSLLQZrrk	= 5709,
+    X86_VPSLLQri	= 5710,
+    X86_VPSLLQrm	= 5711,
+    X86_VPSLLQrr	= 5712,
+    X86_VPSLLVDYrm	= 5713,
+    X86_VPSLLVDYrr	= 5714,
+    X86_VPSLLVDZrm	= 5715,
+    X86_VPSLLVDZrr	= 5716,
+    X86_VPSLLVDrm	= 5717,
+    X86_VPSLLVDrr	= 5718,
+    X86_VPSLLVQYrm	= 5719,
+    X86_VPSLLVQYrr	= 5720,
+    X86_VPSLLVQZrm	= 5721,
+    X86_VPSLLVQZrr	= 5722,
+    X86_VPSLLVQrm	= 5723,
+    X86_VPSLLVQrr	= 5724,
+    X86_VPSLLWYri	= 5725,
+    X86_VPSLLWYrm	= 5726,
+    X86_VPSLLWYrr	= 5727,
+    X86_VPSLLWri	= 5728,
+    X86_VPSLLWrm	= 5729,
+    X86_VPSLLWrr	= 5730,
+    X86_VPSRADYri	= 5731,
+    X86_VPSRADYrm	= 5732,
+    X86_VPSRADYrr	= 5733,
+    X86_VPSRADZmi	= 5734,
+    X86_VPSRADZmik	= 5735,
+    X86_VPSRADZri	= 5736,
+    X86_VPSRADZrik	= 5737,
+    X86_VPSRADZrm	= 5738,
+    X86_VPSRADZrmk	= 5739,
+    X86_VPSRADZrr	= 5740,
+    X86_VPSRADZrrk	= 5741,
+    X86_VPSRADri	= 5742,
+    X86_VPSRADrm	= 5743,
+    X86_VPSRADrr	= 5744,
+    X86_VPSRAQZmi	= 5745,
+    X86_VPSRAQZmik	= 5746,
+    X86_VPSRAQZri	= 5747,
+    X86_VPSRAQZrik	= 5748,
+    X86_VPSRAQZrm	= 5749,
+    X86_VPSRAQZrmk	= 5750,
+    X86_VPSRAQZrr	= 5751,
+    X86_VPSRAQZrrk	= 5752,
+    X86_VPSRAVDYrm	= 5753,
+    X86_VPSRAVDYrr	= 5754,
+    X86_VPSRAVDZrm	= 5755,
+    X86_VPSRAVDZrr	= 5756,
+    X86_VPSRAVDrm	= 5757,
+    X86_VPSRAVDrr	= 5758,
+    X86_VPSRAVQZrm	= 5759,
+    X86_VPSRAVQZrr	= 5760,
+    X86_VPSRAWYri	= 5761,
+    X86_VPSRAWYrm	= 5762,
+    X86_VPSRAWYrr	= 5763,
+    X86_VPSRAWri	= 5764,
+    X86_VPSRAWrm	= 5765,
+    X86_VPSRAWrr	= 5766,
+    X86_VPSRLDQYri	= 5767,
+    X86_VPSRLDQri	= 5768,
+    X86_VPSRLDYri	= 5769,
+    X86_VPSRLDYrm	= 5770,
+    X86_VPSRLDYrr	= 5771,
+    X86_VPSRLDZmi	= 5772,
+    X86_VPSRLDZmik	= 5773,
+    X86_VPSRLDZri	= 5774,
+    X86_VPSRLDZrik	= 5775,
+    X86_VPSRLDZrm	= 5776,
+    X86_VPSRLDZrmk	= 5777,
+    X86_VPSRLDZrr	= 5778,
+    X86_VPSRLDZrrk	= 5779,
+    X86_VPSRLDri	= 5780,
+    X86_VPSRLDrm	= 5781,
+    X86_VPSRLDrr	= 5782,
+    X86_VPSRLQYri	= 5783,
+    X86_VPSRLQYrm	= 5784,
+    X86_VPSRLQYrr	= 5785,
+    X86_VPSRLQZmi	= 5786,
+    X86_VPSRLQZmik	= 5787,
+    X86_VPSRLQZri	= 5788,
+    X86_VPSRLQZrik	= 5789,
+    X86_VPSRLQZrm	= 5790,
+    X86_VPSRLQZrmk	= 5791,
+    X86_VPSRLQZrr	= 5792,
+    X86_VPSRLQZrrk	= 5793,
+    X86_VPSRLQri	= 5794,
+    X86_VPSRLQrm	= 5795,
+    X86_VPSRLQrr	= 5796,
+    X86_VPSRLVDYrm	= 5797,
+    X86_VPSRLVDYrr	= 5798,
+    X86_VPSRLVDZrm	= 5799,
+    X86_VPSRLVDZrr	= 5800,
+    X86_VPSRLVDrm	= 5801,
+    X86_VPSRLVDrr	= 5802,
+    X86_VPSRLVQYrm	= 5803,
+    X86_VPSRLVQYrr	= 5804,
+    X86_VPSRLVQZrm	= 5805,
+    X86_VPSRLVQZrr	= 5806,
+    X86_VPSRLVQrm	= 5807,
+    X86_VPSRLVQrr	= 5808,
+    X86_VPSRLWYri	= 5809,
+    X86_VPSRLWYrm	= 5810,
+    X86_VPSRLWYrr	= 5811,
+    X86_VPSRLWri	= 5812,
+    X86_VPSRLWrm	= 5813,
+    X86_VPSRLWrr	= 5814,
+    X86_VPSUBBYrm	= 5815,
+    X86_VPSUBBYrr	= 5816,
+    X86_VPSUBBrm	= 5817,
+    X86_VPSUBBrr	= 5818,
+    X86_VPSUBDYrm	= 5819,
+    X86_VPSUBDYrr	= 5820,
+    X86_VPSUBDZrm	= 5821,
+    X86_VPSUBDZrmb	= 5822,
+    X86_VPSUBDZrmbk	= 5823,
+    X86_VPSUBDZrmbkz	= 5824,
+    X86_VPSUBDZrmk	= 5825,
+    X86_VPSUBDZrmkz	= 5826,
+    X86_VPSUBDZrr	= 5827,
+    X86_VPSUBDZrrk	= 5828,
+    X86_VPSUBDZrrkz	= 5829,
+    X86_VPSUBDrm	= 5830,
+    X86_VPSUBDrr	= 5831,
+    X86_VPSUBQYrm	= 5832,
+    X86_VPSUBQYrr	= 5833,
+    X86_VPSUBQZrm	= 5834,
+    X86_VPSUBQZrmb	= 5835,
+    X86_VPSUBQZrmbk	= 5836,
+    X86_VPSUBQZrmbkz	= 5837,
+    X86_VPSUBQZrmk	= 5838,
+    X86_VPSUBQZrmkz	= 5839,
+    X86_VPSUBQZrr	= 5840,
+    X86_VPSUBQZrrk	= 5841,
+    X86_VPSUBQZrrkz	= 5842,
+    X86_VPSUBQrm	= 5843,
+    X86_VPSUBQrr	= 5844,
+    X86_VPSUBSBYrm	= 5845,
+    X86_VPSUBSBYrr	= 5846,
+    X86_VPSUBSBrm	= 5847,
+    X86_VPSUBSBrr	= 5848,
+    X86_VPSUBSWYrm	= 5849,
+    X86_VPSUBSWYrr	= 5850,
+    X86_VPSUBSWrm	= 5851,
+    X86_VPSUBSWrr	= 5852,
+    X86_VPSUBUSBYrm	= 5853,
+    X86_VPSUBUSBYrr	= 5854,
+    X86_VPSUBUSBrm	= 5855,
+    X86_VPSUBUSBrr	= 5856,
+    X86_VPSUBUSWYrm	= 5857,
+    X86_VPSUBUSWYrr	= 5858,
+    X86_VPSUBUSWrm	= 5859,
+    X86_VPSUBUSWrr	= 5860,
+    X86_VPSUBWYrm	= 5861,
+    X86_VPSUBWYrr	= 5862,
+    X86_VPSUBWrm	= 5863,
+    X86_VPSUBWrr	= 5864,
+    X86_VPTESTMDZrm	= 5865,
+    X86_VPTESTMDZrr	= 5866,
+    X86_VPTESTMQZrm	= 5867,
+    X86_VPTESTMQZrr	= 5868,
+    X86_VPTESTNMDZrm	= 5869,
+    X86_VPTESTNMDZrr	= 5870,
+    X86_VPTESTNMQZrm	= 5871,
+    X86_VPTESTNMQZrr	= 5872,
+    X86_VPTESTYrm	= 5873,
+    X86_VPTESTYrr	= 5874,
+    X86_VPTESTrm	= 5875,
+    X86_VPTESTrr	= 5876,
+    X86_VPUNPCKHBWYrm	= 5877,
+    X86_VPUNPCKHBWYrr	= 5878,
+    X86_VPUNPCKHBWrm	= 5879,
+    X86_VPUNPCKHBWrr	= 5880,
+    X86_VPUNPCKHDQYrm	= 5881,
+    X86_VPUNPCKHDQYrr	= 5882,
+    X86_VPUNPCKHDQZrm	= 5883,
+    X86_VPUNPCKHDQZrr	= 5884,
+    X86_VPUNPCKHDQrm	= 5885,
+    X86_VPUNPCKHDQrr	= 5886,
+    X86_VPUNPCKHQDQYrm	= 5887,
+    X86_VPUNPCKHQDQYrr	= 5888,
+    X86_VPUNPCKHQDQZrm	= 5889,
+    X86_VPUNPCKHQDQZrr	= 5890,
+    X86_VPUNPCKHQDQrm	= 5891,
+    X86_VPUNPCKHQDQrr	= 5892,
+    X86_VPUNPCKHWDYrm	= 5893,
+    X86_VPUNPCKHWDYrr	= 5894,
+    X86_VPUNPCKHWDrm	= 5895,
+    X86_VPUNPCKHWDrr	= 5896,
+    X86_VPUNPCKLBWYrm	= 5897,
+    X86_VPUNPCKLBWYrr	= 5898,
+    X86_VPUNPCKLBWrm	= 5899,
+    X86_VPUNPCKLBWrr	= 5900,
+    X86_VPUNPCKLDQYrm	= 5901,
+    X86_VPUNPCKLDQYrr	= 5902,
+    X86_VPUNPCKLDQZrm	= 5903,
+    X86_VPUNPCKLDQZrr	= 5904,
+    X86_VPUNPCKLDQrm	= 5905,
+    X86_VPUNPCKLDQrr	= 5906,
+    X86_VPUNPCKLQDQYrm	= 5907,
+    X86_VPUNPCKLQDQYrr	= 5908,
+    X86_VPUNPCKLQDQZrm	= 5909,
+    X86_VPUNPCKLQDQZrr	= 5910,
+    X86_VPUNPCKLQDQrm	= 5911,
+    X86_VPUNPCKLQDQrr	= 5912,
+    X86_VPUNPCKLWDYrm	= 5913,
+    X86_VPUNPCKLWDYrr	= 5914,
+    X86_VPUNPCKLWDrm	= 5915,
+    X86_VPUNPCKLWDrr	= 5916,
+    X86_VPXORDZrm	= 5917,
+    X86_VPXORDZrmb	= 5918,
+    X86_VPXORDZrmbk	= 5919,
+    X86_VPXORDZrmbkz	= 5920,
+    X86_VPXORDZrmk	= 5921,
+    X86_VPXORDZrmkz	= 5922,
+    X86_VPXORDZrr	= 5923,
+    X86_VPXORDZrrk	= 5924,
+    X86_VPXORDZrrkz	= 5925,
+    X86_VPXORQZrm	= 5926,
+    X86_VPXORQZrmb	= 5927,
+    X86_VPXORQZrmbk	= 5928,
+    X86_VPXORQZrmbkz	= 5929,
+    X86_VPXORQZrmk	= 5930,
+    X86_VPXORQZrmkz	= 5931,
+    X86_VPXORQZrr	= 5932,
+    X86_VPXORQZrrk	= 5933,
+    X86_VPXORQZrrkz	= 5934,
+    X86_VPXORYrm	= 5935,
+    X86_VPXORYrr	= 5936,
+    X86_VPXORrm	= 5937,
+    X86_VPXORrr	= 5938,
+    X86_VRCP14PDZm	= 5939,
+    X86_VRCP14PDZr	= 5940,
+    X86_VRCP14PSZm	= 5941,
+    X86_VRCP14PSZr	= 5942,
+    X86_VRCP14SDrm	= 5943,
+    X86_VRCP14SDrr	= 5944,
+    X86_VRCP14SSrm	= 5945,
+    X86_VRCP14SSrr	= 5946,
+    X86_VRCP28PDZm	= 5947,
+    X86_VRCP28PDZr	= 5948,
+    X86_VRCP28PDZrb	= 5949,
+    X86_VRCP28PSZm	= 5950,
+    X86_VRCP28PSZr	= 5951,
+    X86_VRCP28PSZrb	= 5952,
+    X86_VRCP28SDrm	= 5953,
+    X86_VRCP28SDrr	= 5954,
+    X86_VRCP28SDrrb	= 5955,
+    X86_VRCP28SSrm	= 5956,
+    X86_VRCP28SSrr	= 5957,
+    X86_VRCP28SSrrb	= 5958,
+    X86_VRCPPSYm	= 5959,
+    X86_VRCPPSYm_Int	= 5960,
+    X86_VRCPPSYr	= 5961,
+    X86_VRCPPSYr_Int	= 5962,
+    X86_VRCPPSm	= 5963,
+    X86_VRCPPSm_Int	= 5964,
+    X86_VRCPPSr	= 5965,
+    X86_VRCPPSr_Int	= 5966,
+    X86_VRCPSSm	= 5967,
+    X86_VRCPSSm_Int	= 5968,
+    X86_VRCPSSr	= 5969,
+    X86_VRNDSCALEPDZm	= 5970,
+    X86_VRNDSCALEPDZr	= 5971,
+    X86_VRNDSCALEPSZm	= 5972,
+    X86_VRNDSCALEPSZr	= 5973,
+    X86_VRNDSCALESDm	= 5974,
+    X86_VRNDSCALESDr	= 5975,
+    X86_VRNDSCALESSm	= 5976,
+    X86_VRNDSCALESSr	= 5977,
+    X86_VROUNDPDm	= 5978,
+    X86_VROUNDPDr	= 5979,
+    X86_VROUNDPSm	= 5980,
+    X86_VROUNDPSr	= 5981,
+    X86_VROUNDSDm	= 5982,
+    X86_VROUNDSDr	= 5983,
+    X86_VROUNDSDr_Int	= 5984,
+    X86_VROUNDSSm	= 5985,
+    X86_VROUNDSSr	= 5986,
+    X86_VROUNDSSr_Int	= 5987,
+    X86_VROUNDYPDm	= 5988,
+    X86_VROUNDYPDr	= 5989,
+    X86_VROUNDYPSm	= 5990,
+    X86_VROUNDYPSr	= 5991,
+    X86_VRSQRT14PDZm	= 5992,
+    X86_VRSQRT14PDZr	= 5993,
+    X86_VRSQRT14PSZm	= 5994,
+    X86_VRSQRT14PSZr	= 5995,
+    X86_VRSQRT14SDrm	= 5996,
+    X86_VRSQRT14SDrr	= 5997,
+    X86_VRSQRT14SSrm	= 5998,
+    X86_VRSQRT14SSrr	= 5999,
+    X86_VRSQRT28PDZm	= 6000,
+    X86_VRSQRT28PDZr	= 6001,
+    X86_VRSQRT28PDZrb	= 6002,
+    X86_VRSQRT28PSZm	= 6003,
+    X86_VRSQRT28PSZr	= 6004,
+    X86_VRSQRT28PSZrb	= 6005,
+    X86_VRSQRT28SDrm	= 6006,
+    X86_VRSQRT28SDrr	= 6007,
+    X86_VRSQRT28SDrrb	= 6008,
+    X86_VRSQRT28SSrm	= 6009,
+    X86_VRSQRT28SSrr	= 6010,
+    X86_VRSQRT28SSrrb	= 6011,
+    X86_VRSQRTPSYm	= 6012,
+    X86_VRSQRTPSYm_Int	= 6013,
+    X86_VRSQRTPSYr	= 6014,
+    X86_VRSQRTPSYr_Int	= 6015,
+    X86_VRSQRTPSm	= 6016,
+    X86_VRSQRTPSm_Int	= 6017,
+    X86_VRSQRTPSr	= 6018,
+    X86_VRSQRTPSr_Int	= 6019,
+    X86_VRSQRTSSm	= 6020,
+    X86_VRSQRTSSm_Int	= 6021,
+    X86_VRSQRTSSr	= 6022,
+    X86_VSCATTERDPDZmr	= 6023,
+    X86_VSCATTERDPSZmr	= 6024,
+    X86_VSCATTERPF0DPDm	= 6025,
+    X86_VSCATTERPF0DPSm	= 6026,
+    X86_VSCATTERPF0QPDm	= 6027,
+    X86_VSCATTERPF0QPSm	= 6028,
+    X86_VSCATTERPF1DPDm	= 6029,
+    X86_VSCATTERPF1DPSm	= 6030,
+    X86_VSCATTERPF1QPDm	= 6031,
+    X86_VSCATTERPF1QPSm	= 6032,
+    X86_VSCATTERQPDZmr	= 6033,
+    X86_VSCATTERQPSZmr	= 6034,
+    X86_VSHUFPDYrmi	= 6035,
+    X86_VSHUFPDYrri	= 6036,
+    X86_VSHUFPDZrmi	= 6037,
+    X86_VSHUFPDZrri	= 6038,
+    X86_VSHUFPDrmi	= 6039,
+    X86_VSHUFPDrri	= 6040,
+    X86_VSHUFPSYrmi	= 6041,
+    X86_VSHUFPSYrri	= 6042,
+    X86_VSHUFPSZrmi	= 6043,
+    X86_VSHUFPSZrri	= 6044,
+    X86_VSHUFPSrmi	= 6045,
+    X86_VSHUFPSrri	= 6046,
+    X86_VSQRTPDYm	= 6047,
+    X86_VSQRTPDYr	= 6048,
+    X86_VSQRTPDZrm	= 6049,
+    X86_VSQRTPDZrr	= 6050,
+    X86_VSQRTPDm	= 6051,
+    X86_VSQRTPDr	= 6052,
+    X86_VSQRTPSYm	= 6053,
+    X86_VSQRTPSYr	= 6054,
+    X86_VSQRTPSZrm	= 6055,
+    X86_VSQRTPSZrr	= 6056,
+    X86_VSQRTPSm	= 6057,
+    X86_VSQRTPSr	= 6058,
+    X86_VSQRTSDZm	= 6059,
+    X86_VSQRTSDZm_Int	= 6060,
+    X86_VSQRTSDZr	= 6061,
+    X86_VSQRTSDZr_Int	= 6062,
+    X86_VSQRTSDm	= 6063,
+    X86_VSQRTSDm_Int	= 6064,
+    X86_VSQRTSDr	= 6065,
+    X86_VSQRTSSZm	= 6066,
+    X86_VSQRTSSZm_Int	= 6067,
+    X86_VSQRTSSZr	= 6068,
+    X86_VSQRTSSZr_Int	= 6069,
+    X86_VSQRTSSm	= 6070,
+    X86_VSQRTSSm_Int	= 6071,
+    X86_VSQRTSSr	= 6072,
+    X86_VSTMXCSR	= 6073,
+    X86_VSUBPDYrm	= 6074,
+    X86_VSUBPDYrr	= 6075,
+    X86_VSUBPDZrm	= 6076,
+    X86_VSUBPDZrmb	= 6077,
+    X86_VSUBPDZrmbk	= 6078,
+    X86_VSUBPDZrmbkz	= 6079,
+    X86_VSUBPDZrmk	= 6080,
+    X86_VSUBPDZrmkz	= 6081,
+    X86_VSUBPDZrr	= 6082,
+    X86_VSUBPDZrrk	= 6083,
+    X86_VSUBPDZrrkz	= 6084,
+    X86_VSUBPDrm	= 6085,
+    X86_VSUBPDrr	= 6086,
+    X86_VSUBPSYrm	= 6087,
+    X86_VSUBPSYrr	= 6088,
+    X86_VSUBPSZrm	= 6089,
+    X86_VSUBPSZrmb	= 6090,
+    X86_VSUBPSZrmbk	= 6091,
+    X86_VSUBPSZrmbkz	= 6092,
+    X86_VSUBPSZrmk	= 6093,
+    X86_VSUBPSZrmkz	= 6094,
+    X86_VSUBPSZrr	= 6095,
+    X86_VSUBPSZrrk	= 6096,
+    X86_VSUBPSZrrkz	= 6097,
+    X86_VSUBPSrm	= 6098,
+    X86_VSUBPSrr	= 6099,
+    X86_VSUBSDZrm	= 6100,
+    X86_VSUBSDZrr	= 6101,
+    X86_VSUBSDrm	= 6102,
+    X86_VSUBSDrm_Int	= 6103,
+    X86_VSUBSDrr	= 6104,
+    X86_VSUBSDrr_Int	= 6105,
+    X86_VSUBSSZrm	= 6106,
+    X86_VSUBSSZrr	= 6107,
+    X86_VSUBSSrm	= 6108,
+    X86_VSUBSSrm_Int	= 6109,
+    X86_VSUBSSrr	= 6110,
+    X86_VSUBSSrr_Int	= 6111,
+    X86_VTESTPDYrm	= 6112,
+    X86_VTESTPDYrr	= 6113,
+    X86_VTESTPDrm	= 6114,
+    X86_VTESTPDrr	= 6115,
+    X86_VTESTPSYrm	= 6116,
+    X86_VTESTPSYrr	= 6117,
+    X86_VTESTPSrm	= 6118,
+    X86_VTESTPSrr	= 6119,
+    X86_VUCOMISDZrm	= 6120,
+    X86_VUCOMISDZrr	= 6121,
+    X86_VUCOMISDrm	= 6122,
+    X86_VUCOMISDrr	= 6123,
+    X86_VUCOMISSZrm	= 6124,
+    X86_VUCOMISSZrr	= 6125,
+    X86_VUCOMISSrm	= 6126,
+    X86_VUCOMISSrr	= 6127,
+    X86_VUNPCKHPDYrm	= 6128,
+    X86_VUNPCKHPDYrr	= 6129,
+    X86_VUNPCKHPDZrm	= 6130,
+    X86_VUNPCKHPDZrr	= 6131,
+    X86_VUNPCKHPDrm	= 6132,
+    X86_VUNPCKHPDrr	= 6133,
+    X86_VUNPCKHPSYrm	= 6134,
+    X86_VUNPCKHPSYrr	= 6135,
+    X86_VUNPCKHPSZrm	= 6136,
+    X86_VUNPCKHPSZrr	= 6137,
+    X86_VUNPCKHPSrm	= 6138,
+    X86_VUNPCKHPSrr	= 6139,
+    X86_VUNPCKLPDYrm	= 6140,
+    X86_VUNPCKLPDYrr	= 6141,
+    X86_VUNPCKLPDZrm	= 6142,
+    X86_VUNPCKLPDZrr	= 6143,
+    X86_VUNPCKLPDrm	= 6144,
+    X86_VUNPCKLPDrr	= 6145,
+    X86_VUNPCKLPSYrm	= 6146,
+    X86_VUNPCKLPSYrr	= 6147,
+    X86_VUNPCKLPSZrm	= 6148,
+    X86_VUNPCKLPSZrr	= 6149,
+    X86_VUNPCKLPSrm	= 6150,
+    X86_VUNPCKLPSrr	= 6151,
+    X86_VXORPDYrm	= 6152,
+    X86_VXORPDYrr	= 6153,
+    X86_VXORPDrm	= 6154,
+    X86_VXORPDrr	= 6155,
+    X86_VXORPSYrm	= 6156,
+    X86_VXORPSYrr	= 6157,
+    X86_VXORPSrm	= 6158,
+    X86_VXORPSrr	= 6159,
+    X86_VZEROALL	= 6160,
+    X86_VZEROUPPER	= 6161,
+    X86_V_SET0	= 6162,
+    X86_V_SETALLONES	= 6163,
+    X86_W64ALLOCA	= 6164,
+    X86_WAIT	= 6165,
+    X86_WBINVD	= 6166,
+    X86_WIN_ALLOCA	= 6167,
+    X86_WIN_FTOL_32	= 6168,
+    X86_WIN_FTOL_64	= 6169,
+    X86_WRFSBASE	= 6170,
+    X86_WRFSBASE64	= 6171,
+    X86_WRGSBASE	= 6172,
+    X86_WRGSBASE64	= 6173,
+    X86_WRMSR	= 6174,
+    X86_XABORT	= 6175,
+    X86_XACQUIRE_PREFIX	= 6176,
+    X86_XADD16rm	= 6177,
+    X86_XADD16rr	= 6178,
+    X86_XADD32rm	= 6179,
+    X86_XADD32rr	= 6180,
+    X86_XADD64rm	= 6181,
+    X86_XADD64rr	= 6182,
+    X86_XADD8rm	= 6183,
+    X86_XADD8rr	= 6184,
+    X86_XBEGIN	= 6185,
+    X86_XBEGIN_4	= 6186,
+    X86_XCHG16ar	= 6187,
+    X86_XCHG16rm	= 6188,
+    X86_XCHG16rr	= 6189,
+    X86_XCHG32ar	= 6190,
+    X86_XCHG32ar64	= 6191,
+    X86_XCHG32rm	= 6192,
+    X86_XCHG32rr	= 6193,
+    X86_XCHG64ar	= 6194,
+    X86_XCHG64rm	= 6195,
+    X86_XCHG64rr	= 6196,
+    X86_XCHG8rm	= 6197,
+    X86_XCHG8rr	= 6198,
+    X86_XCH_F	= 6199,
+    X86_XCRYPTCBC	= 6200,
+    X86_XCRYPTCFB	= 6201,
+    X86_XCRYPTCTR	= 6202,
+    X86_XCRYPTECB	= 6203,
+    X86_XCRYPTOFB	= 6204,
+    X86_XEND	= 6205,
+    X86_XGETBV	= 6206,
+    X86_XLAT	= 6207,
+    X86_XOR16i16	= 6208,
+    X86_XOR16mi	= 6209,
+    X86_XOR16mi8	= 6210,
+    X86_XOR16mr	= 6211,
+    X86_XOR16ri	= 6212,
+    X86_XOR16ri8	= 6213,
+    X86_XOR16rm	= 6214,
+    X86_XOR16rr	= 6215,
+    X86_XOR16rr_REV	= 6216,
+    X86_XOR32i32	= 6217,
+    X86_XOR32mi	= 6218,
+    X86_XOR32mi8	= 6219,
+    X86_XOR32mr	= 6220,
+    X86_XOR32ri	= 6221,
+    X86_XOR32ri8	= 6222,
+    X86_XOR32rm	= 6223,
+    X86_XOR32rr	= 6224,
+    X86_XOR32rr_REV	= 6225,
+    X86_XOR64i32	= 6226,
+    X86_XOR64mi32	= 6227,
+    X86_XOR64mi8	= 6228,
+    X86_XOR64mr	= 6229,
+    X86_XOR64ri32	= 6230,
+    X86_XOR64ri8	= 6231,
+    X86_XOR64rm	= 6232,
+    X86_XOR64rr	= 6233,
+    X86_XOR64rr_REV	= 6234,
+    X86_XOR8i8	= 6235,
+    X86_XOR8mi	= 6236,
+    X86_XOR8mr	= 6237,
+    X86_XOR8ri	= 6238,
+    X86_XOR8ri8	= 6239,
+    X86_XOR8rm	= 6240,
+    X86_XOR8rr	= 6241,
+    X86_XOR8rr_REV	= 6242,
+    X86_XORPDrm	= 6243,
+    X86_XORPDrr	= 6244,
+    X86_XORPSrm	= 6245,
+    X86_XORPSrr	= 6246,
+    X86_XRELEASE_PREFIX	= 6247,
+    X86_XRSTOR	= 6248,
+    X86_XRSTOR64	= 6249,
+    X86_XSAVE	= 6250,
+    X86_XSAVE64	= 6251,
+    X86_XSAVEOPT	= 6252,
+    X86_XSAVEOPT64	= 6253,
+    X86_XSETBV	= 6254,
+    X86_XSHA1	= 6255,
+    X86_XSHA256	= 6256,
+    X86_XSTORE	= 6257,
+    X86_XTEST	= 6258,
+    X86_INSTRUCTION_LIST_END = 6259
 };
 
 #endif // GET_INSTRINFO_ENUM
@@ -7275,4936 +7413,5091 @@
   /* 11556 */ 'X', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
   /* 11572 */ 'L', 'O', 'C', 'K', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
   /* 11584 */ 'R', 'E', 'P', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11595 */ 'C', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11605 */ 'D', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11615 */ 'E', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11625 */ 'F', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11635 */ 'G', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11645 */ 'S', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11655 */ 'C', 'O', 'P', 'Y', 0,
-  /* 11660 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 11678 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 11693 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 11709 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 11727 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 11742 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 11758 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 11776 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 11791 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 11807 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 11825 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 11840 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 11856 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 11874 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 11889 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 11905 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 11923 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 11938 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 11954 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 11972 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 11987 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12003 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12021 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12036 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12052 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12070 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12085 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12101 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12119 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12134 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12150 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12168 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12183 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12199 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12217 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12232 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12248 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12264 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12277 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12291 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12307 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12320 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12334 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12350 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12363 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12377 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12393 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12406 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12420 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'm', 'Y', 0,
-  /* 12434 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'm', 'Y', 0,
-  /* 12445 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'm', 'Y', 0,
-  /* 12459 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'm', 'Y', 0,
-  /* 12470 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'm', 'Y', 0,
-  /* 12480 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12498 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12513 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12529 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12547 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12562 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12578 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12596 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12611 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12627 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12645 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12660 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12676 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 12694 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 12709 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 12725 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 12743 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 12758 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 12774 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 12792 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 12807 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 12823 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 12841 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 12856 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 12872 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 12890 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 12905 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 12921 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 12939 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 12954 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 12970 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 12988 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13003 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13019 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13037 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13052 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13068 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13084 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13097 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13111 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13127 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13140 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13154 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13170 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13183 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13197 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13213 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13226 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13240 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'm', 'r', 'Y', 0,
-  /* 13254 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'm', 'r', 'Y', 0,
-  /* 13268 */ 'V', 'P', 'C', 'M', 'O', 'V', 'm', 'r', 'Y', 0,
-  /* 13278 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13294 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13307 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13321 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13337 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13350 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13364 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13380 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13393 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13407 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13423 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13436 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13450 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'r', 'Y', 0,
-  /* 13464 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'r', 'Y', 0,
-  /* 13475 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'r', 'Y', 0,
-  /* 13489 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'r', 'Y', 0,
-  /* 13500 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'r', 'Y', 0,
-  /* 13510 */ 'J', 'C', 'X', 'Z', 0,
-  /* 13515 */ 'J', 'R', 'C', 'X', 'Z', 0,
-  /* 13521 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'a', 0,
-  /* 13530 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'a', 0,
-  /* 13539 */ 'M', 'O', 'V', '3', '2', 'o', '3', '2', 'a', 0,
-  /* 13549 */ 'M', 'O', 'V', '6', '4', 'o', '3', '2', 'a', 0,
-  /* 13559 */ 'M', 'O', 'V', '6', '4', 'o', '6', '4', 'a', 0,
-  /* 13569 */ 'M', 'O', 'V', '6', '4', 'o', '1', '6', 'a', 0,
-  /* 13579 */ 'M', 'O', 'V', '1', '6', 'o', '1', '6', 'a', 0,
-  /* 13589 */ 'M', 'O', 'V', '6', '4', 'o', '8', 'a', 0,
-  /* 13598 */ 'M', 'O', 'V', '8', 'o', '8', 'a', 0,
-  /* 13606 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'b', 0,
-  /* 13615 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'b', 0,
-  /* 13624 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'r', 'i', 'b', 0,
-  /* 13636 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'r', 'i', 'b', 0,
-  /* 13648 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 13666 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 13681 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 13697 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 13715 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 13730 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 13746 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 13764 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 13779 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 13795 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 13813 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 13828 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 13844 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 13862 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 13877 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 13893 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 13911 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 13926 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 13942 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 13960 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 13975 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 13991 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14009 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14024 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14040 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'b', 0,
-  /* 14055 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 'b', 0,
-  /* 14067 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'b', 0,
-  /* 14082 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 'b', 0,
-  /* 14094 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14105 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14116 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14127 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14139 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14151 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14162 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14173 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14184 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14195 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14206 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14217 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14227 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14238 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14249 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14261 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14273 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14285 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14297 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14308 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14319 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14331 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14342 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14355 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14367 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14377 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14388 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14399 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14411 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14423 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14435 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14447 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14458 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14469 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14480 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14491 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14502 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14513 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'D', 'Z', 'r', 'b', 0,
-  /* 14525 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'D', 'Z', 'r', 'b', 0,
-  /* 14539 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'S', 'Z', 'r', 'b', 0,
-  /* 14551 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'S', 'Z', 'r', 'b', 0,
-  /* 14565 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'D', 'r', 'r', 'b', 0,
-  /* 14577 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'D', 'r', 'r', 'b', 0,
-  /* 14591 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'S', 'r', 'r', 'b', 0,
-  /* 14603 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'S', 'r', 'r', 'b', 0,
-  /* 14617 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
-  /* 14631 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
-  /* 14645 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
-  /* 14660 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
-  /* 14675 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Z', 'r', 'r', 'b', 0,
-  /* 14689 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 'b', 0,
-  /* 14703 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 'b', 0,
-  /* 14718 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'c', 0,
-  /* 14727 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'c', 0,
-  /* 14736 */ 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 0,
-  /* 14751 */ 'M', 'O', 'V', '3', '2', 'r', 'c', 0,
-  /* 14759 */ 'M', 'O', 'V', '6', '4', 'r', 'c', 0,
-  /* 14767 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'd', 0,
-  /* 14776 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'd', 0,
-  /* 14785 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'd', 0,
-  /* 14794 */ 'O', 'R', '3', '2', 'm', 'r', 'L', 'o', 'c', 'k', 'e', 'd', 0,
-  /* 14807 */ 'M', 'O', 'V', '3', '2', 'r', 'd', 0,
-  /* 14815 */ 'M', 'O', 'V', '6', '4', 'r', 'd', 0,
-  /* 14823 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'e', 0,
-  /* 14832 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'e', 0,
-  /* 14841 */ 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 0,
-  /* 14855 */ 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 0,
-  /* 14868 */ 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
-  /* 14881 */ 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
-  /* 14897 */ 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 0,
-  /* 14909 */ 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 0,
-  /* 14921 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'i', 0,
-  /* 14932 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'i', 0,
-  /* 14942 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'i', 0,
-  /* 14953 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'i', 0,
-  /* 14963 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 'i', 0,
-  /* 14974 */ 'S', 'B', 'B', '3', '2', 'm', 'i', 0,
-  /* 14982 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'i', 0,
-  /* 14995 */ 'A', 'D', 'C', '3', '2', 'm', 'i', 0,
-  /* 15003 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'i', 0,
-  /* 15016 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'i', 0,
-  /* 15029 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'm', 'i', 0,
-  /* 15040 */ 'S', 'A', 'L', '3', '2', 'm', 'i', 0,
-  /* 15048 */ 'R', 'C', 'L', '3', '2', 'm', 'i', 0,
-  /* 15056 */ 'S', 'H', 'L', '3', '2', 'm', 'i', 0,
-  /* 15064 */ 'R', 'O', 'L', '3', '2', 'm', 'i', 0,
-  /* 15072 */ 'C', 'M', 'P', '3', '2', 'm', 'i', 0,
-  /* 15080 */ 'S', 'A', 'R', '3', '2', 'm', 'i', 0,
-  /* 15088 */ 'R', 'C', 'R', '3', '2', 'm', 'i', 0,
-  /* 15096 */ 'S', 'H', 'R', '3', '2', 'm', 'i', 0,
-  /* 15104 */ 'R', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 15112 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 15125 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 15137 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', 0,
-  /* 15146 */ 'M', 'O', 'V', '3', '2', 'm', 'i', 0,
-  /* 15154 */ 'R', 'O', 'R', 'X', '3', '2', 'm', 'i', 0,
-  /* 15163 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'm', 'i', 0,
-  /* 15174 */ 'S', 'A', 'L', '6', '4', 'm', 'i', 0,
-  /* 15182 */ 'R', 'C', 'L', '6', '4', 'm', 'i', 0,
-  /* 15190 */ 'S', 'H', 'L', '6', '4', 'm', 'i', 0,
-  /* 15198 */ 'R', 'O', 'L', '6', '4', 'm', 'i', 0,
-  /* 15206 */ 'S', 'A', 'R', '6', '4', 'm', 'i', 0,
-  /* 15214 */ 'R', 'C', 'R', '6', '4', 'm', 'i', 0,
-  /* 15222 */ 'S', 'H', 'R', '6', '4', 'm', 'i', 0,
-  /* 15230 */ 'R', 'O', 'R', '6', '4', 'm', 'i', 0,
-  /* 15238 */ 'R', 'O', 'R', 'X', '6', '4', 'm', 'i', 0,
-  /* 15247 */ 'S', 'B', 'B', '1', '6', 'm', 'i', 0,
-  /* 15255 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'i', 0,
-  /* 15268 */ 'A', 'D', 'C', '1', '6', 'm', 'i', 0,
-  /* 15276 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'i', 0,
-  /* 15289 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'i', 0,
-  /* 15302 */ 'S', 'A', 'L', '1', '6', 'm', 'i', 0,
-  /* 15310 */ 'R', 'C', 'L', '1', '6', 'm', 'i', 0,
-  /* 15318 */ 'S', 'H', 'L', '1', '6', 'm', 'i', 0,
-  /* 15326 */ 'R', 'O', 'L', '1', '6', 'm', 'i', 0,
-  /* 15334 */ 'C', 'M', 'P', '1', '6', 'm', 'i', 0,
-  /* 15342 */ 'S', 'A', 'R', '1', '6', 'm', 'i', 0,
-  /* 15350 */ 'R', 'C', 'R', '1', '6', 'm', 'i', 0,
-  /* 15358 */ 'S', 'H', 'R', '1', '6', 'm', 'i', 0,
-  /* 15366 */ 'R', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 15374 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 15387 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 15399 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', 0,
-  /* 15408 */ 'M', 'O', 'V', '1', '6', 'm', 'i', 0,
-  /* 15416 */ 'S', 'B', 'B', '8', 'm', 'i', 0,
-  /* 15423 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'i', 0,
-  /* 15435 */ 'A', 'D', 'C', '8', 'm', 'i', 0,
-  /* 15442 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'i', 0,
-  /* 15454 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'i', 0,
-  /* 15466 */ 'S', 'A', 'L', '8', 'm', 'i', 0,
-  /* 15473 */ 'R', 'C', 'L', '8', 'm', 'i', 0,
-  /* 15480 */ 'S', 'H', 'L', '8', 'm', 'i', 0,
-  /* 15487 */ 'R', 'O', 'L', '8', 'm', 'i', 0,
-  /* 15494 */ 'C', 'M', 'P', '8', 'm', 'i', 0,
-  /* 15501 */ 'S', 'A', 'R', '8', 'm', 'i', 0,
-  /* 15508 */ 'R', 'C', 'R', '8', 'm', 'i', 0,
-  /* 15515 */ 'S', 'H', 'R', '8', 'm', 'i', 0,
-  /* 15522 */ 'R', 'O', 'R', '8', 'm', 'i', 0,
-  /* 15529 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'i', 0,
-  /* 15541 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'i', 0,
-  /* 15552 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', 0,
-  /* 15560 */ 'M', 'O', 'V', '8', 'm', 'i', 0,
-  /* 15567 */ 'V', 'P', 'C', 'O', 'M', 'B', 'm', 'i', 0,
-  /* 15576 */ 'V', 'P', 'R', 'O', 'T', 'B', 'm', 'i', 0,
-  /* 15585 */ 'V', 'P', 'C', 'O', 'M', 'U', 'B', 'm', 'i', 0,
-  /* 15595 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'm', 'i', 0,
-  /* 15605 */ 'V', 'P', 'C', 'O', 'M', 'D', 'm', 'i', 0,
-  /* 15614 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'm', 'i', 0,
-  /* 15626 */ 'V', 'P', 'R', 'O', 'T', 'D', 'm', 'i', 0,
-  /* 15635 */ 'V', 'P', 'C', 'O', 'M', 'U', 'D', 'm', 'i', 0,
-  /* 15645 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'm', 'i', 0,
-  /* 15656 */ 'V', 'P', 'C', 'O', 'M', 'Q', 'm', 'i', 0,
-  /* 15665 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'm', 'i', 0,
-  /* 15674 */ 'V', 'P', 'C', 'O', 'M', 'U', 'Q', 'm', 'i', 0,
-  /* 15684 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'm', 'i', 0,
-  /* 15696 */ 'M', 'M', 'X', '_', 'P', 'S', 'H', 'U', 'F', 'W', 'm', 'i', 0,
-  /* 15709 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'm', 'i', 0,
-  /* 15720 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'm', 'i', 0,
-  /* 15731 */ 'V', 'P', 'C', 'O', 'M', 'W', 'm', 'i', 0,
-  /* 15740 */ 'V', 'P', 'R', 'O', 'T', 'W', 'm', 'i', 0,
-  /* 15749 */ 'V', 'P', 'C', 'O', 'M', 'U', 'W', 'm', 'i', 0,
-  /* 15759 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Y', 'm', 'i', 0,
-  /* 15770 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'm', 'i', 0,
-  /* 15783 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Y', 'm', 'i', 0,
-  /* 15794 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Y', 'm', 'i', 0,
-  /* 15804 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'm', 'i', 0,
-  /* 15817 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'Y', 'm', 'i', 0,
-  /* 15829 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'Y', 'm', 'i', 0,
-  /* 15841 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'm', 'i', 0,
-  /* 15851 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Z', 'm', 'i', 0,
-  /* 15862 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'm', 'i', 0,
-  /* 15872 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'm', 'i', 0,
-  /* 15882 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Z', 'm', 'i', 0,
-  /* 15895 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'm', 'i', 0,
-  /* 15906 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'm', 'i', 0,
-  /* 15916 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'm', 'i', 0,
-  /* 15926 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'm', 'i', 0,
-  /* 15936 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'm', 'i', 0,
-  /* 15946 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Z', 'm', 'i', 0,
-  /* 15959 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 'i', 0,
-  /* 15969 */ 'S', 'H', 'A', '1', 'R', 'N', 'D', 'S', '4', 'r', 'm', 'i', 0,
-  /* 15982 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 'i', 0,
-  /* 15992 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'r', 'm', 'i', 0,
-  /* 16004 */ 'V', 'A', 'L', 'I', 'G', 'N', 'D', 'r', 'm', 'i', 0,
-  /* 16015 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'r', 'm', 'i', 0,
-  /* 16027 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'r', 'm', 'i', 0,
-  /* 16038 */ 'V', 'D', 'P', 'P', 'D', 'r', 'm', 'i', 0,
-  /* 16047 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'm', 'i', 0,
-  /* 16057 */ 'V', 'A', 'L', 'I', 'G', 'N', 'Q', 'r', 'm', 'i', 0,
-  /* 16068 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'r', 'm', 'i', 0,
-  /* 16080 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'r', 'm', 'i', 0,
-  /* 16091 */ 'V', 'D', 'P', 'P', 'S', 'r', 'm', 'i', 0,
-  /* 16100 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'm', 'i', 0,
-  /* 16110 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'm', 'i', 0,
-  /* 16122 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'r', 'm', 'i', 0,
-  /* 16134 */ 'V', 'P', 'I', 'N', 'S', 'R', 'W', 'r', 'm', 'i', 0,
-  /* 16145 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'Y', 'r', 'm', 'i', 0,
-  /* 16158 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'Y', 'r', 'm', 'i', 0,
-  /* 16171 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Y', 'r', 'm', 'i', 0,
-  /* 16183 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'm', 'i', 0,
-  /* 16194 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
-  /* 16207 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
-  /* 16219 */ 'V', 'D', 'P', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
-  /* 16229 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
-  /* 16240 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'm', 'i', 0,
-  /* 16253 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'Y', 'r', 'm', 'i', 0,
-  /* 16266 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Z', 'r', 'm', 'i', 0,
-  /* 16278 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'm', 'i', 0,
-  /* 16289 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'm', 'i', 0,
-  /* 16300 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'm', 'i', 0,
-  /* 16312 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'm', 'i', 0,
-  /* 16323 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'm', 'i', 0,
-  /* 16335 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Z', 'r', 'm', 'i', 0,
-  /* 16347 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'm', 'i', 0,
-  /* 16358 */ 'M', 'M', 'X', '_', 'P', 'I', 'N', 'S', 'R', 'W', 'i', 'r', 'm', 'i', 0,
-  /* 16373 */ 'S', 'B', 'B', '3', '2', 'r', 'i', 0,
-  /* 16381 */ 'S', 'U', 'B', '3', '2', 'r', 'i', 0,
-  /* 16389 */ 'A', 'D', 'C', '3', '2', 'r', 'i', 0,
-  /* 16397 */ 'A', 'D', 'D', '3', '2', 'r', 'i', 0,
-  /* 16405 */ 'A', 'N', 'D', '3', '2', 'r', 'i', 0,
-  /* 16413 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'r', 'i', 0,
-  /* 16424 */ 'S', 'A', 'L', '3', '2', 'r', 'i', 0,
-  /* 16432 */ 'R', 'C', 'L', '3', '2', 'r', 'i', 0,
-  /* 16440 */ 'S', 'H', 'L', '3', '2', 'r', 'i', 0,
-  /* 16448 */ 'R', 'O', 'L', '3', '2', 'r', 'i', 0,
-  /* 16456 */ 'I', 'N', '3', '2', 'r', 'i', 0,
-  /* 16463 */ 'C', 'M', 'P', '3', '2', 'r', 'i', 0,
-  /* 16471 */ 'S', 'A', 'R', '3', '2', 'r', 'i', 0,
-  /* 16479 */ 'R', 'C', 'R', '3', '2', 'r', 'i', 0,
-  /* 16487 */ 'S', 'H', 'R', '3', '2', 'r', 'i', 0,
-  /* 16495 */ 'R', 'O', 'R', '3', '2', 'r', 'i', 0,
-  /* 16503 */ 'X', 'O', 'R', '3', '2', 'r', 'i', 0,
-  /* 16511 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', 0,
-  /* 16520 */ 'M', 'O', 'V', '3', '2', 'r', 'i', 0,
-  /* 16528 */ 'R', 'O', 'R', 'X', '3', '2', 'r', 'i', 0,
-  /* 16537 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'r', 'i', 0,
-  /* 16548 */ 'S', 'A', 'L', '6', '4', 'r', 'i', 0,
-  /* 16556 */ 'R', 'C', 'L', '6', '4', 'r', 'i', 0,
-  /* 16564 */ 'S', 'H', 'L', '6', '4', 'r', 'i', 0,
-  /* 16572 */ 'R', 'O', 'L', '6', '4', 'r', 'i', 0,
-  /* 16580 */ 'S', 'A', 'R', '6', '4', 'r', 'i', 0,
-  /* 16588 */ 'R', 'C', 'R', '6', '4', 'r', 'i', 0,
-  /* 16596 */ 'S', 'H', 'R', '6', '4', 'r', 'i', 0,
-  /* 16604 */ 'R', 'O', 'R', '6', '4', 'r', 'i', 0,
-  /* 16612 */ 'M', 'O', 'V', '6', '4', 'r', 'i', 0,
-  /* 16620 */ 'R', 'O', 'R', 'X', '6', '4', 'r', 'i', 0,
-  /* 16629 */ 'S', 'B', 'B', '1', '6', 'r', 'i', 0,
-  /* 16637 */ 'S', 'U', 'B', '1', '6', 'r', 'i', 0,
-  /* 16645 */ 'A', 'D', 'C', '1', '6', 'r', 'i', 0,
-  /* 16653 */ 'A', 'D', 'D', '1', '6', 'r', 'i', 0,
-  /* 16661 */ 'A', 'N', 'D', '1', '6', 'r', 'i', 0,
-  /* 16669 */ 'S', 'A', 'L', '1', '6', 'r', 'i', 0,
-  /* 16677 */ 'R', 'C', 'L', '1', '6', 'r', 'i', 0,
-  /* 16685 */ 'S', 'H', 'L', '1', '6', 'r', 'i', 0,
-  /* 16693 */ 'R', 'O', 'L', '1', '6', 'r', 'i', 0,
-  /* 16701 */ 'I', 'N', '1', '6', 'r', 'i', 0,
-  /* 16708 */ 'C', 'M', 'P', '1', '6', 'r', 'i', 0,
-  /* 16716 */ 'S', 'A', 'R', '1', '6', 'r', 'i', 0,
-  /* 16724 */ 'R', 'C', 'R', '1', '6', 'r', 'i', 0,
-  /* 16732 */ 'S', 'H', 'R', '1', '6', 'r', 'i', 0,
-  /* 16740 */ 'R', 'O', 'R', '1', '6', 'r', 'i', 0,
-  /* 16748 */ 'X', 'O', 'R', '1', '6', 'r', 'i', 0,
-  /* 16756 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', 0,
-  /* 16765 */ 'M', 'O', 'V', '1', '6', 'r', 'i', 0,
-  /* 16773 */ 'S', 'B', 'B', '8', 'r', 'i', 0,
-  /* 16780 */ 'S', 'U', 'B', '8', 'r', 'i', 0,
-  /* 16787 */ 'A', 'D', 'C', '8', 'r', 'i', 0,
-  /* 16794 */ 'A', 'D', 'D', '8', 'r', 'i', 0,
-  /* 16801 */ 'A', 'N', 'D', '8', 'r', 'i', 0,
-  /* 16808 */ 'S', 'A', 'L', '8', 'r', 'i', 0,
-  /* 16815 */ 'R', 'C', 'L', '8', 'r', 'i', 0,
-  /* 16822 */ 'S', 'H', 'L', '8', 'r', 'i', 0,
-  /* 16829 */ 'R', 'O', 'L', '8', 'r', 'i', 0,
-  /* 16836 */ 'I', 'N', '8', 'r', 'i', 0,
-  /* 16842 */ 'C', 'M', 'P', '8', 'r', 'i', 0,
-  /* 16849 */ 'S', 'A', 'R', '8', 'r', 'i', 0,
-  /* 16856 */ 'R', 'C', 'R', '8', 'r', 'i', 0,
-  /* 16863 */ 'S', 'H', 'R', '8', 'r', 'i', 0,
-  /* 16870 */ 'R', 'O', 'R', '8', 'r', 'i', 0,
-  /* 16877 */ 'X', 'O', 'R', '8', 'r', 'i', 0,
-  /* 16884 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', 0,
-  /* 16892 */ 'M', 'O', 'V', '8', 'r', 'i', 0,
-  /* 16899 */ 'V', 'P', 'C', 'O', 'M', 'B', 'r', 'i', 0,
-  /* 16908 */ 'V', 'P', 'R', 'O', 'T', 'B', 'r', 'i', 0,
-  /* 16917 */ 'V', 'P', 'C', 'O', 'M', 'U', 'B', 'r', 'i', 0,
-  /* 16927 */ 'V', 'P', 'S', 'R', 'A', 'D', 'r', 'i', 0,
-  /* 16936 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'D', 'r', 'i', 0,
-  /* 16948 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'r', 'i', 0,
-  /* 16958 */ 'V', 'P', 'S', 'L', 'L', 'D', 'r', 'i', 0,
-  /* 16967 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'D', 'r', 'i', 0,
-  /* 16979 */ 'V', 'P', 'S', 'R', 'L', 'D', 'r', 'i', 0,
-  /* 16988 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'D', 'r', 'i', 0,
-  /* 17000 */ 'V', 'P', 'C', 'O', 'M', 'D', 'r', 'i', 0,
-  /* 17009 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'r', 'i', 0,
-  /* 17021 */ 'V', 'P', 'R', 'O', 'T', 'D', 'r', 'i', 0,
-  /* 17030 */ 'V', 'P', 'C', 'O', 'M', 'U', 'D', 'r', 'i', 0,
-  /* 17040 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 'i', 0,
-  /* 17051 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Q', 'r', 'i', 0,
-  /* 17061 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Q', 'r', 'i', 0,
-  /* 17071 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'r', 'i', 0,
-  /* 17080 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'Q', 'r', 'i', 0,
-  /* 17092 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'r', 'i', 0,
-  /* 17101 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'Q', 'r', 'i', 0,
-  /* 17113 */ 'V', 'P', 'C', 'O', 'M', 'Q', 'r', 'i', 0,
-  /* 17122 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'r', 'i', 0,
-  /* 17131 */ 'V', 'P', 'C', 'O', 'M', 'U', 'Q', 'r', 'i', 0,
-  /* 17141 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'r', 'i', 0,
-  /* 17153 */ 'V', 'P', 'S', 'R', 'A', 'W', 'r', 'i', 0,
-  /* 17162 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'W', 'r', 'i', 0,
-  /* 17174 */ 'M', 'M', 'X', '_', 'P', 'S', 'H', 'U', 'F', 'W', 'r', 'i', 0,
-  /* 17187 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'r', 'i', 0,
-  /* 17198 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'r', 'i', 0,
-  /* 17209 */ 'V', 'P', 'S', 'L', 'L', 'W', 'r', 'i', 0,
-  /* 17218 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'W', 'r', 'i', 0,
-  /* 17230 */ 'V', 'P', 'S', 'R', 'L', 'W', 'r', 'i', 0,
-  /* 17239 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'W', 'r', 'i', 0,
-  /* 17251 */ 'K', 'S', 'H', 'I', 'F', 'T', 'L', 'W', 'r', 'i', 0,
-  /* 17262 */ 'V', 'P', 'C', 'O', 'M', 'W', 'r', 'i', 0,
-  /* 17271 */ 'K', 'S', 'H', 'I', 'F', 'T', 'R', 'W', 'r', 'i', 0,
-  /* 17282 */ 'V', 'P', 'E', 'X', 'T', 'R', 'W', 'r', 'i', 0,
-  /* 17292 */ 'V', 'P', 'R', 'O', 'T', 'W', 'r', 'i', 0,
-  /* 17301 */ 'V', 'P', 'C', 'O', 'M', 'U', 'W', 'r', 'i', 0,
-  /* 17311 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Y', 'r', 'i', 0,
-  /* 17321 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Y', 'r', 'i', 0,
-  /* 17332 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Y', 'r', 'i', 0,
-  /* 17342 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Y', 'r', 'i', 0,
-  /* 17352 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'r', 'i', 0,
-  /* 17365 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Y', 'r', 'i', 0,
-  /* 17376 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Q', 'Y', 'r', 'i', 0,
-  /* 17387 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Q', 'Y', 'r', 'i', 0,
-  /* 17398 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Y', 'r', 'i', 0,
-  /* 17408 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Y', 'r', 'i', 0,
-  /* 17418 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Y', 'r', 'i', 0,
-  /* 17428 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'r', 'i', 0,
-  /* 17441 */ 'V', 'P', 'S', 'R', 'A', 'W', 'Y', 'r', 'i', 0,
-  /* 17451 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'Y', 'r', 'i', 0,
-  /* 17463 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'Y', 'r', 'i', 0,
-  /* 17475 */ 'V', 'P', 'S', 'L', 'L', 'W', 'Y', 'r', 'i', 0,
-  /* 17485 */ 'V', 'P', 'S', 'R', 'L', 'W', 'Y', 'r', 'i', 0,
-  /* 17495 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'i', 0,
-  /* 17505 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Z', 'r', 'i', 0,
-  /* 17516 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'i', 0,
-  /* 17526 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'i', 0,
-  /* 17536 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Z', 'r', 'i', 0,
-  /* 17549 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'r', 'i', 0,
-  /* 17560 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'i', 0,
-  /* 17570 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'i', 0,
-  /* 17580 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'i', 0,
-  /* 17590 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'r', 'i', 0,
-  /* 17600 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Z', 'r', 'i', 0,
-  /* 17613 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 'i', 0,
-  /* 17623 */ 'S', 'H', 'A', '1', 'R', 'N', 'D', 'S', '4', 'r', 'r', 'i', 0,
-  /* 17636 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 'i', 0,
-  /* 17646 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'r', 'r', 'i', 0,
-  /* 17658 */ 'V', 'A', 'L', 'I', 'G', 'N', 'D', 'r', 'r', 'i', 0,
-  /* 17669 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'r', 'r', 'i', 0,
-  /* 17681 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'r', 'r', 'i', 0,
-  /* 17692 */ 'V', 'D', 'P', 'P', 'D', 'r', 'r', 'i', 0,
-  /* 17701 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'r', 'i', 0,
-  /* 17711 */ 'V', 'A', 'L', 'I', 'G', 'N', 'Q', 'r', 'r', 'i', 0,
-  /* 17722 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'r', 'r', 'i', 0,
-  /* 17734 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'r', 'r', 'i', 0,
-  /* 17745 */ 'V', 'D', 'P', 'P', 'S', 'r', 'r', 'i', 0,
-  /* 17754 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'r', 'i', 0,
-  /* 17764 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'r', 'i', 0,
-  /* 17776 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'r', 'r', 'i', 0,
-  /* 17788 */ 'V', 'P', 'I', 'N', 'S', 'R', 'W', 'r', 'r', 'i', 0,
-  /* 17799 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'Y', 'r', 'r', 'i', 0,
-  /* 17812 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'Y', 'r', 'r', 'i', 0,
-  /* 17825 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Y', 'r', 'r', 'i', 0,
-  /* 17837 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'r', 'i', 0,
-  /* 17848 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
-  /* 17861 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
-  /* 17873 */ 'V', 'D', 'P', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
-  /* 17883 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
-  /* 17894 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'r', 'i', 0,
-  /* 17907 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'Y', 'r', 'r', 'i', 0,
-  /* 17920 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Z', 'r', 'r', 'i', 0,
-  /* 17932 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'r', 'i', 0,
-  /* 17943 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'r', 'i', 0,
-  /* 17954 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'r', 'i', 0,
-  /* 17966 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'r', 'i', 0,
-  /* 17977 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'r', 'i', 0,
-  /* 17989 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Z', 'r', 'r', 'i', 0,
-  /* 18001 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'r', 'i', 0,
-  /* 18012 */ 'M', 'M', 'X', '_', 'P', 'I', 'N', 'S', 'R', 'W', 'i', 'r', 'r', 'i', 0,
-  /* 18027 */ 'M', 'M', 'X', '_', 'P', 'E', 'X', 'T', 'R', 'W', 'i', 'r', 'r', 'i', 0,
-  /* 18042 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'b', 'k', 0,
-  /* 18058 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 'b', 'k', 0,
-  /* 18071 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'b', 'k', 0,
-  /* 18087 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 'b', 'k', 0,
-  /* 18100 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18112 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18124 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18136 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18149 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18162 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18174 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18186 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18198 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18210 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18222 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18234 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18245 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18257 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18269 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18282 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18295 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18308 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18321 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18333 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18345 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18358 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18370 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18384 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18397 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18408 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18420 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18432 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18445 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18458 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18471 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18484 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18496 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18508 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18520 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18532 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18544 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
-  /* 18556 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'm', 'i', 'k', 0,
-  /* 18567 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'm', 'i', 'k', 0,
-  /* 18578 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'm', 'i', 'k', 0,
-  /* 18589 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'm', 'i', 'k', 0,
-  /* 18600 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'm', 'i', 'k', 0,
-  /* 18611 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'm', 'i', 'k', 0,
-  /* 18622 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'i', 'k', 0,
-  /* 18633 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'i', 'k', 0,
-  /* 18644 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'i', 'k', 0,
-  /* 18655 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'i', 'k', 0,
-  /* 18666 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'i', 'k', 0,
-  /* 18677 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'i', 'k', 0,
-  /* 18688 */ 'V', 'A', 'L', 'I', 'G', 'N', 'D', 'r', 'r', 'i', 'k', 0,
-  /* 18700 */ 'V', 'A', 'L', 'I', 'G', 'N', 'Q', 'r', 'r', 'i', 'k', 0,
-  /* 18712 */ 'K', 'M', 'O', 'V', 'B', 'k', 'k', 0,
-  /* 18720 */ 'K', 'M', 'O', 'V', 'D', 'k', 'k', 0,
-  /* 18728 */ 'K', 'M', 'O', 'V', 'Q', 'k', 'k', 0,
-  /* 18736 */ 'K', 'M', 'O', 'V', 'W', 'k', 'k', 0,
-  /* 18744 */ 'K', 'M', 'O', 'V', 'B', 'm', 'k', 0,
-  /* 18752 */ 'K', 'M', 'O', 'V', 'D', 'm', 'k', 0,
-  /* 18760 */ 'K', 'M', 'O', 'V', 'Q', 'm', 'k', 0,
-  /* 18768 */ 'K', 'M', 'O', 'V', 'W', 'm', 'k', 0,
-  /* 18776 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
-  /* 18793 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
-  /* 18810 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
-  /* 18827 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
-  /* 18844 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
-  /* 18861 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
-  /* 18877 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
-  /* 18892 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
-  /* 18907 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
-  /* 18922 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
-  /* 18937 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
-  /* 18954 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
-  /* 18971 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
-  /* 18988 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
-  /* 19005 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
-  /* 19022 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
-  /* 19038 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
-  /* 19053 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
-  /* 19068 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
-  /* 19083 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
-  /* 19098 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'm', 'k', 0,
-  /* 19110 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'm', 'k', 0,
-  /* 19122 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'm', 'k', 0,
-  /* 19135 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'm', 'k', 0,
-  /* 19148 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'k', 0,
-  /* 19163 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 'k', 0,
-  /* 19175 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'm', 'k', 0,
-  /* 19187 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'm', 'k', 0,
-  /* 19199 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'k', 0,
-  /* 19214 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 'k', 0,
-  /* 19226 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'm', 'k', 0,
-  /* 19239 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'm', 'k', 0,
-  /* 19252 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'm', 'k', 0,
-  /* 19266 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'm', 'k', 0,
-  /* 19280 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'm', 'k', 0,
-  /* 19294 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'm', 'k', 0,
-  /* 19308 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'm', 'k', 0,
-  /* 19322 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'm', 'k', 0,
-  /* 19335 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19346 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19357 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19371 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19385 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19396 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19407 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19418 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19430 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19441 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19453 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19465 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19476 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19487 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19498 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19509 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19521 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19532 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19543 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19553 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19564 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19575 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19587 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19599 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19611 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19623 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19637 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 19651 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19662 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19673 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19687 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19701 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19712 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19724 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19735 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19748 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19762 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19776 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19787 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19798 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19810 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19820 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19831 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19842 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19854 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19866 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19878 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19890 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19904 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 19918 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
-  /* 19930 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
-  /* 19941 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
-  /* 19952 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
-  /* 19963 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
-  /* 19974 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
-  /* 19986 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
-  /* 19997 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
-  /* 20008 */ 'K', 'M', 'O', 'V', 'B', 'r', 'k', 0,
-  /* 20016 */ 'K', 'M', 'O', 'V', 'D', 'r', 'k', 0,
-  /* 20024 */ 'K', 'M', 'O', 'V', 'Q', 'r', 'k', 0,
-  /* 20032 */ 'K', 'M', 'O', 'V', 'W', 'r', 'k', 0,
-  /* 20040 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
-  /* 20057 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
-  /* 20074 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
-  /* 20091 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
-  /* 20108 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
-  /* 20125 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
-  /* 20141 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
-  /* 20156 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
-  /* 20171 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
-  /* 20186 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
-  /* 20201 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
-  /* 20218 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
-  /* 20235 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
-  /* 20252 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
-  /* 20269 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
-  /* 20286 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
-  /* 20302 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
-  /* 20317 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
-  /* 20332 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
-  /* 20347 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
-  /* 20362 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'm', 'r', 'k', 0,
-  /* 20375 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'm', 'r', 'k', 0,
-  /* 20387 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'm', 'r', 'k', 0,
-  /* 20398 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'm', 'r', 'k', 0,
-  /* 20411 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'm', 'r', 'k', 0,
-  /* 20423 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'm', 'r', 'k', 0,
-  /* 20434 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'm', 'r', 'k', 0,
-  /* 20447 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'm', 'r', 'k', 0,
-  /* 20459 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'm', 'r', 'k', 0,
-  /* 20470 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'm', 'r', 'k', 0,
-  /* 20483 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'm', 'r', 'k', 0,
-  /* 20495 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'm', 'r', 'k', 0,
-  /* 20506 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'm', 'r', 'k', 0,
-  /* 20519 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'm', 'r', 'k', 0,
-  /* 20531 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'm', 'r', 'k', 0,
-  /* 20542 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'm', 'r', 'k', 0,
-  /* 20556 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'm', 'r', 'k', 0,
-  /* 20570 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'm', 'r', 'k', 0,
-  /* 20584 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'm', 'r', 'k', 0,
-  /* 20598 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'm', 'r', 'k', 0,
-  /* 20612 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'm', 'r', 'k', 0,
-  /* 20625 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'm', 'r', 'k', 0,
-  /* 20637 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'm', 'r', 'k', 0,
-  /* 20649 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'm', 'r', 'k', 0,
-  /* 20661 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'm', 'r', 'k', 0,
-  /* 20673 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
-  /* 20690 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
-  /* 20707 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
-  /* 20724 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
-  /* 20741 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
-  /* 20758 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
-  /* 20774 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
-  /* 20789 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
-  /* 20804 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
-  /* 20819 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
-  /* 20834 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
-  /* 20851 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
-  /* 20868 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
-  /* 20885 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
-  /* 20902 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
-  /* 20919 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
-  /* 20935 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
-  /* 20950 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
-  /* 20965 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
-  /* 20980 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
-  /* 20995 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'r', 'r', 'k', 0,
-  /* 21008 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'r', 'r', 'k', 0,
-  /* 21020 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'r', 'r', 'k', 0,
-  /* 21031 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'r', 'r', 'k', 0,
-  /* 21044 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'r', 'r', 'k', 0,
-  /* 21056 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'r', 'r', 'k', 0,
-  /* 21067 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'r', 'k', 0,
-  /* 21079 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'r', 'k', 0,
-  /* 21091 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'r', 'k', 0,
-  /* 21104 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'r', 'k', 0,
-  /* 21117 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'r', 'r', 'k', 0,
-  /* 21130 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'r', 'r', 'k', 0,
-  /* 21142 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'r', 'r', 'k', 0,
-  /* 21153 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'r', 'k', 0,
-  /* 21168 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'r', 'k', 0,
-  /* 21180 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'r', 'k', 0,
-  /* 21192 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'r', 'k', 0,
-  /* 21204 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'r', 'k', 0,
-  /* 21219 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'r', 'k', 0,
-  /* 21231 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'r', 'k', 0,
-  /* 21244 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'r', 'k', 0,
-  /* 21257 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'r', 'r', 'k', 0,
-  /* 21270 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'r', 'r', 'k', 0,
-  /* 21282 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'r', 'r', 'k', 0,
-  /* 21293 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'r', 'r', 'k', 0,
-  /* 21306 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'r', 'r', 'k', 0,
-  /* 21318 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'r', 'r', 'k', 0,
-  /* 21329 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', 'k', 0,
-  /* 21343 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', 'k', 0,
-  /* 21357 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', 'k', 0,
-  /* 21371 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', 'k', 0,
-  /* 21385 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', 'k', 0,
-  /* 21399 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', 'k', 0,
-  /* 21412 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21423 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21434 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21448 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21462 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21473 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21484 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21495 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21507 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21518 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21530 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21542 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21553 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21564 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21575 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21586 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21598 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21609 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21620 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21630 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21641 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21652 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21664 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21675 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21687 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21699 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21711 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21725 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 21739 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21750 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21761 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21775 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21789 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21800 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21812 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21823 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21836 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21850 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21864 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21875 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21886 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21898 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21908 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21919 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21930 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21942 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21954 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21966 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21978 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 21992 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 22006 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
-  /* 22018 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
-  /* 22029 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
-  /* 22040 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
-  /* 22051 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
-  /* 22062 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
-  /* 22074 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
-  /* 22085 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
-  /* 22096 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'r', 'k', 0,
-  /* 22107 */ 'L', 'D', '_', 'F', '8', '0', 'm', 0,
-  /* 22115 */ 'S', 'T', '_', 'F', 'P', '8', '0', 'm', 0,
-  /* 22124 */ 'S', 'T', '_', 'F', 'p', 'P', '8', '0', 'm', 0,
-  /* 22134 */ 'L', 'D', '_', 'F', 'p', '8', '0', 'm', 0,
-  /* 22143 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 22160 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 22174 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 22189 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 22206 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 22220 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 22235 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 22249 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 22264 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 22278 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 22293 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 22310 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 22324 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 22339 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 22356 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 22370 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 22385 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 22399 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 22414 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 22428 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 22443 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 22460 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 22474 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 22489 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 22506 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 22520 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 22535 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 22549 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 22564 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 22578 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 22593 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 22610 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 22624 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 22639 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 22656 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 22670 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 22685 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 22699 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 22714 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 22728 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 22743 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '3', '2', 'm', 0,
-  /* 22755 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '3', '2', 'm', 0,
-  /* 22767 */ 'S', 'U', 'B', '_', 'F', '3', '2', 'm', 0,
-  /* 22776 */ 'A', 'D', 'D', '_', 'F', '3', '2', 'm', 0,
-  /* 22785 */ 'I', 'L', 'D', '_', 'F', '3', '2', 'm', 0,
-  /* 22794 */ 'M', 'U', 'L', '_', 'F', '3', '2', 'm', 0,
-  /* 22803 */ 'S', 'U', 'B', 'R', '_', 'F', '3', '2', 'm', 0,
-  /* 22813 */ 'D', 'I', 'V', 'R', '_', 'F', '3', '2', 'm', 0,
-  /* 22823 */ 'I', 'S', 'T', '_', 'F', '3', '2', 'm', 0,
-  /* 22832 */ 'D', 'I', 'V', '_', 'F', '3', '2', 'm', 0,
-  /* 22841 */ 'N', 'E', 'G', '3', '2', 'm', 0,
-  /* 22848 */ 'S', 'U', 'B', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 22858 */ 'A', 'D', 'D', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 22868 */ 'M', 'U', 'L', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 22878 */ 'S', 'U', 'B', 'R', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 22889 */ 'D', 'I', 'V', 'R', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 22900 */ 'D', 'I', 'V', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 22910 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'm', 0,
-  /* 22921 */ 'I', 'M', 'U', 'L', '3', '2', 'm', 0,
-  /* 22929 */ 'F', 'C', 'O', 'M', '3', '2', 'm', 0,
-  /* 22937 */ 'F', 'I', 'C', 'O', 'M', '3', '2', 'm', 0,
-  /* 22946 */ 'I', 'S', 'T', '_', 'F', 'P', '3', '2', 'm', 0,
-  /* 22956 */ 'I', 'S', 'T', 'T', '_', 'F', 'P', '3', '2', 'm', 0,
-  /* 22967 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'm', 0,
-  /* 22977 */ 'F', 'C', 'O', 'M', 'P', '3', '2', 'm', 0,
-  /* 22986 */ 'F', 'I', 'C', 'O', 'M', 'P', '3', '2', 'm', 0,
-  /* 22996 */ 'S', 'T', '_', 'F', 'p', 'P', '3', '2', 'm', 0,
-  /* 23006 */ 'L', 'G', 'D', 'T', '3', '2', 'm', 0,
-  /* 23014 */ 'S', 'G', 'D', 'T', '3', '2', 'm', 0,
-  /* 23022 */ 'L', 'I', 'D', 'T', '3', '2', 'm', 0,
-  /* 23030 */ 'S', 'I', 'D', 'T', '3', '2', 'm', 0,
-  /* 23038 */ 'N', 'O', 'T', '3', '2', 'm', 0,
-  /* 23045 */ 'I', 'D', 'I', 'V', '3', '2', 'm', 0,
-  /* 23053 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'm', 0,
-  /* 23063 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'm', 0,
-  /* 23073 */ 'S', 'U', 'B', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 23083 */ 'A', 'D', 'D', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 23093 */ 'L', 'D', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 23102 */ 'M', 'U', 'L', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 23112 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 23123 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 23134 */ 'S', 'T', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 23143 */ 'D', 'I', 'V', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 23153 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 23170 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 23184 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 23199 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 23216 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 23230 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 23245 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 23259 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 23274 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 23288 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 23303 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 23320 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 23334 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 23349 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 23366 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 23380 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 23395 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 23409 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 23424 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 23438 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 23453 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '6', '4', 'm', 0,
-  /* 23465 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '6', '4', 'm', 0,
-  /* 23477 */ 'S', 'U', 'B', '_', 'F', '6', '4', 'm', 0,
-  /* 23486 */ 'A', 'D', 'D', '_', 'F', '6', '4', 'm', 0,
-  /* 23495 */ 'I', 'L', 'D', '_', 'F', '6', '4', 'm', 0,
-  /* 23504 */ 'M', 'U', 'L', '_', 'F', '6', '4', 'm', 0,
-  /* 23513 */ 'S', 'U', 'B', 'R', '_', 'F', '6', '4', 'm', 0,
-  /* 23523 */ 'D', 'I', 'V', 'R', '_', 'F', '6', '4', 'm', 0,
-  /* 23533 */ 'S', 'T', '_', 'F', '6', '4', 'm', 0,
-  /* 23541 */ 'D', 'I', 'V', '_', 'F', '6', '4', 'm', 0,
-  /* 23550 */ 'N', 'E', 'G', '6', '4', 'm', 0,
-  /* 23557 */ 'C', 'A', 'L', 'L', '6', '4', 'm', 0,
-  /* 23565 */ 'I', 'M', 'U', 'L', '6', '4', 'm', 0,
-  /* 23573 */ 'F', 'C', 'O', 'M', '6', '4', 'm', 0,
-  /* 23581 */ 'I', 'S', 'T', '_', 'F', 'P', '6', '4', 'm', 0,
-  /* 23591 */ 'I', 'S', 'T', 'T', '_', 'F', 'P', '6', '4', 'm', 0,
-  /* 23602 */ 'J', 'M', 'P', '6', '4', 'm', 0,
-  /* 23609 */ 'F', 'C', 'O', 'M', 'P', '6', '4', 'm', 0,
-  /* 23618 */ 'S', 'T', '_', 'F', 'p', 'P', '6', '4', 'm', 0,
-  /* 23628 */ 'L', 'G', 'D', 'T', '6', '4', 'm', 0,
-  /* 23636 */ 'S', 'G', 'D', 'T', '6', '4', 'm', 0,
-  /* 23644 */ 'L', 'I', 'D', 'T', '6', '4', 'm', 0,
-  /* 23652 */ 'S', 'I', 'D', 'T', '6', '4', 'm', 0,
-  /* 23660 */ 'S', 'L', 'D', 'T', '6', '4', 'm', 0,
-  /* 23668 */ 'N', 'O', 'T', '6', '4', 'm', 0,
-  /* 23675 */ 'I', 'D', 'I', 'V', '6', '4', 'm', 0,
-  /* 23683 */ 'S', 'U', 'B', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 23693 */ 'A', 'D', 'D', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 23703 */ 'L', 'D', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 23712 */ 'M', 'U', 'L', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 23722 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 23733 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 23744 */ 'S', 'T', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 23753 */ 'D', 'I', 'V', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 23763 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '1', '6', 'm', 0,
-  /* 23775 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '1', '6', 'm', 0,
-  /* 23787 */ 'I', 'L', 'D', '_', 'F', '1', '6', 'm', 0,
-  /* 23796 */ 'I', 'S', 'T', '_', 'F', '1', '6', 'm', 0,
-  /* 23805 */ 'N', 'E', 'G', '1', '6', 'm', 0,
-  /* 23812 */ 'S', 'U', 'B', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 23822 */ 'A', 'D', 'D', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 23832 */ 'M', 'U', 'L', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 23842 */ 'S', 'U', 'B', 'R', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 23853 */ 'D', 'I', 'V', 'R', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 23864 */ 'D', 'I', 'V', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 23874 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'm', 0,
-  /* 23885 */ 'I', 'M', 'U', 'L', '1', '6', 'm', 0,
-  /* 23893 */ 'F', 'I', 'C', 'O', 'M', '1', '6', 'm', 0,
-  /* 23902 */ 'I', 'S', 'T', '_', 'F', 'P', '1', '6', 'm', 0,
-  /* 23912 */ 'I', 'S', 'T', 'T', '_', 'F', 'P', '1', '6', 'm', 0,
-  /* 23923 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'm', 0,
-  /* 23933 */ 'F', 'I', 'C', 'O', 'M', 'P', '1', '6', 'm', 0,
-  /* 23943 */ 'L', 'G', 'D', 'T', '1', '6', 'm', 0,
-  /* 23951 */ 'S', 'G', 'D', 'T', '1', '6', 'm', 0,
-  /* 23959 */ 'L', 'I', 'D', 'T', '1', '6', 'm', 0,
-  /* 23967 */ 'S', 'I', 'D', 'T', '1', '6', 'm', 0,
-  /* 23975 */ 'L', 'L', 'D', 'T', '1', '6', 'm', 0,
-  /* 23983 */ 'S', 'L', 'D', 'T', '1', '6', 'm', 0,
-  /* 23991 */ 'N', 'O', 'T', '1', '6', 'm', 0,
-  /* 23998 */ 'I', 'D', 'I', 'V', '1', '6', 'm', 0,
-  /* 24006 */ 'F', 'L', 'D', 'C', 'W', '1', '6', 'm', 0,
-  /* 24015 */ 'F', 'N', 'S', 'T', 'C', 'W', '1', '6', 'm', 0,
-  /* 24025 */ 'L', 'M', 'S', 'W', '1', '6', 'm', 0,
-  /* 24033 */ 'S', 'M', 'S', 'W', '1', '6', 'm', 0,
-  /* 24041 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'm', 0,
-  /* 24051 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'm', 0,
-  /* 24061 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '8', 'm', 0,
-  /* 24072 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '8', 'm', 0,
-  /* 24083 */ 'N', 'E', 'G', '8', 'm', 0,
-  /* 24089 */ 'I', 'M', 'U', 'L', '8', 'm', 0,
-  /* 24096 */ 'N', 'O', 'T', '8', 'm', 0,
-  /* 24102 */ 'I', 'D', 'I', 'V', '8', 'm', 0,
-  /* 24109 */ 'S', 'E', 'T', 'A', 'm', 0,
-  /* 24115 */ 'S', 'E', 'T', 'B', 'm', 0,
-  /* 24121 */ 'F', 'B', 'L', 'D', 'm', 0,
-  /* 24127 */ 'V', 'M', 'P', 'T', 'R', 'L', 'D', 'm', 0,
-  /* 24136 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '0', 'D', 'P', 'D', 'm', 0,
-  /* 24151 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '0', 'D', 'P', 'D', 'm', 0,
-  /* 24167 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '1', 'D', 'P', 'D', 'm', 0,
-  /* 24182 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '1', 'D', 'P', 'D', 'm', 0,
-  /* 24198 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'D', 'm', 0,
-  /* 24208 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '0', 'Q', 'P', 'D', 'm', 0,
-  /* 24223 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '0', 'Q', 'P', 'D', 'm', 0,
-  /* 24239 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '1', 'Q', 'P', 'D', 'm', 0,
-  /* 24254 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '1', 'Q', 'P', 'D', 'm', 0,
-  /* 24270 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'm', 0,
-  /* 24279 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'D', 'm', 0,
-  /* 24290 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'D', 'm', 0,
-  /* 24300 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'D', 'm', 0,
-  /* 24313 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'm', 0,
-  /* 24322 */ 'S', 'E', 'T', 'A', 'E', 'm', 0,
-  /* 24329 */ 'S', 'E', 'T', 'B', 'E', 'm', 0,
-  /* 24336 */ 'S', 'E', 'T', 'G', 'E', 'm', 0,
-  /* 24343 */ 'S', 'E', 'T', 'L', 'E', 'm', 0,
-  /* 24350 */ 'S', 'E', 'T', 'N', 'E', 'm', 0,
-  /* 24357 */ 'S', 'E', 'T', 'E', 'm', 0,
-  /* 24363 */ 'F', 'S', 'A', 'V', 'E', 'm', 0,
-  /* 24370 */ 'S', 'E', 'T', 'G', 'm', 0,
-  /* 24376 */ 'S', 'E', 'T', 'L', 'm', 0,
-  /* 24382 */ 'S', 'E', 'T', 'N', 'O', 'm', 0,
-  /* 24389 */ 'S', 'E', 'T', 'O', 'm', 0,
-  /* 24395 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'm', 0,
-  /* 24404 */ 'S', 'E', 'T', 'N', 'P', 'm', 0,
-  /* 24411 */ 'S', 'E', 'T', 'P', 'm', 0,
-  /* 24417 */ 'F', 'B', 'S', 'T', 'P', 'm', 0,
-  /* 24424 */ 'V', 'M', 'C', 'L', 'E', 'A', 'R', 'm', 0,
-  /* 24433 */ 'F', 'R', 'S', 'T', 'O', 'R', 'm', 0,
-  /* 24441 */ 'V', 'E', 'R', 'R', 'm', 0,
-  /* 24447 */ 'L', 'T', 'R', 'm', 0,
-  /* 24452 */ 'S', 'T', 'R', 'm', 0,
-  /* 24457 */ 'S', 'E', 'T', 'N', 'S', 'm', 0,
-  /* 24464 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '0', 'D', 'P', 'S', 'm', 0,
-  /* 24479 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '0', 'D', 'P', 'S', 'm', 0,
-  /* 24495 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '1', 'D', 'P', 'S', 'm', 0,
-  /* 24510 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '1', 'D', 'P', 'S', 'm', 0,
-  /* 24526 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'S', 'm', 0,
-  /* 24536 */ 'V', 'R', 'C', 'P', 'P', 'S', 'm', 0,
-  /* 24544 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '0', 'Q', 'P', 'S', 'm', 0,
-  /* 24559 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '0', 'Q', 'P', 'S', 'm', 0,
-  /* 24575 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '1', 'Q', 'P', 'S', 'm', 0,
-  /* 24590 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '1', 'Q', 'P', 'S', 'm', 0,
-  /* 24606 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'm', 0,
-  /* 24616 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'm', 0,
-  /* 24625 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'S', 'm', 0,
-  /* 24636 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'S', 'm', 0,
-  /* 24646 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'S', 'm', 0,
-  /* 24659 */ 'V', 'R', 'C', 'P', 'S', 'S', 'm', 0,
-  /* 24667 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', 0,
-  /* 24677 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', 0,
-  /* 24686 */ 'S', 'E', 'T', 'S', 'm', 0,
-  /* 24692 */ 'V', 'M', 'P', 'T', 'R', 'S', 'T', 'm', 0,
-  /* 24701 */ 'F', 'L', 'D', 'E', 'N', 'V', 'm', 0,
-  /* 24709 */ 'F', 'S', 'T', 'E', 'N', 'V', 'm', 0,
-  /* 24717 */ 'V', 'E', 'R', 'W', 'm', 0,
-  /* 24723 */ 'F', 'N', 'S', 'T', 'S', 'W', 'm', 0,
-  /* 24731 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Y', 'm', 0,
-  /* 24741 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'm', 0,
-  /* 24750 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'm', 0,
-  /* 24761 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'm', 0,
-  /* 24771 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 24788 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 24802 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 24817 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 24834 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 24848 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 24863 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 24880 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 24894 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 24909 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 24926 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 24940 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 24955 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'D', 'Z', 'm', 0,
-  /* 24966 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'D', 'Z', 'm', 0,
-  /* 24979 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'D', 'Z', 'm', 0,
-  /* 24990 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'D', 'Z', 'm', 0,
-  /* 25003 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'D', 'Z', 'm', 0,
-  /* 25017 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'm', 0,
-  /* 25028 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'm', 0,
-  /* 25040 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'm', 0,
-  /* 25051 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'm', 0,
-  /* 25063 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'm', 0,
-  /* 25073 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 25090 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 25104 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 25119 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 25136 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 25150 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 25165 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 25182 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 25196 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 25211 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 25228 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 25242 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 25257 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'S', 'Z', 'm', 0,
-  /* 25268 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'S', 'Z', 'm', 0,
-  /* 25281 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'S', 'Z', 'm', 0,
-  /* 25292 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'S', 'Z', 'm', 0,
-  /* 25305 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'S', 'Z', 'm', 0,
-  /* 25319 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'm', 0,
-  /* 25330 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'm', 0,
-  /* 25342 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'm', 0,
-  /* 25353 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'm', 0,
-  /* 25365 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'm', 0,
-  /* 25375 */ 'K', 'M', 'O', 'V', 'B', 'k', 'm', 0,
-  /* 25383 */ 'K', 'M', 'O', 'V', 'D', 'k', 'm', 0,
-  /* 25391 */ 'K', 'M', 'O', 'V', 'Q', 'k', 'm', 0,
-  /* 25399 */ 'K', 'M', 'O', 'V', 'W', 'k', 'm', 0,
-  /* 25407 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'm', 0,
-  /* 25417 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'm', 0,
-  /* 25426 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'm', 0,
-  /* 25436 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'm', 0,
-  /* 25445 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'm', 0,
-  /* 25455 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'm', 0,
-  /* 25464 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '1', 'r', 'm', 0,
-  /* 25475 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '1', 'r', 'm', 0,
-  /* 25488 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '1', 'r', 'm', 0,
-  /* 25499 */ 'P', 'F', 'R', 'S', 'Q', 'I', 'T', '1', 'r', 'm', 0,
-  /* 25510 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'm', 0,
-  /* 25520 */ 'S', 'B', 'B', '3', '2', 'r', 'm', 0,
-  /* 25528 */ 'S', 'U', 'B', '3', '2', 'r', 'm', 0,
-  /* 25536 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'm', 0,
-  /* 25546 */ 'A', 'D', 'C', '3', '2', 'r', 'm', 0,
-  /* 25554 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'm', 0,
-  /* 25564 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'm', 0,
-  /* 25574 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'm', 0,
-  /* 25585 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'm', 0,
-  /* 25596 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'm', 0,
-  /* 25605 */ 'A', 'N', 'D', '3', '2', 'r', 'm', 0,
-  /* 25613 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'm', 0,
-  /* 25624 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'm', 0,
-  /* 25635 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'm', 0,
-  /* 25646 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'm', 0,
-  /* 25657 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'm', 0,
-  /* 25668 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'm', 0,
-  /* 25680 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'm', 0,
-  /* 25690 */ 'B', 'S', 'F', '3', '2', 'r', 'm', 0,
-  /* 25698 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'm', 0,
-  /* 25710 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'm', 0,
-  /* 25720 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'm', 0,
-  /* 25729 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'm', 0,
-  /* 25738 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'm', 0,
-  /* 25747 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 25758 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 25769 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 25779 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
-  /* 25791 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
-  /* 25803 */ 'L', 'S', 'L', '3', '2', 'r', 'm', 0,
-  /* 25811 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 0,
-  /* 25820 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'm', 0,
-  /* 25830 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'm', 0,
-  /* 25839 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'm', 0,
-  /* 25850 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'm', 0,
-  /* 25860 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'm', 0,
-  /* 25869 */ 'C', 'M', 'P', '3', '2', 'r', 'm', 0,
-  /* 25877 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'm', 0,
-  /* 25888 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'm', 0,
-  /* 25898 */ 'L', 'A', 'R', '3', '2', 'r', 'm', 0,
-  /* 25906 */ 'X', 'O', 'R', '3', '2', 'r', 'm', 0,
-  /* 25914 */ 'B', 'S', 'R', '3', '2', 'r', 'm', 0,
-  /* 25922 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'm', 0,
-  /* 25931 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'm', 0,
-  /* 25941 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'm', 0,
-  /* 25950 */ 'L', 'D', 'S', '3', '2', 'r', 'm', 0,
-  /* 25958 */ 'B', 'O', 'U', 'N', 'D', 'S', '3', '2', 'r', 'm', 0,
-  /* 25969 */ 'L', 'E', 'S', '3', '2', 'r', 'm', 0,
-  /* 25977 */ 'L', 'F', 'S', '3', '2', 'r', 'm', 0,
-  /* 25985 */ 'L', 'G', 'S', '3', '2', 'r', 'm', 0,
-  /* 25993 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'm', 0,
-  /* 26004 */ 'L', 'S', 'S', '3', '2', 'r', 'm', 0,
-  /* 26012 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'm', 0,
-  /* 26022 */ 'P', 'O', 'P', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
-  /* 26033 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
-  /* 26043 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
-  /* 26053 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'm', 0,
-  /* 26062 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'm', 0,
-  /* 26071 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '3', '2', 'r', 'm', 0,
-  /* 26087 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'm', 0,
-  /* 26096 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'm', 0,
-  /* 26105 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'm', 0,
-  /* 26114 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'm', 0,
-  /* 26123 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'm', 0,
-  /* 26132 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'm', 0,
-  /* 26141 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '2', 'r', 'm', 0,
-  /* 26152 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '2', 'r', 'm', 0,
-  /* 26165 */ 'S', 'H', 'A', '2', '5', '6', 'R', 'N', 'D', 'S', '2', 'r', 'm', 0,
-  /* 26179 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '2', 'r', 'm', 0,
-  /* 26190 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'm', 0,
-  /* 26200 */ 'S', 'B', 'B', '6', '4', 'r', 'm', 0,
-  /* 26208 */ 'S', 'U', 'B', '6', '4', 'r', 'm', 0,
-  /* 26216 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'm', 0,
-  /* 26226 */ 'A', 'D', 'C', '6', '4', 'r', 'm', 0,
-  /* 26234 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'm', 0,
-  /* 26244 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'm', 0,
-  /* 26254 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'm', 0,
-  /* 26265 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'm', 0,
-  /* 26276 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'm', 0,
-  /* 26285 */ 'A', 'N', 'D', '6', '4', 'r', 'm', 0,
-  /* 26293 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'm', 0,
-  /* 26311 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'm', 0,
-  /* 26328 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'r', 'm', 0,
-  /* 26341 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'm', 0,
-  /* 26352 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'm', 0,
-  /* 26363 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'm', 0,
-  /* 26374 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'm', 0,
-  /* 26385 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'm', 0,
-  /* 26396 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'm', 0,
-  /* 26408 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'm', 0,
-  /* 26418 */ 'B', 'S', 'F', '6', '4', 'r', 'm', 0,
-  /* 26426 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'm', 0,
-  /* 26438 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'm', 0,
-  /* 26448 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'm', 0,
-  /* 26457 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'm', 0,
-  /* 26466 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 26485 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 26503 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 26517 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 26536 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 26554 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 26568 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 26577 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 26588 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 26599 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 26609 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
-  /* 26621 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
-  /* 26633 */ 'L', 'S', 'L', '6', '4', 'r', 'm', 0,
-  /* 26641 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 0,
-  /* 26650 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'm', 0,
-  /* 26660 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'm', 0,
-  /* 26669 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'm', 0,
-  /* 26680 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'm', 0,
-  /* 26690 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'm', 0,
-  /* 26699 */ 'C', 'M', 'P', '6', '4', 'r', 'm', 0,
-  /* 26707 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'm', 0,
-  /* 26718 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'm', 0,
-  /* 26728 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '6', '4', 'r', 'm', 0,
-  /* 26741 */ 'L', 'A', 'R', '6', '4', 'r', 'm', 0,
-  /* 26749 */ 'X', 'O', 'R', '6', '4', 'r', 'm', 0,
-  /* 26757 */ 'B', 'S', 'R', '6', '4', 'r', 'm', 0,
-  /* 26765 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'm', 0,
-  /* 26774 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'm', 0,
-  /* 26784 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'm', 0,
-  /* 26793 */ 'L', 'F', 'S', '6', '4', 'r', 'm', 0,
-  /* 26801 */ 'L', 'G', 'S', '6', '4', 'r', 'm', 0,
-  /* 26809 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'm', 0,
-  /* 26820 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'm', 0,
-  /* 26838 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'm', 0,
-  /* 26855 */ 'L', 'S', 'S', '6', '4', 'r', 'm', 0,
-  /* 26863 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'm', 0,
-  /* 26873 */ 'P', 'O', 'P', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
-  /* 26884 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
-  /* 26894 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
-  /* 26904 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'm', 0,
-  /* 26913 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'm', 0,
-  /* 26922 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '6', '4', 'r', 'm', 0,
-  /* 26938 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'm', 0,
-  /* 26947 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'm', 0,
-  /* 26956 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'm', 0,
-  /* 26965 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'm', 0,
-  /* 26974 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'm', 0,
-  /* 26983 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'm', 0,
-  /* 26992 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 0,
-  /* 27007 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 0,
-  /* 27019 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 0,
-  /* 27032 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 0,
-  /* 27047 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 0,
-  /* 27059 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 0,
-  /* 27072 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', 0,
-  /* 27084 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', 0,
-  /* 27097 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', 0,
-  /* 27109 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', 0,
-  /* 27122 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 0,
-  /* 27137 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 0,
-  /* 27149 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 0,
-  /* 27162 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 0,
-  /* 27177 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 0,
-  /* 27189 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 0,
-  /* 27202 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', 0,
-  /* 27214 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', 0,
-  /* 27227 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', 0,
-  /* 27239 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', 0,
-  /* 27252 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'I', '3', '2', 'X', '4', 'r', 'm', 0,
-  /* 27270 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'I', '6', '4', 'X', '4', 'r', 'm', 0,
-  /* 27288 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '3', '2', 'x', '4', 'r', 'm', 0,
-  /* 27303 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '3', '2', 'x', '4', 'r', 'm', 0,
-  /* 27318 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '6', '4', 'x', '4', 'r', 'm', 0,
-  /* 27333 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '6', '4', 'x', '4', 'r', 'm', 0,
-  /* 27348 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'm', 0,
-  /* 27358 */ 'S', 'B', 'B', '1', '6', 'r', 'm', 0,
-  /* 27366 */ 'S', 'U', 'B', '1', '6', 'r', 'm', 0,
-  /* 27374 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'm', 0,
-  /* 27384 */ 'A', 'D', 'C', '1', '6', 'r', 'm', 0,
-  /* 27392 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'm', 0,
-  /* 27401 */ 'A', 'N', 'D', '1', '6', 'r', 'm', 0,
-  /* 27409 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'm', 0,
-  /* 27420 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'm', 0,
-  /* 27431 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'm', 0,
-  /* 27442 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'm', 0,
-  /* 27453 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'm', 0,
-  /* 27464 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'm', 0,
-  /* 27474 */ 'B', 'S', 'F', '1', '6', 'r', 'm', 0,
-  /* 27482 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'm', 0,
-  /* 27494 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'm', 0,
-  /* 27504 */ 'L', 'S', 'L', '1', '6', 'r', 'm', 0,
-  /* 27512 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 0,
-  /* 27521 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'm', 0,
-  /* 27531 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'm', 0,
-  /* 27542 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'm', 0,
-  /* 27552 */ 'C', 'M', 'P', '1', '6', 'r', 'm', 0,
-  /* 27560 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'm', 0,
-  /* 27571 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'm', 0,
-  /* 27581 */ 'L', 'A', 'R', '1', '6', 'r', 'm', 0,
-  /* 27589 */ 'X', 'O', 'R', '1', '6', 'r', 'm', 0,
-  /* 27597 */ 'B', 'S', 'R', '1', '6', 'r', 'm', 0,
-  /* 27605 */ 'L', 'D', 'S', '1', '6', 'r', 'm', 0,
-  /* 27613 */ 'B', 'O', 'U', 'N', 'D', 'S', '1', '6', 'r', 'm', 0,
-  /* 27624 */ 'L', 'E', 'S', '1', '6', 'r', 'm', 0,
-  /* 27632 */ 'L', 'F', 'S', '1', '6', 'r', 'm', 0,
-  /* 27640 */ 'L', 'G', 'S', '1', '6', 'r', 'm', 0,
-  /* 27648 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'm', 0,
-  /* 27659 */ 'L', 'S', 'S', '1', '6', 'r', 'm', 0,
-  /* 27667 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'm', 0,
-  /* 27677 */ 'P', 'O', 'P', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
-  /* 27688 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
-  /* 27698 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
-  /* 27708 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'm', 0,
-  /* 27717 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '1', '6', 'r', 'm', 0,
-  /* 27733 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '2', '5', '6', 'r', 'm', 0,
-  /* 27747 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 0,
-  /* 27763 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 0,
-  /* 27779 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 0,
-  /* 27795 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 0,
-  /* 27811 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'm', 0,
-  /* 27827 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'm', 0,
-  /* 27842 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 0,
-  /* 27856 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 0,
-  /* 27870 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 0,
-  /* 27884 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 0,
-  /* 27898 */ 'V', 'P', 'E', 'R', 'M', '2', 'F', '1', '2', '8', 'r', 'm', 0,
-  /* 27911 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '1', '2', '8', 'r', 'm', 0,
-  /* 27925 */ 'V', 'P', 'E', 'R', 'M', '2', 'I', '1', '2', '8', 'r', 'm', 0,
-  /* 27938 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '1', '2', '8', 'r', 'm', 0,
-  /* 27952 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'm', 0,
-  /* 27968 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'm', 0,
-  /* 27984 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '1', '2', '8', 'r', 'm', 0,
-  /* 27998 */ 'V', 'A', 'E', 'S', 'K', 'E', 'Y', 'G', 'E', 'N', 'A', 'S', 'S', 'I', 'S', 'T', '1', '2', '8', 'r', 'm', 0,
-  /* 28020 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 0,
-  /* 28036 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 0,
-  /* 28052 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 0,
-  /* 28068 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 0,
-  /* 28084 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'm', 0,
-  /* 28100 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'm', 0,
-  /* 28115 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 0,
-  /* 28129 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 0,
-  /* 28143 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 0,
-  /* 28157 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 0,
-  /* 28171 */ 'S', 'B', 'B', '8', 'r', 'm', 0,
-  /* 28178 */ 'S', 'U', 'B', '8', 'r', 'm', 0,
-  /* 28185 */ 'A', 'D', 'C', '8', 'r', 'm', 0,
-  /* 28192 */ 'X', 'A', 'D', 'D', '8', 'r', 'm', 0,
-  /* 28200 */ 'A', 'N', 'D', '8', 'r', 'm', 0,
-  /* 28207 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'm', 0,
-  /* 28218 */ 'C', 'M', 'P', '8', 'r', 'm', 0,
-  /* 28225 */ 'X', 'O', 'R', '8', 'r', 'm', 0,
-  /* 28232 */ 'T', 'E', 'S', 'T', '8', 'r', 'm', 0,
-  /* 28240 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '8', 'r', 'm', 0,
-  /* 28255 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'A', 'r', 'm', 0,
-  /* 28267 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'r', 'm', 0,
-  /* 28277 */ 'V', 'P', 'S', 'H', 'A', 'B', 'r', 'm', 0,
-  /* 28286 */ 'V', 'P', 'S', 'U', 'B', 'B', 'r', 'm', 0,
-  /* 28295 */ 'V', 'P', 'A', 'D', 'D', 'B', 'r', 'm', 0,
-  /* 28304 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'r', 'm', 0,
-  /* 28314 */ 'V', 'P', 'A', 'V', 'G', 'B', 'r', 'm', 0,
-  /* 28323 */ 'V', 'P', 'S', 'H', 'L', 'B', 'r', 'm', 0,
-  /* 28332 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'r', 'm', 0,
-  /* 28342 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'r', 'm', 0,
-  /* 28353 */ 'V', 'P', 'I', 'N', 'S', 'R', 'B', 'r', 'm', 0,
-  /* 28363 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'r', 'm', 0,
-  /* 28373 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'r', 'm', 0,
-  /* 28383 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'r', 'm', 0,
-  /* 28393 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'r', 'm', 0,
-  /* 28404 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'r', 'm', 0,
-  /* 28415 */ 'P', 'A', 'V', 'G', 'U', 'S', 'B', 'r', 'm', 0,
-  /* 28425 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'r', 'm', 0,
-  /* 28435 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'r', 'm', 0,
-  /* 28446 */ 'V', 'P', 'R', 'O', 'T', 'B', 'r', 'm', 0,
-  /* 28455 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'r', 'm', 0,
-  /* 28470 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'r', 'm', 0,
-  /* 28480 */ 'P', 'F', 'S', 'U', 'B', 'r', 'm', 0,
-  /* 28488 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'r', 'm', 0,
-  /* 28498 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'r', 'm', 0,
-  /* 28510 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'r', 'm', 0,
-  /* 28522 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'r', 'm', 0,
-  /* 28534 */ 'P', 'F', 'A', 'C', 'C', 'r', 'm', 0,
-  /* 28542 */ 'P', 'F', 'N', 'A', 'C', 'C', 'r', 'm', 0,
-  /* 28551 */ 'P', 'F', 'P', 'N', 'A', 'C', 'C', 'r', 'm', 0,
-  /* 28561 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'r', 'm', 0,
-  /* 28571 */ 'V', 'A', 'E', 'S', 'I', 'M', 'C', 'r', 'm', 0,
-  /* 28581 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'r', 'm', 0,
-  /* 28591 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'm', 0,
-  /* 28602 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'm', 0,
-  /* 28613 */ 'V', 'P', 'S', 'H', 'A', 'D', 'r', 'm', 0,
-  /* 28622 */ 'V', 'P', 'S', 'R', 'A', 'D', 'r', 'm', 0,
-  /* 28631 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'D', 'r', 'm', 0,
-  /* 28643 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'D', 'r', 'm', 0,
-  /* 28654 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'D', 'r', 'm', 0,
-  /* 28666 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'r', 'm', 0,
-  /* 28676 */ 'V', 'P', 'S', 'U', 'B', 'D', 'r', 'm', 0,
-  /* 28685 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'r', 'm', 0,
-  /* 28697 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'r', 'm', 0,
-  /* 28709 */ 'P', 'F', 'A', 'D', 'D', 'r', 'm', 0,
-  /* 28717 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'r', 'm', 0,
-  /* 28727 */ 'V', 'P', 'A', 'D', 'D', 'D', 'r', 'm', 0,
-  /* 28736 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'D', 'r', 'm', 0,
-  /* 28749 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'D', 'r', 'm', 0,
-  /* 28760 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'D', 'r', 'm', 0,
-  /* 28772 */ 'P', 'I', '2', 'F', 'D', 'r', 'm', 0,
-  /* 28780 */ 'P', 'F', '2', 'I', 'D', 'r', 'm', 0,
-  /* 28788 */ 'V', 'P', 'S', 'H', 'L', 'D', 'r', 'm', 0,
-  /* 28797 */ 'V', 'P', 'S', 'L', 'L', 'D', 'r', 'm', 0,
-  /* 28806 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'D', 'r', 'm', 0,
-  /* 28818 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'r', 'm', 0,
-  /* 28828 */ 'V', 'P', 'S', 'R', 'L', 'D', 'r', 'm', 0,
-  /* 28837 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'D', 'r', 'm', 0,
-  /* 28849 */ 'V', 'P', 'A', 'N', 'D', 'r', 'm', 0,
-  /* 28857 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'r', 'm', 0,
-  /* 28867 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'm', 0,
-  /* 28879 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'm', 0,
-  /* 28892 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'r', 'm', 0,
-  /* 28904 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'r', 'm', 0,
-  /* 28916 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'm', 0,
-  /* 28928 */ 'F', 's', 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'm', 0,
-  /* 28940 */ 'F', 's', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'm', 0,
-  /* 28951 */ 'P', 'S', 'W', 'A', 'P', 'D', 'r', 'm', 0,
-  /* 28960 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', 'm', 0,
-  /* 28972 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'r', 'm', 0,
-  /* 28982 */ 'V', 'S', 'U', 'B', 'P', 'D', 'r', 'm', 0,
-  /* 28991 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'r', 'm', 0,
-  /* 29001 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'r', 'm', 0,
-  /* 29011 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'r', 'm', 0,
-  /* 29021 */ 'V', 'A', 'D', 'D', 'P', 'D', 'r', 'm', 0,
-  /* 29030 */ 'V', 'A', 'N', 'D', 'P', 'D', 'r', 'm', 0,
-  /* 29039 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'D', 'r', 'm', 0,
-  /* 29050 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'D', 'r', 'm', 0,
-  /* 29063 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'r', 'm', 0,
-  /* 29075 */ 'V', 'M', 'O', 'V', 'H', 'P', 'D', 'r', 'm', 0,
-  /* 29085 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'r', 'm', 0,
-  /* 29097 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'r', 'm', 0,
-  /* 29109 */ 'V', 'M', 'U', 'L', 'P', 'D', 'r', 'm', 0,
-  /* 29118 */ 'V', 'M', 'O', 'V', 'L', 'P', 'D', 'r', 'm', 0,
-  /* 29128 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'm', 0,
-  /* 29138 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'm', 0,
-  /* 29150 */ 'V', 'M', 'I', 'N', 'P', 'D', 'r', 'm', 0,
-  /* 29159 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'D', 'r', 'm', 0,
-  /* 29172 */ 'V', 'O', 'R', 'P', 'D', 'r', 'm', 0,
-  /* 29180 */ 'V', 'X', 'O', 'R', 'P', 'D', 'r', 'm', 0,
-  /* 29189 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'D', 'r', 'm', 0,
-  /* 29200 */ 'V', 'F', 's', 'O', 'R', 'P', 'D', 'r', 'm', 0,
-  /* 29210 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'r', 'm', 0,
-  /* 29220 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'r', 'm', 0,
-  /* 29230 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'r', 'm', 0,
-  /* 29242 */ 'V', 'D', 'I', 'V', 'P', 'D', 'r', 'm', 0,
-  /* 29251 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'r', 'm', 0,
-  /* 29264 */ 'V', 'M', 'A', 'X', 'P', 'D', 'r', 'm', 0,
-  /* 29273 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'm', 0,
-  /* 29283 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'r', 'm', 0,
-  /* 29294 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'D', 'r', 'm', 0,
-  /* 29307 */ 'V', 'P', 'I', 'N', 'S', 'R', 'D', 'r', 'm', 0,
-  /* 29317 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'm', 0,
-  /* 29333 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'm', 0,
-  /* 29348 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'm', 0,
-  /* 29364 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'm', 0,
-  /* 29379 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'D', 'r', 'm', 0,
-  /* 29390 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'D', 'r', 'm', 0,
-  /* 29403 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'D', 'r', 'm', 0,
-  /* 29414 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'D', 'r', 'm', 0,
-  /* 29427 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'm', 0,
-  /* 29436 */ 'V', 'M', 'I', 'N', 'C', 'S', 'D', 'r', 'm', 0,
-  /* 29446 */ 'V', 'M', 'A', 'X', 'C', 'S', 'D', 'r', 'm', 0,
-  /* 29456 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'm', 0,
-  /* 29465 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
-  /* 29480 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
-  /* 29494 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
-  /* 29508 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
-  /* 29521 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'm', 0,
-  /* 29530 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'r', 'm', 0,
-  /* 29540 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'm', 0,
-  /* 29549 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'm', 0,
-  /* 29562 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'D', 'r', 'm', 0,
-  /* 29574 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'm', 0,
-  /* 29583 */ 'V', 'M', 'O', 'V', 'S', 'D', 'r', 'm', 0,
-  /* 29592 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'r', 'm', 0,
-  /* 29602 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'm', 0,
-  /* 29611 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'D', 'r', 'm', 0,
-  /* 29621 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'S', 'D', 'r', 'm', 0,
-  /* 29634 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 0,
-  /* 29648 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'r', 'm', 0,
-  /* 29659 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 0,
-  /* 29670 */ 'V', 'P', 'R', 'O', 'T', 'D', 'r', 'm', 0,
-  /* 29679 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'm', 0,
-  /* 29694 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'r', 'm', 0,
-  /* 29704 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'r', 'm', 0,
-  /* 29714 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'r', 'm', 0,
-  /* 29724 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'r', 'm', 0,
-  /* 29734 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'r', 'm', 0,
-  /* 29744 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'r', 'm', 0,
-  /* 29757 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'D', 'r', 'm', 0,
-  /* 29768 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'D', 'r', 'm', 0,
-  /* 29779 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'r', 'm', 0,
-  /* 29790 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'r', 'm', 0,
-  /* 29803 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'r', 'm', 0,
-  /* 29816 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'D', 'r', 'm', 0,
-  /* 29827 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'W', 'D', 'r', 'm', 0,
-  /* 29839 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'D', 'r', 'm', 0,
-  /* 29851 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'S', 'W', 'D', 'r', 'm', 0,
-  /* 29864 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'D', 'r', 'm', 0,
-  /* 29876 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'r', 'm', 0,
-  /* 29888 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'r', 'm', 0,
-  /* 29900 */ 'P', 'F', 'C', 'M', 'P', 'G', 'E', 'r', 'm', 0,
-  /* 29910 */ 'S', 'H', 'A', '1', 'N', 'E', 'X', 'T', 'E', 'r', 'm', 0,
-  /* 29922 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'H', 'r', 'm', 0,
-  /* 29934 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'H', 'r', 'm', 0,
-  /* 29947 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'r', 'm', 0,
-  /* 29960 */ 'V', 'M', 'O', 'V', 'Q', 'I', '2', 'P', 'Q', 'I', 'r', 'm', 0,
-  /* 29973 */ 'V', 'M', 'O', 'V', 'Z', 'Q', 'I', '2', 'P', 'Q', 'I', 'r', 'm', 0,
-  /* 29987 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'r', 'm', 0,
-  /* 30004 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'I', 'r', 'm', 0,
-  /* 30017 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'I', 'r', 'm', 0,
-  /* 30030 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'm', 0,
-  /* 30047 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'm', 0,
-  /* 30063 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'm', 0,
-  /* 30075 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'm', 0,
-  /* 30092 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'm', 0,
-  /* 30108 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'm', 0,
-  /* 30120 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'L', 'r', 'm', 0,
-  /* 30132 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'L', 'r', 'm', 0,
-  /* 30145 */ 'P', 'F', 'M', 'U', 'L', 'r', 'm', 0,
-  /* 30153 */ 'V', 'P', 'P', 'E', 'R', 'M', 'r', 'm', 0,
-  /* 30162 */ 'V', 'P', 'A', 'N', 'D', 'N', 'r', 'm', 0,
-  /* 30171 */ 'P', 'F', 'M', 'I', 'N', 'r', 'm', 0,
-  /* 30179 */ 'P', 'F', 'R', 'C', 'P', 'r', 'm', 0,
-  /* 30187 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'r', 'm', 0,
-  /* 30198 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'r', 'm', 0,
-  /* 30210 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'r', 'm', 0,
-  /* 30222 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'm', 0,
-  /* 30233 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'm', 0,
-  /* 30244 */ 'V', 'P', 'S', 'H', 'A', 'Q', 'r', 'm', 0,
-  /* 30253 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'Q', 'r', 'm', 0,
-  /* 30264 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'Q', 'r', 'm', 0,
-  /* 30276 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'r', 'm', 0,
-  /* 30285 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'r', 'm', 0,
-  /* 30297 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'r', 'm', 0,
-  /* 30309 */ 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'm', 0,
-  /* 30321 */ 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'm', 0,
-  /* 30332 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'm', 0,
-  /* 30345 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'm', 0,
-  /* 30357 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Q', 'r', 'm', 0,
-  /* 30368 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'r', 'm', 0,
-  /* 30377 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Q', 'r', 'm', 0,
-  /* 30388 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'r', 'm', 0,
-  /* 30401 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'r', 'm', 0,
-  /* 30414 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'r', 'm', 0,
-  /* 30424 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'r', 'm', 0,
-  /* 30438 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'r', 'm', 0,
-  /* 30452 */ 'V', 'P', 'C', 'L', 'M', 'U', 'L', 'Q', 'D', 'Q', 'r', 'm', 0,
-  /* 30465 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'Q', 'r', 'm', 0,
-  /* 30478 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'D', 'Q', 'r', 'm', 0,
-  /* 30490 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'r', 'm', 0,
-  /* 30501 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'r', 'm', 0,
-  /* 30513 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'r', 'm', 0,
-  /* 30525 */ 'P', 'F', 'C', 'M', 'P', 'E', 'Q', 'r', 'm', 0,
-  /* 30535 */ 'V', 'P', 'S', 'H', 'L', 'Q', 'r', 'm', 0,
-  /* 30544 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'r', 'm', 0,
-  /* 30553 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'Q', 'r', 'm', 0,
-  /* 30565 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'r', 'm', 0,
-  /* 30574 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'Q', 'r', 'm', 0,
-  /* 30586 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'r', 'm', 0,
-  /* 30597 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'Q', 'r', 'm', 0,
-  /* 30610 */ 'V', 'P', 'I', 'N', 'S', 'R', 'Q', 'r', 'm', 0,
-  /* 30620 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 0,
-  /* 30634 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'r', 'm', 0,
-  /* 30645 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 0,
-  /* 30656 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'r', 'm', 0,
-  /* 30665 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'm', 0,
-  /* 30680 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'r', 'm', 0,
-  /* 30690 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'r', 'm', 0,
-  /* 30700 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'r', 'm', 0,
-  /* 30713 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Q', 'r', 'm', 0,
-  /* 30724 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'Q', 'r', 'm', 0,
-  /* 30736 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'r', 'm', 0,
-  /* 30748 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'r', 'm', 0,
-  /* 30760 */ 'P', 'F', 'S', 'U', 'B', 'R', 'r', 'm', 0,
-  /* 30769 */ 'V', 'P', 'O', 'R', 'r', 'm', 0,
-  /* 30776 */ 'V', 'P', 'X', 'O', 'R', 'r', 'm', 0,
-  /* 30784 */ 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'r', 'm', 0,
-  /* 30795 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'r', 'm', 0,
-  /* 30807 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'm', 0,
-  /* 30819 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'm', 0,
-  /* 30832 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'r', 'm', 0,
-  /* 30844 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'm', 0,
-  /* 30856 */ 'F', 's', 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'm', 0,
-  /* 30868 */ 'F', 's', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'm', 0,
-  /* 30879 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', 'm', 0,
-  /* 30891 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'r', 'm', 0,
-  /* 30901 */ 'V', 'S', 'U', 'B', 'P', 'S', 'r', 'm', 0,
-  /* 30910 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'r', 'm', 0,
-  /* 30920 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'r', 'm', 0,
-  /* 30930 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'r', 'm', 0,
-  /* 30940 */ 'V', 'A', 'D', 'D', 'P', 'S', 'r', 'm', 0,
-  /* 30949 */ 'V', 'A', 'N', 'D', 'P', 'S', 'r', 'm', 0,
-  /* 30958 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'S', 'r', 'm', 0,
-  /* 30969 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'S', 'r', 'm', 0,
-  /* 30982 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'r', 'm', 0,
-  /* 30994 */ 'V', 'M', 'O', 'V', 'H', 'P', 'S', 'r', 'm', 0,
-  /* 31004 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'r', 'm', 0,
-  /* 31016 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'r', 'm', 0,
-  /* 31028 */ 'V', 'M', 'U', 'L', 'P', 'S', 'r', 'm', 0,
-  /* 31037 */ 'V', 'M', 'O', 'V', 'L', 'P', 'S', 'r', 'm', 0,
-  /* 31047 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'm', 0,
-  /* 31057 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'm', 0,
-  /* 31069 */ 'V', 'M', 'I', 'N', 'P', 'S', 'r', 'm', 0,
-  /* 31078 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'S', 'r', 'm', 0,
-  /* 31091 */ 'V', 'O', 'R', 'P', 'S', 'r', 'm', 0,
-  /* 31099 */ 'V', 'X', 'O', 'R', 'P', 'S', 'r', 'm', 0,
-  /* 31108 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'S', 'r', 'm', 0,
-  /* 31119 */ 'V', 'F', 's', 'O', 'R', 'P', 'S', 'r', 'm', 0,
-  /* 31129 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'r', 'm', 0,
-  /* 31141 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'r', 'm', 0,
-  /* 31151 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'r', 'm', 0,
-  /* 31161 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'r', 'm', 0,
-  /* 31173 */ 'V', 'D', 'I', 'V', 'P', 'S', 'r', 'm', 0,
-  /* 31182 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'r', 'm', 0,
-  /* 31195 */ 'V', 'M', 'A', 'X', 'P', 'S', 'r', 'm', 0,
-  /* 31204 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'm', 0,
-  /* 31214 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'm', 0,
-  /* 31230 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'm', 0,
-  /* 31245 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'r', 'm', 0,
-  /* 31257 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'm', 0,
-  /* 31273 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'm', 0,
-  /* 31288 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'S', 'r', 'm', 0,
-  /* 31299 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'S', 'r', 'm', 0,
-  /* 31312 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'S', 'r', 'm', 0,
-  /* 31323 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'S', 'r', 'm', 0,
-  /* 31336 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'm', 0,
-  /* 31345 */ 'V', 'M', 'I', 'N', 'C', 'S', 'S', 'r', 'm', 0,
-  /* 31355 */ 'V', 'M', 'A', 'X', 'C', 'S', 'S', 'r', 'm', 0,
-  /* 31365 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'm', 0,
-  /* 31374 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
-  /* 31389 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
-  /* 31403 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
-  /* 31417 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
-  /* 31430 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'm', 0,
-  /* 31439 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'm', 0,
-  /* 31448 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'm', 0,
-  /* 31461 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'S', 'r', 'm', 0,
-  /* 31473 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'r', 'm', 0,
-  /* 31488 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'm', 0,
-  /* 31497 */ 'V', 'M', 'O', 'V', 'S', 'S', 'r', 'm', 0,
-  /* 31506 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'm', 0,
-  /* 31515 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'S', 'r', 'm', 0,
-  /* 31525 */ 'P', 'F', 'C', 'M', 'P', 'G', 'T', 'r', 'm', 0,
-  /* 31535 */ 'P', 'F', 'R', 'S', 'Q', 'R', 'T', 'r', 'm', 0,
-  /* 31545 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'L', 'A', 'S', 'T', 'r', 'm', 0,
-  /* 31559 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'L', 'A', 'S', 'T', 'r', 'm', 0,
-  /* 31573 */ 'V', 'P', 'T', 'E', 'S', 'T', 'r', 'm', 0,
-  /* 31582 */ 'V', 'L', 'D', 'D', 'Q', 'U', 'r', 'm', 0,
-  /* 31591 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'r', 'm', 0,
-  /* 31601 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'm', 0,
-  /* 31610 */ 'V', 'P', 'S', 'H', 'A', 'W', 'r', 'm', 0,
-  /* 31619 */ 'V', 'P', 'S', 'R', 'A', 'W', 'r', 'm', 0,
-  /* 31628 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'W', 'r', 'm', 0,
-  /* 31640 */ 'V', 'P', 'H', 'S', 'U', 'B', 'B', 'W', 'r', 'm', 0,
-  /* 31651 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'm', 0,
-  /* 31661 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'W', 'r', 'm', 0,
-  /* 31672 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'r', 'm', 0,
-  /* 31685 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'r', 'm', 0,
-  /* 31698 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'W', 'r', 'm', 0,
-  /* 31710 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'r', 'm', 0,
-  /* 31720 */ 'V', 'P', 'S', 'U', 'B', 'W', 'r', 'm', 0,
-  /* 31729 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'r', 'm', 0,
-  /* 31741 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'r', 'm', 0,
-  /* 31753 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'r', 'm', 0,
-  /* 31763 */ 'V', 'P', 'A', 'D', 'D', 'W', 'r', 'm', 0,
-  /* 31772 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'r', 'm', 0,
-  /* 31784 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'r', 'm', 0,
-  /* 31796 */ 'P', 'I', '2', 'F', 'W', 'r', 'm', 0,
-  /* 31804 */ 'V', 'P', 'A', 'V', 'G', 'W', 'r', 'm', 0,
-  /* 31813 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'r', 'm', 0,
-  /* 31823 */ 'P', 'F', '2', 'I', 'W', 'r', 'm', 0,
-  /* 31831 */ 'V', 'P', 'S', 'H', 'L', 'W', 'r', 'm', 0,
-  /* 31840 */ 'V', 'P', 'S', 'L', 'L', 'W', 'r', 'm', 0,
-  /* 31849 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'W', 'r', 'm', 0,
-  /* 31861 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'r', 'm', 0,
-  /* 31871 */ 'V', 'P', 'S', 'R', 'L', 'W', 'r', 'm', 0,
-  /* 31880 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'W', 'r', 'm', 0,
-  /* 31892 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'r', 'm', 0,
-  /* 31902 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'r', 'm', 0,
-  /* 31913 */ 'P', 'M', 'U', 'L', 'H', 'R', 'W', 'r', 'm', 0,
-  /* 31923 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'r', 'm', 0,
-  /* 31933 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'r', 'm', 0,
-  /* 31943 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'r', 'm', 0,
-  /* 31953 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'r', 'm', 0,
-  /* 31964 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'r', 'm', 0,
-  /* 31975 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'r', 'm', 0,
-  /* 31985 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'r', 'm', 0,
-  /* 31996 */ 'V', 'P', 'R', 'O', 'T', 'W', 'r', 'm', 0,
-  /* 32005 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'r', 'm', 0,
-  /* 32020 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'r', 'm', 0,
-  /* 32031 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'r', 'm', 0,
-  /* 32041 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'r', 'm', 0,
-  /* 32051 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'W', 'r', 'm', 0,
-  /* 32062 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'W', 'r', 'm', 0,
-  /* 32074 */ 'P', 'F', 'M', 'A', 'X', 'r', 'm', 0,
-  /* 32082 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'X', 'r', 'm', 0,
-  /* 32096 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'X', 'r', 'm', 0,
-  /* 32109 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'X', 'r', 'm', 0,
-  /* 32122 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'A', 'Y', 'r', 'm', 0,
-  /* 32135 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'r', 'm', 0,
-  /* 32146 */ 'V', 'P', 'S', 'U', 'B', 'B', 'Y', 'r', 'm', 0,
-  /* 32156 */ 'V', 'P', 'A', 'D', 'D', 'B', 'Y', 'r', 'm', 0,
-  /* 32166 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'Y', 'r', 'm', 0,
-  /* 32177 */ 'V', 'P', 'A', 'V', 'G', 'B', 'Y', 'r', 'm', 0,
-  /* 32187 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'Y', 'r', 'm', 0,
-  /* 32198 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Y', 'r', 'm', 0,
-  /* 32210 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 32221 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 32232 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 32243 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 32255 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 32267 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 32278 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Y', 'r', 'm', 0,
-  /* 32290 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'Y', 'r', 'm', 0,
-  /* 32306 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'Y', 'r', 'm', 0,
-  /* 32317 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'Y', 'r', 'm', 0,
-  /* 32328 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'Y', 'r', 'm', 0,
-  /* 32341 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'Y', 'r', 'm', 0,
-  /* 32354 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'Y', 'r', 'm', 0,
-  /* 32367 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Y', 'r', 'm', 0,
-  /* 32377 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Y', 'r', 'm', 0,
-  /* 32388 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Y', 'r', 'm', 0,
-  /* 32398 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Y', 'r', 'm', 0,
-  /* 32411 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Y', 'r', 'm', 0,
-  /* 32424 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Y', 'r', 'm', 0,
-  /* 32435 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Y', 'r', 'm', 0,
-  /* 32445 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'D', 'Y', 'r', 'm', 0,
-  /* 32459 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Y', 'r', 'm', 0,
-  /* 32469 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Y', 'r', 'm', 0,
-  /* 32480 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Y', 'r', 'm', 0,
-  /* 32490 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Y', 'r', 'm', 0,
-  /* 32500 */ 'V', 'P', 'A', 'N', 'D', 'Y', 'r', 'm', 0,
-  /* 32509 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'Y', 'r', 'm', 0,
-  /* 32520 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32533 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32546 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32557 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32570 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32581 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32591 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32602 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32613 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32624 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32634 */ 'V', 'A', 'N', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32644 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32658 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32671 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32684 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32697 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32707 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32718 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32728 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32742 */ 'V', 'O', 'R', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32751 */ 'V', 'X', 'O', 'R', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32761 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32772 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32783 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32796 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32806 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32820 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 32830 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Y', 'r', 'm', 0,
-  /* 32842 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'D', 'Y', 'r', 'm', 0,
-  /* 32856 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Y', 'r', 'm', 0,
-  /* 32867 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Y', 'r', 'm', 0,
-  /* 32883 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Y', 'r', 'm', 0,
-  /* 32894 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Y', 'r', 'm', 0,
-  /* 32906 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Y', 'r', 'm', 0,
-  /* 32922 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Y', 'r', 'm', 0,
-  /* 32933 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Y', 'r', 'm', 0,
-  /* 32944 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Y', 'r', 'm', 0,
-  /* 32955 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Y', 'r', 'm', 0,
-  /* 32966 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Y', 'r', 'm', 0,
-  /* 32977 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'Y', 'r', 'm', 0,
-  /* 32991 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'Y', 'r', 'm', 0,
-  /* 33003 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'Y', 'r', 'm', 0,
-  /* 33017 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'Y', 'r', 'm', 0,
-  /* 33031 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Y', 'r', 'm', 0,
-  /* 33044 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Y', 'r', 'm', 0,
-  /* 33057 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Y', 'r', 'm', 0,
-  /* 33067 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Y', 'r', 'm', 0,
-  /* 33079 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Y', 'r', 'm', 0,
-  /* 33092 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Y', 'r', 'm', 0,
-  /* 33105 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Y', 'r', 'm', 0,
-  /* 33115 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Y', 'r', 'm', 0,
-  /* 33128 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Y', 'r', 'm', 0,
-  /* 33141 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 33155 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 33168 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 33182 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 33195 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 33205 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 33219 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 33233 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 33244 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 33259 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 33274 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 33288 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 33300 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 33313 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 33326 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Y', 'r', 'm', 0,
-  /* 33336 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Y', 'r', 'm', 0,
-  /* 33346 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Y', 'r', 'm', 0,
-  /* 33358 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'Q', 'Y', 'r', 'm', 0,
-  /* 33372 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Y', 'r', 'm', 0,
-  /* 33384 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Y', 'r', 'm', 0,
-  /* 33400 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Y', 'r', 'm', 0,
-  /* 33411 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Y', 'r', 'm', 0,
-  /* 33422 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'Y', 'r', 'm', 0,
-  /* 33436 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Y', 'r', 'm', 0,
-  /* 33449 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Y', 'r', 'm', 0,
-  /* 33462 */ 'V', 'P', 'O', 'R', 'Y', 'r', 'm', 0,
-  /* 33470 */ 'V', 'P', 'X', 'O', 'R', 'Y', 'r', 'm', 0,
-  /* 33479 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33492 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33505 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33518 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33529 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33542 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33553 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33563 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33574 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33585 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33596 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33606 */ 'V', 'A', 'N', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33616 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33630 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33643 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33656 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33669 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33679 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33690 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33701 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33711 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33725 */ 'V', 'O', 'R', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33734 */ 'V', 'X', 'O', 'R', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33744 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33755 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33766 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33779 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33789 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33803 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 33813 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Y', 'r', 'm', 0,
-  /* 33829 */ 'V', 'P', 'T', 'E', 'S', 'T', 'Y', 'r', 'm', 0,
-  /* 33839 */ 'V', 'L', 'D', 'D', 'Q', 'U', 'Y', 'r', 'm', 0,
-  /* 33849 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'r', 'm', 0,
-  /* 33860 */ 'V', 'P', 'S', 'R', 'A', 'W', 'Y', 'r', 'm', 0,
-  /* 33870 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 33881 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 33895 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 33909 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 33920 */ 'V', 'P', 'S', 'U', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 33930 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 33943 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 33956 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Y', 'r', 'm', 0,
-  /* 33967 */ 'V', 'P', 'A', 'D', 'D', 'W', 'Y', 'r', 'm', 0,
-  /* 33977 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'Y', 'r', 'm', 0,
-  /* 33990 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'Y', 'r', 'm', 0,
-  /* 34003 */ 'V', 'P', 'A', 'V', 'G', 'W', 'Y', 'r', 'm', 0,
-  /* 34013 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'Y', 'r', 'm', 0,
-  /* 34024 */ 'V', 'P', 'S', 'L', 'L', 'W', 'Y', 'r', 'm', 0,
-  /* 34034 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'Y', 'r', 'm', 0,
-  /* 34045 */ 'V', 'P', 'S', 'R', 'L', 'W', 'Y', 'r', 'm', 0,
-  /* 34055 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'Y', 'r', 'm', 0,
-  /* 34066 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Y', 'r', 'm', 0,
-  /* 34078 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 34089 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 34100 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 34111 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 34123 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 34135 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 34146 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Y', 'r', 'm', 0,
-  /* 34158 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'Y', 'r', 'm', 0,
-  /* 34174 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'Y', 'r', 'm', 0,
-  /* 34186 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'Y', 'r', 'm', 0,
-  /* 34197 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'Y', 'r', 'm', 0,
-  /* 34208 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'm', 0,
-  /* 34221 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'm', 0,
-  /* 34234 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'm', 0,
-  /* 34247 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'm', 0,
-  /* 34266 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'm', 0,
-  /* 34286 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 34306 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 34321 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 34341 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 34356 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 34377 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 34393 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 34414 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 34430 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'm', 0,
-  /* 34449 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'm', 0,
-  /* 34469 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'm', 0,
-  /* 34482 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'm', 0,
-  /* 34495 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'm', 0,
-  /* 34507 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'A', 'Z', 'r', 'm', 0,
-  /* 34520 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'm', 0,
-  /* 34530 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 0,
-  /* 34540 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'm', 0,
-  /* 34553 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'm', 0,
-  /* 34566 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 0,
-  /* 34576 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 0,
-  /* 34586 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'D', 'Z', 'r', 'm', 0,
-  /* 34600 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'm', 0,
-  /* 34610 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 0,
-  /* 34621 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'm', 0,
-  /* 34631 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'D', 'Z', 'r', 'm', 0,
-  /* 34644 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'D', 'Z', 'r', 'm', 0,
-  /* 34657 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Z', 'r', 'm', 0,
-  /* 34667 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'D', 'Z', 'r', 'm', 0,
-  /* 34679 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 0,
-  /* 34690 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34703 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34717 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34730 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34741 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34751 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34761 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34775 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34788 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34801 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34811 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34824 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34835 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34845 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34859 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34870 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34881 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34891 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 34901 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', 'r', 'm', 0,
-  /* 34913 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'D', 'Z', 'r', 'm', 0,
-  /* 34927 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 0,
-  /* 34936 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 0,
-  /* 34946 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 34961 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 34977 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 34994 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 35012 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 35025 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 35035 */ 'V', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 35045 */ 'V', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 35055 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 35071 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 35086 */ 'V', 'M', 'U', 'L', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 35096 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 35107 */ 'V', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 35117 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 35127 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 35143 */ 'V', 'D', 'I', 'V', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 35153 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 35163 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 35174 */ 'V', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 35184 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', 'r', 'm', 0,
-  /* 35196 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'r', 'm', 0,
-  /* 35212 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 0,
-  /* 35223 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 0,
-  /* 35234 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Z', 'r', 'm', 0,
-  /* 35245 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Z', 'r', 'm', 0,
-  /* 35256 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Z', 'r', 'm', 0,
-  /* 35267 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'm', 0,
-  /* 35280 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'm', 0,
-  /* 35293 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'Z', 'r', 'm', 0,
-  /* 35307 */ 'V', 'M', 'O', 'V', 'Q', 'I', '2', 'P', 'Q', 'I', 'Z', 'r', 'm', 0,
-  /* 35321 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'Z', 'r', 'm', 0,
-  /* 35339 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 35357 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 35370 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 35388 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 35401 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 35420 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 35434 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 35453 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 35467 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Z', 'r', 'm', 0,
-  /* 35479 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Z', 'r', 'm', 0,
-  /* 35492 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Z', 'r', 'm', 0,
-  /* 35505 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'm', 0,
-  /* 35515 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 0,
-  /* 35525 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'm', 0,
-  /* 35538 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'm', 0,
-  /* 35551 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35565 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35578 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35592 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35605 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35615 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35629 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35643 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35654 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35664 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35679 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35694 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35708 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35723 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35737 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35752 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35766 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35778 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35791 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 35804 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'm', 0,
-  /* 35814 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'm', 0,
-  /* 35824 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'Q', 'Z', 'r', 'm', 0,
-  /* 35837 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'Q', 'Z', 'r', 'm', 0,
-  /* 35850 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'r', 'm', 0,
-  /* 35860 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'Q', 'Z', 'r', 'm', 0,
-  /* 35872 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 0,
-  /* 35883 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', 'r', 'm', 0,
-  /* 35895 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'Q', 'Z', 'r', 'm', 0,
-  /* 35909 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 0,
-  /* 35918 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 0,
-  /* 35928 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 0,
-  /* 35938 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 0,
-  /* 35949 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 0,
-  /* 35960 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', 'r', 'm', 0,
-  /* 35972 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'r', 'm', 0,
-  /* 35988 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 0,
-  /* 35999 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 0,
-  /* 36010 */ 'V', 'P', 'S', 'R', 'A', 'V', 'Q', 'Z', 'r', 'm', 0,
-  /* 36021 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Z', 'r', 'm', 0,
-  /* 36032 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Z', 'r', 'm', 0,
-  /* 36043 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'm', 0,
-  /* 36056 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'm', 0,
-  /* 36069 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36082 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36095 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36108 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36122 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36133 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36143 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36153 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36167 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36180 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36193 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36203 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36216 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36227 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36237 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36251 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36262 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36273 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36283 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 36293 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36308 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36324 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36337 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36350 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36367 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36385 */ 'V', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36395 */ 'V', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36405 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36421 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36436 */ 'V', 'M', 'U', 'L', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36446 */ 'V', 'M', 'I', 'N', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36456 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36466 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36482 */ 'V', 'D', 'I', 'V', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36492 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36502 */ 'V', 'M', 'A', 'X', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 36512 */ 'M', 'M', 'X', '_', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '6', '4', 'i', 'r', 'm', 0,
-  /* 36529 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'B', 'i', 'r', 'm', 0,
-  /* 36542 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'B', 'i', 'r', 'm', 0,
-  /* 36555 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'B', 'i', 'r', 'm', 0,
-  /* 36568 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'i', 'r', 'm', 0,
-  /* 36583 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'B', 'i', 'r', 'm', 0,
-  /* 36597 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'B', 'i', 'r', 'm', 0,
-  /* 36611 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'i', 'r', 'm', 0,
-  /* 36626 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'i', 'r', 'm', 0,
-  /* 36641 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'i', 'r', 'm', 0,
-  /* 36656 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'U', 'B', 'i', 'r', 'm', 0,
-  /* 36670 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'U', 'B', 'i', 'r', 'm', 0,
-  /* 36684 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'i', 'r', 'm', 0,
-  /* 36700 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'i', 'r', 'm', 0,
-  /* 36716 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'D', 'i', 'r', 'm', 0,
-  /* 36729 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'D', 'i', 'r', 'm', 0,
-  /* 36742 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'i', 'r', 'm', 0,
-  /* 36754 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'D', 'i', 'r', 'm', 0,
-  /* 36770 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'i', 'r', 'm', 0,
-  /* 36785 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'i', 'r', 'm', 0,
-  /* 36800 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'i', 'r', 'm', 0,
-  /* 36815 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'i', 'r', 'm', 0,
-  /* 36832 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'i', 'r', 'm', 0,
-  /* 36849 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'm', 0,
-  /* 36866 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'm', 0,
-  /* 36882 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'm', 0,
-  /* 36899 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'm', 0,
-  /* 36915 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'N', 'i', 'r', 'm', 0,
-  /* 36928 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'Q', 'i', 'r', 'm', 0,
-  /* 36941 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'Q', 'i', 'r', 'm', 0,
-  /* 36954 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'i', 'r', 'm', 0,
-  /* 36971 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'i', 'r', 'm', 0,
-  /* 36988 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'i', 'r', 'm', 0,
-  /* 37003 */ 'M', 'M', 'X', '_', 'P', 'O', 'R', 'i', 'r', 'm', 0,
-  /* 37014 */ 'M', 'M', 'X', '_', 'P', 'X', 'O', 'R', 'i', 'r', 'm', 0,
-  /* 37026 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'S', 'i', 'r', 'm', 0,
-  /* 37042 */ 'M', 'M', 'X', '_', 'P', 'S', 'A', 'D', 'B', 'W', 'i', 'r', 'm', 0,
-  /* 37056 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'i', 'r', 'm', 0,
-  /* 37073 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'i', 'r', 'm', 0,
-  /* 37090 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'W', 'i', 'r', 'm', 0,
-  /* 37103 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'W', 'i', 'r', 'm', 0,
-  /* 37116 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'i', 'r', 'm', 0,
-  /* 37132 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'W', 'i', 'r', 'm', 0,
-  /* 37145 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'W', 'i', 'r', 'm', 0,
-  /* 37159 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'L', 'W', 'i', 'r', 'm', 0,
-  /* 37173 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'i', 'r', 'm', 0,
-  /* 37188 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 37202 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 37216 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 37230 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 37245 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 37260 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 37274 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'i', 'r', 'm', 0,
-  /* 37289 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'i', 'r', 'm', 0,
-  /* 37304 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'I', '3', '2', 'X', '4', 'k', 'r', 'm', 0,
-  /* 37323 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'I', '6', '4', 'X', '4', 'k', 'r', 'm', 0,
-  /* 37342 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'k', 'r', 'm', 0,
-  /* 37359 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'k', 'r', 'm', 0,
-  /* 37376 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'z', 'r', 'm', 0,
-  /* 37389 */ 'M', 'O', 'V', '3', '2', 's', 'm', 0,
-  /* 37397 */ 'M', 'O', 'V', '6', '4', 's', 'm', 0,
-  /* 37405 */ 'M', 'O', 'V', '1', '6', 's', 'm', 0,
-  /* 37413 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 0,
-  /* 37427 */ 'S', 'U', 'B', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 37437 */ 'A', 'D', 'D', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 37447 */ 'M', 'U', 'L', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 37457 */ 'C', 'O', 'M', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 37467 */ 'C', 'O', 'M', 'P', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 37478 */ 'S', 'U', 'B', 'R', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 37489 */ 'D', 'I', 'V', 'R', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 37500 */ 'D', 'I', 'V', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 37510 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 37527 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 37541 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 37556 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 37573 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 37587 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 37602 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 37616 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 37631 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 37645 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 37660 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 37677 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 37691 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 37706 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 37723 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 37737 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 37752 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 37766 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 37781 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 37795 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 37810 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 37827 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 37841 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 37856 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 37873 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 37887 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 37902 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 37916 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 37931 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 37945 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 37960 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 37977 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 37991 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 38006 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 38023 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 38037 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 38052 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 38066 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 38081 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 38095 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 38110 */ 'L', 'E', 'A', '3', '2', 'r', 0,
-  /* 38117 */ 'D', 'E', 'C', '3', '2', 'r', 0,
-  /* 38124 */ 'I', 'N', 'C', '3', '2', 'r', 0,
-  /* 38131 */ 'M', 'O', 'V', 'P', 'C', '3', '2', 'r', 0,
-  /* 38140 */ 'S', 'E', 'T', 'B', '_', 'C', '3', '2', 'r', 0,
-  /* 38150 */ 'R', 'D', 'S', 'E', 'E', 'D', '3', '2', 'r', 0,
-  /* 38160 */ 'R', 'D', 'R', 'A', 'N', 'D', '3', '2', 'r', 0,
-  /* 38170 */ 'N', 'E', 'G', '3', '2', 'r', 0,
-  /* 38177 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 0,
-  /* 38185 */ 'C', 'A', 'L', 'L', '3', '2', 'r', 0,
-  /* 38193 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 0,
-  /* 38201 */ 'B', 'S', 'W', 'A', 'P', '3', '2', 'r', 0,
-  /* 38210 */ 'J', 'M', 'P', '3', '2', 'r', 0,
-  /* 38217 */ 'P', 'O', 'P', '3', '2', 'r', 0,
-  /* 38224 */ 'S', 'T', 'R', '3', '2', 'r', 0,
-  /* 38231 */ 'S', 'L', 'D', 'T', '3', '2', 'r', 0,
-  /* 38239 */ 'N', 'O', 'T', '3', '2', 'r', 0,
-  /* 38246 */ 'I', 'D', 'I', 'V', '3', '2', 'r', 0,
-  /* 38254 */ 'S', 'M', 'S', 'W', '3', '2', 'r', 0,
-  /* 38262 */ 'D', 'E', 'C', '3', '2', '_', '3', '2', 'r', 0,
-  /* 38272 */ 'I', 'N', 'C', '3', '2', '_', '3', '2', 'r', 0,
-  /* 38282 */ 'L', 'E', 'A', '6', '4', '_', '3', '2', 'r', 0,
-  /* 38292 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'r', 0,
-  /* 38302 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'r', 0,
-  /* 38312 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 38329 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 38343 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 38358 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 38375 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 38389 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 38404 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 38418 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 38433 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 38447 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 38462 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 38479 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 38493 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 38508 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 38525 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 38539 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 38554 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 38568 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 38583 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 38597 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 38612 */ 'L', 'E', 'A', '6', '4', 'r', 0,
-  /* 38619 */ 'D', 'E', 'C', '6', '4', 'r', 0,
-  /* 38626 */ 'I', 'N', 'C', '6', '4', 'r', 0,
-  /* 38633 */ 'S', 'E', 'T', 'B', '_', 'C', '6', '4', 'r', 0,
-  /* 38643 */ 'R', 'D', 'S', 'E', 'E', 'D', '6', '4', 'r', 0,
-  /* 38653 */ 'R', 'D', 'R', 'A', 'N', 'D', '6', '4', 'r', 0,
-  /* 38663 */ 'N', 'E', 'G', '6', '4', 'r', 0,
-  /* 38670 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 0,
-  /* 38678 */ 'C', 'A', 'L', 'L', '6', '4', 'r', 0,
-  /* 38686 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 0,
-  /* 38694 */ 'B', 'S', 'W', 'A', 'P', '6', '4', 'r', 0,
-  /* 38703 */ 'J', 'M', 'P', '6', '4', 'r', 0,
-  /* 38710 */ 'P', 'O', 'P', '6', '4', 'r', 0,
-  /* 38717 */ 'S', 'T', 'R', '6', '4', 'r', 0,
-  /* 38724 */ 'S', 'L', 'D', 'T', '6', '4', 'r', 0,
-  /* 38732 */ 'N', 'O', 'T', '6', '4', 'r', 0,
-  /* 38739 */ 'I', 'D', 'I', 'V', '6', '4', 'r', 0,
-  /* 38747 */ 'S', 'M', 'S', 'W', '6', '4', 'r', 0,
-  /* 38755 */ 'L', 'E', 'A', '1', '6', 'r', 0,
-  /* 38762 */ 'D', 'E', 'C', '1', '6', 'r', 0,
-  /* 38769 */ 'I', 'N', 'C', '1', '6', 'r', 0,
-  /* 38776 */ 'S', 'E', 'T', 'B', '_', 'C', '1', '6', 'r', 0,
-  /* 38786 */ 'R', 'D', 'S', 'E', 'E', 'D', '1', '6', 'r', 0,
-  /* 38796 */ 'R', 'D', 'R', 'A', 'N', 'D', '1', '6', 'r', 0,
-  /* 38806 */ 'N', 'E', 'G', '1', '6', 'r', 0,
-  /* 38813 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 0,
-  /* 38821 */ 'C', 'A', 'L', 'L', '1', '6', 'r', 0,
-  /* 38829 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 0,
-  /* 38837 */ 'J', 'M', 'P', '1', '6', 'r', 0,
-  /* 38844 */ 'P', 'O', 'P', '1', '6', 'r', 0,
-  /* 38851 */ 'S', 'T', 'R', '1', '6', 'r', 0,
-  /* 38858 */ 'L', 'L', 'D', 'T', '1', '6', 'r', 0,
-  /* 38866 */ 'S', 'L', 'D', 'T', '1', '6', 'r', 0,
-  /* 38874 */ 'N', 'O', 'T', '1', '6', 'r', 0,
-  /* 38881 */ 'I', 'D', 'I', 'V', '1', '6', 'r', 0,
-  /* 38889 */ 'L', 'M', 'S', 'W', '1', '6', 'r', 0,
-  /* 38897 */ 'S', 'M', 'S', 'W', '1', '6', 'r', 0,
-  /* 38905 */ 'F', 'N', 'S', 'T', 'S', 'W', '1', '6', 'r', 0,
-  /* 38915 */ 'D', 'E', 'C', '3', '2', '_', '1', '6', 'r', 0,
-  /* 38925 */ 'I', 'N', 'C', '3', '2', '_', '1', '6', 'r', 0,
-  /* 38935 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'r', 0,
-  /* 38945 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'r', 0,
-  /* 38955 */ 'D', 'E', 'C', '8', 'r', 0,
-  /* 38961 */ 'I', 'N', 'C', '8', 'r', 0,
-  /* 38967 */ 'S', 'E', 'T', 'B', '_', 'C', '8', 'r', 0,
-  /* 38976 */ 'N', 'E', 'G', '8', 'r', 0,
-  /* 38982 */ 'I', 'M', 'U', 'L', '8', 'r', 0,
-  /* 38989 */ 'N', 'O', 'T', '8', 'r', 0,
-  /* 38995 */ 'I', 'D', 'I', 'V', '8', 'r', 0,
-  /* 39002 */ 'S', 'E', 'T', 'A', 'r', 0,
-  /* 39008 */ 'S', 'E', 'T', 'B', 'r', 0,
-  /* 39014 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'D', 'r', 0,
-  /* 39024 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'r', 0,
-  /* 39033 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'D', 'r', 0,
-  /* 39044 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'D', 'r', 0,
-  /* 39054 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'D', 'r', 0,
-  /* 39067 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'r', 0,
-  /* 39076 */ 'S', 'E', 'T', 'A', 'E', 'r', 0,
-  /* 39083 */ 'S', 'E', 'T', 'B', 'E', 'r', 0,
-  /* 39090 */ 'S', 'E', 'T', 'G', 'E', 'r', 0,
-  /* 39097 */ 'S', 'E', 'T', 'L', 'E', 'r', 0,
-  /* 39104 */ 'S', 'E', 'T', 'N', 'E', 'r', 0,
-  /* 39111 */ 'S', 'E', 'T', 'E', 'r', 0,
-  /* 39117 */ 'U', 'C', 'O', 'M', '_', 'F', 'r', 0,
-  /* 39125 */ 'S', 'E', 'T', 'G', 'r', 0,
-  /* 39131 */ 'U', 'C', 'O', 'M', '_', 'F', 'I', 'r', 0,
-  /* 39140 */ 'S', 'E', 'T', 'L', 'r', 0,
-  /* 39146 */ 'S', 'E', 'T', 'N', 'O', 'r', 0,
-  /* 39153 */ 'S', 'E', 'T', 'O', 'r', 0,
-  /* 39159 */ 'U', 'C', 'O', 'M', '_', 'F', 'P', 'r', 0,
-  /* 39168 */ 'U', 'C', 'O', 'M', '_', 'F', 'I', 'P', 'r', 0,
-  /* 39178 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'r', 0,
-  /* 39187 */ 'S', 'E', 'T', 'N', 'P', 'r', 0,
-  /* 39194 */ 'U', 'C', 'O', 'M', '_', 'F', 'P', 'P', 'r', 0,
-  /* 39204 */ 'S', 'E', 'T', 'P', 'r', 0,
-  /* 39210 */ 'V', 'E', 'R', 'R', 'r', 0,
-  /* 39216 */ 'L', 'T', 'R', 'r', 0,
-  /* 39221 */ 'S', 'E', 'T', 'N', 'S', 'r', 0,
-  /* 39228 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'S', 'r', 0,
-  /* 39238 */ 'V', 'R', 'C', 'P', 'P', 'S', 'r', 0,
-  /* 39246 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'r', 0,
-  /* 39256 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'r', 0,
-  /* 39265 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'S', 'r', 0,
-  /* 39276 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'S', 'r', 0,
-  /* 39286 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'S', 'r', 0,
-  /* 39299 */ 'V', 'R', 'C', 'P', 'S', 'S', 'r', 0,
-  /* 39307 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'r', 0,
-  /* 39317 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'r', 0,
-  /* 39326 */ 'S', 'E', 'T', 'S', 'r', 0,
-  /* 39332 */ 'V', 'E', 'R', 'W', 'r', 0,
-  /* 39338 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Y', 'r', 0,
-  /* 39348 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'r', 0,
-  /* 39357 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'r', 0,
-  /* 39368 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'r', 0,
-  /* 39378 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 39395 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 39409 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 39424 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 39441 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 39455 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 39470 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'D', 'Z', 'r', 0,
-  /* 39481 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'D', 'Z', 'r', 0,
-  /* 39494 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'D', 'Z', 'r', 0,
-  /* 39505 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'D', 'Z', 'r', 0,
-  /* 39518 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'D', 'Z', 'r', 0,
-  /* 39532 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 0,
-  /* 39543 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 0,
-  /* 39555 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 0,
-  /* 39566 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 0,
-  /* 39578 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'r', 0,
-  /* 39588 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 39605 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 39619 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 39634 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 39651 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 39665 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 39680 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'S', 'Z', 'r', 0,
-  /* 39691 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'S', 'Z', 'r', 0,
-  /* 39704 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'S', 'Z', 'r', 0,
-  /* 39715 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'S', 'Z', 'r', 0,
-  /* 39728 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'S', 'Z', 'r', 0,
-  /* 39742 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 0,
-  /* 39753 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 0,
-  /* 39765 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 0,
-  /* 39776 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 0,
-  /* 39788 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'r', 0,
-  /* 39798 */ 'X', 'C', 'H', 'G', '3', '2', 'a', 'r', 0,
-  /* 39807 */ 'X', 'C', 'H', 'G', '6', '4', 'a', 'r', 0,
-  /* 39816 */ 'X', 'C', 'H', 'G', '1', '6', 'a', 'r', 0,
-  /* 39825 */ 'M', 'O', 'V', '3', '2', 'c', 'r', 0,
-  /* 39833 */ 'M', 'O', 'V', '6', '4', 'c', 'r', 0,
-  /* 39841 */ 'M', 'O', 'V', '3', '2', 'd', 'r', 0,
-  /* 39849 */ 'M', 'O', 'V', '6', '4', 'd', 'r', 0,
-  /* 39857 */ 'I', 'n', 't', '_', 'M', 'e', 'm', 'B', 'a', 'r', 'r', 'i', 'e', 'r', 0,
-  /* 39872 */ 'O', 'U', 'T', '3', '2', 'i', 'r', 0,
-  /* 39880 */ 'O', 'U', 'T', '1', '6', 'i', 'r', 0,
-  /* 39888 */ 'O', 'U', 'T', '8', 'i', 'r', 0,
-  /* 39895 */ 'K', 'M', 'O', 'V', 'B', 'k', 'r', 0,
-  /* 39903 */ 'K', 'M', 'O', 'V', 'D', 'k', 'r', 0,
-  /* 39911 */ 'K', 'M', 'O', 'V', 'Q', 'k', 'r', 0,
-  /* 39919 */ 'K', 'M', 'O', 'V', 'W', 'k', 'r', 0,
-  /* 39927 */ 'S', 'B', 'B', '3', '2', 'm', 'r', 0,
-  /* 39935 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'r', 0,
-  /* 39948 */ 'A', 'D', 'C', '3', '2', 'm', 'r', 0,
-  /* 39956 */ 'B', 'T', 'C', '3', '2', 'm', 'r', 0,
-  /* 39964 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'r', 0,
-  /* 39977 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'r', 0,
-  /* 39990 */ 'M', 'O', 'V', 'B', 'E', '3', '2', 'm', 'r', 0,
-  /* 40000 */ 'C', 'M', 'P', '3', '2', 'm', 'r', 0,
-  /* 40008 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'r', 0,
-  /* 40021 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'r', 0,
-  /* 40033 */ 'B', 'T', 'R', '3', '2', 'm', 'r', 0,
-  /* 40041 */ 'B', 'T', 'S', '3', '2', 'm', 'r', 0,
-  /* 40049 */ 'B', 'T', '3', '2', 'm', 'r', 0,
-  /* 40056 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '3', '2', 'm', 'r', 0,
-  /* 40072 */ 'S', 'B', 'B', '6', '4', 'm', 'r', 0,
-  /* 40080 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'r', 0,
-  /* 40093 */ 'A', 'D', 'C', '6', '4', 'm', 'r', 0,
-  /* 40101 */ 'B', 'T', 'C', '6', '4', 'm', 'r', 0,
-  /* 40109 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'r', 0,
-  /* 40122 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'r', 0,
-  /* 40135 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'm', 'r', 0,
-  /* 40148 */ 'M', 'O', 'V', 'B', 'E', '6', '4', 'm', 'r', 0,
-  /* 40158 */ 'C', 'M', 'P', '6', '4', 'm', 'r', 0,
-  /* 40166 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '6', '4', 'm', 'r', 0,
-  /* 40179 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'r', 0,
-  /* 40192 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'r', 0,
-  /* 40204 */ 'B', 'T', 'R', '6', '4', 'm', 'r', 0,
-  /* 40212 */ 'B', 'T', 'S', '6', '4', 'm', 'r', 0,
-  /* 40220 */ 'B', 'T', '6', '4', 'm', 'r', 0,
-  /* 40227 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '6', '4', 'm', 'r', 0,
-  /* 40243 */ 'M', 'O', 'V', 'N', 'T', 'I', '_', '6', '4', 'm', 'r', 0,
-  /* 40255 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'm', 'r', 0,
-  /* 40268 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 0,
-  /* 40283 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 0,
-  /* 40295 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 0,
-  /* 40308 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 0,
-  /* 40323 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 0,
-  /* 40335 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 0,
-  /* 40348 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', 0,
-  /* 40360 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', 0,
-  /* 40373 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', 0,
-  /* 40385 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', 0,
-  /* 40398 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 0,
-  /* 40413 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 0,
-  /* 40425 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 0,
-  /* 40438 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 0,
-  /* 40453 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 0,
-  /* 40465 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 0,
-  /* 40478 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', 0,
-  /* 40490 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', 0,
-  /* 40503 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', 0,
-  /* 40515 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', 0,
-  /* 40528 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '3', '2', 'x', '4', 'm', 'r', 0,
-  /* 40544 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '3', '2', 'x', '4', 'm', 'r', 0,
-  /* 40560 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '6', '4', 'x', '4', 'm', 'r', 0,
-  /* 40576 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '6', '4', 'x', '4', 'm', 'r', 0,
-  /* 40592 */ 'S', 'B', 'B', '1', '6', 'm', 'r', 0,
-  /* 40600 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'r', 0,
-  /* 40613 */ 'A', 'D', 'C', '1', '6', 'm', 'r', 0,
-  /* 40621 */ 'B', 'T', 'C', '1', '6', 'm', 'r', 0,
-  /* 40629 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'r', 0,
-  /* 40642 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'r', 0,
-  /* 40655 */ 'M', 'O', 'V', 'B', 'E', '1', '6', 'm', 'r', 0,
-  /* 40665 */ 'A', 'R', 'P', 'L', '1', '6', 'm', 'r', 0,
-  /* 40674 */ 'C', 'M', 'P', '1', '6', 'm', 'r', 0,
-  /* 40682 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'r', 0,
-  /* 40695 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'r', 0,
-  /* 40707 */ 'B', 'T', 'R', '1', '6', 'm', 'r', 0,
-  /* 40715 */ 'B', 'T', 'S', '1', '6', 'm', 'r', 0,
-  /* 40723 */ 'B', 'T', '1', '6', 'm', 'r', 0,
-  /* 40730 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '1', '6', 'm', 'r', 0,
-  /* 40746 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'm', 'r', 0,
-  /* 40762 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'm', 'r', 0,
-  /* 40778 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'm', 'r', 0,
-  /* 40794 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'm', 'r', 0,
-  /* 40810 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'm', 'r', 0,
-  /* 40826 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'm', 'r', 0,
-  /* 40841 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'm', 'r', 0,
-  /* 40855 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'm', 'r', 0,
-  /* 40869 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'm', 'r', 0,
-  /* 40883 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'm', 'r', 0,
-  /* 40897 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '1', '2', '8', 'm', 'r', 0,
-  /* 40912 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '1', '2', '8', 'm', 'r', 0,
-  /* 40927 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'm', 'r', 0,
-  /* 40943 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'm', 'r', 0,
-  /* 40959 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'm', 'r', 0,
-  /* 40975 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'm', 'r', 0,
-  /* 40991 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'm', 'r', 0,
-  /* 41007 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'm', 'r', 0,
-  /* 41022 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'm', 'r', 0,
-  /* 41036 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'm', 'r', 0,
-  /* 41050 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'm', 'r', 0,
-  /* 41064 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'm', 'r', 0,
-  /* 41078 */ 'S', 'B', 'B', '8', 'm', 'r', 0,
-  /* 41085 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'r', 0,
-  /* 41097 */ 'A', 'D', 'C', '8', 'm', 'r', 0,
-  /* 41104 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'r', 0,
-  /* 41116 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'r', 0,
-  /* 41128 */ 'C', 'M', 'P', '8', 'm', 'r', 0,
-  /* 41135 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'r', 0,
-  /* 41147 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'r', 0,
-  /* 41158 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '8', 'm', 'r', 0,
-  /* 41173 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'm', 'r', 0,
-  /* 41183 */ 'V', 'P', 'S', 'H', 'A', 'B', 'm', 'r', 0,
-  /* 41192 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'm', 'r', 0,
-  /* 41204 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'm', 'r', 0,
-  /* 41215 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'm', 'r', 0,
-  /* 41225 */ 'V', 'P', 'S', 'H', 'L', 'B', 'm', 'r', 0,
-  /* 41234 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'm', 'r', 0,
-  /* 41246 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'm', 'r', 0,
-  /* 41257 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'm', 'r', 0,
-  /* 41267 */ 'V', 'P', 'E', 'X', 'T', 'R', 'B', 'm', 'r', 0,
-  /* 41277 */ 'V', 'P', 'R', 'O', 'T', 'B', 'm', 'r', 0,
-  /* 41286 */ 'V', 'P', 'S', 'H', 'A', 'D', 'm', 'r', 0,
-  /* 41295 */ 'V', 'P', 'S', 'H', 'L', 'D', 'm', 'r', 0,
-  /* 41304 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'm', 'r', 0,
-  /* 41317 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'm', 'r', 0,
-  /* 41327 */ 'V', 'M', 'O', 'V', 'H', 'P', 'D', 'm', 'r', 0,
-  /* 41337 */ 'V', 'M', 'O', 'V', 'L', 'P', 'D', 'm', 'r', 0,
-  /* 41347 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'D', 'm', 'r', 0,
-  /* 41358 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'm', 'r', 0,
-  /* 41368 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'm', 'r', 0,
-  /* 41381 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'm', 'r', 0,
-  /* 41393 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'm', 'r', 0,
-  /* 41404 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'm', 'r', 0,
-  /* 41414 */ 'V', 'P', 'E', 'X', 'T', 'R', 'D', 'm', 'r', 0,
-  /* 41424 */ 'V', 'M', 'O', 'V', 'S', 'D', 'm', 'r', 0,
-  /* 41433 */ 'V', 'P', 'R', 'O', 'T', 'D', 'm', 'r', 0,
-  /* 41442 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'm', 'r', 0,
-  /* 41455 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'm', 'r', 0,
-  /* 41467 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'm', 'r', 0,
-  /* 41480 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'm', 'r', 0,
-  /* 41492 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', '2', 'Q', 'I', 'm', 'r', 0,
-  /* 41505 */ 'M', 'O', 'V', 'N', 'T', 'I', 'm', 'r', 0,
-  /* 41514 */ 'V', 'P', 'P', 'E', 'R', 'M', 'm', 'r', 0,
-  /* 41523 */ 'V', 'P', 'S', 'H', 'A', 'Q', 'm', 'r', 0,
-  /* 41532 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'm', 'r', 0,
-  /* 41543 */ 'V', 'P', 'S', 'H', 'L', 'Q', 'm', 'r', 0,
-  /* 41552 */ 'V', 'P', 'E', 'X', 'T', 'R', 'Q', 'm', 'r', 0,
-  /* 41562 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'N', 'T', 'Q', 'm', 'r', 0,
-  /* 41575 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'm', 'r', 0,
-  /* 41584 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'm', 'r', 0,
-  /* 41597 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'm', 'r', 0,
-  /* 41610 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'm', 'r', 0,
-  /* 41620 */ 'V', 'M', 'O', 'V', 'H', 'P', 'S', 'm', 'r', 0,
-  /* 41630 */ 'V', 'M', 'O', 'V', 'L', 'P', 'S', 'm', 'r', 0,
-  /* 41640 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'm', 'r', 0,
-  /* 41653 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'S', 'm', 'r', 0,
-  /* 41664 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'm', 'r', 0,
-  /* 41674 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'm', 'r', 0,
-  /* 41687 */ 'V', 'M', 'O', 'V', 'S', 'S', 'm', 'r', 0,
-  /* 41696 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'm', 'r', 0,
-  /* 41706 */ 'V', 'P', 'C', 'M', 'O', 'V', 'm', 'r', 0,
-  /* 41715 */ 'V', 'P', 'S', 'H', 'A', 'W', 'm', 'r', 0,
-  /* 41724 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'm', 'r', 0,
-  /* 41736 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'm', 'r', 0,
-  /* 41747 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'm', 'r', 0,
-  /* 41757 */ 'V', 'P', 'S', 'H', 'L', 'W', 'm', 'r', 0,
-  /* 41766 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'm', 'r', 0,
-  /* 41778 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'm', 'r', 0,
-  /* 41789 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'm', 'r', 0,
-  /* 41799 */ 'V', 'P', 'E', 'X', 'T', 'R', 'W', 'm', 'r', 0,
-  /* 41809 */ 'V', 'P', 'R', 'O', 'T', 'W', 'm', 'r', 0,
-  /* 41818 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'm', 'r', 0,
-  /* 41829 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'm', 'r', 0,
-  /* 41840 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'D', 'Y', 'm', 'r', 0,
-  /* 41852 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'm', 'r', 0,
-  /* 41863 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'Y', 'm', 'r', 0,
-  /* 41877 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'Y', 'm', 'r', 0,
-  /* 41891 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Y', 'm', 'r', 0,
-  /* 41904 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'Y', 'm', 'r', 0,
-  /* 41916 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'Y', 'm', 'r', 0,
-  /* 41930 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'm', 'r', 0,
-  /* 41941 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'S', 'Y', 'm', 'r', 0,
-  /* 41953 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'm', 'r', 0,
-  /* 41964 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'Y', 'm', 'r', 0,
-  /* 41978 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'm', 'r', 0,
-  /* 41989 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'm', 'r', 0,
-  /* 42002 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'm', 'r', 0,
-  /* 42015 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'm', 'r', 0,
-  /* 42028 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'm', 'r', 0,
-  /* 42041 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'Z', 'm', 'r', 0,
-  /* 42055 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', 't', 'o', '6', '4', 'Z', 'm', 'r', 0,
-  /* 42070 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'm', 'r', 0,
-  /* 42083 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'm', 'r', 0,
-  /* 42095 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'D', 'Z', 'm', 'r', 0,
-  /* 42110 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'm', 'r', 0,
-  /* 42121 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'P', 'D', 'Z', 'm', 'r', 0,
-  /* 42136 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'P', 'D', 'Z', 'm', 'r', 0,
-  /* 42151 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'D', 'Z', 'm', 'r', 0,
-  /* 42163 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'm', 'r', 0,
-  /* 42174 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'D', 'Z', 'm', 'r', 0,
-  /* 42189 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'm', 'r', 0,
-  /* 42199 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Z', 'm', 'r', 0,
-  /* 42212 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'Z', 'm', 'r', 0,
-  /* 42226 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'Z', 'm', 'r', 0,
-  /* 42239 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'Q', 'Z', 'm', 'r', 0,
-  /* 42254 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'Z', 'm', 'r', 0,
-  /* 42266 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'Q', 'Z', 'm', 'r', 0,
-  /* 42281 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'm', 'r', 0,
-  /* 42292 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'P', 'S', 'Z', 'm', 'r', 0,
-  /* 42307 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'P', 'S', 'Z', 'm', 'r', 0,
-  /* 42322 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'S', 'Z', 'm', 'r', 0,
-  /* 42334 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'm', 'r', 0,
-  /* 42345 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'm', 'r', 0,
-  /* 42355 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'r', 0,
-  /* 42365 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'r', 0,
-  /* 42374 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'r', 0,
-  /* 42384 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'r', 0,
-  /* 42393 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'r', 0,
-  /* 42403 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'r', 0,
-  /* 42412 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'z', 'm', 'r', 0,
-  /* 42426 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '1', 'r', 'r', 0,
-  /* 42437 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '1', 'r', 'r', 0,
-  /* 42450 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '1', 'r', 'r', 0,
-  /* 42461 */ 'P', 'F', 'R', 'S', 'Q', 'I', 'T', '1', 'r', 'r', 0,
-  /* 42472 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'r', 0,
-  /* 42482 */ 'S', 'B', 'B', '3', '2', 'r', 'r', 0,
-  /* 42490 */ 'S', 'U', 'B', '3', '2', 'r', 'r', 0,
-  /* 42498 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'r', 0,
-  /* 42508 */ 'A', 'D', 'C', '3', '2', 'r', 'r', 0,
-  /* 42516 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'r', 0,
-  /* 42526 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'r', 0,
-  /* 42536 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'r', 0,
-  /* 42547 */ 'B', 'T', 'C', '3', '2', 'r', 'r', 0,
-  /* 42555 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'r', 0,
-  /* 42566 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'r', 0,
-  /* 42575 */ 'A', 'N', 'D', '3', '2', 'r', 'r', 0,
-  /* 42583 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'r', 0,
-  /* 42594 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'r', 0,
-  /* 42605 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'r', 0,
-  /* 42616 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'r', 0,
-  /* 42627 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'r', 0,
-  /* 42638 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'r', 0,
-  /* 42650 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'r', 0,
-  /* 42660 */ 'B', 'S', 'F', '3', '2', 'r', 'r', 0,
-  /* 42668 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'r', 0,
-  /* 42680 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'r', 0,
-  /* 42690 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'r', 0,
-  /* 42699 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'r', 0,
-  /* 42708 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'r', 0,
-  /* 42717 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 42728 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 42739 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 42749 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
-  /* 42761 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
-  /* 42773 */ 'L', 'S', 'L', '3', '2', 'r', 'r', 0,
-  /* 42781 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 0,
-  /* 42790 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'r', 0,
-  /* 42800 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'r', 0,
-  /* 42809 */ 'I', 'N', '3', '2', 'r', 'r', 0,
-  /* 42816 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'r', 0,
-  /* 42827 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'r', 0,
-  /* 42837 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'r', 0,
-  /* 42846 */ 'C', 'M', 'P', '3', '2', 'r', 'r', 0,
-  /* 42854 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'r', 0,
-  /* 42865 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'r', 0,
-  /* 42875 */ 'L', 'A', 'R', '3', '2', 'r', 'r', 0,
-  /* 42883 */ 'X', 'O', 'R', '3', '2', 'r', 'r', 0,
-  /* 42891 */ 'B', 'S', 'R', '3', '2', 'r', 'r', 0,
-  /* 42899 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'r', 0,
-  /* 42908 */ 'B', 'T', 'R', '3', '2', 'r', 'r', 0,
-  /* 42916 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'r', 0,
-  /* 42926 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'r', 0,
-  /* 42935 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'r', 0,
-  /* 42946 */ 'B', 'T', 'S', '3', '2', 'r', 'r', 0,
-  /* 42954 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'r', 0,
-  /* 42964 */ 'B', 'T', '3', '2', 'r', 'r', 0,
-  /* 42971 */ 'P', 'O', 'P', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
-  /* 42982 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
-  /* 42992 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
-  /* 43002 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'r', 0,
-  /* 43011 */ 'O', 'U', 'T', '3', '2', 'r', 'r', 0,
-  /* 43019 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'r', 0,
-  /* 43028 */ 'M', 'O', 'V', '3', '2', 'r', 'r', 0,
-  /* 43036 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'r', 0,
-  /* 43045 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'r', 0,
-  /* 43054 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'r', 0,
-  /* 43063 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'r', 0,
-  /* 43072 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'r', 0,
-  /* 43081 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'r', 0,
-  /* 43090 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '2', 'r', 'r', 0,
-  /* 43101 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '2', 'r', 'r', 0,
-  /* 43114 */ 'S', 'H', 'A', '2', '5', '6', 'R', 'N', 'D', 'S', '2', 'r', 'r', 0,
-  /* 43128 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '2', 'r', 'r', 0,
-  /* 43139 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'r', 0,
-  /* 43149 */ 'S', 'B', 'B', '6', '4', 'r', 'r', 0,
-  /* 43157 */ 'S', 'U', 'B', '6', '4', 'r', 'r', 0,
-  /* 43165 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'r', 0,
-  /* 43175 */ 'A', 'D', 'C', '6', '4', 'r', 'r', 0,
-  /* 43183 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'r', 0,
-  /* 43193 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'r', 0,
-  /* 43203 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'r', 0,
-  /* 43214 */ 'B', 'T', 'C', '6', '4', 'r', 'r', 0,
-  /* 43222 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'r', 0,
-  /* 43233 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'r', 0,
-  /* 43242 */ 'A', 'N', 'D', '6', '4', 'r', 'r', 0,
-  /* 43250 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'r', 0,
-  /* 43268 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'r', 0,
-  /* 43285 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'r', 'r', 0,
-  /* 43298 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'r', 0,
-  /* 43309 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'r', 0,
-  /* 43320 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'r', 0,
-  /* 43331 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'r', 0,
-  /* 43342 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'r', 0,
-  /* 43353 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'r', 0,
-  /* 43365 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'r', 0,
-  /* 43375 */ 'B', 'S', 'F', '6', '4', 'r', 'r', 0,
-  /* 43383 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'r', 0,
-  /* 43395 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'r', 0,
-  /* 43405 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'r', 0,
-  /* 43414 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'r', 0,
-  /* 43423 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 43442 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 43460 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 43474 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 43493 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 43511 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 43525 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 43534 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 43545 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 43556 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 43566 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
-  /* 43578 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
-  /* 43590 */ 'L', 'S', 'L', '6', '4', 'r', 'r', 0,
-  /* 43598 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 0,
-  /* 43607 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'r', 0,
-  /* 43617 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'r', 0,
-  /* 43626 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'r', 0,
-  /* 43637 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'r', 0,
-  /* 43647 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'r', 0,
-  /* 43656 */ 'C', 'M', 'P', '6', '4', 'r', 'r', 0,
-  /* 43664 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'r', 0,
-  /* 43675 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'r', 0,
-  /* 43685 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '6', '4', 'r', 'r', 0,
-  /* 43698 */ 'L', 'A', 'R', '6', '4', 'r', 'r', 0,
-  /* 43706 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '2', 'F', 'R', '6', '4', 'r', 'r', 0,
-  /* 43722 */ 'X', 'O', 'R', '6', '4', 'r', 'r', 0,
-  /* 43730 */ 'B', 'S', 'R', '6', '4', 'r', 'r', 0,
-  /* 43738 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'r', 0,
-  /* 43747 */ 'B', 'T', 'R', '6', '4', 'r', 'r', 0,
-  /* 43755 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'r', 0,
-  /* 43765 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'r', 0,
-  /* 43774 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'r', 0,
-  /* 43785 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'r', 0,
-  /* 43803 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'r', 0,
-  /* 43820 */ 'B', 'T', 'S', '6', '4', 'r', 'r', 0,
-  /* 43828 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'r', 0,
-  /* 43838 */ 'B', 'T', '6', '4', 'r', 'r', 0,
-  /* 43845 */ 'P', 'O', 'P', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
-  /* 43856 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
-  /* 43866 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
-  /* 43876 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'r', 0,
-  /* 43885 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'r', 0,
-  /* 43894 */ 'M', 'O', 'V', '6', '4', 'r', 'r', 0,
-  /* 43902 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'r', 0,
-  /* 43911 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'r', 0,
-  /* 43920 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'r', 0,
-  /* 43929 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'r', 0,
-  /* 43938 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'r', 0,
-  /* 43947 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'r', 0,
-  /* 43956 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'f', 'r', 'o', 'm', '6', '4', 'r', 'r', 0,
-  /* 43975 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 't', 'o', '6', '4', 'r', 'r', 0,
-  /* 43992 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'r', 'r', 0,
-  /* 44005 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', 't', 'o', '6', '4', 'r', 'r', 0,
-  /* 44019 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 0,
-  /* 44034 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 0,
-  /* 44046 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 0,
-  /* 44059 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 0,
-  /* 44074 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 0,
-  /* 44086 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 0,
-  /* 44099 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', 0,
-  /* 44111 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', 0,
-  /* 44124 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', 0,
-  /* 44136 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', 0,
-  /* 44149 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 0,
-  /* 44164 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 0,
-  /* 44176 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 0,
-  /* 44189 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 0,
-  /* 44204 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 0,
-  /* 44216 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 0,
-  /* 44229 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', 0,
-  /* 44241 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', 0,
-  /* 44254 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', 0,
-  /* 44266 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', 0,
-  /* 44279 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '3', '2', 'x', '4', 'r', 'r', 0,
-  /* 44295 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '3', '2', 'x', '4', 'r', 'r', 0,
-  /* 44310 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '3', '2', 'x', '4', 'r', 'r', 0,
-  /* 44326 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '3', '2', 'x', '4', 'r', 'r', 0,
-  /* 44341 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '6', '4', 'x', '4', 'r', 'r', 0,
-  /* 44357 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '6', '4', 'x', '4', 'r', 'r', 0,
-  /* 44372 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '6', '4', 'x', '4', 'r', 'r', 0,
-  /* 44388 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '6', '4', 'x', '4', 'r', 'r', 0,
-  /* 44403 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'r', 0,
-  /* 44413 */ 'S', 'B', 'B', '1', '6', 'r', 'r', 0,
-  /* 44421 */ 'S', 'U', 'B', '1', '6', 'r', 'r', 0,
-  /* 44429 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'r', 0,
-  /* 44439 */ 'A', 'D', 'C', '1', '6', 'r', 'r', 0,
-  /* 44447 */ 'B', 'T', 'C', '1', '6', 'r', 'r', 0,
-  /* 44455 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'r', 0,
-  /* 44464 */ 'A', 'N', 'D', '1', '6', 'r', 'r', 0,
-  /* 44472 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'r', 0,
-  /* 44483 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'r', 0,
-  /* 44494 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'r', 0,
-  /* 44505 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'r', 0,
-  /* 44516 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'r', 0,
-  /* 44527 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'r', 0,
-  /* 44537 */ 'B', 'S', 'F', '1', '6', 'r', 'r', 0,
-  /* 44545 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'r', 0,
-  /* 44557 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'r', 0,
-  /* 44567 */ 'A', 'R', 'P', 'L', '1', '6', 'r', 'r', 0,
-  /* 44576 */ 'L', 'S', 'L', '1', '6', 'r', 'r', 0,
-  /* 44584 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 0,
-  /* 44593 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'r', 0,
-  /* 44603 */ 'I', 'N', '1', '6', 'r', 'r', 0,
-  /* 44610 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'r', 0,
-  /* 44621 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'r', 0,
-  /* 44631 */ 'C', 'M', 'P', '1', '6', 'r', 'r', 0,
-  /* 44639 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'r', 0,
-  /* 44650 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'r', 0,
-  /* 44660 */ 'L', 'A', 'R', '1', '6', 'r', 'r', 0,
-  /* 44668 */ 'X', 'O', 'R', '1', '6', 'r', 'r', 0,
-  /* 44676 */ 'B', 'S', 'R', '1', '6', 'r', 'r', 0,
-  /* 44684 */ 'B', 'T', 'R', '1', '6', 'r', 'r', 0,
-  /* 44692 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'r', 0,
-  /* 44703 */ 'B', 'T', 'S', '1', '6', 'r', 'r', 0,
-  /* 44711 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'r', 0,
-  /* 44721 */ 'B', 'T', '1', '6', 'r', 'r', 0,
-  /* 44728 */ 'P', 'O', 'P', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
-  /* 44739 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
-  /* 44749 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
-  /* 44759 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'r', 0,
-  /* 44768 */ 'O', 'U', 'T', '1', '6', 'r', 'r', 0,
-  /* 44776 */ 'M', 'O', 'V', '1', '6', 'r', 'r', 0,
-  /* 44784 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '2', '5', '6', 'r', 'r', 0,
-  /* 44798 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 0,
-  /* 44814 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 0,
-  /* 44830 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 0,
-  /* 44846 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 0,
-  /* 44862 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', 0,
-  /* 44878 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', 0,
-  /* 44893 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 0,
-  /* 44907 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 0,
-  /* 44921 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 0,
-  /* 44935 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 0,
-  /* 44949 */ 'V', 'P', 'E', 'R', 'M', '2', 'F', '1', '2', '8', 'r', 'r', 0,
-  /* 44962 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '1', '2', '8', 'r', 'r', 0,
-  /* 44977 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '1', '2', '8', 'r', 'r', 0,
-  /* 44991 */ 'V', 'P', 'E', 'R', 'M', '2', 'I', '1', '2', '8', 'r', 'r', 0,
-  /* 45004 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '1', '2', '8', 'r', 'r', 0,
-  /* 45019 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '1', '2', '8', 'r', 'r', 0,
-  /* 45033 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'r', 0,
-  /* 45049 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'r', 0,
-  /* 45065 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '1', '2', '8', 'r', 'r', 0,
-  /* 45079 */ 'V', 'A', 'E', 'S', 'K', 'E', 'Y', 'G', 'E', 'N', 'A', 'S', 'S', 'I', 'S', 'T', '1', '2', '8', 'r', 'r', 0,
-  /* 45101 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 0,
-  /* 45117 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 0,
-  /* 45133 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 0,
-  /* 45149 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 0,
-  /* 45165 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', 0,
-  /* 45181 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', 0,
-  /* 45196 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 0,
-  /* 45210 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 0,
-  /* 45224 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 0,
-  /* 45238 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 0,
-  /* 45252 */ 'S', 'B', 'B', '8', 'r', 'r', 0,
-  /* 45259 */ 'S', 'U', 'B', '8', 'r', 'r', 0,
-  /* 45266 */ 'A', 'D', 'C', '8', 'r', 'r', 0,
-  /* 45273 */ 'X', 'A', 'D', 'D', '8', 'r', 'r', 0,
-  /* 45281 */ 'A', 'N', 'D', '8', 'r', 'r', 0,
-  /* 45288 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'r', 0,
-  /* 45299 */ 'I', 'N', '8', 'r', 'r', 0,
-  /* 45305 */ 'C', 'M', 'P', '8', 'r', 'r', 0,
-  /* 45312 */ 'X', 'O', 'R', '8', 'r', 'r', 0,
-  /* 45319 */ 'T', 'E', 'S', 'T', '8', 'r', 'r', 0,
-  /* 45327 */ 'O', 'U', 'T', '8', 'r', 'r', 0,
-  /* 45334 */ 'M', 'O', 'V', '8', 'r', 'r', 0,
-  /* 45341 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'r', 'r', 0,
-  /* 45351 */ 'V', 'P', 'S', 'H', 'A', 'B', 'r', 'r', 0,
-  /* 45360 */ 'V', 'P', 'S', 'U', 'B', 'B', 'r', 'r', 0,
-  /* 45369 */ 'V', 'P', 'A', 'D', 'D', 'B', 'r', 'r', 0,
-  /* 45378 */ 'K', 'A', 'N', 'D', 'B', 'r', 'r', 0,
-  /* 45386 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'r', 'r', 0,
-  /* 45398 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'r', 'r', 0,
-  /* 45409 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'r', 'r', 0,
-  /* 45419 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'r', 'r', 0,
-  /* 45429 */ 'V', 'P', 'A', 'V', 'G', 'B', 'r', 'r', 0,
-  /* 45438 */ 'V', 'P', 'M', 'O', 'V', 'M', 'S', 'K', 'B', 'r', 'r', 0,
-  /* 45450 */ 'M', 'M', 'X', '_', 'P', 'M', 'O', 'V', 'M', 'S', 'K', 'B', 'r', 'r', 0,
-  /* 45465 */ 'V', 'P', 'S', 'H', 'L', 'B', 'r', 'r', 0,
-  /* 45474 */ 'K', 'A', 'N', 'D', 'N', 'B', 'r', 'r', 0,
-  /* 45483 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'r', 'r', 0,
-  /* 45493 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'r', 'r', 0,
-  /* 45504 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'r', 'r', 0,
-  /* 45516 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'r', 'r', 0,
-  /* 45527 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'r', 'r', 0,
-  /* 45537 */ 'K', 'O', 'R', 'B', 'r', 'r', 0,
-  /* 45544 */ 'K', 'X', 'N', 'O', 'R', 'B', 'r', 'r', 0,
-  /* 45553 */ 'K', 'X', 'O', 'R', 'B', 'r', 'r', 0,
-  /* 45561 */ 'V', 'P', 'I', 'N', 'S', 'R', 'B', 'r', 'r', 0,
-  /* 45571 */ 'V', 'P', 'E', 'X', 'T', 'R', 'B', 'r', 'r', 0,
-  /* 45581 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'r', 'r', 0,
-  /* 45591 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'r', 'r', 0,
-  /* 45601 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'r', 'r', 0,
-  /* 45611 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'r', 'r', 0,
-  /* 45622 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'r', 'r', 0,
-  /* 45633 */ 'P', 'A', 'V', 'G', 'U', 'S', 'B', 'r', 'r', 0,
-  /* 45643 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'r', 'r', 0,
-  /* 45653 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'r', 'r', 0,
-  /* 45664 */ 'K', 'N', 'O', 'T', 'B', 'r', 'r', 0,
-  /* 45672 */ 'V', 'P', 'R', 'O', 'T', 'B', 'r', 'r', 0,
-  /* 45681 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'r', 'r', 0,
-  /* 45696 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'r', 'r', 0,
-  /* 45706 */ 'P', 'F', 'S', 'U', 'B', 'r', 'r', 0,
-  /* 45714 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'r', 'r', 0,
-  /* 45724 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'r', 'r', 0,
-  /* 45736 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'r', 'r', 0,
-  /* 45748 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'r', 'r', 0,
-  /* 45760 */ 'P', 'F', 'A', 'C', 'C', 'r', 'r', 0,
-  /* 45768 */ 'P', 'F', 'N', 'A', 'C', 'C', 'r', 'r', 0,
-  /* 45777 */ 'P', 'F', 'P', 'N', 'A', 'C', 'C', 'r', 'r', 0,
-  /* 45787 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'r', 'r', 0,
-  /* 45797 */ 'V', 'A', 'E', 'S', 'I', 'M', 'C', 'r', 'r', 0,
-  /* 45807 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'r', 'r', 0,
-  /* 45817 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'r', 0,
-  /* 45828 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'r', 0,
-  /* 45839 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'M', 'W', '2', 'D', 'r', 'r', 0,
-  /* 45857 */ 'V', 'P', 'S', 'H', 'A', 'D', 'r', 'r', 0,
-  /* 45866 */ 'V', 'P', 'S', 'R', 'A', 'D', 'r', 'r', 0,
-  /* 45875 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'D', 'r', 'r', 0,
-  /* 45887 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'D', 'r', 'r', 0,
-  /* 45898 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'D', 'r', 'r', 0,
-  /* 45910 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'r', 'r', 0,
-  /* 45920 */ 'V', 'P', 'S', 'U', 'B', 'D', 'r', 'r', 0,
-  /* 45929 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'r', 'r', 0,
-  /* 45941 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'r', 'r', 0,
-  /* 45953 */ 'P', 'F', 'A', 'D', 'D', 'r', 'r', 0,
-  /* 45961 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'r', 'r', 0,
-  /* 45971 */ 'V', 'P', 'A', 'D', 'D', 'D', 'r', 'r', 0,
-  /* 45980 */ 'K', 'A', 'N', 'D', 'D', 'r', 'r', 0,
-  /* 45988 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'D', 'r', 'r', 0,
-  /* 45999 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'D', 'r', 'r', 0,
-  /* 46011 */ 'P', 'I', '2', 'F', 'D', 'r', 'r', 0,
-  /* 46019 */ 'P', 'F', '2', 'I', 'D', 'r', 'r', 0,
-  /* 46027 */ 'V', 'P', 'S', 'H', 'L', 'D', 'r', 'r', 0,
-  /* 46036 */ 'V', 'P', 'S', 'L', 'L', 'D', 'r', 'r', 0,
-  /* 46045 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'D', 'r', 'r', 0,
-  /* 46057 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'r', 'r', 0,
-  /* 46067 */ 'V', 'P', 'S', 'R', 'L', 'D', 'r', 'r', 0,
-  /* 46076 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'D', 'r', 'r', 0,
-  /* 46088 */ 'V', 'P', 'A', 'N', 'D', 'r', 'r', 0,
-  /* 46096 */ 'K', 'A', 'N', 'D', 'N', 'D', 'r', 'r', 0,
-  /* 46105 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'r', 'r', 0,
-  /* 46115 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'r', 0,
-  /* 46127 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'r', 0,
-  /* 46140 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'r', 'r', 0,
-  /* 46152 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'r', 'r', 0,
-  /* 46164 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'r', 0,
-  /* 46176 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'r', 0,
-  /* 46186 */ 'P', 'S', 'W', 'A', 'P', 'D', 'r', 'r', 0,
-  /* 46195 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', 'r', 0,
-  /* 46207 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'r', 'r', 0,
-  /* 46217 */ 'V', 'S', 'U', 'B', 'P', 'D', 'r', 'r', 0,
-  /* 46226 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'r', 'r', 0,
-  /* 46236 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'r', 'r', 0,
-  /* 46246 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'r', 'r', 0,
-  /* 46256 */ 'V', 'A', 'D', 'D', 'P', 'D', 'r', 'r', 0,
-  /* 46265 */ 'V', 'A', 'N', 'D', 'P', 'D', 'r', 'r', 0,
-  /* 46274 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'D', 'r', 'r', 0,
-  /* 46285 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'r', 'r', 0,
-  /* 46297 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'D', 'r', 'r', 0,
-  /* 46309 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'r', 'r', 0,
-  /* 46321 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'r', 'r', 0,
-  /* 46333 */ 'V', 'M', 'U', 'L', 'P', 'D', 'r', 'r', 0,
-  /* 46342 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'r', 0,
-  /* 46352 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'r', 0,
-  /* 46364 */ 'V', 'M', 'I', 'N', 'P', 'D', 'r', 'r', 0,
-  /* 46373 */ 'V', 'O', 'R', 'P', 'D', 'r', 'r', 0,
-  /* 46381 */ 'V', 'X', 'O', 'R', 'P', 'D', 'r', 'r', 0,
-  /* 46390 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'D', 'r', 'r', 0,
-  /* 46401 */ 'V', 'F', 's', 'O', 'R', 'P', 'D', 'r', 'r', 0,
-  /* 46411 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'r', 'r', 0,
-  /* 46421 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'r', 'r', 0,
-  /* 46431 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'r', 'r', 0,
-  /* 46443 */ 'V', 'D', 'I', 'V', 'P', 'D', 'r', 'r', 0,
-  /* 46452 */ 'V', 'M', 'A', 'X', 'P', 'D', 'r', 'r', 0,
-  /* 46461 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'r', 0,
-  /* 46471 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'r', 'r', 0,
-  /* 46482 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'r', 'r', 0,
-  /* 46494 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'r', 'r', 0,
-  /* 46505 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'r', 'r', 0,
-  /* 46515 */ 'K', 'O', 'R', 'D', 'r', 'r', 0,
-  /* 46522 */ 'K', 'X', 'N', 'O', 'R', 'D', 'r', 'r', 0,
-  /* 46531 */ 'K', 'X', 'O', 'R', 'D', 'r', 'r', 0,
-  /* 46539 */ 'V', 'P', 'I', 'N', 'S', 'R', 'D', 'r', 'r', 0,
-  /* 46549 */ 'V', 'P', 'E', 'X', 'T', 'R', 'D', 'r', 'r', 0,
-  /* 46559 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'r', 0,
-  /* 46575 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'r', 0,
-  /* 46590 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'r', 0,
-  /* 46606 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'r', 0,
-  /* 46621 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'D', 'r', 'r', 0,
-  /* 46632 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'D', 'r', 'r', 0,
-  /* 46645 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'D', 'r', 'r', 0,
-  /* 46656 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'D', 'r', 'r', 0,
-  /* 46669 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'r', 0,
-  /* 46678 */ 'V', 'M', 'I', 'N', 'C', 'S', 'D', 'r', 'r', 0,
-  /* 46688 */ 'V', 'M', 'A', 'X', 'C', 'S', 'D', 'r', 'r', 0,
-  /* 46698 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'r', 0,
-  /* 46707 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
-  /* 46722 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
-  /* 46736 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
-  /* 46750 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
-  /* 46763 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'r', 0,
-  /* 46772 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'r', 'r', 0,
-  /* 46782 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'r', 0,
-  /* 46791 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'r', 0,
-  /* 46804 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'D', 'r', 'r', 0,
-  /* 46816 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'r', 0,
-  /* 46825 */ 'V', 'M', 'O', 'V', 'S', 'D', 'r', 'r', 0,
-  /* 46834 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'r', 'r', 0,
-  /* 46844 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'r', 0,
-  /* 46853 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'D', 'r', 'r', 0,
-  /* 46863 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'S', 'D', 'r', 'r', 0,
-  /* 46876 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'r', 0,
-  /* 46890 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'r', 'r', 0,
-  /* 46901 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'r', 0,
-  /* 46912 */ 'K', 'N', 'O', 'T', 'D', 'r', 'r', 0,
-  /* 46920 */ 'V', 'P', 'R', 'O', 'T', 'D', 'r', 'r', 0,
-  /* 46929 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'r', 0,
-  /* 46944 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'r', 'r', 0,
-  /* 46954 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'r', 'r', 0,
-  /* 46964 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'r', 'r', 0,
-  /* 46974 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'r', 'r', 0,
-  /* 46984 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'r', 'r', 0,
-  /* 46994 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'D', 'r', 'r', 0,
-  /* 47005 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'D', 'r', 'r', 0,
-  /* 47016 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'r', 'r', 0,
-  /* 47027 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'r', 'r', 0,
-  /* 47040 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'r', 'r', 0,
-  /* 47053 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'D', 'r', 'r', 0,
-  /* 47064 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'W', 'D', 'r', 'r', 0,
-  /* 47076 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'D', 'r', 'r', 0,
-  /* 47088 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'S', 'W', 'D', 'r', 'r', 0,
-  /* 47101 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'D', 'r', 'r', 0,
-  /* 47113 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'r', 'r', 0,
-  /* 47125 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'r', 'r', 0,
-  /* 47137 */ 'P', 'F', 'C', 'M', 'P', 'G', 'E', 'r', 'r', 0,
-  /* 47147 */ 'S', 'H', 'A', '1', 'N', 'E', 'X', 'T', 'E', 'r', 'r', 0,
-  /* 47159 */ 'L', 'D', '_', 'F', 'r', 'r', 0,
-  /* 47166 */ 'S', 'T', '_', 'F', 'r', 'r', 0,
-  /* 47173 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'r', 'r', 0,
-  /* 47185 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'H', 'r', 'r', 0,
-  /* 47197 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'H', 'r', 'r', 0,
-  /* 47210 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'r', 'r', 0,
-  /* 47223 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'r', 'r', 0,
-  /* 47235 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'r', 'r', 0,
-  /* 47248 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', '2', 'Q', 'I', 'r', 'r', 0,
-  /* 47261 */ 'V', 'M', 'O', 'V', 'Z', 'Q', 'I', '2', 'P', 'Q', 'I', 'r', 'r', 0,
-  /* 47275 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'r', 'r', 0,
-  /* 47292 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'P', 'Q', 'I', 'r', 'r', 0,
-  /* 47306 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'I', 'r', 'r', 0,
-  /* 47319 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'I', 'r', 'r', 0,
-  /* 47332 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'r', 0,
-  /* 47349 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'r', 0,
-  /* 47365 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'r', 0,
-  /* 47377 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'r', 0,
-  /* 47394 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'r', 0,
-  /* 47410 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'r', 0,
-  /* 47422 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'L', 'r', 'r', 0,
-  /* 47434 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'L', 'r', 'r', 0,
-  /* 47447 */ 'P', 'F', 'M', 'U', 'L', 'r', 'r', 0,
-  /* 47455 */ 'V', 'P', 'P', 'E', 'R', 'M', 'r', 'r', 0,
-  /* 47464 */ 'V', 'P', 'A', 'N', 'D', 'N', 'r', 'r', 0,
-  /* 47473 */ 'P', 'F', 'M', 'I', 'N', 'r', 'r', 0,
-  /* 47481 */ 'P', 'F', 'R', 'C', 'P', 'r', 'r', 0,
-  /* 47489 */ 'S', 'T', '_', 'F', 'P', 'r', 'r', 0,
-  /* 47497 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'r', 'r', 0,
-  /* 47508 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'r', 'r', 0,
-  /* 47520 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'r', 'r', 0,
-  /* 47532 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'F', 'R', '6', '4', '2', 'Q', 'r', 'r', 0,
-  /* 47548 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'M', 'B', '2', 'Q', 'r', 'r', 0,
-  /* 47566 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'r', 0,
-  /* 47577 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', 'Q', '2', 'Q', 'r', 'r', 0,
-  /* 47591 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'r', 0,
-  /* 47602 */ 'V', 'P', 'S', 'H', 'A', 'Q', 'r', 'r', 0,
-  /* 47611 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'Q', 'r', 'r', 0,
-  /* 47622 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'Q', 'r', 'r', 0,
-  /* 47634 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'r', 'r', 0,
-  /* 47643 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'r', 'r', 0,
-  /* 47655 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'r', 'r', 0,
-  /* 47667 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'r', 0,
-  /* 47680 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'r', 0,
-  /* 47692 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '2', 'D', 'Q', 'r', 'r', 0,
-  /* 47706 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'r', 0,
-  /* 47719 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'r', 0,
-  /* 47731 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Q', 'r', 'r', 0,
-  /* 47742 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'r', 'r', 0,
-  /* 47751 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Q', 'r', 'r', 0,
-  /* 47762 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'r', 'r', 0,
-  /* 47775 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'r', 'r', 0,
-  /* 47788 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'r', 'r', 0,
-  /* 47798 */ 'K', 'A', 'N', 'D', 'Q', 'r', 'r', 0,
-  /* 47806 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'r', 'r', 0,
-  /* 47820 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'r', 'r', 0,
-  /* 47834 */ 'V', 'P', 'C', 'L', 'M', 'U', 'L', 'Q', 'D', 'Q', 'r', 'r', 0,
-  /* 47847 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'D', 'Q', 'r', 'r', 0,
-  /* 47859 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'r', 'r', 0,
-  /* 47870 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'r', 'r', 0,
-  /* 47882 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'r', 'r', 0,
-  /* 47894 */ 'P', 'F', 'C', 'M', 'P', 'E', 'Q', 'r', 'r', 0,
-  /* 47904 */ 'V', 'P', 'S', 'H', 'L', 'Q', 'r', 'r', 0,
-  /* 47913 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'r', 'r', 0,
-  /* 47922 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'Q', 'r', 'r', 0,
-  /* 47934 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'r', 'r', 0,
-  /* 47943 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'Q', 'r', 'r', 0,
-  /* 47955 */ 'K', 'A', 'N', 'D', 'N', 'Q', 'r', 'r', 0,
-  /* 47964 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'r', 'r', 0,
-  /* 47975 */ 'K', 'O', 'R', 'Q', 'r', 'r', 0,
-  /* 47982 */ 'K', 'X', 'N', 'O', 'R', 'Q', 'r', 'r', 0,
-  /* 47991 */ 'K', 'X', 'O', 'R', 'Q', 'r', 'r', 0,
-  /* 47999 */ 'V', 'P', 'I', 'N', 'S', 'R', 'Q', 'r', 'r', 0,
-  /* 48009 */ 'V', 'P', 'E', 'X', 'T', 'R', 'Q', 'r', 'r', 0,
-  /* 48019 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'r', 0,
-  /* 48033 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'r', 'r', 0,
-  /* 48044 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'r', 0,
-  /* 48055 */ 'K', 'N', 'O', 'T', 'Q', 'r', 'r', 0,
-  /* 48063 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'r', 'r', 0,
-  /* 48072 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'r', 0,
-  /* 48087 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'r', 'r', 0,
-  /* 48097 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'r', 'r', 0,
-  /* 48107 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Q', 'r', 'r', 0,
-  /* 48118 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'Q', 'r', 'r', 0,
-  /* 48130 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'r', 'r', 0,
-  /* 48142 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'r', 'r', 0,
-  /* 48154 */ 'P', 'F', 'S', 'U', 'B', 'R', 'r', 'r', 0,
-  /* 48163 */ 'V', 'P', 'O', 'R', 'r', 'r', 0,
-  /* 48170 */ 'V', 'P', 'X', 'O', 'R', 'r', 'r', 0,
-  /* 48178 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'r', 'r', 0,
-  /* 48190 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'r', 'r', 0,
-  /* 48202 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'r', 0,
-  /* 48214 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'r', 0,
-  /* 48227 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'r', 'r', 0,
-  /* 48239 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'r', 0,
-  /* 48251 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'r', 0,
-  /* 48261 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', 'r', 0,
-  /* 48273 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'r', 'r', 0,
-  /* 48283 */ 'V', 'S', 'U', 'B', 'P', 'S', 'r', 'r', 0,
-  /* 48292 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'r', 'r', 0,
-  /* 48302 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'r', 'r', 0,
-  /* 48312 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'r', 'r', 0,
-  /* 48322 */ 'V', 'A', 'D', 'D', 'P', 'S', 'r', 'r', 0,
-  /* 48331 */ 'V', 'A', 'N', 'D', 'P', 'S', 'r', 'r', 0,
-  /* 48340 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'S', 'r', 'r', 0,
-  /* 48351 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'r', 'r', 0,
-  /* 48363 */ 'V', 'M', 'O', 'V', 'L', 'H', 'P', 'S', 'r', 'r', 0,
-  /* 48374 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'S', 'r', 'r', 0,
-  /* 48386 */ 'V', 'M', 'O', 'V', 'H', 'L', 'P', 'S', 'r', 'r', 0,
-  /* 48397 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'r', 'r', 0,
-  /* 48409 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'r', 'r', 0,
-  /* 48421 */ 'V', 'M', 'U', 'L', 'P', 'S', 'r', 'r', 0,
-  /* 48430 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'r', 0,
-  /* 48440 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'r', 0,
-  /* 48452 */ 'V', 'M', 'I', 'N', 'P', 'S', 'r', 'r', 0,
-  /* 48461 */ 'V', 'O', 'R', 'P', 'S', 'r', 'r', 0,
-  /* 48469 */ 'V', 'X', 'O', 'R', 'P', 'S', 'r', 'r', 0,
-  /* 48478 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'S', 'r', 'r', 0,
-  /* 48489 */ 'V', 'F', 's', 'O', 'R', 'P', 'S', 'r', 'r', 0,
-  /* 48499 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'r', 'r', 0,
-  /* 48512 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'r', 'r', 0,
-  /* 48524 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'r', 'r', 0,
-  /* 48534 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'r', 'r', 0,
-  /* 48544 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'r', 'r', 0,
-  /* 48556 */ 'V', 'D', 'I', 'V', 'P', 'S', 'r', 'r', 0,
-  /* 48565 */ 'V', 'M', 'A', 'X', 'P', 'S', 'r', 'r', 0,
-  /* 48574 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'r', 0,
-  /* 48584 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'r', 0,
-  /* 48600 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'r', 0,
-  /* 48615 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'r', 'r', 0,
-  /* 48627 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'r', 0,
-  /* 48643 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'r', 0,
-  /* 48658 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'S', 'r', 'r', 0,
-  /* 48669 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'S', 'r', 'r', 0,
-  /* 48682 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'S', 'r', 'r', 0,
-  /* 48693 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'S', 'r', 'r', 0,
-  /* 48706 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'r', 0,
-  /* 48715 */ 'V', 'M', 'I', 'N', 'C', 'S', 'S', 'r', 'r', 0,
-  /* 48725 */ 'V', 'M', 'A', 'X', 'C', 'S', 'S', 'r', 'r', 0,
-  /* 48735 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'r', 0,
-  /* 48744 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
-  /* 48759 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
-  /* 48773 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
-  /* 48787 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
-  /* 48800 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'r', 0,
-  /* 48809 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'r', 0,
-  /* 48818 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'r', 0,
-  /* 48831 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'S', 'r', 'r', 0,
-  /* 48843 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'r', 'r', 0,
-  /* 48858 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'r', 0,
-  /* 48867 */ 'V', 'M', 'O', 'V', 'S', 'S', 'r', 'r', 0,
-  /* 48876 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'r', 0,
-  /* 48885 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'S', 'r', 'r', 0,
-  /* 48895 */ 'P', 'F', 'C', 'M', 'P', 'G', 'T', 'r', 'r', 0,
-  /* 48905 */ 'M', 'W', 'A', 'I', 'T', 'r', 'r', 0,
-  /* 48913 */ 'P', 'F', 'R', 'S', 'Q', 'R', 'T', 'r', 'r', 0,
-  /* 48923 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'L', 'A', 'S', 'T', 'r', 'r', 0,
-  /* 48937 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'L', 'A', 'S', 'T', 'r', 'r', 0,
-  /* 48951 */ 'V', 'P', 'T', 'E', 'S', 'T', 'r', 'r', 0,
-  /* 48960 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'r', 'r', 0,
-  /* 48970 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'r', 0,
-  /* 48979 */ 'V', 'P', 'S', 'H', 'A', 'W', 'r', 'r', 0,
-  /* 48988 */ 'V', 'P', 'S', 'R', 'A', 'W', 'r', 'r', 0,
-  /* 48997 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'W', 'r', 'r', 0,
-  /* 49009 */ 'V', 'P', 'H', 'S', 'U', 'B', 'B', 'W', 'r', 'r', 0,
-  /* 49020 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'r', 0,
-  /* 49030 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'W', 'r', 'r', 0,
-  /* 49041 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'r', 'r', 0,
-  /* 49054 */ 'K', 'U', 'N', 'P', 'C', 'K', 'B', 'W', 'r', 'r', 0,
-  /* 49065 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'r', 'r', 0,
-  /* 49078 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'W', 'r', 'r', 0,
-  /* 49090 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'r', 'r', 0,
-  /* 49100 */ 'V', 'P', 'S', 'U', 'B', 'W', 'r', 'r', 0,
-  /* 49109 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'r', 'r', 0,
-  /* 49121 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'r', 'r', 0,
-  /* 49133 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'r', 'r', 0,
-  /* 49143 */ 'V', 'P', 'A', 'D', 'D', 'W', 'r', 'r', 0,
-  /* 49152 */ 'K', 'A', 'N', 'D', 'W', 'r', 'r', 0,
-  /* 49160 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'r', 'r', 0,
-  /* 49172 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'r', 'r', 0,
-  /* 49184 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'r', 'r', 0,
-  /* 49196 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'r', 'r', 0,
-  /* 49207 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'r', 'r', 0,
-  /* 49217 */ 'P', 'I', '2', 'F', 'W', 'r', 'r', 0,
-  /* 49225 */ 'V', 'P', 'A', 'V', 'G', 'W', 'r', 'r', 0,
-  /* 49234 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'r', 'r', 0,
-  /* 49244 */ 'P', 'F', '2', 'I', 'W', 'r', 'r', 0,
-  /* 49252 */ 'V', 'P', 'S', 'H', 'L', 'W', 'r', 'r', 0,
-  /* 49261 */ 'V', 'P', 'S', 'L', 'L', 'W', 'r', 'r', 0,
-  /* 49270 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'W', 'r', 'r', 0,
-  /* 49282 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'r', 'r', 0,
-  /* 49292 */ 'V', 'P', 'S', 'R', 'L', 'W', 'r', 'r', 0,
-  /* 49301 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'W', 'r', 'r', 0,
-  /* 49313 */ 'K', 'A', 'N', 'D', 'N', 'W', 'r', 'r', 0,
-  /* 49322 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'r', 'r', 0,
-  /* 49332 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'r', 'r', 0,
-  /* 49343 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'r', 'r', 0,
-  /* 49355 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'r', 'r', 0,
-  /* 49366 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'r', 'r', 0,
-  /* 49376 */ 'P', 'M', 'U', 'L', 'H', 'R', 'W', 'r', 'r', 0,
-  /* 49386 */ 'K', 'O', 'R', 'W', 'r', 'r', 0,
-  /* 49393 */ 'K', 'X', 'N', 'O', 'R', 'W', 'r', 'r', 0,
-  /* 49402 */ 'K', 'X', 'O', 'R', 'W', 'r', 'r', 0,
-  /* 49410 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'r', 'r', 0,
-  /* 49420 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'r', 'r', 0,
-  /* 49430 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'r', 'r', 0,
-  /* 49440 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'r', 'r', 0,
-  /* 49451 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'r', 'r', 0,
-  /* 49462 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'r', 'r', 0,
-  /* 49472 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'r', 'r', 0,
-  /* 49483 */ 'K', 'N', 'O', 'T', 'W', 'r', 'r', 0,
-  /* 49491 */ 'V', 'P', 'R', 'O', 'T', 'W', 'r', 'r', 0,
-  /* 49500 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'r', 'r', 0,
-  /* 49515 */ 'K', 'O', 'R', 'T', 'E', 'S', 'T', 'W', 'r', 'r', 0,
-  /* 49526 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'r', 'r', 0,
-  /* 49537 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'r', 'r', 0,
-  /* 49547 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'r', 'r', 0,
-  /* 49557 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'W', 'r', 'r', 0,
-  /* 49568 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'W', 'r', 'r', 0,
-  /* 49580 */ 'P', 'F', 'M', 'A', 'X', 'r', 'r', 0,
-  /* 49588 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'r', 'r', 0,
-  /* 49599 */ 'V', 'P', 'S', 'U', 'B', 'B', 'Y', 'r', 'r', 0,
-  /* 49609 */ 'V', 'P', 'A', 'D', 'D', 'B', 'Y', 'r', 'r', 0,
-  /* 49619 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'Y', 'r', 'r', 0,
-  /* 49630 */ 'V', 'P', 'A', 'V', 'G', 'B', 'Y', 'r', 'r', 0,
-  /* 49640 */ 'V', 'P', 'M', 'O', 'V', 'M', 'S', 'K', 'B', 'Y', 'r', 'r', 0,
-  /* 49653 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'Y', 'r', 'r', 0,
-  /* 49664 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Y', 'r', 'r', 0,
-  /* 49676 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 49687 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 49698 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 49709 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 49721 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 49733 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 49744 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Y', 'r', 'r', 0,
-  /* 49756 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'Y', 'r', 'r', 0,
-  /* 49772 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'Y', 'r', 'r', 0,
-  /* 49783 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'Y', 'r', 'r', 0,
-  /* 49794 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'Y', 'r', 'r', 0,
-  /* 49807 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'Y', 'r', 'r', 0,
-  /* 49820 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'Y', 'r', 'r', 0,
-  /* 49833 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Y', 'r', 'r', 0,
-  /* 49843 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Y', 'r', 'r', 0,
-  /* 49854 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Y', 'r', 'r', 0,
-  /* 49864 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Y', 'r', 'r', 0,
-  /* 49877 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Y', 'r', 'r', 0,
-  /* 49890 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Y', 'r', 'r', 0,
-  /* 49901 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Y', 'r', 'r', 0,
-  /* 49911 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Y', 'r', 'r', 0,
-  /* 49921 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Y', 'r', 'r', 0,
-  /* 49932 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Y', 'r', 'r', 0,
-  /* 49942 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Y', 'r', 'r', 0,
-  /* 49952 */ 'V', 'P', 'A', 'N', 'D', 'Y', 'r', 'r', 0,
-  /* 49961 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'Y', 'r', 'r', 0,
-  /* 49972 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 49985 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 49998 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50009 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50022 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50033 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50043 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50054 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50065 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50076 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50086 */ 'V', 'A', 'N', 'D', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50096 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50109 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50122 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50135 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50148 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50158 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50169 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50179 */ 'V', 'O', 'R', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50188 */ 'V', 'X', 'O', 'R', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50198 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50209 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50220 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50233 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50243 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 50253 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Y', 'r', 'r', 0,
-  /* 50265 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Y', 'r', 'r', 0,
-  /* 50276 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Y', 'r', 'r', 0,
-  /* 50292 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Y', 'r', 'r', 0,
-  /* 50303 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Y', 'r', 'r', 0,
-  /* 50315 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Y', 'r', 'r', 0,
-  /* 50331 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Y', 'r', 'r', 0,
-  /* 50342 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Y', 'r', 'r', 0,
-  /* 50353 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Y', 'r', 'r', 0,
-  /* 50364 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Y', 'r', 'r', 0,
-  /* 50375 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Y', 'r', 'r', 0,
-  /* 50386 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'Y', 'r', 'r', 0,
-  /* 50398 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'Y', 'r', 'r', 0,
-  /* 50412 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'Y', 'r', 'r', 0,
-  /* 50426 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Y', 'r', 'r', 0,
-  /* 50439 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Y', 'r', 'r', 0,
-  /* 50452 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Y', 'r', 'r', 0,
-  /* 50465 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Y', 'r', 'r', 0,
-  /* 50475 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Y', 'r', 'r', 0,
-  /* 50487 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Y', 'r', 'r', 0,
-  /* 50500 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Y', 'r', 'r', 0,
-  /* 50513 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Y', 'r', 'r', 0,
-  /* 50523 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Y', 'r', 'r', 0,
-  /* 50536 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Y', 'r', 'r', 0,
-  /* 50549 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 50563 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 50576 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 50590 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 50603 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 50613 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 50627 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 50641 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 50652 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 50667 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 50682 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 50694 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 50707 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 50720 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Y', 'r', 'r', 0,
-  /* 50730 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Y', 'r', 'r', 0,
-  /* 50740 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Y', 'r', 'r', 0,
-  /* 50752 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Y', 'r', 'r', 0,
-  /* 50764 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Y', 'r', 'r', 0,
-  /* 50780 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Y', 'r', 'r', 0,
-  /* 50791 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Y', 'r', 'r', 0,
-  /* 50802 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Y', 'r', 'r', 0,
-  /* 50815 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Y', 'r', 'r', 0,
-  /* 50828 */ 'V', 'P', 'O', 'R', 'Y', 'r', 'r', 0,
-  /* 50836 */ 'V', 'P', 'X', 'O', 'R', 'Y', 'r', 'r', 0,
-  /* 50845 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 50858 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 50871 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 50884 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 50895 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 50908 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 50919 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 50929 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 50940 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 50951 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 50962 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 50972 */ 'V', 'A', 'N', 'D', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 50982 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 50995 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 51008 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 51021 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 51034 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 51044 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 51055 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 51066 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 51076 */ 'V', 'O', 'R', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 51085 */ 'V', 'X', 'O', 'R', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 51095 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 51106 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 51117 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 51130 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 51140 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 51150 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Y', 'r', 'r', 0,
-  /* 51166 */ 'V', 'P', 'T', 'E', 'S', 'T', 'Y', 'r', 'r', 0,
-  /* 51176 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'r', 'r', 0,
-  /* 51187 */ 'V', 'P', 'S', 'R', 'A', 'W', 'Y', 'r', 'r', 0,
-  /* 51197 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 51208 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 51222 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 51236 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 51247 */ 'V', 'P', 'S', 'U', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 51257 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 51270 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 51283 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Y', 'r', 'r', 0,
-  /* 51294 */ 'V', 'P', 'A', 'D', 'D', 'W', 'Y', 'r', 'r', 0,
-  /* 51304 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'Y', 'r', 'r', 0,
-  /* 51317 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'Y', 'r', 'r', 0,
-  /* 51330 */ 'V', 'P', 'A', 'V', 'G', 'W', 'Y', 'r', 'r', 0,
-  /* 51340 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'Y', 'r', 'r', 0,
-  /* 51351 */ 'V', 'P', 'S', 'L', 'L', 'W', 'Y', 'r', 'r', 0,
-  /* 51361 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'Y', 'r', 'r', 0,
-  /* 51372 */ 'V', 'P', 'S', 'R', 'L', 'W', 'Y', 'r', 'r', 0,
-  /* 51382 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'Y', 'r', 'r', 0,
-  /* 51393 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Y', 'r', 'r', 0,
-  /* 51405 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 51416 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 51427 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 51438 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 51450 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 51462 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 51473 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Y', 'r', 'r', 0,
-  /* 51485 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'Y', 'r', 'r', 0,
-  /* 51501 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'Y', 'r', 'r', 0,
-  /* 51513 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'Y', 'r', 'r', 0,
-  /* 51524 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'Y', 'r', 'r', 0,
-  /* 51535 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', 0,
-  /* 51548 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', 0,
-  /* 51561 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', 0,
-  /* 51574 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'r', 0,
-  /* 51593 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'r', 0,
-  /* 51613 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 51633 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 51648 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 51668 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 51683 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 51704 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 51720 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 51741 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 51757 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'r', 0,
-  /* 51776 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'r', 0,
-  /* 51796 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', 0,
-  /* 51809 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'Z', 'r', 'r', 0,
-  /* 51823 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', 't', 'o', '6', '4', 'Z', 'r', 'r', 0,
-  /* 51838 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', 0,
-  /* 51851 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', 0,
-  /* 51863 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'r', 0,
-  /* 51873 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'r', 0,
-  /* 51883 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'r', 0,
-  /* 51896 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'r', 0,
-  /* 51909 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'r', 0,
-  /* 51919 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'r', 0,
-  /* 51929 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'r', 0,
-  /* 51939 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'r', 0,
-  /* 51950 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'r', 0,
-  /* 51960 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'D', 'Z', 'r', 'r', 0,
-  /* 51973 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'D', 'Z', 'r', 'r', 0,
-  /* 51986 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Z', 'r', 'r', 0,
-  /* 51996 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'D', 'Z', 'r', 'r', 0,
-  /* 52008 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'r', 0,
-  /* 52019 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 52032 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 52046 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 52059 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 52070 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 52080 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 52090 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 52103 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 52116 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 52126 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 52139 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 52150 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 52160 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 52171 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 52182 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 52192 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 52202 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', 'r', 'r', 0,
-  /* 52214 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'r', 0,
-  /* 52223 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'r', 0,
-  /* 52233 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52248 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52264 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52281 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52299 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52312 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52322 */ 'V', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52332 */ 'V', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52342 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52358 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52373 */ 'V', 'M', 'U', 'L', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52383 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52394 */ 'V', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52404 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52414 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52430 */ 'V', 'D', 'I', 'V', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52440 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52450 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52461 */ 'V', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52471 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 52485 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', 'r', 'r', 0,
-  /* 52497 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'r', 'r', 0,
-  /* 52513 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'r', 0,
-  /* 52524 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'r', 0,
-  /* 52535 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Z', 'r', 'r', 0,
-  /* 52546 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Z', 'r', 'r', 0,
-  /* 52557 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Z', 'r', 'r', 0,
-  /* 52568 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'r', 0,
-  /* 52581 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'r', 0,
-  /* 52594 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Z', 'r', 'r', 0,
-  /* 52607 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'Z', 'r', 'r', 0,
-  /* 52621 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'Z', 'r', 'r', 0,
-  /* 52634 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'Z', 'r', 'r', 0,
-  /* 52648 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'Z', 'r', 'r', 0,
-  /* 52666 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'P', 'Q', 'I', 'Z', 'r', 'r', 0,
-  /* 52681 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 52699 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 52712 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 52730 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 52743 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 52762 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 52776 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 52795 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 52809 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Z', 'r', 'r', 0,
-  /* 52821 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Z', 'r', 'r', 0,
-  /* 52834 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Z', 'r', 'r', 0,
-  /* 52847 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'r', 0,
-  /* 52857 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'r', 0,
-  /* 52867 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'r', 0,
-  /* 52880 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'r', 0,
-  /* 52893 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 52907 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 52920 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 52934 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 52947 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 52957 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 52971 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 52985 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 52996 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 53006 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 53021 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 53036 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 53051 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 53065 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 53080 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 53094 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 53106 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 53119 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 53132 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'r', 0,
-  /* 53142 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'r', 0,
-  /* 53152 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'Q', 'Z', 'r', 'r', 0,
-  /* 53165 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'Q', 'Z', 'r', 'r', 0,
-  /* 53178 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'r', 'r', 0,
-  /* 53188 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'Q', 'Z', 'r', 'r', 0,
-  /* 53200 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'r', 0,
-  /* 53211 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', 'r', 'r', 0,
-  /* 53223 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'r', 0,
-  /* 53232 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'r', 0,
-  /* 53242 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'r', 0,
-  /* 53252 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'r', 0,
-  /* 53263 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'r', 0,
-  /* 53274 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', 'r', 'r', 0,
-  /* 53286 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'r', 'r', 0,
-  /* 53302 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'r', 0,
-  /* 53313 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'r', 0,
-  /* 53324 */ 'V', 'P', 'S', 'R', 'A', 'V', 'Q', 'Z', 'r', 'r', 0,
-  /* 53335 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Z', 'r', 'r', 0,
-  /* 53346 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Z', 'r', 'r', 0,
-  /* 53357 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'r', 0,
-  /* 53370 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'r', 0,
-  /* 53383 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53396 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53409 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53422 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53436 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53447 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53457 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53467 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53480 */ 'V', 'M', 'O', 'V', 'L', 'H', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53492 */ 'V', 'M', 'O', 'V', 'H', 'L', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53504 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53517 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53527 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53540 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53551 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53561 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53572 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53583 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53593 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 53603 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53618 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53634 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53647 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53660 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53677 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53695 */ 'V', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53705 */ 'V', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53715 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53731 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53746 */ 'V', 'M', 'U', 'L', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53756 */ 'V', 'M', 'I', 'N', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53766 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53776 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53792 */ 'V', 'D', 'I', 'V', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53802 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53812 */ 'V', 'M', 'A', 'X', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 53822 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'Z', 'r', 'r', 0,
-  /* 53839 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'Z', 'r', 'r', 0,
-  /* 53856 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'g', 'r', 'r', 0,
-  /* 53870 */ 'M', 'M', 'X', '_', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '6', '4', 'i', 'r', 'r', 0,
-  /* 53887 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'B', 'i', 'r', 'r', 0,
-  /* 53900 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'B', 'i', 'r', 'r', 0,
-  /* 53913 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'B', 'i', 'r', 'r', 0,
-  /* 53926 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'i', 'r', 'r', 0,
-  /* 53941 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'B', 'i', 'r', 'r', 0,
-  /* 53955 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'B', 'i', 'r', 'r', 0,
-  /* 53969 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'i', 'r', 'r', 0,
-  /* 53984 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'i', 'r', 'r', 0,
-  /* 53999 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'i', 'r', 'r', 0,
-  /* 54014 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'U', 'B', 'i', 'r', 'r', 0,
-  /* 54028 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'U', 'B', 'i', 'r', 'r', 0,
-  /* 54042 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'i', 'r', 'r', 0,
-  /* 54058 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'i', 'r', 'r', 0,
-  /* 54074 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'D', 'i', 'r', 'r', 0,
-  /* 54087 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'D', 'i', 'r', 'r', 0,
-  /* 54100 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'i', 'r', 'r', 0,
-  /* 54112 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'D', 'i', 'r', 'r', 0,
-  /* 54128 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'i', 'r', 'r', 0,
-  /* 54143 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'i', 'r', 'r', 0,
-  /* 54158 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'i', 'r', 'r', 0,
-  /* 54173 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'i', 'r', 'r', 0,
-  /* 54190 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'i', 'r', 'r', 0,
-  /* 54207 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'r', 0,
-  /* 54224 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'r', 0,
-  /* 54240 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'r', 0,
-  /* 54257 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'r', 0,
-  /* 54273 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'N', 'i', 'r', 'r', 0,
-  /* 54286 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'Q', 'i', 'r', 'r', 0,
-  /* 54299 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'Q', 'i', 'r', 'r', 0,
-  /* 54312 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'i', 'r', 'r', 0,
-  /* 54329 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'i', 'r', 'r', 0,
-  /* 54346 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'i', 'r', 'r', 0,
-  /* 54361 */ 'M', 'M', 'X', '_', 'P', 'O', 'R', 'i', 'r', 'r', 0,
-  /* 54372 */ 'M', 'M', 'X', '_', 'P', 'X', 'O', 'R', 'i', 'r', 'r', 0,
-  /* 54384 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'S', 'i', 'r', 'r', 0,
-  /* 54400 */ 'M', 'M', 'X', '_', 'P', 'S', 'A', 'D', 'B', 'W', 'i', 'r', 'r', 0,
-  /* 54414 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'i', 'r', 'r', 0,
-  /* 54431 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'i', 'r', 'r', 0,
-  /* 54448 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'W', 'i', 'r', 'r', 0,
-  /* 54461 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'W', 'i', 'r', 'r', 0,
-  /* 54474 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'i', 'r', 'r', 0,
-  /* 54490 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'W', 'i', 'r', 'r', 0,
-  /* 54503 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'W', 'i', 'r', 'r', 0,
-  /* 54517 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'L', 'W', 'i', 'r', 'r', 0,
-  /* 54531 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'i', 'r', 'r', 0,
-  /* 54546 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 54560 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 54574 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 54588 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 54603 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 54618 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 54632 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'i', 'r', 'r', 0,
-  /* 54647 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'i', 'r', 'r', 0,
-  /* 54662 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'k', 'r', 'r', 0,
-  /* 54679 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'k', 'r', 'r', 0,
-  /* 54696 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'Z', 'k', 'r', 'r', 0,
-  /* 54714 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'Z', 'k', 'r', 'r', 0,
-  /* 54732 */ 'M', 'O', 'N', 'I', 'T', 'O', 'R', 'r', 'r', 'r', 0,
-  /* 54743 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'z', 'r', 'r', 0,
-  /* 54757 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'z', 'r', 'r', 0,
-  /* 54770 */ 'M', 'O', 'V', '3', '2', 's', 'r', 0,
-  /* 54778 */ 'M', 'O', 'V', '6', '4', 's', 'r', 0,
-  /* 54786 */ 'M', 'O', 'V', '1', '6', 's', 'r', 0,
-  /* 54794 */ 'M', 'O', 'V', '3', '2', 'm', 's', 0,
-  /* 54802 */ 'M', 'O', 'V', '6', '4', 'm', 's', 0,
-  /* 54810 */ 'M', 'O', 'V', '1', '6', 'm', 's', 0,
-  /* 54818 */ 'M', 'O', 'V', '3', '2', 'r', 's', 0,
-  /* 54826 */ 'M', 'O', 'V', '6', '4', 'r', 's', 0,
-  /* 54834 */ 'M', 'O', 'V', '1', '6', 'r', 's', 0,
-  /* 54842 */ 'T', 'E', 'S', 'T', '6', '4', 'm', 'i', '3', '2', '_', 'a', 'l', 't', 0,
-  /* 54857 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'i', '3', '2', '_', 'a', 'l', 't', 0,
-  /* 54872 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 54885 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 54898 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 54910 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 54924 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 54938 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 54953 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 54968 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 54983 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 54998 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 55013 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 55029 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 55044 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 55060 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 55075 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 55090 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55103 */ 'M', 'O', 'V', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55115 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55128 */ 'M', 'O', 'V', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55140 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55152 */ 'M', 'O', 'V', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55163 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55177 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55191 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55206 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55221 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55236 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55251 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55266 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55282 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55297 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55313 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55328 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 55343 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'm', 'i', 'k', '_', 'a', 'l', 't', 0,
-  /* 55359 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'm', 'i', 'k', '_', 'a', 'l', 't', 0,
-  /* 55376 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'm', 'i', 'k', '_', 'a', 'l', 't', 0,
-  /* 55392 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'm', 'i', 'k', '_', 'a', 'l', 't', 0,
-  /* 55409 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'r', 'i', 'k', '_', 'a', 'l', 't', 0,
-  /* 55425 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'r', 'i', 'k', '_', 'a', 'l', 't', 0,
-  /* 55442 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'r', 'i', 'k', '_', 'a', 'l', 't', 0,
-  /* 55458 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'r', 'i', 'k', '_', 'a', 'l', 't', 0,
-  /* 55475 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55496 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55517 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55538 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55559 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55580 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55600 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55619 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55638 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55657 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55676 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55697 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55718 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55739 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55760 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55781 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55801 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55820 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55839 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55858 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55877 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55895 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55913 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55931 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55949 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55967 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 55984 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 56000 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 56016 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 56032 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
-  /* 56048 */ 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'm', '_', 'a', 'l', 't', 0,
-  /* 56061 */ 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'm', '_', 'a', 'l', 't', 0,
-  /* 56074 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56094 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56114 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56134 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56154 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56174 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56193 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56211 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56229 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56247 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56265 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56285 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56305 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56325 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56345 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56365 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56384 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56402 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56420 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56438 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56456 */ 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56469 */ 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56482 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56499 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56516 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56533 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56550 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56567 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56583 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56598 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56613 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56628 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 56643 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 56665 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 56687 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 56709 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 56731 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 56753 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 56774 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 56794 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 56814 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 56834 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 56854 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 56876 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 56898 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 56920 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 56942 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 56964 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 56985 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 57005 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 57025 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 57045 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 57065 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 57084 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 57103 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 57122 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 57141 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 57160 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 57178 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 57195 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 57212 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 57229 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
-  /* 57246 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'm', '_', 'I', 'n', 't', 0,
-  /* 57259 */ 'V', 'R', 'C', 'P', 'P', 'S', 'm', '_', 'I', 'n', 't', 0,
-  /* 57271 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'm', '_', 'I', 'n', 't', 0,
-  /* 57285 */ 'V', 'R', 'C', 'P', 'S', 'S', 'm', '_', 'I', 'n', 't', 0,
-  /* 57297 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', '_', 'I', 'n', 't', 0,
-  /* 57311 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', '_', 'I', 'n', 't', 0,
-  /* 57324 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'm', '_', 'I', 'n', 't', 0,
-  /* 57337 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'm', '_', 'I', 'n', 't', 0,
-  /* 57352 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'm', '_', 'I', 'n', 't', 0,
-  /* 57366 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'm', '_', 'I', 'n', 't', 0,
-  /* 57380 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57396 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57413 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57429 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57446 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57462 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57479 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57495 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57512 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57525 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57538 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57551 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57564 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57577 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57590 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57603 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57616 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57629 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57642 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57655 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 57668 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'D', 'r', '_', 'I', 'n', 't', 0,
-  /* 57682 */ 'S', 'Q', 'R', 'T', 'S', 'D', 'r', '_', 'I', 'n', 't', 0,
-  /* 57694 */ 'V', 'R', 'C', 'P', 'P', 'S', 'r', '_', 'I', 'n', 't', 0,
-  /* 57706 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'r', '_', 'I', 'n', 't', 0,
-  /* 57720 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'S', 'r', '_', 'I', 'n', 't', 0,
-  /* 57734 */ 'R', 'C', 'P', 'S', 'S', 'r', '_', 'I', 'n', 't', 0,
-  /* 57745 */ 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'r', '_', 'I', 'n', 't', 0,
-  /* 57758 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'r', '_', 'I', 'n', 't', 0,
-  /* 57771 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'r', '_', 'I', 'n', 't', 0,
-  /* 57786 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'r', '_', 'I', 'n', 't', 0,
-  /* 57800 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'r', '_', 'I', 'n', 't', 0,
-  /* 57814 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 57830 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 57847 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 57863 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 57880 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 57896 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 57913 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 57929 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 57946 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 57962 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 57979 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 57995 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58012 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58028 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58045 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58061 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58078 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58091 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58104 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58117 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58130 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58143 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58156 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58169 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58182 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58195 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58208 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58221 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 58234 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58251 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58265 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58282 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58296 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58309 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58322 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58335 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58349 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58363 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58376 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58389 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58402 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58415 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58428 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58441 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58453 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58466 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58479 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58493 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58507 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58521 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58535 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58548 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58561 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58575 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58588 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58603 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58617 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58629 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58642 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58655 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58669 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58683 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58697 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58711 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58724 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58737 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58750 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58763 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58776 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 58789 */ 'V', 'A', 'L', 'I', 'G', 'N', 'D', 'r', 'r', 'i', 'k', 'z', 0,
-  /* 58802 */ 'V', 'A', 'L', 'I', 'G', 'N', 'Q', 'r', 'r', 'i', 'k', 'z', 0,
-  /* 58815 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
-  /* 58833 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
-  /* 58851 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
-  /* 58869 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
-  /* 58887 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
-  /* 58905 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
-  /* 58922 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
-  /* 58938 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
-  /* 58954 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
-  /* 58970 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
-  /* 58986 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
-  /* 59004 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
-  /* 59022 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
-  /* 59040 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
-  /* 59058 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
-  /* 59076 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
-  /* 59093 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
-  /* 59109 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
-  /* 59125 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
-  /* 59141 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
-  /* 59157 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'm', 'k', 'z', 0,
-  /* 59170 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'm', 'k', 'z', 0,
-  /* 59183 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'm', 'k', 'z', 0,
-  /* 59197 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'm', 'k', 'z', 0,
-  /* 59211 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'k', 'z', 0,
-  /* 59227 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 'k', 'z', 0,
-  /* 59240 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'm', 'k', 'z', 0,
-  /* 59253 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'm', 'k', 'z', 0,
-  /* 59266 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'k', 'z', 0,
-  /* 59282 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 'k', 'z', 0,
-  /* 59295 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'm', 'k', 'z', 0,
-  /* 59309 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'm', 'k', 'z', 0,
-  /* 59323 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59338 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59353 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59368 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59383 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59398 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59412 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59424 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59439 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59454 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59466 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59478 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59491 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59504 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59517 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59529 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59541 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59553 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59565 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59578 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59590 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59602 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59613 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59625 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59637 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59650 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59663 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59676 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59689 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59704 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59719 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59731 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59746 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59761 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59773 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59786 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59798 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59812 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59827 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59842 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59855 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59866 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59878 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59890 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59903 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59916 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59929 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59942 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59957 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59972 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59985 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 59997 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 60009 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 60021 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 60033 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 60046 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 60058 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
-  /* 60070 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
-  /* 60088 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
-  /* 60106 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
-  /* 60124 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
-  /* 60142 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
-  /* 60160 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
-  /* 60177 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
-  /* 60193 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
-  /* 60209 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
-  /* 60225 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
-  /* 60241 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
-  /* 60259 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
-  /* 60277 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
-  /* 60295 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
-  /* 60313 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
-  /* 60331 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
-  /* 60348 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
-  /* 60364 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
-  /* 60380 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
-  /* 60396 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
-  /* 60412 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'r', 'r', 'k', 'z', 0,
-  /* 60426 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'r', 'r', 'k', 'z', 0,
-  /* 60439 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'r', 'r', 'k', 'z', 0,
-  /* 60451 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'r', 'r', 'k', 'z', 0,
-  /* 60465 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'r', 'r', 'k', 'z', 0,
-  /* 60478 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'r', 'r', 'k', 'z', 0,
-  /* 60490 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'r', 'k', 'z', 0,
-  /* 60503 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'r', 'k', 'z', 0,
-  /* 60516 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'r', 'k', 'z', 0,
-  /* 60530 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'r', 'k', 'z', 0,
-  /* 60544 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'r', 'r', 'k', 'z', 0,
-  /* 60558 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'r', 'r', 'k', 'z', 0,
-  /* 60571 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'r', 'r', 'k', 'z', 0,
-  /* 60583 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'r', 'k', 'z', 0,
-  /* 60599 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'r', 'k', 'z', 0,
-  /* 60612 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'r', 'k', 'z', 0,
-  /* 60625 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'r', 'k', 'z', 0,
-  /* 60638 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'r', 'k', 'z', 0,
-  /* 60654 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'r', 'k', 'z', 0,
-  /* 60667 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'r', 'k', 'z', 0,
-  /* 60681 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'r', 'k', 'z', 0,
-  /* 60695 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'r', 'r', 'k', 'z', 0,
-  /* 60709 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'r', 'r', 'k', 'z', 0,
-  /* 60722 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'r', 'r', 'k', 'z', 0,
-  /* 60734 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'r', 'r', 'k', 'z', 0,
-  /* 60748 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'r', 'r', 'k', 'z', 0,
-  /* 60761 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'r', 'r', 'k', 'z', 0,
-  /* 60773 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 60788 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 60803 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 60818 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 60833 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 60848 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 60862 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 60874 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 60889 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 60904 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 60916 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 60928 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 60941 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 60954 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 60967 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 60979 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 60991 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61003 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61015 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61028 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61040 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61052 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61063 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61075 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61087 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61100 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61113 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61126 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61139 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61154 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61169 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61181 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61196 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61211 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61223 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61236 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61248 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61262 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61277 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61292 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61305 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61316 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61328 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61340 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61353 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61366 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61379 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61392 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61407 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61422 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61435 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61447 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61459 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61471 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61483 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61496 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
-  /* 61508 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 11595 */ 'C', 'O', 'P', 'Y', 0,
+  /* 11600 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11618 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11633 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11649 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11667 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11682 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11698 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11716 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11731 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11747 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11765 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11780 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11796 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11814 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11829 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11845 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11863 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11878 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11894 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11912 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11927 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11943 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11961 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11976 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11992 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12010 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12025 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12041 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12059 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12074 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12090 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12108 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12123 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12139 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12157 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12172 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12188 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 'Y', 0,
+  /* 12204 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 'Y', 0,
+  /* 12217 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 'Y', 0,
+  /* 12231 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 'Y', 0,
+  /* 12247 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 'Y', 0,
+  /* 12260 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 'Y', 0,
+  /* 12274 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 'Y', 0,
+  /* 12290 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 'Y', 0,
+  /* 12303 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 'Y', 0,
+  /* 12317 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 'Y', 0,
+  /* 12333 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 'Y', 0,
+  /* 12346 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 'Y', 0,
+  /* 12360 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'm', 'Y', 0,
+  /* 12374 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'm', 'Y', 0,
+  /* 12385 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'm', 'Y', 0,
+  /* 12399 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'm', 'Y', 0,
+  /* 12410 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'm', 'Y', 0,
+  /* 12420 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12438 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12453 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12469 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12487 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12502 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12518 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12536 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12551 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12567 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12585 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12600 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12616 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12634 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12649 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12665 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12683 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12698 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12714 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12732 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12747 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12763 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12781 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12796 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12812 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12830 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12845 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12861 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12879 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12894 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12910 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12928 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12943 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12959 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12977 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12992 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 13008 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 'Y', 0,
+  /* 13024 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 'Y', 0,
+  /* 13037 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 'Y', 0,
+  /* 13051 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 'Y', 0,
+  /* 13067 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 'Y', 0,
+  /* 13080 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 'Y', 0,
+  /* 13094 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 'Y', 0,
+  /* 13110 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 'Y', 0,
+  /* 13123 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 'Y', 0,
+  /* 13137 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 'Y', 0,
+  /* 13153 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 'Y', 0,
+  /* 13166 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 'Y', 0,
+  /* 13180 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'm', 'r', 'Y', 0,
+  /* 13194 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'm', 'r', 'Y', 0,
+  /* 13208 */ 'V', 'P', 'C', 'M', 'O', 'V', 'm', 'r', 'Y', 0,
+  /* 13218 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', 0,
+  /* 13234 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', 0,
+  /* 13247 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', 0,
+  /* 13261 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', 0,
+  /* 13277 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', 0,
+  /* 13290 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', 0,
+  /* 13304 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', 0,
+  /* 13320 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', 0,
+  /* 13333 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', 0,
+  /* 13347 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', 0,
+  /* 13363 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', 0,
+  /* 13376 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', 0,
+  /* 13390 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'r', 'Y', 0,
+  /* 13404 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'r', 'Y', 0,
+  /* 13415 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'r', 'Y', 0,
+  /* 13429 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'r', 'Y', 0,
+  /* 13440 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'r', 'Y', 0,
+  /* 13450 */ 'J', 'C', 'X', 'Z', 0,
+  /* 13455 */ 'J', 'R', 'C', 'X', 'Z', 0,
+  /* 13461 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'a', 0,
+  /* 13470 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'a', 0,
+  /* 13479 */ 'M', 'O', 'V', '3', '2', 'o', '3', '2', 'a', 0,
+  /* 13489 */ 'M', 'O', 'V', '6', '4', 'o', '3', '2', 'a', 0,
+  /* 13499 */ 'M', 'O', 'V', '6', '4', 'o', '6', '4', 'a', 0,
+  /* 13509 */ 'M', 'O', 'V', '6', '4', 'o', '1', '6', 'a', 0,
+  /* 13519 */ 'M', 'O', 'V', '1', '6', 'o', '1', '6', 'a', 0,
+  /* 13529 */ 'M', 'O', 'V', '6', '4', 'o', '8', 'a', 0,
+  /* 13538 */ 'M', 'O', 'V', '8', 'o', '8', 'a', 0,
+  /* 13546 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'b', 0,
+  /* 13555 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'b', 0,
+  /* 13564 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'r', 'i', 'b', 0,
+  /* 13576 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'r', 'i', 'b', 0,
+  /* 13588 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13606 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13621 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13637 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13655 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13670 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13686 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13704 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13719 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13735 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13753 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13768 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13784 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13802 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13817 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13833 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13851 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13866 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13882 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13900 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13915 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13931 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13949 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13964 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13980 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', '2', '5', '6', 'r', 'm', 'b', 0,
+  /* 13996 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', '2', '5', '6', 'r', 'm', 'b', 0,
+  /* 14012 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', '2', '5', '6', 'r', 'm', 'b', 0,
+  /* 14028 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', '2', '5', '6', 'r', 'm', 'b', 0,
+  /* 14044 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', '1', '2', '8', 'r', 'm', 'b', 0,
+  /* 14060 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', '1', '2', '8', 'r', 'm', 'b', 0,
+  /* 14076 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', '1', '2', '8', 'r', 'm', 'b', 0,
+  /* 14092 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', '1', '2', '8', 'r', 'm', 'b', 0,
+  /* 14108 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'b', 0,
+  /* 14123 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 'b', 0,
+  /* 14135 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'b', 0,
+  /* 14150 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 'b', 0,
+  /* 14162 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14173 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14184 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14195 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14207 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14219 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14230 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14241 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14252 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14263 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14274 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14285 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14298 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14308 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14319 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14330 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14342 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14354 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14367 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14379 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14391 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14402 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14413 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14425 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14436 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14449 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14461 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14474 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14484 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14495 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14506 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14518 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14530 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14543 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14555 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14567 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
+  /* 14578 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
+  /* 14589 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
+  /* 14600 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
+  /* 14611 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
+  /* 14622 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
+  /* 14633 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'D', 'Z', 'r', 'b', 0,
+  /* 14645 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'D', 'Z', 'r', 'b', 0,
+  /* 14659 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'S', 'Z', 'r', 'b', 0,
+  /* 14671 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'S', 'Z', 'r', 'b', 0,
+  /* 14685 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'D', 'r', 'r', 'b', 0,
+  /* 14697 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'D', 'r', 'r', 'b', 0,
+  /* 14711 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'S', 'r', 'r', 'b', 0,
+  /* 14723 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'S', 'r', 'r', 'b', 0,
+  /* 14737 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
+  /* 14751 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
+  /* 14765 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
+  /* 14780 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
+  /* 14795 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Z', 'r', 'r', 'b', 0,
+  /* 14809 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 'b', 0,
+  /* 14823 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 'b', 0,
+  /* 14838 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'c', 0,
+  /* 14847 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'c', 0,
+  /* 14856 */ 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 0,
+  /* 14871 */ 'M', 'O', 'V', '3', '2', 'r', 'c', 0,
+  /* 14879 */ 'M', 'O', 'V', '6', '4', 'r', 'c', 0,
+  /* 14887 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'd', 0,
+  /* 14896 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'd', 0,
+  /* 14905 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'd', 0,
+  /* 14914 */ 'O', 'R', '3', '2', 'm', 'r', 'L', 'o', 'c', 'k', 'e', 'd', 0,
+  /* 14927 */ 'M', 'O', 'V', '3', '2', 'r', 'd', 0,
+  /* 14935 */ 'M', 'O', 'V', '6', '4', 'r', 'd', 0,
+  /* 14943 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'e', 0,
+  /* 14952 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'e', 0,
+  /* 14961 */ 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 0,
+  /* 14975 */ 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 0,
+  /* 14988 */ 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 15001 */ 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 15017 */ 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 0,
+  /* 15029 */ 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 0,
+  /* 15041 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'i', 0,
+  /* 15052 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'i', 0,
+  /* 15062 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'i', 0,
+  /* 15073 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'i', 0,
+  /* 15083 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 'i', 0,
+  /* 15094 */ 'S', 'B', 'B', '3', '2', 'm', 'i', 0,
+  /* 15102 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'i', 0,
+  /* 15115 */ 'A', 'D', 'C', '3', '2', 'm', 'i', 0,
+  /* 15123 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'i', 0,
+  /* 15136 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'i', 0,
+  /* 15149 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'm', 'i', 0,
+  /* 15160 */ 'S', 'A', 'L', '3', '2', 'm', 'i', 0,
+  /* 15168 */ 'R', 'C', 'L', '3', '2', 'm', 'i', 0,
+  /* 15176 */ 'S', 'H', 'L', '3', '2', 'm', 'i', 0,
+  /* 15184 */ 'R', 'O', 'L', '3', '2', 'm', 'i', 0,
+  /* 15192 */ 'C', 'M', 'P', '3', '2', 'm', 'i', 0,
+  /* 15200 */ 'S', 'A', 'R', '3', '2', 'm', 'i', 0,
+  /* 15208 */ 'R', 'C', 'R', '3', '2', 'm', 'i', 0,
+  /* 15216 */ 'S', 'H', 'R', '3', '2', 'm', 'i', 0,
+  /* 15224 */ 'R', 'O', 'R', '3', '2', 'm', 'i', 0,
+  /* 15232 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'i', 0,
+  /* 15245 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'i', 0,
+  /* 15257 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', 0,
+  /* 15266 */ 'M', 'O', 'V', '3', '2', 'm', 'i', 0,
+  /* 15274 */ 'R', 'O', 'R', 'X', '3', '2', 'm', 'i', 0,
+  /* 15283 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'm', 'i', 0,
+  /* 15294 */ 'S', 'A', 'L', '6', '4', 'm', 'i', 0,
+  /* 15302 */ 'R', 'C', 'L', '6', '4', 'm', 'i', 0,
+  /* 15310 */ 'S', 'H', 'L', '6', '4', 'm', 'i', 0,
+  /* 15318 */ 'R', 'O', 'L', '6', '4', 'm', 'i', 0,
+  /* 15326 */ 'S', 'A', 'R', '6', '4', 'm', 'i', 0,
+  /* 15334 */ 'R', 'C', 'R', '6', '4', 'm', 'i', 0,
+  /* 15342 */ 'S', 'H', 'R', '6', '4', 'm', 'i', 0,
+  /* 15350 */ 'R', 'O', 'R', '6', '4', 'm', 'i', 0,
+  /* 15358 */ 'R', 'O', 'R', 'X', '6', '4', 'm', 'i', 0,
+  /* 15367 */ 'S', 'B', 'B', '1', '6', 'm', 'i', 0,
+  /* 15375 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'i', 0,
+  /* 15388 */ 'A', 'D', 'C', '1', '6', 'm', 'i', 0,
+  /* 15396 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'i', 0,
+  /* 15409 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'i', 0,
+  /* 15422 */ 'S', 'A', 'L', '1', '6', 'm', 'i', 0,
+  /* 15430 */ 'R', 'C', 'L', '1', '6', 'm', 'i', 0,
+  /* 15438 */ 'S', 'H', 'L', '1', '6', 'm', 'i', 0,
+  /* 15446 */ 'R', 'O', 'L', '1', '6', 'm', 'i', 0,
+  /* 15454 */ 'C', 'M', 'P', '1', '6', 'm', 'i', 0,
+  /* 15462 */ 'S', 'A', 'R', '1', '6', 'm', 'i', 0,
+  /* 15470 */ 'R', 'C', 'R', '1', '6', 'm', 'i', 0,
+  /* 15478 */ 'S', 'H', 'R', '1', '6', 'm', 'i', 0,
+  /* 15486 */ 'R', 'O', 'R', '1', '6', 'm', 'i', 0,
+  /* 15494 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'i', 0,
+  /* 15507 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'i', 0,
+  /* 15519 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', 0,
+  /* 15528 */ 'M', 'O', 'V', '1', '6', 'm', 'i', 0,
+  /* 15536 */ 'S', 'B', 'B', '8', 'm', 'i', 0,
+  /* 15543 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'i', 0,
+  /* 15555 */ 'A', 'D', 'C', '8', 'm', 'i', 0,
+  /* 15562 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'i', 0,
+  /* 15574 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'i', 0,
+  /* 15586 */ 'S', 'A', 'L', '8', 'm', 'i', 0,
+  /* 15593 */ 'R', 'C', 'L', '8', 'm', 'i', 0,
+  /* 15600 */ 'S', 'H', 'L', '8', 'm', 'i', 0,
+  /* 15607 */ 'R', 'O', 'L', '8', 'm', 'i', 0,
+  /* 15614 */ 'C', 'M', 'P', '8', 'm', 'i', 0,
+  /* 15621 */ 'S', 'A', 'R', '8', 'm', 'i', 0,
+  /* 15628 */ 'R', 'C', 'R', '8', 'm', 'i', 0,
+  /* 15635 */ 'S', 'H', 'R', '8', 'm', 'i', 0,
+  /* 15642 */ 'R', 'O', 'R', '8', 'm', 'i', 0,
+  /* 15649 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'i', 0,
+  /* 15661 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'i', 0,
+  /* 15672 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', 0,
+  /* 15680 */ 'M', 'O', 'V', '8', 'm', 'i', 0,
+  /* 15687 */ 'V', 'P', 'C', 'O', 'M', 'B', 'm', 'i', 0,
+  /* 15696 */ 'V', 'P', 'R', 'O', 'T', 'B', 'm', 'i', 0,
+  /* 15705 */ 'V', 'P', 'C', 'O', 'M', 'U', 'B', 'm', 'i', 0,
+  /* 15715 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'm', 'i', 0,
+  /* 15725 */ 'V', 'P', 'C', 'O', 'M', 'D', 'm', 'i', 0,
+  /* 15734 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'm', 'i', 0,
+  /* 15746 */ 'V', 'P', 'R', 'O', 'T', 'D', 'm', 'i', 0,
+  /* 15755 */ 'V', 'P', 'C', 'O', 'M', 'U', 'D', 'm', 'i', 0,
+  /* 15765 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'm', 'i', 0,
+  /* 15776 */ 'V', 'P', 'C', 'O', 'M', 'Q', 'm', 'i', 0,
+  /* 15785 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'm', 'i', 0,
+  /* 15794 */ 'V', 'P', 'C', 'O', 'M', 'U', 'Q', 'm', 'i', 0,
+  /* 15804 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'm', 'i', 0,
+  /* 15816 */ 'M', 'M', 'X', '_', 'P', 'S', 'H', 'U', 'F', 'W', 'm', 'i', 0,
+  /* 15829 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'm', 'i', 0,
+  /* 15840 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'm', 'i', 0,
+  /* 15851 */ 'V', 'P', 'C', 'O', 'M', 'W', 'm', 'i', 0,
+  /* 15860 */ 'V', 'P', 'R', 'O', 'T', 'W', 'm', 'i', 0,
+  /* 15869 */ 'V', 'P', 'C', 'O', 'M', 'U', 'W', 'm', 'i', 0,
+  /* 15879 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Y', 'm', 'i', 0,
+  /* 15890 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'm', 'i', 0,
+  /* 15903 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Y', 'm', 'i', 0,
+  /* 15914 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Y', 'm', 'i', 0,
+  /* 15924 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'm', 'i', 0,
+  /* 15937 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'Y', 'm', 'i', 0,
+  /* 15949 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'Y', 'm', 'i', 0,
+  /* 15961 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'm', 'i', 0,
+  /* 15971 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Z', 'm', 'i', 0,
+  /* 15982 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'm', 'i', 0,
+  /* 15992 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'm', 'i', 0,
+  /* 16002 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Z', 'm', 'i', 0,
+  /* 16015 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'm', 'i', 0,
+  /* 16026 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'm', 'i', 0,
+  /* 16036 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'm', 'i', 0,
+  /* 16046 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'm', 'i', 0,
+  /* 16056 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'm', 'i', 0,
+  /* 16066 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Z', 'm', 'i', 0,
+  /* 16079 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 'i', 0,
+  /* 16089 */ 'S', 'H', 'A', '1', 'R', 'N', 'D', 'S', '4', 'r', 'm', 'i', 0,
+  /* 16102 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 'i', 0,
+  /* 16112 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'r', 'm', 'i', 0,
+  /* 16124 */ 'V', 'A', 'L', 'I', 'G', 'N', 'D', 'r', 'm', 'i', 0,
+  /* 16135 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'r', 'm', 'i', 0,
+  /* 16147 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'r', 'm', 'i', 0,
+  /* 16158 */ 'V', 'D', 'P', 'P', 'D', 'r', 'm', 'i', 0,
+  /* 16167 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'm', 'i', 0,
+  /* 16177 */ 'V', 'A', 'L', 'I', 'G', 'N', 'Q', 'r', 'm', 'i', 0,
+  /* 16188 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'r', 'm', 'i', 0,
+  /* 16200 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'r', 'm', 'i', 0,
+  /* 16211 */ 'V', 'D', 'P', 'P', 'S', 'r', 'm', 'i', 0,
+  /* 16220 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'm', 'i', 0,
+  /* 16230 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'm', 'i', 0,
+  /* 16242 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'r', 'm', 'i', 0,
+  /* 16254 */ 'V', 'P', 'I', 'N', 'S', 'R', 'W', 'r', 'm', 'i', 0,
+  /* 16265 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'Y', 'r', 'm', 'i', 0,
+  /* 16278 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'Y', 'r', 'm', 'i', 0,
+  /* 16291 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Y', 'r', 'm', 'i', 0,
+  /* 16303 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'm', 'i', 0,
+  /* 16314 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
+  /* 16327 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
+  /* 16339 */ 'V', 'D', 'P', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
+  /* 16349 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
+  /* 16360 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'm', 'i', 0,
+  /* 16373 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'Y', 'r', 'm', 'i', 0,
+  /* 16386 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Z', 'r', 'm', 'i', 0,
+  /* 16398 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'm', 'i', 0,
+  /* 16409 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'm', 'i', 0,
+  /* 16420 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'm', 'i', 0,
+  /* 16432 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'm', 'i', 0,
+  /* 16443 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'm', 'i', 0,
+  /* 16455 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Z', 'r', 'm', 'i', 0,
+  /* 16467 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'm', 'i', 0,
+  /* 16478 */ 'M', 'M', 'X', '_', 'P', 'I', 'N', 'S', 'R', 'W', 'i', 'r', 'm', 'i', 0,
+  /* 16493 */ 'S', 'B', 'B', '3', '2', 'r', 'i', 0,
+  /* 16501 */ 'S', 'U', 'B', '3', '2', 'r', 'i', 0,
+  /* 16509 */ 'A', 'D', 'C', '3', '2', 'r', 'i', 0,
+  /* 16517 */ 'A', 'D', 'D', '3', '2', 'r', 'i', 0,
+  /* 16525 */ 'A', 'N', 'D', '3', '2', 'r', 'i', 0,
+  /* 16533 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'r', 'i', 0,
+  /* 16544 */ 'S', 'A', 'L', '3', '2', 'r', 'i', 0,
+  /* 16552 */ 'R', 'C', 'L', '3', '2', 'r', 'i', 0,
+  /* 16560 */ 'S', 'H', 'L', '3', '2', 'r', 'i', 0,
+  /* 16568 */ 'R', 'O', 'L', '3', '2', 'r', 'i', 0,
+  /* 16576 */ 'I', 'N', '3', '2', 'r', 'i', 0,
+  /* 16583 */ 'C', 'M', 'P', '3', '2', 'r', 'i', 0,
+  /* 16591 */ 'S', 'A', 'R', '3', '2', 'r', 'i', 0,
+  /* 16599 */ 'R', 'C', 'R', '3', '2', 'r', 'i', 0,
+  /* 16607 */ 'S', 'H', 'R', '3', '2', 'r', 'i', 0,
+  /* 16615 */ 'R', 'O', 'R', '3', '2', 'r', 'i', 0,
+  /* 16623 */ 'X', 'O', 'R', '3', '2', 'r', 'i', 0,
+  /* 16631 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', 0,
+  /* 16640 */ 'M', 'O', 'V', '3', '2', 'r', 'i', 0,
+  /* 16648 */ 'R', 'O', 'R', 'X', '3', '2', 'r', 'i', 0,
+  /* 16657 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'r', 'i', 0,
+  /* 16668 */ 'S', 'A', 'L', '6', '4', 'r', 'i', 0,
+  /* 16676 */ 'R', 'C', 'L', '6', '4', 'r', 'i', 0,
+  /* 16684 */ 'S', 'H', 'L', '6', '4', 'r', 'i', 0,
+  /* 16692 */ 'R', 'O', 'L', '6', '4', 'r', 'i', 0,
+  /* 16700 */ 'S', 'A', 'R', '6', '4', 'r', 'i', 0,
+  /* 16708 */ 'R', 'C', 'R', '6', '4', 'r', 'i', 0,
+  /* 16716 */ 'S', 'H', 'R', '6', '4', 'r', 'i', 0,
+  /* 16724 */ 'R', 'O', 'R', '6', '4', 'r', 'i', 0,
+  /* 16732 */ 'M', 'O', 'V', '6', '4', 'r', 'i', 0,
+  /* 16740 */ 'R', 'O', 'R', 'X', '6', '4', 'r', 'i', 0,
+  /* 16749 */ 'S', 'B', 'B', '1', '6', 'r', 'i', 0,
+  /* 16757 */ 'S', 'U', 'B', '1', '6', 'r', 'i', 0,
+  /* 16765 */ 'A', 'D', 'C', '1', '6', 'r', 'i', 0,
+  /* 16773 */ 'A', 'D', 'D', '1', '6', 'r', 'i', 0,
+  /* 16781 */ 'A', 'N', 'D', '1', '6', 'r', 'i', 0,
+  /* 16789 */ 'S', 'A', 'L', '1', '6', 'r', 'i', 0,
+  /* 16797 */ 'R', 'C', 'L', '1', '6', 'r', 'i', 0,
+  /* 16805 */ 'S', 'H', 'L', '1', '6', 'r', 'i', 0,
+  /* 16813 */ 'R', 'O', 'L', '1', '6', 'r', 'i', 0,
+  /* 16821 */ 'I', 'N', '1', '6', 'r', 'i', 0,
+  /* 16828 */ 'C', 'M', 'P', '1', '6', 'r', 'i', 0,
+  /* 16836 */ 'S', 'A', 'R', '1', '6', 'r', 'i', 0,
+  /* 16844 */ 'R', 'C', 'R', '1', '6', 'r', 'i', 0,
+  /* 16852 */ 'S', 'H', 'R', '1', '6', 'r', 'i', 0,
+  /* 16860 */ 'R', 'O', 'R', '1', '6', 'r', 'i', 0,
+  /* 16868 */ 'X', 'O', 'R', '1', '6', 'r', 'i', 0,
+  /* 16876 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', 0,
+  /* 16885 */ 'M', 'O', 'V', '1', '6', 'r', 'i', 0,
+  /* 16893 */ 'S', 'B', 'B', '8', 'r', 'i', 0,
+  /* 16900 */ 'S', 'U', 'B', '8', 'r', 'i', 0,
+  /* 16907 */ 'A', 'D', 'C', '8', 'r', 'i', 0,
+  /* 16914 */ 'A', 'D', 'D', '8', 'r', 'i', 0,
+  /* 16921 */ 'A', 'N', 'D', '8', 'r', 'i', 0,
+  /* 16928 */ 'S', 'A', 'L', '8', 'r', 'i', 0,
+  /* 16935 */ 'R', 'C', 'L', '8', 'r', 'i', 0,
+  /* 16942 */ 'S', 'H', 'L', '8', 'r', 'i', 0,
+  /* 16949 */ 'R', 'O', 'L', '8', 'r', 'i', 0,
+  /* 16956 */ 'I', 'N', '8', 'r', 'i', 0,
+  /* 16962 */ 'C', 'M', 'P', '8', 'r', 'i', 0,
+  /* 16969 */ 'S', 'A', 'R', '8', 'r', 'i', 0,
+  /* 16976 */ 'R', 'C', 'R', '8', 'r', 'i', 0,
+  /* 16983 */ 'S', 'H', 'R', '8', 'r', 'i', 0,
+  /* 16990 */ 'R', 'O', 'R', '8', 'r', 'i', 0,
+  /* 16997 */ 'X', 'O', 'R', '8', 'r', 'i', 0,
+  /* 17004 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', 0,
+  /* 17012 */ 'M', 'O', 'V', '8', 'r', 'i', 0,
+  /* 17019 */ 'V', 'P', 'C', 'O', 'M', 'B', 'r', 'i', 0,
+  /* 17028 */ 'V', 'P', 'R', 'O', 'T', 'B', 'r', 'i', 0,
+  /* 17037 */ 'V', 'P', 'C', 'O', 'M', 'U', 'B', 'r', 'i', 0,
+  /* 17047 */ 'V', 'P', 'S', 'R', 'A', 'D', 'r', 'i', 0,
+  /* 17056 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'D', 'r', 'i', 0,
+  /* 17068 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'r', 'i', 0,
+  /* 17078 */ 'V', 'P', 'S', 'L', 'L', 'D', 'r', 'i', 0,
+  /* 17087 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'D', 'r', 'i', 0,
+  /* 17099 */ 'V', 'P', 'S', 'R', 'L', 'D', 'r', 'i', 0,
+  /* 17108 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'D', 'r', 'i', 0,
+  /* 17120 */ 'V', 'P', 'C', 'O', 'M', 'D', 'r', 'i', 0,
+  /* 17129 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'r', 'i', 0,
+  /* 17141 */ 'V', 'P', 'R', 'O', 'T', 'D', 'r', 'i', 0,
+  /* 17150 */ 'V', 'P', 'C', 'O', 'M', 'U', 'D', 'r', 'i', 0,
+  /* 17160 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 'i', 0,
+  /* 17171 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Q', 'r', 'i', 0,
+  /* 17181 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Q', 'r', 'i', 0,
+  /* 17191 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'r', 'i', 0,
+  /* 17200 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'Q', 'r', 'i', 0,
+  /* 17212 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'r', 'i', 0,
+  /* 17221 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'Q', 'r', 'i', 0,
+  /* 17233 */ 'V', 'P', 'C', 'O', 'M', 'Q', 'r', 'i', 0,
+  /* 17242 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'r', 'i', 0,
+  /* 17251 */ 'V', 'P', 'C', 'O', 'M', 'U', 'Q', 'r', 'i', 0,
+  /* 17261 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'r', 'i', 0,
+  /* 17273 */ 'V', 'P', 'S', 'R', 'A', 'W', 'r', 'i', 0,
+  /* 17282 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'W', 'r', 'i', 0,
+  /* 17294 */ 'M', 'M', 'X', '_', 'P', 'S', 'H', 'U', 'F', 'W', 'r', 'i', 0,
+  /* 17307 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'r', 'i', 0,
+  /* 17318 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'r', 'i', 0,
+  /* 17329 */ 'V', 'P', 'S', 'L', 'L', 'W', 'r', 'i', 0,
+  /* 17338 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'W', 'r', 'i', 0,
+  /* 17350 */ 'V', 'P', 'S', 'R', 'L', 'W', 'r', 'i', 0,
+  /* 17359 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'W', 'r', 'i', 0,
+  /* 17371 */ 'K', 'S', 'H', 'I', 'F', 'T', 'L', 'W', 'r', 'i', 0,
+  /* 17382 */ 'V', 'P', 'C', 'O', 'M', 'W', 'r', 'i', 0,
+  /* 17391 */ 'K', 'S', 'H', 'I', 'F', 'T', 'R', 'W', 'r', 'i', 0,
+  /* 17402 */ 'V', 'P', 'E', 'X', 'T', 'R', 'W', 'r', 'i', 0,
+  /* 17412 */ 'V', 'P', 'R', 'O', 'T', 'W', 'r', 'i', 0,
+  /* 17421 */ 'V', 'P', 'C', 'O', 'M', 'U', 'W', 'r', 'i', 0,
+  /* 17431 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Y', 'r', 'i', 0,
+  /* 17441 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Y', 'r', 'i', 0,
+  /* 17452 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Y', 'r', 'i', 0,
+  /* 17462 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Y', 'r', 'i', 0,
+  /* 17472 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'r', 'i', 0,
+  /* 17485 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Y', 'r', 'i', 0,
+  /* 17496 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Q', 'Y', 'r', 'i', 0,
+  /* 17507 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Q', 'Y', 'r', 'i', 0,
+  /* 17518 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Y', 'r', 'i', 0,
+  /* 17528 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Y', 'r', 'i', 0,
+  /* 17538 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Y', 'r', 'i', 0,
+  /* 17548 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'r', 'i', 0,
+  /* 17561 */ 'V', 'P', 'S', 'R', 'A', 'W', 'Y', 'r', 'i', 0,
+  /* 17571 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'Y', 'r', 'i', 0,
+  /* 17583 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'Y', 'r', 'i', 0,
+  /* 17595 */ 'V', 'P', 'S', 'L', 'L', 'W', 'Y', 'r', 'i', 0,
+  /* 17605 */ 'V', 'P', 'S', 'R', 'L', 'W', 'Y', 'r', 'i', 0,
+  /* 17615 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'i', 0,
+  /* 17625 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Z', 'r', 'i', 0,
+  /* 17636 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'i', 0,
+  /* 17646 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'i', 0,
+  /* 17656 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Z', 'r', 'i', 0,
+  /* 17669 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'r', 'i', 0,
+  /* 17680 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'i', 0,
+  /* 17690 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'i', 0,
+  /* 17700 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'i', 0,
+  /* 17710 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'r', 'i', 0,
+  /* 17720 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Z', 'r', 'i', 0,
+  /* 17733 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 'i', 0,
+  /* 17743 */ 'S', 'H', 'A', '1', 'R', 'N', 'D', 'S', '4', 'r', 'r', 'i', 0,
+  /* 17756 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 'i', 0,
+  /* 17766 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'r', 'r', 'i', 0,
+  /* 17778 */ 'V', 'A', 'L', 'I', 'G', 'N', 'D', 'r', 'r', 'i', 0,
+  /* 17789 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'r', 'r', 'i', 0,
+  /* 17801 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'r', 'r', 'i', 0,
+  /* 17812 */ 'V', 'D', 'P', 'P', 'D', 'r', 'r', 'i', 0,
+  /* 17821 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'r', 'i', 0,
+  /* 17831 */ 'V', 'A', 'L', 'I', 'G', 'N', 'Q', 'r', 'r', 'i', 0,
+  /* 17842 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'r', 'r', 'i', 0,
+  /* 17854 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'r', 'r', 'i', 0,
+  /* 17865 */ 'V', 'D', 'P', 'P', 'S', 'r', 'r', 'i', 0,
+  /* 17874 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'r', 'i', 0,
+  /* 17884 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'r', 'i', 0,
+  /* 17896 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'r', 'r', 'i', 0,
+  /* 17908 */ 'V', 'P', 'I', 'N', 'S', 'R', 'W', 'r', 'r', 'i', 0,
+  /* 17919 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'Y', 'r', 'r', 'i', 0,
+  /* 17932 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'Y', 'r', 'r', 'i', 0,
+  /* 17945 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Y', 'r', 'r', 'i', 0,
+  /* 17957 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'r', 'i', 0,
+  /* 17968 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
+  /* 17981 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
+  /* 17993 */ 'V', 'D', 'P', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
+  /* 18003 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
+  /* 18014 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'r', 'i', 0,
+  /* 18027 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'Y', 'r', 'r', 'i', 0,
+  /* 18040 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Z', 'r', 'r', 'i', 0,
+  /* 18052 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'r', 'i', 0,
+  /* 18063 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'r', 'i', 0,
+  /* 18074 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'r', 'i', 0,
+  /* 18086 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'r', 'i', 0,
+  /* 18097 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'r', 'i', 0,
+  /* 18109 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Z', 'r', 'r', 'i', 0,
+  /* 18121 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'r', 'i', 0,
+  /* 18132 */ 'M', 'M', 'X', '_', 'P', 'I', 'N', 'S', 'R', 'W', 'i', 'r', 'r', 'i', 0,
+  /* 18147 */ 'M', 'M', 'X', '_', 'P', 'E', 'X', 'T', 'R', 'W', 'i', 'r', 'r', 'i', 0,
+  /* 18162 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', '2', '5', '6', 'r', 'm', 'b', 'k', 0,
+  /* 18179 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', '2', '5', '6', 'r', 'm', 'b', 'k', 0,
+  /* 18196 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', '2', '5', '6', 'r', 'm', 'b', 'k', 0,
+  /* 18213 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', '2', '5', '6', 'r', 'm', 'b', 'k', 0,
+  /* 18230 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', '1', '2', '8', 'r', 'm', 'b', 'k', 0,
+  /* 18247 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', '1', '2', '8', 'r', 'm', 'b', 'k', 0,
+  /* 18264 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', '1', '2', '8', 'r', 'm', 'b', 'k', 0,
+  /* 18281 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', '1', '2', '8', 'r', 'm', 'b', 'k', 0,
+  /* 18298 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'b', 'k', 0,
+  /* 18314 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 'b', 'k', 0,
+  /* 18327 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'b', 'k', 0,
+  /* 18343 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 'b', 'k', 0,
+  /* 18356 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18368 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18380 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18392 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18405 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18418 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18430 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18442 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18454 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18466 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18478 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18490 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18504 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18515 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18527 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18539 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18552 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18565 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18579 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18592 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18605 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18617 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18629 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18642 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18654 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18668 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18681 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18695 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18706 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18718 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18730 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18743 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18756 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18770 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18783 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18796 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18808 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18820 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18832 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18844 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18856 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18868 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'm', 'i', 'k', 0,
+  /* 18879 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'm', 'i', 'k', 0,
+  /* 18890 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'm', 'i', 'k', 0,
+  /* 18901 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'm', 'i', 'k', 0,
+  /* 18912 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'm', 'i', 'k', 0,
+  /* 18923 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'm', 'i', 'k', 0,
+  /* 18934 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'i', 'k', 0,
+  /* 18945 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'i', 'k', 0,
+  /* 18956 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'i', 'k', 0,
+  /* 18967 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'i', 'k', 0,
+  /* 18978 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'i', 'k', 0,
+  /* 18989 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'i', 'k', 0,
+  /* 19000 */ 'V', 'A', 'L', 'I', 'G', 'N', 'D', 'r', 'r', 'i', 'k', 0,
+  /* 19012 */ 'V', 'A', 'L', 'I', 'G', 'N', 'Q', 'r', 'r', 'i', 'k', 0,
+  /* 19024 */ 'K', 'M', 'O', 'V', 'B', 'k', 'k', 0,
+  /* 19032 */ 'K', 'M', 'O', 'V', 'D', 'k', 'k', 0,
+  /* 19040 */ 'K', 'M', 'O', 'V', 'Q', 'k', 'k', 0,
+  /* 19048 */ 'K', 'M', 'O', 'V', 'W', 'k', 'k', 0,
+  /* 19056 */ 'K', 'M', 'O', 'V', 'B', 'm', 'k', 0,
+  /* 19064 */ 'K', 'M', 'O', 'V', 'D', 'm', 'k', 0,
+  /* 19072 */ 'K', 'M', 'O', 'V', 'Q', 'm', 'k', 0,
+  /* 19080 */ 'K', 'M', 'O', 'V', 'W', 'm', 'k', 0,
+  /* 19088 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19105 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19122 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19139 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19156 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19173 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19189 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19205 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19221 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19236 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19251 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19267 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19283 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19299 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19315 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19330 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19345 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19361 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 19377 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19394 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19411 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19428 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19445 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19462 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19478 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19494 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19510 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19525 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19540 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19556 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19572 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19588 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19604 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19619 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19634 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19650 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19666 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'm', 'k', 0,
+  /* 19678 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'm', 'k', 0,
+  /* 19690 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'm', 'k', 0,
+  /* 19703 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'm', 'k', 0,
+  /* 19716 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'k', 0,
+  /* 19731 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 'k', 0,
+  /* 19743 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'm', 'k', 0,
+  /* 19755 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'm', 'k', 0,
+  /* 19767 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'k', 0,
+  /* 19782 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 'k', 0,
+  /* 19794 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'm', 'k', 0,
+  /* 19807 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'm', 'k', 0,
+  /* 19820 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'm', 'k', 0,
+  /* 19834 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'm', 'k', 0,
+  /* 19848 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'm', 'k', 0,
+  /* 19862 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'm', 'k', 0,
+  /* 19876 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'm', 'k', 0,
+  /* 19890 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'm', 'k', 0,
+  /* 19903 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Z', 'r', 'm', 'k', 0,
+  /* 19916 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Z', 'r', 'm', 'k', 0,
+  /* 19929 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19940 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19951 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19965 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19979 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19990 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20001 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20012 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20024 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20035 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20047 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20059 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20070 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20081 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20092 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20103 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20115 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20126 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20137 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20150 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20160 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20171 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20182 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20194 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20206 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20219 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20231 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20243 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20257 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 20271 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20282 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20293 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20307 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20321 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20332 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20344 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20355 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20368 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20382 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20396 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20407 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20418 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20430 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20443 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20453 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20464 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20475 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20487 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20499 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20512 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20524 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20536 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20550 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 20564 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
+  /* 20576 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
+  /* 20587 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
+  /* 20598 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
+  /* 20609 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
+  /* 20620 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
+  /* 20632 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
+  /* 20643 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
+  /* 20654 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Z', 'r', 'm', 'k', 0,
+  /* 20667 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Z', 'r', 'm', 'k', 0,
+  /* 20680 */ 'K', 'M', 'O', 'V', 'B', 'r', 'k', 0,
+  /* 20688 */ 'K', 'M', 'O', 'V', 'D', 'r', 'k', 0,
+  /* 20696 */ 'K', 'M', 'O', 'V', 'Q', 'r', 'k', 0,
+  /* 20704 */ 'K', 'M', 'O', 'V', 'W', 'r', 'k', 0,
+  /* 20712 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 'k', 0,
+  /* 20730 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 'k', 0,
+  /* 20745 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 'k', 0,
+  /* 20761 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 'k', 0,
+  /* 20779 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 'k', 0,
+  /* 20794 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 'k', 0,
+  /* 20810 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 'k', 0,
+  /* 20828 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 'k', 0,
+  /* 20843 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 'k', 0,
+  /* 20859 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 'k', 0,
+  /* 20877 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 'k', 0,
+  /* 20892 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 'k', 0,
+  /* 20908 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 20925 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 20942 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 20959 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 20976 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 20993 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 21009 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 21024 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 21039 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 21054 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 21069 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 21086 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 21103 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 21120 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 21137 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 21154 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 21170 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 21185 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 21200 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 21215 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 21230 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'm', 'r', 'k', 0,
+  /* 21243 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'm', 'r', 'k', 0,
+  /* 21255 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'm', 'r', 'k', 0,
+  /* 21266 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'm', 'r', 'k', 0,
+  /* 21279 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'm', 'r', 'k', 0,
+  /* 21291 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'm', 'r', 'k', 0,
+  /* 21302 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'm', 'r', 'k', 0,
+  /* 21315 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'm', 'r', 'k', 0,
+  /* 21327 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'm', 'r', 'k', 0,
+  /* 21338 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'm', 'r', 'k', 0,
+  /* 21351 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'm', 'r', 'k', 0,
+  /* 21363 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'm', 'r', 'k', 0,
+  /* 21374 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'm', 'r', 'k', 0,
+  /* 21387 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'm', 'r', 'k', 0,
+  /* 21399 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'm', 'r', 'k', 0,
+  /* 21410 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'm', 'r', 'k', 0,
+  /* 21424 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'm', 'r', 'k', 0,
+  /* 21438 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'm', 'r', 'k', 0,
+  /* 21452 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'm', 'r', 'k', 0,
+  /* 21466 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'm', 'r', 'k', 0,
+  /* 21480 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'm', 'r', 'k', 0,
+  /* 21493 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'm', 'r', 'k', 0,
+  /* 21505 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'm', 'r', 'k', 0,
+  /* 21517 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'm', 'r', 'k', 0,
+  /* 21529 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'm', 'r', 'k', 0,
+  /* 21541 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21558 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21575 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21592 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21609 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21626 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21642 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21658 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21674 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21689 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21704 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21720 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21736 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21752 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21768 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21783 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21798 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21814 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 21830 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 21847 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 21864 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 21881 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 21898 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 21915 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 21931 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 21947 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 21963 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 21978 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 21993 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 22009 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 22025 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 22041 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 22057 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 22072 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 22087 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 22103 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 22119 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'r', 'r', 'k', 0,
+  /* 22132 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'r', 'r', 'k', 0,
+  /* 22144 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'r', 'r', 'k', 0,
+  /* 22155 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'r', 'r', 'k', 0,
+  /* 22168 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'r', 'r', 'k', 0,
+  /* 22180 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'r', 'r', 'k', 0,
+  /* 22191 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'r', 'k', 0,
+  /* 22203 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'r', 'k', 0,
+  /* 22215 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'r', 'k', 0,
+  /* 22228 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'r', 'k', 0,
+  /* 22241 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'r', 'r', 'k', 0,
+  /* 22254 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'r', 'r', 'k', 0,
+  /* 22266 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'r', 'r', 'k', 0,
+  /* 22277 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'r', 'k', 0,
+  /* 22292 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'r', 'k', 0,
+  /* 22304 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'r', 'k', 0,
+  /* 22316 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'r', 'k', 0,
+  /* 22328 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'r', 'k', 0,
+  /* 22343 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'r', 'k', 0,
+  /* 22355 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'r', 'k', 0,
+  /* 22368 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'r', 'k', 0,
+  /* 22381 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'r', 'r', 'k', 0,
+  /* 22394 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'r', 'r', 'k', 0,
+  /* 22406 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'r', 'r', 'k', 0,
+  /* 22417 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'r', 'r', 'k', 0,
+  /* 22430 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'r', 'r', 'k', 0,
+  /* 22442 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'r', 'r', 'k', 0,
+  /* 22453 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', 'k', 0,
+  /* 22467 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', 'k', 0,
+  /* 22481 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', 'k', 0,
+  /* 22495 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', 'k', 0,
+  /* 22509 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', 'k', 0,
+  /* 22523 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', 'k', 0,
+  /* 22536 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Z', 'r', 'r', 'k', 0,
+  /* 22549 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Z', 'r', 'r', 'k', 0,
+  /* 22562 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22573 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22584 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22598 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22612 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22623 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22634 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22645 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22657 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22668 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22680 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22692 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22703 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22714 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22725 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22736 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22748 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22759 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22770 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22783 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22793 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22804 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22815 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22827 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22838 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22850 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22863 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22875 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22887 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22901 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 22915 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 22926 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 22937 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 22951 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 22965 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 22976 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 22988 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 22999 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23012 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23026 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23040 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23051 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23062 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23074 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23087 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23097 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23108 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23119 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23131 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23143 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23156 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23168 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23180 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23194 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 23208 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 23220 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 23231 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 23242 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 23253 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 23264 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 23276 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 23287 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 23298 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 23309 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Z', 'r', 'r', 'k', 0,
+  /* 23322 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Z', 'r', 'r', 'k', 0,
+  /* 23335 */ 'L', 'D', '_', 'F', '8', '0', 'm', 0,
+  /* 23343 */ 'S', 'T', '_', 'F', 'P', '8', '0', 'm', 0,
+  /* 23352 */ 'S', 'T', '_', 'F', 'p', 'P', '8', '0', 'm', 0,
+  /* 23362 */ 'L', 'D', '_', 'F', 'p', '8', '0', 'm', 0,
+  /* 23371 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 23388 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 23402 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 23417 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 23434 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 23448 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 23463 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 23477 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 23492 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 23506 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 23521 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 23538 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 23552 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 23567 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 23584 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 23598 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 23613 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 23627 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 23642 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 23656 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 23671 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 23688 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 23702 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 23717 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 23734 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 23748 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 23763 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 23777 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 23792 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 23806 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 23821 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 23838 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 23852 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 23867 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 23884 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 23898 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 23913 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 23927 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 23942 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 23956 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 23971 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '3', '2', 'm', 0,
+  /* 23983 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '3', '2', 'm', 0,
+  /* 23995 */ 'S', 'U', 'B', '_', 'F', '3', '2', 'm', 0,
+  /* 24004 */ 'A', 'D', 'D', '_', 'F', '3', '2', 'm', 0,
+  /* 24013 */ 'I', 'L', 'D', '_', 'F', '3', '2', 'm', 0,
+  /* 24022 */ 'M', 'U', 'L', '_', 'F', '3', '2', 'm', 0,
+  /* 24031 */ 'S', 'U', 'B', 'R', '_', 'F', '3', '2', 'm', 0,
+  /* 24041 */ 'D', 'I', 'V', 'R', '_', 'F', '3', '2', 'm', 0,
+  /* 24051 */ 'I', 'S', 'T', '_', 'F', '3', '2', 'm', 0,
+  /* 24060 */ 'D', 'I', 'V', '_', 'F', '3', '2', 'm', 0,
+  /* 24069 */ 'N', 'E', 'G', '3', '2', 'm', 0,
+  /* 24076 */ 'S', 'U', 'B', '_', 'F', 'I', '3', '2', 'm', 0,
+  /* 24086 */ 'A', 'D', 'D', '_', 'F', 'I', '3', '2', 'm', 0,
+  /* 24096 */ 'M', 'U', 'L', '_', 'F', 'I', '3', '2', 'm', 0,
+  /* 24106 */ 'S', 'U', 'B', 'R', '_', 'F', 'I', '3', '2', 'm', 0,
+  /* 24117 */ 'D', 'I', 'V', 'R', '_', 'F', 'I', '3', '2', 'm', 0,
+  /* 24128 */ 'D', 'I', 'V', '_', 'F', 'I', '3', '2', 'm', 0,
+  /* 24138 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'm', 0,
+  /* 24149 */ 'I', 'M', 'U', 'L', '3', '2', 'm', 0,
+  /* 24157 */ 'F', 'C', 'O', 'M', '3', '2', 'm', 0,
+  /* 24165 */ 'F', 'I', 'C', 'O', 'M', '3', '2', 'm', 0,
+  /* 24174 */ 'I', 'S', 'T', '_', 'F', 'P', '3', '2', 'm', 0,
+  /* 24184 */ 'I', 'S', 'T', 'T', '_', 'F', 'P', '3', '2', 'm', 0,
+  /* 24195 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'm', 0,
+  /* 24205 */ 'F', 'C', 'O', 'M', 'P', '3', '2', 'm', 0,
+  /* 24214 */ 'F', 'I', 'C', 'O', 'M', 'P', '3', '2', 'm', 0,
+  /* 24224 */ 'S', 'T', '_', 'F', 'p', 'P', '3', '2', 'm', 0,
+  /* 24234 */ 'L', 'G', 'D', 'T', '3', '2', 'm', 0,
+  /* 24242 */ 'S', 'G', 'D', 'T', '3', '2', 'm', 0,
+  /* 24250 */ 'L', 'I', 'D', 'T', '3', '2', 'm', 0,
+  /* 24258 */ 'S', 'I', 'D', 'T', '3', '2', 'm', 0,
+  /* 24266 */ 'N', 'O', 'T', '3', '2', 'm', 0,
+  /* 24273 */ 'I', 'D', 'I', 'V', '3', '2', 'm', 0,
+  /* 24281 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'm', 0,
+  /* 24291 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'm', 0,
+  /* 24301 */ 'S', 'U', 'B', '_', 'F', 'p', '3', '2', 'm', 0,
+  /* 24311 */ 'A', 'D', 'D', '_', 'F', 'p', '3', '2', 'm', 0,
+  /* 24321 */ 'L', 'D', '_', 'F', 'p', '3', '2', 'm', 0,
+  /* 24330 */ 'M', 'U', 'L', '_', 'F', 'p', '3', '2', 'm', 0,
+  /* 24340 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '3', '2', 'm', 0,
+  /* 24351 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '3', '2', 'm', 0,
+  /* 24362 */ 'S', 'T', '_', 'F', 'p', '3', '2', 'm', 0,
+  /* 24371 */ 'D', 'I', 'V', '_', 'F', 'p', '3', '2', 'm', 0,
+  /* 24381 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 24398 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 24412 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 24427 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 24444 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 24458 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 24473 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 24487 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 24502 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 24516 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 24531 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 24548 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 24562 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 24577 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 24594 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 24608 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 24623 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 24637 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 24652 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 24666 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 24681 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '6', '4', 'm', 0,
+  /* 24693 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '6', '4', 'm', 0,
+  /* 24705 */ 'S', 'U', 'B', '_', 'F', '6', '4', 'm', 0,
+  /* 24714 */ 'A', 'D', 'D', '_', 'F', '6', '4', 'm', 0,
+  /* 24723 */ 'I', 'L', 'D', '_', 'F', '6', '4', 'm', 0,
+  /* 24732 */ 'M', 'U', 'L', '_', 'F', '6', '4', 'm', 0,
+  /* 24741 */ 'S', 'U', 'B', 'R', '_', 'F', '6', '4', 'm', 0,
+  /* 24751 */ 'D', 'I', 'V', 'R', '_', 'F', '6', '4', 'm', 0,
+  /* 24761 */ 'S', 'T', '_', 'F', '6', '4', 'm', 0,
+  /* 24769 */ 'D', 'I', 'V', '_', 'F', '6', '4', 'm', 0,
+  /* 24778 */ 'N', 'E', 'G', '6', '4', 'm', 0,
+  /* 24785 */ 'C', 'A', 'L', 'L', '6', '4', 'm', 0,
+  /* 24793 */ 'I', 'M', 'U', 'L', '6', '4', 'm', 0,
+  /* 24801 */ 'F', 'C', 'O', 'M', '6', '4', 'm', 0,
+  /* 24809 */ 'I', 'S', 'T', '_', 'F', 'P', '6', '4', 'm', 0,
+  /* 24819 */ 'I', 'S', 'T', 'T', '_', 'F', 'P', '6', '4', 'm', 0,
+  /* 24830 */ 'J', 'M', 'P', '6', '4', 'm', 0,
+  /* 24837 */ 'F', 'C', 'O', 'M', 'P', '6', '4', 'm', 0,
+  /* 24846 */ 'S', 'T', '_', 'F', 'p', 'P', '6', '4', 'm', 0,
+  /* 24856 */ 'L', 'G', 'D', 'T', '6', '4', 'm', 0,
+  /* 24864 */ 'S', 'G', 'D', 'T', '6', '4', 'm', 0,
+  /* 24872 */ 'L', 'I', 'D', 'T', '6', '4', 'm', 0,
+  /* 24880 */ 'S', 'I', 'D', 'T', '6', '4', 'm', 0,
+  /* 24888 */ 'S', 'L', 'D', 'T', '6', '4', 'm', 0,
+  /* 24896 */ 'N', 'O', 'T', '6', '4', 'm', 0,
+  /* 24903 */ 'I', 'D', 'I', 'V', '6', '4', 'm', 0,
+  /* 24911 */ 'S', 'U', 'B', '_', 'F', 'p', '6', '4', 'm', 0,
+  /* 24921 */ 'A', 'D', 'D', '_', 'F', 'p', '6', '4', 'm', 0,
+  /* 24931 */ 'L', 'D', '_', 'F', 'p', '6', '4', 'm', 0,
+  /* 24940 */ 'M', 'U', 'L', '_', 'F', 'p', '6', '4', 'm', 0,
+  /* 24950 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '6', '4', 'm', 0,
+  /* 24961 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '6', '4', 'm', 0,
+  /* 24972 */ 'S', 'T', '_', 'F', 'p', '6', '4', 'm', 0,
+  /* 24981 */ 'D', 'I', 'V', '_', 'F', 'p', '6', '4', 'm', 0,
+  /* 24991 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '1', '6', 'm', 0,
+  /* 25003 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '1', '6', 'm', 0,
+  /* 25015 */ 'I', 'L', 'D', '_', 'F', '1', '6', 'm', 0,
+  /* 25024 */ 'I', 'S', 'T', '_', 'F', '1', '6', 'm', 0,
+  /* 25033 */ 'N', 'E', 'G', '1', '6', 'm', 0,
+  /* 25040 */ 'S', 'U', 'B', '_', 'F', 'I', '1', '6', 'm', 0,
+  /* 25050 */ 'A', 'D', 'D', '_', 'F', 'I', '1', '6', 'm', 0,
+  /* 25060 */ 'M', 'U', 'L', '_', 'F', 'I', '1', '6', 'm', 0,
+  /* 25070 */ 'S', 'U', 'B', 'R', '_', 'F', 'I', '1', '6', 'm', 0,
+  /* 25081 */ 'D', 'I', 'V', 'R', '_', 'F', 'I', '1', '6', 'm', 0,
+  /* 25092 */ 'D', 'I', 'V', '_', 'F', 'I', '1', '6', 'm', 0,
+  /* 25102 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'm', 0,
+  /* 25113 */ 'I', 'M', 'U', 'L', '1', '6', 'm', 0,
+  /* 25121 */ 'F', 'I', 'C', 'O', 'M', '1', '6', 'm', 0,
+  /* 25130 */ 'I', 'S', 'T', '_', 'F', 'P', '1', '6', 'm', 0,
+  /* 25140 */ 'I', 'S', 'T', 'T', '_', 'F', 'P', '1', '6', 'm', 0,
+  /* 25151 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'm', 0,
+  /* 25161 */ 'F', 'I', 'C', 'O', 'M', 'P', '1', '6', 'm', 0,
+  /* 25171 */ 'L', 'G', 'D', 'T', '1', '6', 'm', 0,
+  /* 25179 */ 'S', 'G', 'D', 'T', '1', '6', 'm', 0,
+  /* 25187 */ 'L', 'I', 'D', 'T', '1', '6', 'm', 0,
+  /* 25195 */ 'S', 'I', 'D', 'T', '1', '6', 'm', 0,
+  /* 25203 */ 'L', 'L', 'D', 'T', '1', '6', 'm', 0,
+  /* 25211 */ 'S', 'L', 'D', 'T', '1', '6', 'm', 0,
+  /* 25219 */ 'N', 'O', 'T', '1', '6', 'm', 0,
+  /* 25226 */ 'I', 'D', 'I', 'V', '1', '6', 'm', 0,
+  /* 25234 */ 'F', 'L', 'D', 'C', 'W', '1', '6', 'm', 0,
+  /* 25243 */ 'F', 'N', 'S', 'T', 'C', 'W', '1', '6', 'm', 0,
+  /* 25253 */ 'L', 'M', 'S', 'W', '1', '6', 'm', 0,
+  /* 25261 */ 'S', 'M', 'S', 'W', '1', '6', 'm', 0,
+  /* 25269 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'm', 0,
+  /* 25279 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'm', 0,
+  /* 25289 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '8', 'm', 0,
+  /* 25300 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '8', 'm', 0,
+  /* 25311 */ 'N', 'E', 'G', '8', 'm', 0,
+  /* 25317 */ 'I', 'M', 'U', 'L', '8', 'm', 0,
+  /* 25324 */ 'N', 'O', 'T', '8', 'm', 0,
+  /* 25330 */ 'I', 'D', 'I', 'V', '8', 'm', 0,
+  /* 25337 */ 'S', 'E', 'T', 'A', 'm', 0,
+  /* 25343 */ 'S', 'E', 'T', 'B', 'm', 0,
+  /* 25349 */ 'F', 'B', 'L', 'D', 'm', 0,
+  /* 25355 */ 'V', 'M', 'P', 'T', 'R', 'L', 'D', 'm', 0,
+  /* 25364 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '0', 'D', 'P', 'D', 'm', 0,
+  /* 25379 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '0', 'D', 'P', 'D', 'm', 0,
+  /* 25395 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '1', 'D', 'P', 'D', 'm', 0,
+  /* 25410 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '1', 'D', 'P', 'D', 'm', 0,
+  /* 25426 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'D', 'm', 0,
+  /* 25436 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '0', 'Q', 'P', 'D', 'm', 0,
+  /* 25451 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '0', 'Q', 'P', 'D', 'm', 0,
+  /* 25467 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '1', 'Q', 'P', 'D', 'm', 0,
+  /* 25482 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '1', 'Q', 'P', 'D', 'm', 0,
+  /* 25498 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'm', 0,
+  /* 25507 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'D', 'm', 0,
+  /* 25518 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'D', 'm', 0,
+  /* 25528 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'D', 'm', 0,
+  /* 25541 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'm', 0,
+  /* 25550 */ 'S', 'E', 'T', 'A', 'E', 'm', 0,
+  /* 25557 */ 'S', 'E', 'T', 'B', 'E', 'm', 0,
+  /* 25564 */ 'S', 'E', 'T', 'G', 'E', 'm', 0,
+  /* 25571 */ 'S', 'E', 'T', 'L', 'E', 'm', 0,
+  /* 25578 */ 'S', 'E', 'T', 'N', 'E', 'm', 0,
+  /* 25585 */ 'S', 'E', 'T', 'E', 'm', 0,
+  /* 25591 */ 'F', 'S', 'A', 'V', 'E', 'm', 0,
+  /* 25598 */ 'S', 'E', 'T', 'G', 'm', 0,
+  /* 25604 */ 'S', 'E', 'T', 'L', 'm', 0,
+  /* 25610 */ 'S', 'E', 'T', 'N', 'O', 'm', 0,
+  /* 25617 */ 'S', 'E', 'T', 'O', 'm', 0,
+  /* 25623 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'm', 0,
+  /* 25632 */ 'S', 'E', 'T', 'N', 'P', 'm', 0,
+  /* 25639 */ 'S', 'E', 'T', 'P', 'm', 0,
+  /* 25645 */ 'F', 'B', 'S', 'T', 'P', 'm', 0,
+  /* 25652 */ 'V', 'M', 'C', 'L', 'E', 'A', 'R', 'm', 0,
+  /* 25661 */ 'F', 'R', 'S', 'T', 'O', 'R', 'm', 0,
+  /* 25669 */ 'V', 'E', 'R', 'R', 'm', 0,
+  /* 25675 */ 'L', 'T', 'R', 'm', 0,
+  /* 25680 */ 'S', 'T', 'R', 'm', 0,
+  /* 25685 */ 'S', 'E', 'T', 'N', 'S', 'm', 0,
+  /* 25692 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '0', 'D', 'P', 'S', 'm', 0,
+  /* 25707 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '0', 'D', 'P', 'S', 'm', 0,
+  /* 25723 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '1', 'D', 'P', 'S', 'm', 0,
+  /* 25738 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '1', 'D', 'P', 'S', 'm', 0,
+  /* 25754 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'S', 'm', 0,
+  /* 25764 */ 'V', 'R', 'C', 'P', 'P', 'S', 'm', 0,
+  /* 25772 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '0', 'Q', 'P', 'S', 'm', 0,
+  /* 25787 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '0', 'Q', 'P', 'S', 'm', 0,
+  /* 25803 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '1', 'Q', 'P', 'S', 'm', 0,
+  /* 25818 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '1', 'Q', 'P', 'S', 'm', 0,
+  /* 25834 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'm', 0,
+  /* 25844 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'm', 0,
+  /* 25853 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'S', 'm', 0,
+  /* 25864 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'S', 'm', 0,
+  /* 25874 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'S', 'm', 0,
+  /* 25887 */ 'V', 'R', 'C', 'P', 'S', 'S', 'm', 0,
+  /* 25895 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', 0,
+  /* 25905 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', 0,
+  /* 25914 */ 'S', 'E', 'T', 'S', 'm', 0,
+  /* 25920 */ 'V', 'M', 'P', 'T', 'R', 'S', 'T', 'm', 0,
+  /* 25929 */ 'F', 'L', 'D', 'E', 'N', 'V', 'm', 0,
+  /* 25937 */ 'F', 'S', 'T', 'E', 'N', 'V', 'm', 0,
+  /* 25945 */ 'V', 'E', 'R', 'W', 'm', 0,
+  /* 25951 */ 'F', 'N', 'S', 'T', 'S', 'W', 'm', 0,
+  /* 25959 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Y', 'm', 0,
+  /* 25969 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'm', 0,
+  /* 25978 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'm', 0,
+  /* 25989 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'm', 0,
+  /* 25999 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
+  /* 26016 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
+  /* 26030 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
+  /* 26045 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
+  /* 26062 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
+  /* 26076 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
+  /* 26091 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
+  /* 26108 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
+  /* 26122 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
+  /* 26137 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
+  /* 26154 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
+  /* 26168 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
+  /* 26183 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'D', 'Z', 'm', 0,
+  /* 26194 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'D', 'Z', 'm', 0,
+  /* 26207 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'D', 'Z', 'm', 0,
+  /* 26218 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'D', 'Z', 'm', 0,
+  /* 26231 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'D', 'Z', 'm', 0,
+  /* 26245 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'm', 0,
+  /* 26256 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'm', 0,
+  /* 26268 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'm', 0,
+  /* 26279 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'm', 0,
+  /* 26291 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'm', 0,
+  /* 26301 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
+  /* 26318 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
+  /* 26332 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
+  /* 26347 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
+  /* 26364 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
+  /* 26378 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
+  /* 26393 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
+  /* 26410 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
+  /* 26424 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
+  /* 26439 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
+  /* 26456 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
+  /* 26470 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
+  /* 26485 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'S', 'Z', 'm', 0,
+  /* 26496 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'S', 'Z', 'm', 0,
+  /* 26509 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'S', 'Z', 'm', 0,
+  /* 26520 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'S', 'Z', 'm', 0,
+  /* 26533 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'S', 'Z', 'm', 0,
+  /* 26547 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'm', 0,
+  /* 26558 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'm', 0,
+  /* 26570 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'm', 0,
+  /* 26581 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'm', 0,
+  /* 26593 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'm', 0,
+  /* 26603 */ 'K', 'M', 'O', 'V', 'B', 'k', 'm', 0,
+  /* 26611 */ 'K', 'M', 'O', 'V', 'D', 'k', 'm', 0,
+  /* 26619 */ 'K', 'M', 'O', 'V', 'Q', 'k', 'm', 0,
+  /* 26627 */ 'K', 'M', 'O', 'V', 'W', 'k', 'm', 0,
+  /* 26635 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'm', 0,
+  /* 26645 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'm', 0,
+  /* 26654 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'm', 0,
+  /* 26664 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'm', 0,
+  /* 26673 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'm', 0,
+  /* 26683 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'm', 0,
+  /* 26692 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '1', 'r', 'm', 0,
+  /* 26703 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '1', 'r', 'm', 0,
+  /* 26716 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '1', 'r', 'm', 0,
+  /* 26727 */ 'P', 'F', 'R', 'S', 'Q', 'I', 'T', '1', 'r', 'm', 0,
+  /* 26738 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'm', 0,
+  /* 26748 */ 'S', 'B', 'B', '3', '2', 'r', 'm', 0,
+  /* 26756 */ 'S', 'U', 'B', '3', '2', 'r', 'm', 0,
+  /* 26764 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'm', 0,
+  /* 26774 */ 'A', 'D', 'C', '3', '2', 'r', 'm', 0,
+  /* 26782 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'm', 0,
+  /* 26792 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'm', 0,
+  /* 26802 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'm', 0,
+  /* 26813 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'm', 0,
+  /* 26824 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'm', 0,
+  /* 26833 */ 'A', 'N', 'D', '3', '2', 'r', 'm', 0,
+  /* 26841 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'm', 0,
+  /* 26852 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'm', 0,
+  /* 26863 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'm', 0,
+  /* 26874 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'm', 0,
+  /* 26885 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'm', 0,
+  /* 26896 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'm', 0,
+  /* 26908 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'm', 0,
+  /* 26918 */ 'B', 'S', 'F', '3', '2', 'r', 'm', 0,
+  /* 26926 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'm', 0,
+  /* 26938 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'm', 0,
+  /* 26948 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'm', 0,
+  /* 26957 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'm', 0,
+  /* 26966 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'm', 0,
+  /* 26975 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
+  /* 26986 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
+  /* 26997 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
+  /* 27007 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
+  /* 27019 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
+  /* 27031 */ 'L', 'S', 'L', '3', '2', 'r', 'm', 0,
+  /* 27039 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 0,
+  /* 27048 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'm', 0,
+  /* 27058 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'm', 0,
+  /* 27067 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'm', 0,
+  /* 27078 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'm', 0,
+  /* 27088 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'm', 0,
+  /* 27097 */ 'C', 'M', 'P', '3', '2', 'r', 'm', 0,
+  /* 27105 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'm', 0,
+  /* 27116 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'm', 0,
+  /* 27126 */ 'L', 'A', 'R', '3', '2', 'r', 'm', 0,
+  /* 27134 */ 'X', 'O', 'R', '3', '2', 'r', 'm', 0,
+  /* 27142 */ 'B', 'S', 'R', '3', '2', 'r', 'm', 0,
+  /* 27150 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'm', 0,
+  /* 27159 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'm', 0,
+  /* 27169 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'm', 0,
+  /* 27178 */ 'L', 'D', 'S', '3', '2', 'r', 'm', 0,
+  /* 27186 */ 'B', 'O', 'U', 'N', 'D', 'S', '3', '2', 'r', 'm', 0,
+  /* 27197 */ 'L', 'E', 'S', '3', '2', 'r', 'm', 0,
+  /* 27205 */ 'L', 'F', 'S', '3', '2', 'r', 'm', 0,
+  /* 27213 */ 'L', 'G', 'S', '3', '2', 'r', 'm', 0,
+  /* 27221 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'm', 0,
+  /* 27232 */ 'L', 'S', 'S', '3', '2', 'r', 'm', 0,
+  /* 27240 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'm', 0,
+  /* 27250 */ 'P', 'O', 'P', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
+  /* 27261 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
+  /* 27271 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
+  /* 27281 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'm', 0,
+  /* 27290 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'm', 0,
+  /* 27299 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '3', '2', 'r', 'm', 0,
+  /* 27315 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'm', 0,
+  /* 27324 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'm', 0,
+  /* 27333 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'm', 0,
+  /* 27342 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'm', 0,
+  /* 27351 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'm', 0,
+  /* 27360 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'm', 0,
+  /* 27369 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '2', 'r', 'm', 0,
+  /* 27380 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '2', 'r', 'm', 0,
+  /* 27393 */ 'S', 'H', 'A', '2', '5', '6', 'R', 'N', 'D', 'S', '2', 'r', 'm', 0,
+  /* 27407 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '2', 'r', 'm', 0,
+  /* 27418 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'm', 0,
+  /* 27428 */ 'S', 'B', 'B', '6', '4', 'r', 'm', 0,
+  /* 27436 */ 'S', 'U', 'B', '6', '4', 'r', 'm', 0,
+  /* 27444 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'm', 0,
+  /* 27454 */ 'A', 'D', 'C', '6', '4', 'r', 'm', 0,
+  /* 27462 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'm', 0,
+  /* 27472 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'm', 0,
+  /* 27482 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'm', 0,
+  /* 27493 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'm', 0,
+  /* 27504 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'm', 0,
+  /* 27513 */ 'A', 'N', 'D', '6', '4', 'r', 'm', 0,
+  /* 27521 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'm', 0,
+  /* 27539 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'm', 0,
+  /* 27556 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'r', 'm', 0,
+  /* 27569 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'm', 0,
+  /* 27580 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'm', 0,
+  /* 27591 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'm', 0,
+  /* 27602 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'm', 0,
+  /* 27613 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'm', 0,
+  /* 27624 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'm', 0,
+  /* 27636 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'm', 0,
+  /* 27646 */ 'B', 'S', 'F', '6', '4', 'r', 'm', 0,
+  /* 27654 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'm', 0,
+  /* 27666 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'm', 0,
+  /* 27676 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'm', 0,
+  /* 27685 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'm', 0,
+  /* 27694 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
+  /* 27713 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
+  /* 27731 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
+  /* 27745 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
+  /* 27764 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
+  /* 27782 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
+  /* 27796 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'm', 0,
+  /* 27805 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
+  /* 27816 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
+  /* 27827 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
+  /* 27837 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
+  /* 27849 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
+  /* 27861 */ 'L', 'S', 'L', '6', '4', 'r', 'm', 0,
+  /* 27869 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 0,
+  /* 27878 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'm', 0,
+  /* 27888 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'm', 0,
+  /* 27897 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'm', 0,
+  /* 27908 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'm', 0,
+  /* 27918 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'm', 0,
+  /* 27927 */ 'C', 'M', 'P', '6', '4', 'r', 'm', 0,
+  /* 27935 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'm', 0,
+  /* 27946 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'm', 0,
+  /* 27956 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '6', '4', 'r', 'm', 0,
+  /* 27969 */ 'L', 'A', 'R', '6', '4', 'r', 'm', 0,
+  /* 27977 */ 'X', 'O', 'R', '6', '4', 'r', 'm', 0,
+  /* 27985 */ 'B', 'S', 'R', '6', '4', 'r', 'm', 0,
+  /* 27993 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'm', 0,
+  /* 28002 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'm', 0,
+  /* 28012 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'm', 0,
+  /* 28021 */ 'L', 'F', 'S', '6', '4', 'r', 'm', 0,
+  /* 28029 */ 'L', 'G', 'S', '6', '4', 'r', 'm', 0,
+  /* 28037 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'm', 0,
+  /* 28048 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'm', 0,
+  /* 28066 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'm', 0,
+  /* 28083 */ 'L', 'S', 'S', '6', '4', 'r', 'm', 0,
+  /* 28091 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'm', 0,
+  /* 28101 */ 'P', 'O', 'P', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
+  /* 28112 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
+  /* 28122 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
+  /* 28132 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'm', 0,
+  /* 28141 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'm', 0,
+  /* 28150 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '6', '4', 'r', 'm', 0,
+  /* 28166 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'm', 0,
+  /* 28175 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'm', 0,
+  /* 28184 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'm', 0,
+  /* 28193 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'm', 0,
+  /* 28202 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'm', 0,
+  /* 28211 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'm', 0,
+  /* 28220 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 0,
+  /* 28235 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 0,
+  /* 28247 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 0,
+  /* 28260 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 0,
+  /* 28275 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 0,
+  /* 28287 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 0,
+  /* 28300 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', 0,
+  /* 28312 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', 0,
+  /* 28325 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', 0,
+  /* 28337 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', 0,
+  /* 28350 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 0,
+  /* 28365 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 0,
+  /* 28377 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 0,
+  /* 28390 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 0,
+  /* 28405 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 0,
+  /* 28417 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 0,
+  /* 28430 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', 0,
+  /* 28442 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', 0,
+  /* 28455 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', 0,
+  /* 28467 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', 0,
+  /* 28480 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'I', '3', '2', 'X', '4', 'r', 'm', 0,
+  /* 28498 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'I', '6', '4', 'X', '4', 'r', 'm', 0,
+  /* 28516 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '3', '2', 'x', '4', 'r', 'm', 0,
+  /* 28531 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '3', '2', 'x', '4', 'r', 'm', 0,
+  /* 28546 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '6', '4', 'x', '4', 'r', 'm', 0,
+  /* 28561 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '6', '4', 'x', '4', 'r', 'm', 0,
+  /* 28576 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'm', 0,
+  /* 28586 */ 'S', 'B', 'B', '1', '6', 'r', 'm', 0,
+  /* 28594 */ 'S', 'U', 'B', '1', '6', 'r', 'm', 0,
+  /* 28602 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'm', 0,
+  /* 28612 */ 'A', 'D', 'C', '1', '6', 'r', 'm', 0,
+  /* 28620 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'm', 0,
+  /* 28629 */ 'A', 'N', 'D', '1', '6', 'r', 'm', 0,
+  /* 28637 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'm', 0,
+  /* 28648 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'm', 0,
+  /* 28659 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'm', 0,
+  /* 28670 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'm', 0,
+  /* 28681 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'm', 0,
+  /* 28692 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'm', 0,
+  /* 28702 */ 'B', 'S', 'F', '1', '6', 'r', 'm', 0,
+  /* 28710 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'm', 0,
+  /* 28722 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'm', 0,
+  /* 28732 */ 'L', 'S', 'L', '1', '6', 'r', 'm', 0,
+  /* 28740 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 0,
+  /* 28749 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'm', 0,
+  /* 28759 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'm', 0,
+  /* 28770 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'm', 0,
+  /* 28780 */ 'C', 'M', 'P', '1', '6', 'r', 'm', 0,
+  /* 28788 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'm', 0,
+  /* 28799 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'm', 0,
+  /* 28809 */ 'L', 'A', 'R', '1', '6', 'r', 'm', 0,
+  /* 28817 */ 'X', 'O', 'R', '1', '6', 'r', 'm', 0,
+  /* 28825 */ 'B', 'S', 'R', '1', '6', 'r', 'm', 0,
+  /* 28833 */ 'L', 'D', 'S', '1', '6', 'r', 'm', 0,
+  /* 28841 */ 'B', 'O', 'U', 'N', 'D', 'S', '1', '6', 'r', 'm', 0,
+  /* 28852 */ 'L', 'E', 'S', '1', '6', 'r', 'm', 0,
+  /* 28860 */ 'L', 'F', 'S', '1', '6', 'r', 'm', 0,
+  /* 28868 */ 'L', 'G', 'S', '1', '6', 'r', 'm', 0,
+  /* 28876 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'm', 0,
+  /* 28887 */ 'L', 'S', 'S', '1', '6', 'r', 'm', 0,
+  /* 28895 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'm', 0,
+  /* 28905 */ 'P', 'O', 'P', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
+  /* 28916 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
+  /* 28926 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
+  /* 28936 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'm', 0,
+  /* 28945 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '1', '6', 'r', 'm', 0,
+  /* 28961 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '2', '5', '6', 'r', 'm', 0,
+  /* 28975 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 28991 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29007 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29023 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29039 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29055 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29070 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'A', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29086 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29101 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29116 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29130 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29144 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29159 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29174 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29189 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29204 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29218 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29232 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29247 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 29262 */ 'V', 'P', 'E', 'R', 'M', '2', 'F', '1', '2', '8', 'r', 'm', 0,
+  /* 29275 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '1', '2', '8', 'r', 'm', 0,
+  /* 29289 */ 'V', 'P', 'E', 'R', 'M', '2', 'I', '1', '2', '8', 'r', 'm', 0,
+  /* 29302 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '1', '2', '8', 'r', 'm', 0,
+  /* 29316 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'm', 0,
+  /* 29332 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'm', 0,
+  /* 29348 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '1', '2', '8', 'r', 'm', 0,
+  /* 29362 */ 'V', 'A', 'E', 'S', 'K', 'E', 'Y', 'G', 'E', 'N', 'A', 'S', 'S', 'I', 'S', 'T', '1', '2', '8', 'r', 'm', 0,
+  /* 29384 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29400 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29416 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29432 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29448 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29464 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29479 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'A', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29495 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29510 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29525 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29539 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29553 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29568 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29583 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29598 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29613 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29627 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29641 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29656 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 29671 */ 'S', 'B', 'B', '8', 'r', 'm', 0,
+  /* 29678 */ 'S', 'U', 'B', '8', 'r', 'm', 0,
+  /* 29685 */ 'A', 'D', 'C', '8', 'r', 'm', 0,
+  /* 29692 */ 'X', 'A', 'D', 'D', '8', 'r', 'm', 0,
+  /* 29700 */ 'A', 'N', 'D', '8', 'r', 'm', 0,
+  /* 29707 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'm', 0,
+  /* 29718 */ 'C', 'M', 'P', '8', 'r', 'm', 0,
+  /* 29725 */ 'X', 'O', 'R', '8', 'r', 'm', 0,
+  /* 29732 */ 'T', 'E', 'S', 'T', '8', 'r', 'm', 0,
+  /* 29740 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '8', 'r', 'm', 0,
+  /* 29755 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'A', 'r', 'm', 0,
+  /* 29767 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'r', 'm', 0,
+  /* 29777 */ 'V', 'P', 'S', 'H', 'A', 'B', 'r', 'm', 0,
+  /* 29786 */ 'V', 'P', 'S', 'U', 'B', 'B', 'r', 'm', 0,
+  /* 29795 */ 'V', 'P', 'A', 'D', 'D', 'B', 'r', 'm', 0,
+  /* 29804 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'r', 'm', 0,
+  /* 29814 */ 'V', 'P', 'A', 'V', 'G', 'B', 'r', 'm', 0,
+  /* 29823 */ 'V', 'P', 'S', 'H', 'L', 'B', 'r', 'm', 0,
+  /* 29832 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'r', 'm', 0,
+  /* 29842 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'r', 'm', 0,
+  /* 29853 */ 'V', 'P', 'I', 'N', 'S', 'R', 'B', 'r', 'm', 0,
+  /* 29863 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'r', 'm', 0,
+  /* 29873 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'r', 'm', 0,
+  /* 29883 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'r', 'm', 0,
+  /* 29893 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'r', 'm', 0,
+  /* 29904 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'r', 'm', 0,
+  /* 29915 */ 'P', 'A', 'V', 'G', 'U', 'S', 'B', 'r', 'm', 0,
+  /* 29925 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'r', 'm', 0,
+  /* 29935 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'r', 'm', 0,
+  /* 29946 */ 'V', 'P', 'R', 'O', 'T', 'B', 'r', 'm', 0,
+  /* 29955 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'r', 'm', 0,
+  /* 29970 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'r', 'm', 0,
+  /* 29980 */ 'P', 'F', 'S', 'U', 'B', 'r', 'm', 0,
+  /* 29988 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'r', 'm', 0,
+  /* 29998 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'r', 'm', 0,
+  /* 30010 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'r', 'm', 0,
+  /* 30022 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'r', 'm', 0,
+  /* 30034 */ 'P', 'F', 'A', 'C', 'C', 'r', 'm', 0,
+  /* 30042 */ 'P', 'F', 'N', 'A', 'C', 'C', 'r', 'm', 0,
+  /* 30051 */ 'P', 'F', 'P', 'N', 'A', 'C', 'C', 'r', 'm', 0,
+  /* 30061 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'r', 'm', 0,
+  /* 30071 */ 'V', 'A', 'E', 'S', 'I', 'M', 'C', 'r', 'm', 0,
+  /* 30081 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'r', 'm', 0,
+  /* 30091 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'm', 0,
+  /* 30102 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'm', 0,
+  /* 30113 */ 'V', 'P', 'S', 'H', 'A', 'D', 'r', 'm', 0,
+  /* 30122 */ 'V', 'P', 'S', 'R', 'A', 'D', 'r', 'm', 0,
+  /* 30131 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'D', 'r', 'm', 0,
+  /* 30143 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'D', 'r', 'm', 0,
+  /* 30154 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'D', 'r', 'm', 0,
+  /* 30166 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'r', 'm', 0,
+  /* 30176 */ 'V', 'P', 'S', 'U', 'B', 'D', 'r', 'm', 0,
+  /* 30185 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'r', 'm', 0,
+  /* 30197 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'r', 'm', 0,
+  /* 30209 */ 'P', 'F', 'A', 'D', 'D', 'r', 'm', 0,
+  /* 30217 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'r', 'm', 0,
+  /* 30227 */ 'V', 'P', 'A', 'D', 'D', 'D', 'r', 'm', 0,
+  /* 30236 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'D', 'r', 'm', 0,
+  /* 30249 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'D', 'r', 'm', 0,
+  /* 30260 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'D', 'r', 'm', 0,
+  /* 30272 */ 'P', 'I', '2', 'F', 'D', 'r', 'm', 0,
+  /* 30280 */ 'P', 'F', '2', 'I', 'D', 'r', 'm', 0,
+  /* 30288 */ 'V', 'P', 'S', 'H', 'L', 'D', 'r', 'm', 0,
+  /* 30297 */ 'V', 'P', 'S', 'L', 'L', 'D', 'r', 'm', 0,
+  /* 30306 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'D', 'r', 'm', 0,
+  /* 30318 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'r', 'm', 0,
+  /* 30328 */ 'V', 'P', 'S', 'R', 'L', 'D', 'r', 'm', 0,
+  /* 30337 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'D', 'r', 'm', 0,
+  /* 30349 */ 'V', 'P', 'A', 'N', 'D', 'r', 'm', 0,
+  /* 30357 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'r', 'm', 0,
+  /* 30367 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'm', 0,
+  /* 30379 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'm', 0,
+  /* 30392 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'r', 'm', 0,
+  /* 30404 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'r', 'm', 0,
+  /* 30416 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'm', 0,
+  /* 30428 */ 'F', 's', 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'm', 0,
+  /* 30440 */ 'F', 's', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'm', 0,
+  /* 30451 */ 'P', 'S', 'W', 'A', 'P', 'D', 'r', 'm', 0,
+  /* 30460 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', 'm', 0,
+  /* 30472 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'r', 'm', 0,
+  /* 30482 */ 'V', 'S', 'U', 'B', 'P', 'D', 'r', 'm', 0,
+  /* 30491 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'r', 'm', 0,
+  /* 30501 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'r', 'm', 0,
+  /* 30511 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'r', 'm', 0,
+  /* 30521 */ 'V', 'A', 'D', 'D', 'P', 'D', 'r', 'm', 0,
+  /* 30530 */ 'V', 'A', 'N', 'D', 'P', 'D', 'r', 'm', 0,
+  /* 30539 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'D', 'r', 'm', 0,
+  /* 30550 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'D', 'r', 'm', 0,
+  /* 30563 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'r', 'm', 0,
+  /* 30575 */ 'V', 'M', 'O', 'V', 'H', 'P', 'D', 'r', 'm', 0,
+  /* 30585 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'r', 'm', 0,
+  /* 30597 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'r', 'm', 0,
+  /* 30609 */ 'V', 'M', 'U', 'L', 'P', 'D', 'r', 'm', 0,
+  /* 30618 */ 'V', 'M', 'O', 'V', 'L', 'P', 'D', 'r', 'm', 0,
+  /* 30628 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'm', 0,
+  /* 30638 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'm', 0,
+  /* 30650 */ 'V', 'M', 'I', 'N', 'P', 'D', 'r', 'm', 0,
+  /* 30659 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'D', 'r', 'm', 0,
+  /* 30672 */ 'V', 'O', 'R', 'P', 'D', 'r', 'm', 0,
+  /* 30680 */ 'V', 'X', 'O', 'R', 'P', 'D', 'r', 'm', 0,
+  /* 30689 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'D', 'r', 'm', 0,
+  /* 30700 */ 'V', 'F', 's', 'O', 'R', 'P', 'D', 'r', 'm', 0,
+  /* 30710 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'r', 'm', 0,
+  /* 30720 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'r', 'm', 0,
+  /* 30730 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'r', 'm', 0,
+  /* 30742 */ 'V', 'D', 'I', 'V', 'P', 'D', 'r', 'm', 0,
+  /* 30751 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'r', 'm', 0,
+  /* 30764 */ 'V', 'M', 'A', 'X', 'P', 'D', 'r', 'm', 0,
+  /* 30773 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'm', 0,
+  /* 30783 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'r', 'm', 0,
+  /* 30794 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'D', 'r', 'm', 0,
+  /* 30807 */ 'V', 'P', 'I', 'N', 'S', 'R', 'D', 'r', 'm', 0,
+  /* 30817 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'm', 0,
+  /* 30833 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'm', 0,
+  /* 30848 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'm', 0,
+  /* 30864 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'm', 0,
+  /* 30879 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'D', 'r', 'm', 0,
+  /* 30890 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'D', 'r', 'm', 0,
+  /* 30903 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'D', 'r', 'm', 0,
+  /* 30914 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'D', 'r', 'm', 0,
+  /* 30927 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'm', 0,
+  /* 30936 */ 'V', 'M', 'I', 'N', 'C', 'S', 'D', 'r', 'm', 0,
+  /* 30946 */ 'V', 'M', 'A', 'X', 'C', 'S', 'D', 'r', 'm', 0,
+  /* 30956 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'm', 0,
+  /* 30965 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
+  /* 30980 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
+  /* 30994 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
+  /* 31008 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
+  /* 31021 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'm', 0,
+  /* 31030 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'r', 'm', 0,
+  /* 31040 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'm', 0,
+  /* 31049 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'm', 0,
+  /* 31062 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'D', 'r', 'm', 0,
+  /* 31074 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'm', 0,
+  /* 31083 */ 'V', 'M', 'O', 'V', 'S', 'D', 'r', 'm', 0,
+  /* 31092 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'r', 'm', 0,
+  /* 31102 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'm', 0,
+  /* 31111 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'D', 'r', 'm', 0,
+  /* 31121 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'S', 'D', 'r', 'm', 0,
+  /* 31134 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 0,
+  /* 31148 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'r', 'm', 0,
+  /* 31159 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 0,
+  /* 31170 */ 'V', 'P', 'R', 'O', 'T', 'D', 'r', 'm', 0,
+  /* 31179 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'm', 0,
+  /* 31194 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'r', 'm', 0,
+  /* 31204 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'r', 'm', 0,
+  /* 31214 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'r', 'm', 0,
+  /* 31224 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'r', 'm', 0,
+  /* 31234 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'r', 'm', 0,
+  /* 31244 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'r', 'm', 0,
+  /* 31257 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'D', 'r', 'm', 0,
+  /* 31268 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'D', 'r', 'm', 0,
+  /* 31279 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'r', 'm', 0,
+  /* 31290 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'r', 'm', 0,
+  /* 31303 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'r', 'm', 0,
+  /* 31316 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'D', 'r', 'm', 0,
+  /* 31327 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'W', 'D', 'r', 'm', 0,
+  /* 31339 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'D', 'r', 'm', 0,
+  /* 31351 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'S', 'W', 'D', 'r', 'm', 0,
+  /* 31364 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'D', 'r', 'm', 0,
+  /* 31376 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'r', 'm', 0,
+  /* 31388 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'r', 'm', 0,
+  /* 31400 */ 'P', 'F', 'C', 'M', 'P', 'G', 'E', 'r', 'm', 0,
+  /* 31410 */ 'S', 'H', 'A', '1', 'N', 'E', 'X', 'T', 'E', 'r', 'm', 0,
+  /* 31422 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'H', 'r', 'm', 0,
+  /* 31434 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'H', 'r', 'm', 0,
+  /* 31447 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'r', 'm', 0,
+  /* 31460 */ 'V', 'M', 'O', 'V', 'Q', 'I', '2', 'P', 'Q', 'I', 'r', 'm', 0,
+  /* 31473 */ 'V', 'M', 'O', 'V', 'Z', 'Q', 'I', '2', 'P', 'Q', 'I', 'r', 'm', 0,
+  /* 31487 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'r', 'm', 0,
+  /* 31504 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'I', 'r', 'm', 0,
+  /* 31517 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'I', 'r', 'm', 0,
+  /* 31530 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'm', 0,
+  /* 31547 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'm', 0,
+  /* 31563 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'm', 0,
+  /* 31575 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'm', 0,
+  /* 31592 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'm', 0,
+  /* 31608 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'm', 0,
+  /* 31620 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'L', 'r', 'm', 0,
+  /* 31632 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'L', 'r', 'm', 0,
+  /* 31645 */ 'P', 'F', 'M', 'U', 'L', 'r', 'm', 0,
+  /* 31653 */ 'V', 'P', 'P', 'E', 'R', 'M', 'r', 'm', 0,
+  /* 31662 */ 'V', 'P', 'A', 'N', 'D', 'N', 'r', 'm', 0,
+  /* 31671 */ 'P', 'F', 'M', 'I', 'N', 'r', 'm', 0,
+  /* 31679 */ 'P', 'F', 'R', 'C', 'P', 'r', 'm', 0,
+  /* 31687 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'r', 'm', 0,
+  /* 31698 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'r', 'm', 0,
+  /* 31710 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'r', 'm', 0,
+  /* 31722 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'm', 0,
+  /* 31733 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'm', 0,
+  /* 31744 */ 'V', 'P', 'S', 'H', 'A', 'Q', 'r', 'm', 0,
+  /* 31753 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'Q', 'r', 'm', 0,
+  /* 31764 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'Q', 'r', 'm', 0,
+  /* 31776 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'r', 'm', 0,
+  /* 31785 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'r', 'm', 0,
+  /* 31797 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'r', 'm', 0,
+  /* 31809 */ 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'm', 0,
+  /* 31821 */ 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'm', 0,
+  /* 31832 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'm', 0,
+  /* 31845 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'm', 0,
+  /* 31857 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Q', 'r', 'm', 0,
+  /* 31868 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'r', 'm', 0,
+  /* 31877 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Q', 'r', 'm', 0,
+  /* 31888 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'r', 'm', 0,
+  /* 31901 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'r', 'm', 0,
+  /* 31914 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'r', 'm', 0,
+  /* 31924 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'r', 'm', 0,
+  /* 31938 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'r', 'm', 0,
+  /* 31952 */ 'V', 'P', 'C', 'L', 'M', 'U', 'L', 'Q', 'D', 'Q', 'r', 'm', 0,
+  /* 31965 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'Q', 'r', 'm', 0,
+  /* 31978 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'D', 'Q', 'r', 'm', 0,
+  /* 31990 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'r', 'm', 0,
+  /* 32001 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'r', 'm', 0,
+  /* 32013 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'r', 'm', 0,
+  /* 32025 */ 'P', 'F', 'C', 'M', 'P', 'E', 'Q', 'r', 'm', 0,
+  /* 32035 */ 'V', 'P', 'S', 'H', 'L', 'Q', 'r', 'm', 0,
+  /* 32044 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'r', 'm', 0,
+  /* 32053 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'Q', 'r', 'm', 0,
+  /* 32065 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'r', 'm', 0,
+  /* 32074 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'Q', 'r', 'm', 0,
+  /* 32086 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'r', 'm', 0,
+  /* 32097 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'Q', 'r', 'm', 0,
+  /* 32110 */ 'V', 'P', 'I', 'N', 'S', 'R', 'Q', 'r', 'm', 0,
+  /* 32120 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 0,
+  /* 32134 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'r', 'm', 0,
+  /* 32145 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 0,
+  /* 32156 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'r', 'm', 0,
+  /* 32165 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'm', 0,
+  /* 32180 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'r', 'm', 0,
+  /* 32190 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'r', 'm', 0,
+  /* 32200 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'r', 'm', 0,
+  /* 32213 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Q', 'r', 'm', 0,
+  /* 32224 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'Q', 'r', 'm', 0,
+  /* 32236 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'r', 'm', 0,
+  /* 32248 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'r', 'm', 0,
+  /* 32260 */ 'P', 'F', 'S', 'U', 'B', 'R', 'r', 'm', 0,
+  /* 32269 */ 'V', 'P', 'O', 'R', 'r', 'm', 0,
+  /* 32276 */ 'V', 'P', 'X', 'O', 'R', 'r', 'm', 0,
+  /* 32284 */ 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'r', 'm', 0,
+  /* 32295 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'r', 'm', 0,
+  /* 32307 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'm', 0,
+  /* 32319 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'm', 0,
+  /* 32332 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'r', 'm', 0,
+  /* 32344 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'm', 0,
+  /* 32356 */ 'F', 's', 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'm', 0,
+  /* 32368 */ 'F', 's', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'm', 0,
+  /* 32379 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', 'm', 0,
+  /* 32391 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'r', 'm', 0,
+  /* 32401 */ 'V', 'S', 'U', 'B', 'P', 'S', 'r', 'm', 0,
+  /* 32410 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'r', 'm', 0,
+  /* 32420 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'r', 'm', 0,
+  /* 32430 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'r', 'm', 0,
+  /* 32440 */ 'V', 'A', 'D', 'D', 'P', 'S', 'r', 'm', 0,
+  /* 32449 */ 'V', 'A', 'N', 'D', 'P', 'S', 'r', 'm', 0,
+  /* 32458 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'S', 'r', 'm', 0,
+  /* 32469 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'S', 'r', 'm', 0,
+  /* 32482 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'r', 'm', 0,
+  /* 32494 */ 'V', 'M', 'O', 'V', 'H', 'P', 'S', 'r', 'm', 0,
+  /* 32504 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'r', 'm', 0,
+  /* 32516 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'r', 'm', 0,
+  /* 32528 */ 'V', 'M', 'U', 'L', 'P', 'S', 'r', 'm', 0,
+  /* 32537 */ 'V', 'M', 'O', 'V', 'L', 'P', 'S', 'r', 'm', 0,
+  /* 32547 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'm', 0,
+  /* 32557 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'm', 0,
+  /* 32569 */ 'V', 'M', 'I', 'N', 'P', 'S', 'r', 'm', 0,
+  /* 32578 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'S', 'r', 'm', 0,
+  /* 32591 */ 'V', 'O', 'R', 'P', 'S', 'r', 'm', 0,
+  /* 32599 */ 'V', 'X', 'O', 'R', 'P', 'S', 'r', 'm', 0,
+  /* 32608 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'S', 'r', 'm', 0,
+  /* 32619 */ 'V', 'F', 's', 'O', 'R', 'P', 'S', 'r', 'm', 0,
+  /* 32629 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'r', 'm', 0,
+  /* 32641 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'r', 'm', 0,
+  /* 32651 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'r', 'm', 0,
+  /* 32661 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'r', 'm', 0,
+  /* 32673 */ 'V', 'D', 'I', 'V', 'P', 'S', 'r', 'm', 0,
+  /* 32682 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'r', 'm', 0,
+  /* 32695 */ 'V', 'M', 'A', 'X', 'P', 'S', 'r', 'm', 0,
+  /* 32704 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'm', 0,
+  /* 32714 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'm', 0,
+  /* 32730 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'm', 0,
+  /* 32745 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'r', 'm', 0,
+  /* 32757 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'm', 0,
+  /* 32773 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'm', 0,
+  /* 32788 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'S', 'r', 'm', 0,
+  /* 32799 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'S', 'r', 'm', 0,
+  /* 32812 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'S', 'r', 'm', 0,
+  /* 32823 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'S', 'r', 'm', 0,
+  /* 32836 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'm', 0,
+  /* 32845 */ 'V', 'M', 'I', 'N', 'C', 'S', 'S', 'r', 'm', 0,
+  /* 32855 */ 'V', 'M', 'A', 'X', 'C', 'S', 'S', 'r', 'm', 0,
+  /* 32865 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'm', 0,
+  /* 32874 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
+  /* 32889 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
+  /* 32903 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
+  /* 32917 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
+  /* 32930 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'm', 0,
+  /* 32939 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'm', 0,
+  /* 32948 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'm', 0,
+  /* 32961 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'S', 'r', 'm', 0,
+  /* 32973 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'r', 'm', 0,
+  /* 32988 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'm', 0,
+  /* 32997 */ 'V', 'M', 'O', 'V', 'S', 'S', 'r', 'm', 0,
+  /* 33006 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'm', 0,
+  /* 33015 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'S', 'r', 'm', 0,
+  /* 33025 */ 'P', 'F', 'C', 'M', 'P', 'G', 'T', 'r', 'm', 0,
+  /* 33035 */ 'P', 'F', 'R', 'S', 'Q', 'R', 'T', 'r', 'm', 0,
+  /* 33045 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'L', 'A', 'S', 'T', 'r', 'm', 0,
+  /* 33059 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'L', 'A', 'S', 'T', 'r', 'm', 0,
+  /* 33073 */ 'V', 'P', 'T', 'E', 'S', 'T', 'r', 'm', 0,
+  /* 33082 */ 'V', 'L', 'D', 'D', 'Q', 'U', 'r', 'm', 0,
+  /* 33091 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'r', 'm', 0,
+  /* 33101 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'm', 0,
+  /* 33110 */ 'V', 'P', 'S', 'H', 'A', 'W', 'r', 'm', 0,
+  /* 33119 */ 'V', 'P', 'S', 'R', 'A', 'W', 'r', 'm', 0,
+  /* 33128 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'W', 'r', 'm', 0,
+  /* 33140 */ 'V', 'P', 'H', 'S', 'U', 'B', 'B', 'W', 'r', 'm', 0,
+  /* 33151 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'm', 0,
+  /* 33161 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'W', 'r', 'm', 0,
+  /* 33172 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'r', 'm', 0,
+  /* 33185 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'r', 'm', 0,
+  /* 33198 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'W', 'r', 'm', 0,
+  /* 33210 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'r', 'm', 0,
+  /* 33220 */ 'V', 'P', 'S', 'U', 'B', 'W', 'r', 'm', 0,
+  /* 33229 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'r', 'm', 0,
+  /* 33241 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'r', 'm', 0,
+  /* 33253 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'r', 'm', 0,
+  /* 33263 */ 'V', 'P', 'A', 'D', 'D', 'W', 'r', 'm', 0,
+  /* 33272 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'r', 'm', 0,
+  /* 33284 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'r', 'm', 0,
+  /* 33296 */ 'P', 'I', '2', 'F', 'W', 'r', 'm', 0,
+  /* 33304 */ 'V', 'P', 'A', 'V', 'G', 'W', 'r', 'm', 0,
+  /* 33313 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'r', 'm', 0,
+  /* 33323 */ 'P', 'F', '2', 'I', 'W', 'r', 'm', 0,
+  /* 33331 */ 'V', 'P', 'S', 'H', 'L', 'W', 'r', 'm', 0,
+  /* 33340 */ 'V', 'P', 'S', 'L', 'L', 'W', 'r', 'm', 0,
+  /* 33349 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'W', 'r', 'm', 0,
+  /* 33361 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'r', 'm', 0,
+  /* 33371 */ 'V', 'P', 'S', 'R', 'L', 'W', 'r', 'm', 0,
+  /* 33380 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'W', 'r', 'm', 0,
+  /* 33392 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'r', 'm', 0,
+  /* 33402 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'r', 'm', 0,
+  /* 33413 */ 'P', 'M', 'U', 'L', 'H', 'R', 'W', 'r', 'm', 0,
+  /* 33423 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'r', 'm', 0,
+  /* 33433 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'r', 'm', 0,
+  /* 33443 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'r', 'm', 0,
+  /* 33453 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'r', 'm', 0,
+  /* 33464 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'r', 'm', 0,
+  /* 33475 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'r', 'm', 0,
+  /* 33485 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'r', 'm', 0,
+  /* 33496 */ 'V', 'P', 'R', 'O', 'T', 'W', 'r', 'm', 0,
+  /* 33505 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'r', 'm', 0,
+  /* 33520 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'r', 'm', 0,
+  /* 33531 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'r', 'm', 0,
+  /* 33541 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'r', 'm', 0,
+  /* 33551 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'W', 'r', 'm', 0,
+  /* 33562 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'W', 'r', 'm', 0,
+  /* 33574 */ 'P', 'F', 'M', 'A', 'X', 'r', 'm', 0,
+  /* 33582 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'X', 'r', 'm', 0,
+  /* 33596 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'X', 'r', 'm', 0,
+  /* 33609 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'X', 'r', 'm', 0,
+  /* 33622 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'A', 'Y', 'r', 'm', 0,
+  /* 33635 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'r', 'm', 0,
+  /* 33646 */ 'V', 'P', 'S', 'U', 'B', 'B', 'Y', 'r', 'm', 0,
+  /* 33656 */ 'V', 'P', 'A', 'D', 'D', 'B', 'Y', 'r', 'm', 0,
+  /* 33666 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'Y', 'r', 'm', 0,
+  /* 33677 */ 'V', 'P', 'A', 'V', 'G', 'B', 'Y', 'r', 'm', 0,
+  /* 33687 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'Y', 'r', 'm', 0,
+  /* 33698 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Y', 'r', 'm', 0,
+  /* 33710 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'Y', 'r', 'm', 0,
+  /* 33721 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'Y', 'r', 'm', 0,
+  /* 33732 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'Y', 'r', 'm', 0,
+  /* 33743 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'Y', 'r', 'm', 0,
+  /* 33755 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'Y', 'r', 'm', 0,
+  /* 33767 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'Y', 'r', 'm', 0,
+  /* 33778 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Y', 'r', 'm', 0,
+  /* 33790 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'Y', 'r', 'm', 0,
+  /* 33806 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'Y', 'r', 'm', 0,
+  /* 33817 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'Y', 'r', 'm', 0,
+  /* 33828 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'Y', 'r', 'm', 0,
+  /* 33841 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'Y', 'r', 'm', 0,
+  /* 33854 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'Y', 'r', 'm', 0,
+  /* 33867 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Y', 'r', 'm', 0,
+  /* 33877 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Y', 'r', 'm', 0,
+  /* 33888 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Y', 'r', 'm', 0,
+  /* 33898 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Y', 'r', 'm', 0,
+  /* 33911 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Y', 'r', 'm', 0,
+  /* 33924 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Y', 'r', 'm', 0,
+  /* 33935 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Y', 'r', 'm', 0,
+  /* 33945 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'D', 'Y', 'r', 'm', 0,
+  /* 33959 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Y', 'r', 'm', 0,
+  /* 33969 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Y', 'r', 'm', 0,
+  /* 33980 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Y', 'r', 'm', 0,
+  /* 33990 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Y', 'r', 'm', 0,
+  /* 34000 */ 'V', 'P', 'A', 'N', 'D', 'Y', 'r', 'm', 0,
+  /* 34009 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'Y', 'r', 'm', 0,
+  /* 34020 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34033 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34046 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34057 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34070 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34081 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34091 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34102 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34113 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34124 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34134 */ 'V', 'A', 'N', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34144 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34158 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34171 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34184 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34197 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34207 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34218 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34228 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34242 */ 'V', 'O', 'R', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34251 */ 'V', 'X', 'O', 'R', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34261 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34272 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34283 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34296 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34306 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34320 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 34330 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Y', 'r', 'm', 0,
+  /* 34342 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'D', 'Y', 'r', 'm', 0,
+  /* 34356 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Y', 'r', 'm', 0,
+  /* 34367 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Y', 'r', 'm', 0,
+  /* 34383 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Y', 'r', 'm', 0,
+  /* 34394 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Y', 'r', 'm', 0,
+  /* 34406 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Y', 'r', 'm', 0,
+  /* 34422 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Y', 'r', 'm', 0,
+  /* 34433 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Y', 'r', 'm', 0,
+  /* 34444 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Y', 'r', 'm', 0,
+  /* 34455 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Y', 'r', 'm', 0,
+  /* 34466 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Y', 'r', 'm', 0,
+  /* 34477 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'Y', 'r', 'm', 0,
+  /* 34491 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'Y', 'r', 'm', 0,
+  /* 34503 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'Y', 'r', 'm', 0,
+  /* 34517 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'Y', 'r', 'm', 0,
+  /* 34531 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Y', 'r', 'm', 0,
+  /* 34544 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Y', 'r', 'm', 0,
+  /* 34557 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Y', 'r', 'm', 0,
+  /* 34567 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Y', 'r', 'm', 0,
+  /* 34579 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Y', 'r', 'm', 0,
+  /* 34592 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Y', 'r', 'm', 0,
+  /* 34605 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Y', 'r', 'm', 0,
+  /* 34615 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Y', 'r', 'm', 0,
+  /* 34628 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Y', 'r', 'm', 0,
+  /* 34641 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 34655 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 34668 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 34682 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 34695 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 34705 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 34719 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 34733 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 34744 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 34759 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 34774 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 34788 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 34800 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 34813 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 34826 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Y', 'r', 'm', 0,
+  /* 34836 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Y', 'r', 'm', 0,
+  /* 34846 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Y', 'r', 'm', 0,
+  /* 34858 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'Q', 'Y', 'r', 'm', 0,
+  /* 34872 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Y', 'r', 'm', 0,
+  /* 34884 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Y', 'r', 'm', 0,
+  /* 34900 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Y', 'r', 'm', 0,
+  /* 34911 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Y', 'r', 'm', 0,
+  /* 34922 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'Y', 'r', 'm', 0,
+  /* 34936 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Y', 'r', 'm', 0,
+  /* 34949 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Y', 'r', 'm', 0,
+  /* 34962 */ 'V', 'P', 'O', 'R', 'Y', 'r', 'm', 0,
+  /* 34970 */ 'V', 'P', 'X', 'O', 'R', 'Y', 'r', 'm', 0,
+  /* 34979 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 34992 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35005 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35018 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35029 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35042 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35053 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35063 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35074 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35085 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35096 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35106 */ 'V', 'A', 'N', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35116 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35130 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35143 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35156 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35169 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35179 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35190 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35201 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35211 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35225 */ 'V', 'O', 'R', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35234 */ 'V', 'X', 'O', 'R', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35244 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35255 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35266 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35279 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35289 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35303 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 35313 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Y', 'r', 'm', 0,
+  /* 35329 */ 'V', 'P', 'T', 'E', 'S', 'T', 'Y', 'r', 'm', 0,
+  /* 35339 */ 'V', 'L', 'D', 'D', 'Q', 'U', 'Y', 'r', 'm', 0,
+  /* 35349 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'r', 'm', 0,
+  /* 35360 */ 'V', 'P', 'S', 'R', 'A', 'W', 'Y', 'r', 'm', 0,
+  /* 35370 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'm', 0,
+  /* 35381 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'Y', 'r', 'm', 0,
+  /* 35395 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'Y', 'r', 'm', 0,
+  /* 35409 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'Y', 'r', 'm', 0,
+  /* 35420 */ 'V', 'P', 'S', 'U', 'B', 'W', 'Y', 'r', 'm', 0,
+  /* 35430 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'Y', 'r', 'm', 0,
+  /* 35443 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'Y', 'r', 'm', 0,
+  /* 35456 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Y', 'r', 'm', 0,
+  /* 35467 */ 'V', 'P', 'A', 'D', 'D', 'W', 'Y', 'r', 'm', 0,
+  /* 35477 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'Y', 'r', 'm', 0,
+  /* 35490 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'Y', 'r', 'm', 0,
+  /* 35503 */ 'V', 'P', 'A', 'V', 'G', 'W', 'Y', 'r', 'm', 0,
+  /* 35513 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'Y', 'r', 'm', 0,
+  /* 35524 */ 'V', 'P', 'S', 'L', 'L', 'W', 'Y', 'r', 'm', 0,
+  /* 35534 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'Y', 'r', 'm', 0,
+  /* 35545 */ 'V', 'P', 'S', 'R', 'L', 'W', 'Y', 'r', 'm', 0,
+  /* 35555 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'Y', 'r', 'm', 0,
+  /* 35566 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Y', 'r', 'm', 0,
+  /* 35578 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'Y', 'r', 'm', 0,
+  /* 35589 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'Y', 'r', 'm', 0,
+  /* 35600 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'Y', 'r', 'm', 0,
+  /* 35611 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'Y', 'r', 'm', 0,
+  /* 35623 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'Y', 'r', 'm', 0,
+  /* 35635 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'Y', 'r', 'm', 0,
+  /* 35646 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Y', 'r', 'm', 0,
+  /* 35658 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'Y', 'r', 'm', 0,
+  /* 35674 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'Y', 'r', 'm', 0,
+  /* 35686 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'Y', 'r', 'm', 0,
+  /* 35697 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'Y', 'r', 'm', 0,
+  /* 35708 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'm', 0,
+  /* 35721 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'm', 0,
+  /* 35734 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'm', 0,
+  /* 35747 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'm', 0,
+  /* 35766 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'm', 0,
+  /* 35786 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
+  /* 35806 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
+  /* 35821 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
+  /* 35841 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
+  /* 35856 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
+  /* 35877 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
+  /* 35893 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
+  /* 35914 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
+  /* 35930 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'm', 0,
+  /* 35949 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'm', 0,
+  /* 35969 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'm', 0,
+  /* 35982 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'm', 0,
+  /* 35995 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'm', 0,
+  /* 36007 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'A', 'Z', 'r', 'm', 0,
+  /* 36020 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Z', 'r', 'm', 0,
+  /* 36032 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Z', 'r', 'm', 0,
+  /* 36044 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'm', 0,
+  /* 36054 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 0,
+  /* 36064 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'm', 0,
+  /* 36077 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'm', 0,
+  /* 36090 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 0,
+  /* 36100 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 0,
+  /* 36110 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'D', 'Z', 'r', 'm', 0,
+  /* 36124 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'm', 0,
+  /* 36134 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 0,
+  /* 36145 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'm', 0,
+  /* 36155 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'D', 'Z', 'r', 'm', 0,
+  /* 36168 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'D', 'Z', 'r', 'm', 0,
+  /* 36181 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Z', 'r', 'm', 0,
+  /* 36191 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'D', 'Z', 'r', 'm', 0,
+  /* 36203 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 0,
+  /* 36214 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36227 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36241 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36254 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36265 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36275 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36285 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36299 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36312 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36325 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36335 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36348 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36359 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36369 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36383 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36394 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36405 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36415 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 36425 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', 'r', 'm', 0,
+  /* 36437 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'D', 'Z', 'r', 'm', 0,
+  /* 36451 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 0,
+  /* 36460 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 0,
+  /* 36470 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36485 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36501 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36518 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36536 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36549 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36559 */ 'V', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36569 */ 'V', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36579 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36595 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36610 */ 'V', 'M', 'U', 'L', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36620 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36631 */ 'V', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36641 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36651 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36667 */ 'V', 'D', 'I', 'V', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36677 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36687 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36698 */ 'V', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 36708 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', 'r', 'm', 0,
+  /* 36720 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'r', 'm', 0,
+  /* 36736 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 0,
+  /* 36747 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 0,
+  /* 36758 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Z', 'r', 'm', 0,
+  /* 36769 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Z', 'r', 'm', 0,
+  /* 36780 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Z', 'r', 'm', 0,
+  /* 36791 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'm', 0,
+  /* 36804 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'm', 0,
+  /* 36817 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'Z', 'r', 'm', 0,
+  /* 36831 */ 'V', 'M', 'O', 'V', 'Q', 'I', '2', 'P', 'Q', 'I', 'Z', 'r', 'm', 0,
+  /* 36845 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'Z', 'r', 'm', 0,
+  /* 36863 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'm', 0,
+  /* 36881 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'm', 0,
+  /* 36894 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'm', 0,
+  /* 36912 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'm', 0,
+  /* 36925 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
+  /* 36944 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
+  /* 36958 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
+  /* 36977 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
+  /* 36991 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Z', 'r', 'm', 0,
+  /* 37003 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Z', 'r', 'm', 0,
+  /* 37016 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Z', 'r', 'm', 0,
+  /* 37029 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'm', 0,
+  /* 37039 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 0,
+  /* 37049 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'm', 0,
+  /* 37062 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'm', 0,
+  /* 37075 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37089 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37102 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37116 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37129 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37139 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37153 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37167 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37178 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37188 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37203 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37218 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37232 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37247 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37261 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37276 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37290 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37302 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37315 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 37328 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'm', 0,
+  /* 37338 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'm', 0,
+  /* 37348 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'Q', 'Z', 'r', 'm', 0,
+  /* 37361 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'Q', 'Z', 'r', 'm', 0,
+  /* 37374 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'r', 'm', 0,
+  /* 37384 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'Q', 'Z', 'r', 'm', 0,
+  /* 37396 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 0,
+  /* 37407 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', 'r', 'm', 0,
+  /* 37419 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'Q', 'Z', 'r', 'm', 0,
+  /* 37433 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 0,
+  /* 37442 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 0,
+  /* 37452 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 0,
+  /* 37462 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 0,
+  /* 37473 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 0,
+  /* 37484 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', 'r', 'm', 0,
+  /* 37496 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'r', 'm', 0,
+  /* 37512 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 0,
+  /* 37523 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 0,
+  /* 37534 */ 'V', 'P', 'S', 'R', 'A', 'V', 'Q', 'Z', 'r', 'm', 0,
+  /* 37545 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Z', 'r', 'm', 0,
+  /* 37556 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Z', 'r', 'm', 0,
+  /* 37567 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'm', 0,
+  /* 37580 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'm', 0,
+  /* 37593 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37606 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37619 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37632 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37646 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37657 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37667 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37677 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37691 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37704 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37717 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37727 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37740 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37751 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37761 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37775 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37786 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37797 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37807 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 37817 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 37832 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 37848 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 37861 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 37874 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 37891 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 37909 */ 'V', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 37919 */ 'V', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 37929 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 37945 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 37960 */ 'V', 'M', 'U', 'L', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 37970 */ 'V', 'M', 'I', 'N', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 37980 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 37990 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 38006 */ 'V', 'D', 'I', 'V', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 38016 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 38026 */ 'V', 'M', 'A', 'X', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 38036 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Z', 'r', 'm', 0,
+  /* 38048 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Z', 'r', 'm', 0,
+  /* 38060 */ 'M', 'M', 'X', '_', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '6', '4', 'i', 'r', 'm', 0,
+  /* 38077 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'B', 'i', 'r', 'm', 0,
+  /* 38090 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'B', 'i', 'r', 'm', 0,
+  /* 38103 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'B', 'i', 'r', 'm', 0,
+  /* 38116 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'i', 'r', 'm', 0,
+  /* 38131 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'B', 'i', 'r', 'm', 0,
+  /* 38145 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'B', 'i', 'r', 'm', 0,
+  /* 38159 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'i', 'r', 'm', 0,
+  /* 38174 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'i', 'r', 'm', 0,
+  /* 38189 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'i', 'r', 'm', 0,
+  /* 38204 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'U', 'B', 'i', 'r', 'm', 0,
+  /* 38218 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'U', 'B', 'i', 'r', 'm', 0,
+  /* 38232 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'i', 'r', 'm', 0,
+  /* 38248 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'i', 'r', 'm', 0,
+  /* 38264 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'D', 'i', 'r', 'm', 0,
+  /* 38277 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'D', 'i', 'r', 'm', 0,
+  /* 38290 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'i', 'r', 'm', 0,
+  /* 38302 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'D', 'i', 'r', 'm', 0,
+  /* 38318 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'i', 'r', 'm', 0,
+  /* 38333 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'i', 'r', 'm', 0,
+  /* 38348 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'i', 'r', 'm', 0,
+  /* 38363 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'i', 'r', 'm', 0,
+  /* 38380 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'i', 'r', 'm', 0,
+  /* 38397 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'm', 0,
+  /* 38414 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'm', 0,
+  /* 38430 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'm', 0,
+  /* 38447 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'm', 0,
+  /* 38463 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'N', 'i', 'r', 'm', 0,
+  /* 38476 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'Q', 'i', 'r', 'm', 0,
+  /* 38489 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'Q', 'i', 'r', 'm', 0,
+  /* 38502 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'i', 'r', 'm', 0,
+  /* 38519 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'i', 'r', 'm', 0,
+  /* 38536 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'i', 'r', 'm', 0,
+  /* 38551 */ 'M', 'M', 'X', '_', 'P', 'O', 'R', 'i', 'r', 'm', 0,
+  /* 38562 */ 'M', 'M', 'X', '_', 'P', 'X', 'O', 'R', 'i', 'r', 'm', 0,
+  /* 38574 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'S', 'i', 'r', 'm', 0,
+  /* 38590 */ 'M', 'M', 'X', '_', 'P', 'S', 'A', 'D', 'B', 'W', 'i', 'r', 'm', 0,
+  /* 38604 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'i', 'r', 'm', 0,
+  /* 38621 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'i', 'r', 'm', 0,
+  /* 38638 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'W', 'i', 'r', 'm', 0,
+  /* 38651 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'W', 'i', 'r', 'm', 0,
+  /* 38664 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'i', 'r', 'm', 0,
+  /* 38680 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'W', 'i', 'r', 'm', 0,
+  /* 38693 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'W', 'i', 'r', 'm', 0,
+  /* 38707 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'L', 'W', 'i', 'r', 'm', 0,
+  /* 38721 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'i', 'r', 'm', 0,
+  /* 38736 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'W', 'i', 'r', 'm', 0,
+  /* 38750 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'W', 'i', 'r', 'm', 0,
+  /* 38764 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'S', 'W', 'i', 'r', 'm', 0,
+  /* 38778 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'i', 'r', 'm', 0,
+  /* 38793 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'i', 'r', 'm', 0,
+  /* 38808 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'S', 'W', 'i', 'r', 'm', 0,
+  /* 38822 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'i', 'r', 'm', 0,
+  /* 38837 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'i', 'r', 'm', 0,
+  /* 38852 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'I', '3', '2', 'X', '4', 'k', 'r', 'm', 0,
+  /* 38871 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'I', '6', '4', 'X', '4', 'k', 'r', 'm', 0,
+  /* 38890 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'k', 'r', 'm', 0,
+  /* 38907 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'k', 'r', 'm', 0,
+  /* 38924 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'z', 'r', 'm', 0,
+  /* 38937 */ 'M', 'O', 'V', '3', '2', 's', 'm', 0,
+  /* 38945 */ 'M', 'O', 'V', '6', '4', 's', 'm', 0,
+  /* 38953 */ 'M', 'O', 'V', '1', '6', 's', 'm', 0,
+  /* 38961 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 0,
+  /* 38975 */ 'S', 'U', 'B', '_', 'F', 'S', 'T', '0', 'r', 0,
+  /* 38985 */ 'A', 'D', 'D', '_', 'F', 'S', 'T', '0', 'r', 0,
+  /* 38995 */ 'M', 'U', 'L', '_', 'F', 'S', 'T', '0', 'r', 0,
+  /* 39005 */ 'C', 'O', 'M', '_', 'F', 'S', 'T', '0', 'r', 0,
+  /* 39015 */ 'C', 'O', 'M', 'P', '_', 'F', 'S', 'T', '0', 'r', 0,
+  /* 39026 */ 'S', 'U', 'B', 'R', '_', 'F', 'S', 'T', '0', 'r', 0,
+  /* 39037 */ 'D', 'I', 'V', 'R', '_', 'F', 'S', 'T', '0', 'r', 0,
+  /* 39048 */ 'D', 'I', 'V', '_', 'F', 'S', 'T', '0', 'r', 0,
+  /* 39058 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 39075 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 39089 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 39104 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 39121 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 39135 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 39150 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 39164 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 39179 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 39193 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 39208 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 39225 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 39239 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 39254 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 39271 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 39285 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 39300 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 39314 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 39329 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 39343 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 39358 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 39375 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 39389 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 39404 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 39421 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 39435 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 39450 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 39464 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 39479 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 39493 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 39508 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 39525 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 39539 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 39554 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 39571 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 39585 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 39600 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 39614 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 39629 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 39643 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 39658 */ 'L', 'E', 'A', '3', '2', 'r', 0,
+  /* 39665 */ 'D', 'E', 'C', '3', '2', 'r', 0,
+  /* 39672 */ 'I', 'N', 'C', '3', '2', 'r', 0,
+  /* 39679 */ 'M', 'O', 'V', 'P', 'C', '3', '2', 'r', 0,
+  /* 39688 */ 'S', 'E', 'T', 'B', '_', 'C', '3', '2', 'r', 0,
+  /* 39698 */ 'R', 'D', 'S', 'E', 'E', 'D', '3', '2', 'r', 0,
+  /* 39708 */ 'R', 'D', 'R', 'A', 'N', 'D', '3', '2', 'r', 0,
+  /* 39718 */ 'N', 'E', 'G', '3', '2', 'r', 0,
+  /* 39725 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 0,
+  /* 39733 */ 'C', 'A', 'L', 'L', '3', '2', 'r', 0,
+  /* 39741 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 0,
+  /* 39749 */ 'B', 'S', 'W', 'A', 'P', '3', '2', 'r', 0,
+  /* 39758 */ 'J', 'M', 'P', '3', '2', 'r', 0,
+  /* 39765 */ 'P', 'O', 'P', '3', '2', 'r', 0,
+  /* 39772 */ 'S', 'T', 'R', '3', '2', 'r', 0,
+  /* 39779 */ 'S', 'L', 'D', 'T', '3', '2', 'r', 0,
+  /* 39787 */ 'N', 'O', 'T', '3', '2', 'r', 0,
+  /* 39794 */ 'I', 'D', 'I', 'V', '3', '2', 'r', 0,
+  /* 39802 */ 'S', 'M', 'S', 'W', '3', '2', 'r', 0,
+  /* 39810 */ 'D', 'E', 'C', '3', '2', '_', '3', '2', 'r', 0,
+  /* 39820 */ 'I', 'N', 'C', '3', '2', '_', '3', '2', 'r', 0,
+  /* 39830 */ 'L', 'E', 'A', '6', '4', '_', '3', '2', 'r', 0,
+  /* 39840 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'r', 0,
+  /* 39850 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'r', 0,
+  /* 39860 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 39877 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 39891 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 39906 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 39923 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 39937 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 39952 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 39966 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 39981 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 39995 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 40010 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 40027 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 40041 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 40056 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 40073 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 40087 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 40102 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 40116 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 40131 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 40145 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 40160 */ 'L', 'E', 'A', '6', '4', 'r', 0,
+  /* 40167 */ 'D', 'E', 'C', '6', '4', 'r', 0,
+  /* 40174 */ 'I', 'N', 'C', '6', '4', 'r', 0,
+  /* 40181 */ 'S', 'E', 'T', 'B', '_', 'C', '6', '4', 'r', 0,
+  /* 40191 */ 'R', 'D', 'S', 'E', 'E', 'D', '6', '4', 'r', 0,
+  /* 40201 */ 'R', 'D', 'R', 'A', 'N', 'D', '6', '4', 'r', 0,
+  /* 40211 */ 'N', 'E', 'G', '6', '4', 'r', 0,
+  /* 40218 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 0,
+  /* 40226 */ 'C', 'A', 'L', 'L', '6', '4', 'r', 0,
+  /* 40234 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 0,
+  /* 40242 */ 'B', 'S', 'W', 'A', 'P', '6', '4', 'r', 0,
+  /* 40251 */ 'J', 'M', 'P', '6', '4', 'r', 0,
+  /* 40258 */ 'P', 'O', 'P', '6', '4', 'r', 0,
+  /* 40265 */ 'S', 'T', 'R', '6', '4', 'r', 0,
+  /* 40272 */ 'S', 'L', 'D', 'T', '6', '4', 'r', 0,
+  /* 40280 */ 'N', 'O', 'T', '6', '4', 'r', 0,
+  /* 40287 */ 'I', 'D', 'I', 'V', '6', '4', 'r', 0,
+  /* 40295 */ 'S', 'M', 'S', 'W', '6', '4', 'r', 0,
+  /* 40303 */ 'L', 'E', 'A', '1', '6', 'r', 0,
+  /* 40310 */ 'D', 'E', 'C', '1', '6', 'r', 0,
+  /* 40317 */ 'I', 'N', 'C', '1', '6', 'r', 0,
+  /* 40324 */ 'S', 'E', 'T', 'B', '_', 'C', '1', '6', 'r', 0,
+  /* 40334 */ 'R', 'D', 'S', 'E', 'E', 'D', '1', '6', 'r', 0,
+  /* 40344 */ 'R', 'D', 'R', 'A', 'N', 'D', '1', '6', 'r', 0,
+  /* 40354 */ 'N', 'E', 'G', '1', '6', 'r', 0,
+  /* 40361 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 0,
+  /* 40369 */ 'C', 'A', 'L', 'L', '1', '6', 'r', 0,
+  /* 40377 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 0,
+  /* 40385 */ 'J', 'M', 'P', '1', '6', 'r', 0,
+  /* 40392 */ 'P', 'O', 'P', '1', '6', 'r', 0,
+  /* 40399 */ 'S', 'T', 'R', '1', '6', 'r', 0,
+  /* 40406 */ 'L', 'L', 'D', 'T', '1', '6', 'r', 0,
+  /* 40414 */ 'S', 'L', 'D', 'T', '1', '6', 'r', 0,
+  /* 40422 */ 'N', 'O', 'T', '1', '6', 'r', 0,
+  /* 40429 */ 'I', 'D', 'I', 'V', '1', '6', 'r', 0,
+  /* 40437 */ 'L', 'M', 'S', 'W', '1', '6', 'r', 0,
+  /* 40445 */ 'S', 'M', 'S', 'W', '1', '6', 'r', 0,
+  /* 40453 */ 'F', 'N', 'S', 'T', 'S', 'W', '1', '6', 'r', 0,
+  /* 40463 */ 'D', 'E', 'C', '3', '2', '_', '1', '6', 'r', 0,
+  /* 40473 */ 'I', 'N', 'C', '3', '2', '_', '1', '6', 'r', 0,
+  /* 40483 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'r', 0,
+  /* 40493 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'r', 0,
+  /* 40503 */ 'D', 'E', 'C', '8', 'r', 0,
+  /* 40509 */ 'I', 'N', 'C', '8', 'r', 0,
+  /* 40515 */ 'S', 'E', 'T', 'B', '_', 'C', '8', 'r', 0,
+  /* 40524 */ 'N', 'E', 'G', '8', 'r', 0,
+  /* 40530 */ 'I', 'M', 'U', 'L', '8', 'r', 0,
+  /* 40537 */ 'N', 'O', 'T', '8', 'r', 0,
+  /* 40543 */ 'I', 'D', 'I', 'V', '8', 'r', 0,
+  /* 40550 */ 'S', 'E', 'T', 'A', 'r', 0,
+  /* 40556 */ 'S', 'E', 'T', 'B', 'r', 0,
+  /* 40562 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'D', 'r', 0,
+  /* 40572 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'r', 0,
+  /* 40581 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'D', 'r', 0,
+  /* 40592 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'D', 'r', 0,
+  /* 40602 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'D', 'r', 0,
+  /* 40615 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'r', 0,
+  /* 40624 */ 'S', 'E', 'T', 'A', 'E', 'r', 0,
+  /* 40631 */ 'S', 'E', 'T', 'B', 'E', 'r', 0,
+  /* 40638 */ 'S', 'E', 'T', 'G', 'E', 'r', 0,
+  /* 40645 */ 'S', 'E', 'T', 'L', 'E', 'r', 0,
+  /* 40652 */ 'S', 'E', 'T', 'N', 'E', 'r', 0,
+  /* 40659 */ 'S', 'E', 'T', 'E', 'r', 0,
+  /* 40665 */ 'U', 'C', 'O', 'M', '_', 'F', 'r', 0,
+  /* 40673 */ 'S', 'E', 'T', 'G', 'r', 0,
+  /* 40679 */ 'U', 'C', 'O', 'M', '_', 'F', 'I', 'r', 0,
+  /* 40688 */ 'S', 'E', 'T', 'L', 'r', 0,
+  /* 40694 */ 'S', 'E', 'T', 'N', 'O', 'r', 0,
+  /* 40701 */ 'S', 'E', 'T', 'O', 'r', 0,
+  /* 40707 */ 'U', 'C', 'O', 'M', '_', 'F', 'P', 'r', 0,
+  /* 40716 */ 'U', 'C', 'O', 'M', '_', 'F', 'I', 'P', 'r', 0,
+  /* 40726 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'r', 0,
+  /* 40735 */ 'S', 'E', 'T', 'N', 'P', 'r', 0,
+  /* 40742 */ 'U', 'C', 'O', 'M', '_', 'F', 'P', 'P', 'r', 0,
+  /* 40752 */ 'S', 'E', 'T', 'P', 'r', 0,
+  /* 40758 */ 'V', 'E', 'R', 'R', 'r', 0,
+  /* 40764 */ 'L', 'T', 'R', 'r', 0,
+  /* 40769 */ 'S', 'E', 'T', 'N', 'S', 'r', 0,
+  /* 40776 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'S', 'r', 0,
+  /* 40786 */ 'V', 'R', 'C', 'P', 'P', 'S', 'r', 0,
+  /* 40794 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'r', 0,
+  /* 40804 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'r', 0,
+  /* 40813 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'S', 'r', 0,
+  /* 40824 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'S', 'r', 0,
+  /* 40834 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'S', 'r', 0,
+  /* 40847 */ 'V', 'R', 'C', 'P', 'S', 'S', 'r', 0,
+  /* 40855 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'r', 0,
+  /* 40865 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'r', 0,
+  /* 40874 */ 'S', 'E', 'T', 'S', 'r', 0,
+  /* 40880 */ 'V', 'E', 'R', 'W', 'r', 0,
+  /* 40886 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Y', 'r', 0,
+  /* 40896 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'r', 0,
+  /* 40905 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'r', 0,
+  /* 40916 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'r', 0,
+  /* 40926 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
+  /* 40943 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
+  /* 40957 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
+  /* 40972 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
+  /* 40989 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
+  /* 41003 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
+  /* 41018 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'D', 'Z', 'r', 0,
+  /* 41029 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'D', 'Z', 'r', 0,
+  /* 41042 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'D', 'Z', 'r', 0,
+  /* 41053 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'D', 'Z', 'r', 0,
+  /* 41066 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'D', 'Z', 'r', 0,
+  /* 41080 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 0,
+  /* 41091 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 0,
+  /* 41103 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 0,
+  /* 41114 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 0,
+  /* 41126 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'r', 0,
+  /* 41136 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
+  /* 41153 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
+  /* 41167 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
+  /* 41182 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
+  /* 41199 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
+  /* 41213 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
+  /* 41228 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'S', 'Z', 'r', 0,
+  /* 41239 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'S', 'Z', 'r', 0,
+  /* 41252 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'S', 'Z', 'r', 0,
+  /* 41263 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'S', 'Z', 'r', 0,
+  /* 41276 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'S', 'Z', 'r', 0,
+  /* 41290 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 0,
+  /* 41301 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 0,
+  /* 41313 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 0,
+  /* 41324 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 0,
+  /* 41336 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'r', 0,
+  /* 41346 */ 'X', 'C', 'H', 'G', '3', '2', 'a', 'r', 0,
+  /* 41355 */ 'X', 'C', 'H', 'G', '6', '4', 'a', 'r', 0,
+  /* 41364 */ 'X', 'C', 'H', 'G', '1', '6', 'a', 'r', 0,
+  /* 41373 */ 'M', 'O', 'V', '3', '2', 'c', 'r', 0,
+  /* 41381 */ 'M', 'O', 'V', '6', '4', 'c', 'r', 0,
+  /* 41389 */ 'M', 'O', 'V', '3', '2', 'd', 'r', 0,
+  /* 41397 */ 'M', 'O', 'V', '6', '4', 'd', 'r', 0,
+  /* 41405 */ 'I', 'n', 't', '_', 'M', 'e', 'm', 'B', 'a', 'r', 'r', 'i', 'e', 'r', 0,
+  /* 41420 */ 'O', 'U', 'T', '3', '2', 'i', 'r', 0,
+  /* 41428 */ 'O', 'U', 'T', '1', '6', 'i', 'r', 0,
+  /* 41436 */ 'O', 'U', 'T', '8', 'i', 'r', 0,
+  /* 41443 */ 'K', 'M', 'O', 'V', 'B', 'k', 'r', 0,
+  /* 41451 */ 'K', 'M', 'O', 'V', 'D', 'k', 'r', 0,
+  /* 41459 */ 'K', 'M', 'O', 'V', 'Q', 'k', 'r', 0,
+  /* 41467 */ 'K', 'M', 'O', 'V', 'W', 'k', 'r', 0,
+  /* 41475 */ 'S', 'B', 'B', '3', '2', 'm', 'r', 0,
+  /* 41483 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'r', 0,
+  /* 41496 */ 'A', 'D', 'C', '3', '2', 'm', 'r', 0,
+  /* 41504 */ 'B', 'T', 'C', '3', '2', 'm', 'r', 0,
+  /* 41512 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'r', 0,
+  /* 41525 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'r', 0,
+  /* 41538 */ 'M', 'O', 'V', 'B', 'E', '3', '2', 'm', 'r', 0,
+  /* 41548 */ 'C', 'M', 'P', '3', '2', 'm', 'r', 0,
+  /* 41556 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'r', 0,
+  /* 41569 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'r', 0,
+  /* 41581 */ 'B', 'T', 'R', '3', '2', 'm', 'r', 0,
+  /* 41589 */ 'B', 'T', 'S', '3', '2', 'm', 'r', 0,
+  /* 41597 */ 'B', 'T', '3', '2', 'm', 'r', 0,
+  /* 41604 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '3', '2', 'm', 'r', 0,
+  /* 41620 */ 'S', 'B', 'B', '6', '4', 'm', 'r', 0,
+  /* 41628 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'r', 0,
+  /* 41641 */ 'A', 'D', 'C', '6', '4', 'm', 'r', 0,
+  /* 41649 */ 'B', 'T', 'C', '6', '4', 'm', 'r', 0,
+  /* 41657 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'r', 0,
+  /* 41670 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'r', 0,
+  /* 41683 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'm', 'r', 0,
+  /* 41696 */ 'M', 'O', 'V', 'B', 'E', '6', '4', 'm', 'r', 0,
+  /* 41706 */ 'C', 'M', 'P', '6', '4', 'm', 'r', 0,
+  /* 41714 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '6', '4', 'm', 'r', 0,
+  /* 41727 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'r', 0,
+  /* 41740 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'r', 0,
+  /* 41752 */ 'B', 'T', 'R', '6', '4', 'm', 'r', 0,
+  /* 41760 */ 'B', 'T', 'S', '6', '4', 'm', 'r', 0,
+  /* 41768 */ 'B', 'T', '6', '4', 'm', 'r', 0,
+  /* 41775 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '6', '4', 'm', 'r', 0,
+  /* 41791 */ 'M', 'O', 'V', 'N', 'T', 'I', '_', '6', '4', 'm', 'r', 0,
+  /* 41803 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'm', 'r', 0,
+  /* 41816 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 0,
+  /* 41831 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 0,
+  /* 41843 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 0,
+  /* 41856 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 0,
+  /* 41871 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 0,
+  /* 41883 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 0,
+  /* 41896 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', 0,
+  /* 41908 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', 0,
+  /* 41921 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', 0,
+  /* 41933 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', 0,
+  /* 41946 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 0,
+  /* 41961 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 0,
+  /* 41973 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 0,
+  /* 41986 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 0,
+  /* 42001 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 0,
+  /* 42013 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 0,
+  /* 42026 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', 0,
+  /* 42038 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', 0,
+  /* 42051 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', 0,
+  /* 42063 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', 0,
+  /* 42076 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '3', '2', 'x', '4', 'm', 'r', 0,
+  /* 42092 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '3', '2', 'x', '4', 'm', 'r', 0,
+  /* 42108 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '6', '4', 'x', '4', 'm', 'r', 0,
+  /* 42124 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '6', '4', 'x', '4', 'm', 'r', 0,
+  /* 42140 */ 'S', 'B', 'B', '1', '6', 'm', 'r', 0,
+  /* 42148 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'r', 0,
+  /* 42161 */ 'A', 'D', 'C', '1', '6', 'm', 'r', 0,
+  /* 42169 */ 'B', 'T', 'C', '1', '6', 'm', 'r', 0,
+  /* 42177 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'r', 0,
+  /* 42190 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'r', 0,
+  /* 42203 */ 'M', 'O', 'V', 'B', 'E', '1', '6', 'm', 'r', 0,
+  /* 42213 */ 'A', 'R', 'P', 'L', '1', '6', 'm', 'r', 0,
+  /* 42222 */ 'C', 'M', 'P', '1', '6', 'm', 'r', 0,
+  /* 42230 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'r', 0,
+  /* 42243 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'r', 0,
+  /* 42255 */ 'B', 'T', 'R', '1', '6', 'm', 'r', 0,
+  /* 42263 */ 'B', 'T', 'S', '1', '6', 'm', 'r', 0,
+  /* 42271 */ 'B', 'T', '1', '6', 'm', 'r', 0,
+  /* 42278 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '1', '6', 'm', 'r', 0,
+  /* 42294 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 42310 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 42326 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 42342 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 42358 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 42374 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 42389 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 42403 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'D', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 42418 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 42432 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 42447 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 42461 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'S', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 42476 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 42490 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '1', '2', '8', 'm', 'r', 0,
+  /* 42505 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '1', '2', '8', 'm', 'r', 0,
+  /* 42520 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 42536 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 42552 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 42568 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 42584 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 42600 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 42615 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 42629 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'D', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 42644 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 42658 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 42673 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 42687 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'S', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 42702 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 42716 */ 'S', 'B', 'B', '8', 'm', 'r', 0,
+  /* 42723 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'r', 0,
+  /* 42735 */ 'A', 'D', 'C', '8', 'm', 'r', 0,
+  /* 42742 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'r', 0,
+  /* 42754 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'r', 0,
+  /* 42766 */ 'C', 'M', 'P', '8', 'm', 'r', 0,
+  /* 42773 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'r', 0,
+  /* 42785 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'r', 0,
+  /* 42796 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '8', 'm', 'r', 0,
+  /* 42811 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'm', 'r', 0,
+  /* 42821 */ 'V', 'P', 'S', 'H', 'A', 'B', 'm', 'r', 0,
+  /* 42830 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'm', 'r', 0,
+  /* 42842 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'm', 'r', 0,
+  /* 42853 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'm', 'r', 0,
+  /* 42863 */ 'V', 'P', 'S', 'H', 'L', 'B', 'm', 'r', 0,
+  /* 42872 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'm', 'r', 0,
+  /* 42884 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'm', 'r', 0,
+  /* 42895 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'm', 'r', 0,
+  /* 42905 */ 'V', 'P', 'E', 'X', 'T', 'R', 'B', 'm', 'r', 0,
+  /* 42915 */ 'V', 'P', 'R', 'O', 'T', 'B', 'm', 'r', 0,
+  /* 42924 */ 'V', 'P', 'S', 'H', 'A', 'D', 'm', 'r', 0,
+  /* 42933 */ 'V', 'P', 'S', 'H', 'L', 'D', 'm', 'r', 0,
+  /* 42942 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'm', 'r', 0,
+  /* 42955 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'm', 'r', 0,
+  /* 42965 */ 'V', 'M', 'O', 'V', 'H', 'P', 'D', 'm', 'r', 0,
+  /* 42975 */ 'V', 'M', 'O', 'V', 'L', 'P', 'D', 'm', 'r', 0,
+  /* 42985 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'D', 'm', 'r', 0,
+  /* 42996 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'm', 'r', 0,
+  /* 43006 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'm', 'r', 0,
+  /* 43019 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'm', 'r', 0,
+  /* 43031 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'm', 'r', 0,
+  /* 43042 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'm', 'r', 0,
+  /* 43052 */ 'V', 'P', 'E', 'X', 'T', 'R', 'D', 'm', 'r', 0,
+  /* 43062 */ 'V', 'M', 'O', 'V', 'S', 'D', 'm', 'r', 0,
+  /* 43071 */ 'V', 'P', 'R', 'O', 'T', 'D', 'm', 'r', 0,
+  /* 43080 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'm', 'r', 0,
+  /* 43093 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'm', 'r', 0,
+  /* 43105 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'm', 'r', 0,
+  /* 43118 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'm', 'r', 0,
+  /* 43130 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', '2', 'Q', 'I', 'm', 'r', 0,
+  /* 43143 */ 'M', 'O', 'V', 'N', 'T', 'I', 'm', 'r', 0,
+  /* 43152 */ 'V', 'P', 'P', 'E', 'R', 'M', 'm', 'r', 0,
+  /* 43161 */ 'V', 'P', 'S', 'H', 'A', 'Q', 'm', 'r', 0,
+  /* 43170 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'm', 'r', 0,
+  /* 43181 */ 'V', 'P', 'S', 'H', 'L', 'Q', 'm', 'r', 0,
+  /* 43190 */ 'V', 'P', 'E', 'X', 'T', 'R', 'Q', 'm', 'r', 0,
+  /* 43200 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'N', 'T', 'Q', 'm', 'r', 0,
+  /* 43213 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'm', 'r', 0,
+  /* 43222 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'm', 'r', 0,
+  /* 43235 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'm', 'r', 0,
+  /* 43248 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'm', 'r', 0,
+  /* 43258 */ 'V', 'M', 'O', 'V', 'H', 'P', 'S', 'm', 'r', 0,
+  /* 43268 */ 'V', 'M', 'O', 'V', 'L', 'P', 'S', 'm', 'r', 0,
+  /* 43278 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'm', 'r', 0,
+  /* 43291 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'S', 'm', 'r', 0,
+  /* 43302 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'm', 'r', 0,
+  /* 43312 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'm', 'r', 0,
+  /* 43325 */ 'V', 'M', 'O', 'V', 'S', 'S', 'm', 'r', 0,
+  /* 43334 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'm', 'r', 0,
+  /* 43344 */ 'V', 'P', 'C', 'M', 'O', 'V', 'm', 'r', 0,
+  /* 43353 */ 'V', 'P', 'S', 'H', 'A', 'W', 'm', 'r', 0,
+  /* 43362 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'm', 'r', 0,
+  /* 43374 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'm', 'r', 0,
+  /* 43385 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'm', 'r', 0,
+  /* 43395 */ 'V', 'P', 'S', 'H', 'L', 'W', 'm', 'r', 0,
+  /* 43404 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'm', 'r', 0,
+  /* 43416 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'm', 'r', 0,
+  /* 43427 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'm', 'r', 0,
+  /* 43437 */ 'V', 'P', 'E', 'X', 'T', 'R', 'W', 'm', 'r', 0,
+  /* 43447 */ 'V', 'P', 'R', 'O', 'T', 'W', 'm', 'r', 0,
+  /* 43456 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'm', 'r', 0,
+  /* 43467 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'm', 'r', 0,
+  /* 43478 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'D', 'Y', 'm', 'r', 0,
+  /* 43490 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'm', 'r', 0,
+  /* 43501 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'Y', 'm', 'r', 0,
+  /* 43515 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'Y', 'm', 'r', 0,
+  /* 43529 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Y', 'm', 'r', 0,
+  /* 43542 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'Y', 'm', 'r', 0,
+  /* 43554 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'Y', 'm', 'r', 0,
+  /* 43568 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'm', 'r', 0,
+  /* 43579 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'S', 'Y', 'm', 'r', 0,
+  /* 43591 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'm', 'r', 0,
+  /* 43602 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'Y', 'm', 'r', 0,
+  /* 43616 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'm', 'r', 0,
+  /* 43627 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'm', 'r', 0,
+  /* 43640 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'm', 'r', 0,
+  /* 43653 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'm', 'r', 0,
+  /* 43666 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'm', 'r', 0,
+  /* 43679 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'Z', 'm', 'r', 0,
+  /* 43693 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', 't', 'o', '6', '4', 'Z', 'm', 'r', 0,
+  /* 43708 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'm', 'r', 0,
+  /* 43721 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'm', 'r', 0,
+  /* 43733 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'D', 'Z', 'm', 'r', 0,
+  /* 43748 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'm', 'r', 0,
+  /* 43759 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'P', 'D', 'Z', 'm', 'r', 0,
+  /* 43774 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'P', 'D', 'Z', 'm', 'r', 0,
+  /* 43789 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'D', 'Z', 'm', 'r', 0,
+  /* 43801 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'm', 'r', 0,
+  /* 43812 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'D', 'Z', 'm', 'r', 0,
+  /* 43827 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'm', 'r', 0,
+  /* 43837 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Z', 'm', 'r', 0,
+  /* 43850 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'Z', 'm', 'r', 0,
+  /* 43864 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'Z', 'm', 'r', 0,
+  /* 43877 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'Q', 'Z', 'm', 'r', 0,
+  /* 43892 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'Z', 'm', 'r', 0,
+  /* 43904 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'Q', 'Z', 'm', 'r', 0,
+  /* 43919 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'm', 'r', 0,
+  /* 43930 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'P', 'S', 'Z', 'm', 'r', 0,
+  /* 43945 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'P', 'S', 'Z', 'm', 'r', 0,
+  /* 43960 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'S', 'Z', 'm', 'r', 0,
+  /* 43972 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'm', 'r', 0,
+  /* 43983 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'm', 'r', 0,
+  /* 43993 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'r', 0,
+  /* 44003 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'r', 0,
+  /* 44012 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'r', 0,
+  /* 44022 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'r', 0,
+  /* 44031 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'r', 0,
+  /* 44041 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'r', 0,
+  /* 44050 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'z', 'm', 'r', 0,
+  /* 44064 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '1', 'r', 'r', 0,
+  /* 44075 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '1', 'r', 'r', 0,
+  /* 44088 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '1', 'r', 'r', 0,
+  /* 44099 */ 'P', 'F', 'R', 'S', 'Q', 'I', 'T', '1', 'r', 'r', 0,
+  /* 44110 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'r', 0,
+  /* 44120 */ 'S', 'B', 'B', '3', '2', 'r', 'r', 0,
+  /* 44128 */ 'S', 'U', 'B', '3', '2', 'r', 'r', 0,
+  /* 44136 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'r', 0,
+  /* 44146 */ 'A', 'D', 'C', '3', '2', 'r', 'r', 0,
+  /* 44154 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'r', 0,
+  /* 44164 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'r', 0,
+  /* 44174 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'r', 0,
+  /* 44185 */ 'B', 'T', 'C', '3', '2', 'r', 'r', 0,
+  /* 44193 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'r', 0,
+  /* 44204 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'r', 0,
+  /* 44213 */ 'A', 'N', 'D', '3', '2', 'r', 'r', 0,
+  /* 44221 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'r', 0,
+  /* 44232 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'r', 0,
+  /* 44243 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'r', 0,
+  /* 44254 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'r', 0,
+  /* 44265 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'r', 0,
+  /* 44276 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'r', 0,
+  /* 44288 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'r', 0,
+  /* 44298 */ 'B', 'S', 'F', '3', '2', 'r', 'r', 0,
+  /* 44306 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'r', 0,
+  /* 44318 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'r', 0,
+  /* 44328 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'r', 0,
+  /* 44337 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'r', 0,
+  /* 44346 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'r', 0,
+  /* 44355 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
+  /* 44366 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
+  /* 44377 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
+  /* 44387 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
+  /* 44399 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
+  /* 44411 */ 'L', 'S', 'L', '3', '2', 'r', 'r', 0,
+  /* 44419 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 0,
+  /* 44428 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'r', 0,
+  /* 44438 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'r', 0,
+  /* 44447 */ 'I', 'N', '3', '2', 'r', 'r', 0,
+  /* 44454 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'r', 0,
+  /* 44465 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'r', 0,
+  /* 44475 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'r', 0,
+  /* 44484 */ 'C', 'M', 'P', '3', '2', 'r', 'r', 0,
+  /* 44492 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'r', 0,
+  /* 44503 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'r', 0,
+  /* 44513 */ 'L', 'A', 'R', '3', '2', 'r', 'r', 0,
+  /* 44521 */ 'X', 'O', 'R', '3', '2', 'r', 'r', 0,
+  /* 44529 */ 'B', 'S', 'R', '3', '2', 'r', 'r', 0,
+  /* 44537 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'r', 0,
+  /* 44546 */ 'B', 'T', 'R', '3', '2', 'r', 'r', 0,
+  /* 44554 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'r', 0,
+  /* 44564 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'r', 0,
+  /* 44573 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'r', 0,
+  /* 44584 */ 'B', 'T', 'S', '3', '2', 'r', 'r', 0,
+  /* 44592 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'r', 0,
+  /* 44602 */ 'B', 'T', '3', '2', 'r', 'r', 0,
+  /* 44609 */ 'P', 'O', 'P', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
+  /* 44620 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
+  /* 44630 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
+  /* 44640 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'r', 0,
+  /* 44649 */ 'O', 'U', 'T', '3', '2', 'r', 'r', 0,
+  /* 44657 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'r', 0,
+  /* 44666 */ 'M', 'O', 'V', '3', '2', 'r', 'r', 0,
+  /* 44674 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'r', 0,
+  /* 44683 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'r', 0,
+  /* 44692 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'r', 0,
+  /* 44701 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'r', 0,
+  /* 44710 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'r', 0,
+  /* 44719 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'r', 0,
+  /* 44728 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '2', 'r', 'r', 0,
+  /* 44739 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '2', 'r', 'r', 0,
+  /* 44752 */ 'S', 'H', 'A', '2', '5', '6', 'R', 'N', 'D', 'S', '2', 'r', 'r', 0,
+  /* 44766 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '2', 'r', 'r', 0,
+  /* 44777 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'r', 0,
+  /* 44787 */ 'S', 'B', 'B', '6', '4', 'r', 'r', 0,
+  /* 44795 */ 'S', 'U', 'B', '6', '4', 'r', 'r', 0,
+  /* 44803 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'r', 0,
+  /* 44813 */ 'A', 'D', 'C', '6', '4', 'r', 'r', 0,
+  /* 44821 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'r', 0,
+  /* 44831 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'r', 0,
+  /* 44841 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'r', 0,
+  /* 44852 */ 'B', 'T', 'C', '6', '4', 'r', 'r', 0,
+  /* 44860 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'r', 0,
+  /* 44871 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'r', 0,
+  /* 44880 */ 'A', 'N', 'D', '6', '4', 'r', 'r', 0,
+  /* 44888 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'r', 0,
+  /* 44906 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'r', 0,
+  /* 44923 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'r', 'r', 0,
+  /* 44936 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'r', 0,
+  /* 44947 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'r', 0,
+  /* 44958 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'r', 0,
+  /* 44969 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'r', 0,
+  /* 44980 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'r', 0,
+  /* 44991 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'r', 0,
+  /* 45003 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'r', 0,
+  /* 45013 */ 'B', 'S', 'F', '6', '4', 'r', 'r', 0,
+  /* 45021 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'r', 0,
+  /* 45033 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'r', 0,
+  /* 45043 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'r', 0,
+  /* 45052 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'r', 0,
+  /* 45061 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
+  /* 45080 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
+  /* 45098 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
+  /* 45112 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
+  /* 45131 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
+  /* 45149 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
+  /* 45163 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'r', 0,
+  /* 45172 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
+  /* 45183 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
+  /* 45194 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
+  /* 45204 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
+  /* 45216 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
+  /* 45228 */ 'L', 'S', 'L', '6', '4', 'r', 'r', 0,
+  /* 45236 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 0,
+  /* 45245 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'r', 0,
+  /* 45255 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'r', 0,
+  /* 45264 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'r', 0,
+  /* 45275 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'r', 0,
+  /* 45285 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'r', 0,
+  /* 45294 */ 'C', 'M', 'P', '6', '4', 'r', 'r', 0,
+  /* 45302 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'r', 0,
+  /* 45313 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'r', 0,
+  /* 45323 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '6', '4', 'r', 'r', 0,
+  /* 45336 */ 'L', 'A', 'R', '6', '4', 'r', 'r', 0,
+  /* 45344 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '2', 'F', 'R', '6', '4', 'r', 'r', 0,
+  /* 45360 */ 'X', 'O', 'R', '6', '4', 'r', 'r', 0,
+  /* 45368 */ 'B', 'S', 'R', '6', '4', 'r', 'r', 0,
+  /* 45376 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'r', 0,
+  /* 45385 */ 'B', 'T', 'R', '6', '4', 'r', 'r', 0,
+  /* 45393 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'r', 0,
+  /* 45403 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'r', 0,
+  /* 45412 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'r', 0,
+  /* 45423 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'r', 0,
+  /* 45441 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'r', 0,
+  /* 45458 */ 'B', 'T', 'S', '6', '4', 'r', 'r', 0,
+  /* 45466 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'r', 0,
+  /* 45476 */ 'B', 'T', '6', '4', 'r', 'r', 0,
+  /* 45483 */ 'P', 'O', 'P', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
+  /* 45494 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
+  /* 45504 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
+  /* 45514 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'r', 0,
+  /* 45523 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'r', 0,
+  /* 45532 */ 'M', 'O', 'V', '6', '4', 'r', 'r', 0,
+  /* 45540 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'r', 0,
+  /* 45549 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'r', 0,
+  /* 45558 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'r', 0,
+  /* 45567 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'r', 0,
+  /* 45576 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'r', 0,
+  /* 45585 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'r', 0,
+  /* 45594 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'f', 'r', 'o', 'm', '6', '4', 'r', 'r', 0,
+  /* 45613 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 't', 'o', '6', '4', 'r', 'r', 0,
+  /* 45630 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'r', 'r', 0,
+  /* 45643 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', 't', 'o', '6', '4', 'r', 'r', 0,
+  /* 45657 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 0,
+  /* 45672 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 0,
+  /* 45684 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 0,
+  /* 45697 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 0,
+  /* 45712 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 0,
+  /* 45724 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 0,
+  /* 45737 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', 0,
+  /* 45749 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', 0,
+  /* 45762 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', 0,
+  /* 45774 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', 0,
+  /* 45787 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 0,
+  /* 45802 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 0,
+  /* 45814 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 0,
+  /* 45827 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 0,
+  /* 45842 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 0,
+  /* 45854 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 0,
+  /* 45867 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', 0,
+  /* 45879 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', 0,
+  /* 45892 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', 0,
+  /* 45904 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', 0,
+  /* 45917 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '3', '2', 'x', '4', 'r', 'r', 0,
+  /* 45933 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '3', '2', 'x', '4', 'r', 'r', 0,
+  /* 45948 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '3', '2', 'x', '4', 'r', 'r', 0,
+  /* 45964 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '3', '2', 'x', '4', 'r', 'r', 0,
+  /* 45979 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '6', '4', 'x', '4', 'r', 'r', 0,
+  /* 45995 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '6', '4', 'x', '4', 'r', 'r', 0,
+  /* 46010 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '6', '4', 'x', '4', 'r', 'r', 0,
+  /* 46026 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '6', '4', 'x', '4', 'r', 'r', 0,
+  /* 46041 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'r', 0,
+  /* 46051 */ 'S', 'B', 'B', '1', '6', 'r', 'r', 0,
+  /* 46059 */ 'S', 'U', 'B', '1', '6', 'r', 'r', 0,
+  /* 46067 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'r', 0,
+  /* 46077 */ 'A', 'D', 'C', '1', '6', 'r', 'r', 0,
+  /* 46085 */ 'B', 'T', 'C', '1', '6', 'r', 'r', 0,
+  /* 46093 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'r', 0,
+  /* 46102 */ 'A', 'N', 'D', '1', '6', 'r', 'r', 0,
+  /* 46110 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'r', 0,
+  /* 46121 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'r', 0,
+  /* 46132 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'r', 0,
+  /* 46143 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'r', 0,
+  /* 46154 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'r', 0,
+  /* 46165 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'r', 0,
+  /* 46175 */ 'B', 'S', 'F', '1', '6', 'r', 'r', 0,
+  /* 46183 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'r', 0,
+  /* 46195 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'r', 0,
+  /* 46205 */ 'A', 'R', 'P', 'L', '1', '6', 'r', 'r', 0,
+  /* 46214 */ 'L', 'S', 'L', '1', '6', 'r', 'r', 0,
+  /* 46222 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 0,
+  /* 46231 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'r', 0,
+  /* 46241 */ 'I', 'N', '1', '6', 'r', 'r', 0,
+  /* 46248 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'r', 0,
+  /* 46259 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'r', 0,
+  /* 46269 */ 'C', 'M', 'P', '1', '6', 'r', 'r', 0,
+  /* 46277 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'r', 0,
+  /* 46288 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'r', 0,
+  /* 46298 */ 'L', 'A', 'R', '1', '6', 'r', 'r', 0,
+  /* 46306 */ 'X', 'O', 'R', '1', '6', 'r', 'r', 0,
+  /* 46314 */ 'B', 'S', 'R', '1', '6', 'r', 'r', 0,
+  /* 46322 */ 'B', 'T', 'R', '1', '6', 'r', 'r', 0,
+  /* 46330 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'r', 0,
+  /* 46341 */ 'B', 'T', 'S', '1', '6', 'r', 'r', 0,
+  /* 46349 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'r', 0,
+  /* 46359 */ 'B', 'T', '1', '6', 'r', 'r', 0,
+  /* 46366 */ 'P', 'O', 'P', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
+  /* 46377 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
+  /* 46387 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
+  /* 46397 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'r', 0,
+  /* 46406 */ 'O', 'U', 'T', '1', '6', 'r', 'r', 0,
+  /* 46414 */ 'M', 'O', 'V', '1', '6', 'r', 'r', 0,
+  /* 46422 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '2', '5', '6', 'r', 'r', 0,
+  /* 46436 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46452 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46468 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46484 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46500 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46516 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46531 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46546 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46561 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46575 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46589 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46604 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46619 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46634 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46649 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46663 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46677 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46692 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 46707 */ 'V', 'P', 'E', 'R', 'M', '2', 'F', '1', '2', '8', 'r', 'r', 0,
+  /* 46720 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '1', '2', '8', 'r', 'r', 0,
+  /* 46735 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '1', '2', '8', 'r', 'r', 0,
+  /* 46749 */ 'V', 'P', 'E', 'R', 'M', '2', 'I', '1', '2', '8', 'r', 'r', 0,
+  /* 46762 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '1', '2', '8', 'r', 'r', 0,
+  /* 46777 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '1', '2', '8', 'r', 'r', 0,
+  /* 46791 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'r', 0,
+  /* 46807 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'r', 0,
+  /* 46823 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '1', '2', '8', 'r', 'r', 0,
+  /* 46837 */ 'V', 'A', 'E', 'S', 'K', 'E', 'Y', 'G', 'E', 'N', 'A', 'S', 'S', 'I', 'S', 'T', '1', '2', '8', 'r', 'r', 0,
+  /* 46859 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 46875 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 46891 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 46907 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 46923 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 46939 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 46954 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 46969 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 46984 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 46998 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 47012 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 47027 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 47042 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 47057 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 47072 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 47086 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 47100 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 47115 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 47130 */ 'S', 'B', 'B', '8', 'r', 'r', 0,
+  /* 47137 */ 'S', 'U', 'B', '8', 'r', 'r', 0,
+  /* 47144 */ 'A', 'D', 'C', '8', 'r', 'r', 0,
+  /* 47151 */ 'X', 'A', 'D', 'D', '8', 'r', 'r', 0,
+  /* 47159 */ 'A', 'N', 'D', '8', 'r', 'r', 0,
+  /* 47166 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'r', 0,
+  /* 47177 */ 'I', 'N', '8', 'r', 'r', 0,
+  /* 47183 */ 'C', 'M', 'P', '8', 'r', 'r', 0,
+  /* 47190 */ 'X', 'O', 'R', '8', 'r', 'r', 0,
+  /* 47197 */ 'T', 'E', 'S', 'T', '8', 'r', 'r', 0,
+  /* 47205 */ 'O', 'U', 'T', '8', 'r', 'r', 0,
+  /* 47212 */ 'M', 'O', 'V', '8', 'r', 'r', 0,
+  /* 47219 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'r', 'r', 0,
+  /* 47229 */ 'V', 'P', 'S', 'H', 'A', 'B', 'r', 'r', 0,
+  /* 47238 */ 'V', 'P', 'S', 'U', 'B', 'B', 'r', 'r', 0,
+  /* 47247 */ 'V', 'P', 'A', 'D', 'D', 'B', 'r', 'r', 0,
+  /* 47256 */ 'K', 'A', 'N', 'D', 'B', 'r', 'r', 0,
+  /* 47264 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'r', 'r', 0,
+  /* 47276 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'r', 'r', 0,
+  /* 47287 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'r', 'r', 0,
+  /* 47297 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'r', 'r', 0,
+  /* 47307 */ 'V', 'P', 'A', 'V', 'G', 'B', 'r', 'r', 0,
+  /* 47316 */ 'V', 'P', 'M', 'O', 'V', 'M', 'S', 'K', 'B', 'r', 'r', 0,
+  /* 47328 */ 'M', 'M', 'X', '_', 'P', 'M', 'O', 'V', 'M', 'S', 'K', 'B', 'r', 'r', 0,
+  /* 47343 */ 'V', 'P', 'S', 'H', 'L', 'B', 'r', 'r', 0,
+  /* 47352 */ 'K', 'A', 'N', 'D', 'N', 'B', 'r', 'r', 0,
+  /* 47361 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'r', 'r', 0,
+  /* 47371 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'r', 'r', 0,
+  /* 47382 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'r', 'r', 0,
+  /* 47394 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'r', 'r', 0,
+  /* 47405 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'r', 'r', 0,
+  /* 47415 */ 'K', 'O', 'R', 'B', 'r', 'r', 0,
+  /* 47422 */ 'K', 'X', 'N', 'O', 'R', 'B', 'r', 'r', 0,
+  /* 47431 */ 'K', 'X', 'O', 'R', 'B', 'r', 'r', 0,
+  /* 47439 */ 'V', 'P', 'I', 'N', 'S', 'R', 'B', 'r', 'r', 0,
+  /* 47449 */ 'V', 'P', 'E', 'X', 'T', 'R', 'B', 'r', 'r', 0,
+  /* 47459 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'r', 'r', 0,
+  /* 47469 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'r', 'r', 0,
+  /* 47479 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'r', 'r', 0,
+  /* 47489 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'r', 'r', 0,
+  /* 47500 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'r', 'r', 0,
+  /* 47511 */ 'P', 'A', 'V', 'G', 'U', 'S', 'B', 'r', 'r', 0,
+  /* 47521 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'r', 'r', 0,
+  /* 47531 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'r', 'r', 0,
+  /* 47542 */ 'K', 'N', 'O', 'T', 'B', 'r', 'r', 0,
+  /* 47550 */ 'V', 'P', 'R', 'O', 'T', 'B', 'r', 'r', 0,
+  /* 47559 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'r', 'r', 0,
+  /* 47574 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'r', 'r', 0,
+  /* 47584 */ 'P', 'F', 'S', 'U', 'B', 'r', 'r', 0,
+  /* 47592 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'r', 'r', 0,
+  /* 47602 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'r', 'r', 0,
+  /* 47614 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'r', 'r', 0,
+  /* 47626 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'r', 'r', 0,
+  /* 47638 */ 'P', 'F', 'A', 'C', 'C', 'r', 'r', 0,
+  /* 47646 */ 'P', 'F', 'N', 'A', 'C', 'C', 'r', 'r', 0,
+  /* 47655 */ 'P', 'F', 'P', 'N', 'A', 'C', 'C', 'r', 'r', 0,
+  /* 47665 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'r', 'r', 0,
+  /* 47675 */ 'V', 'A', 'E', 'S', 'I', 'M', 'C', 'r', 'r', 0,
+  /* 47685 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'r', 'r', 0,
+  /* 47695 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'r', 0,
+  /* 47706 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'r', 0,
+  /* 47717 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'M', 'W', '2', 'D', 'r', 'r', 0,
+  /* 47735 */ 'V', 'P', 'S', 'H', 'A', 'D', 'r', 'r', 0,
+  /* 47744 */ 'V', 'P', 'S', 'R', 'A', 'D', 'r', 'r', 0,
+  /* 47753 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'D', 'r', 'r', 0,
+  /* 47765 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'D', 'r', 'r', 0,
+  /* 47776 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'D', 'r', 'r', 0,
+  /* 47788 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'r', 'r', 0,
+  /* 47798 */ 'V', 'P', 'S', 'U', 'B', 'D', 'r', 'r', 0,
+  /* 47807 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'r', 'r', 0,
+  /* 47819 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'r', 'r', 0,
+  /* 47831 */ 'P', 'F', 'A', 'D', 'D', 'r', 'r', 0,
+  /* 47839 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'r', 'r', 0,
+  /* 47849 */ 'V', 'P', 'A', 'D', 'D', 'D', 'r', 'r', 0,
+  /* 47858 */ 'K', 'A', 'N', 'D', 'D', 'r', 'r', 0,
+  /* 47866 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'D', 'r', 'r', 0,
+  /* 47877 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'D', 'r', 'r', 0,
+  /* 47889 */ 'P', 'I', '2', 'F', 'D', 'r', 'r', 0,
+  /* 47897 */ 'P', 'F', '2', 'I', 'D', 'r', 'r', 0,
+  /* 47905 */ 'V', 'P', 'S', 'H', 'L', 'D', 'r', 'r', 0,
+  /* 47914 */ 'V', 'P', 'S', 'L', 'L', 'D', 'r', 'r', 0,
+  /* 47923 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'D', 'r', 'r', 0,
+  /* 47935 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'r', 'r', 0,
+  /* 47945 */ 'V', 'P', 'S', 'R', 'L', 'D', 'r', 'r', 0,
+  /* 47954 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'D', 'r', 'r', 0,
+  /* 47966 */ 'V', 'P', 'A', 'N', 'D', 'r', 'r', 0,
+  /* 47974 */ 'K', 'A', 'N', 'D', 'N', 'D', 'r', 'r', 0,
+  /* 47983 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'r', 'r', 0,
+  /* 47993 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'r', 0,
+  /* 48005 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'r', 0,
+  /* 48018 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'r', 'r', 0,
+  /* 48030 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'r', 'r', 0,
+  /* 48042 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'r', 0,
+  /* 48054 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'r', 0,
+  /* 48064 */ 'P', 'S', 'W', 'A', 'P', 'D', 'r', 'r', 0,
+  /* 48073 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', 'r', 0,
+  /* 48085 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'r', 'r', 0,
+  /* 48095 */ 'V', 'S', 'U', 'B', 'P', 'D', 'r', 'r', 0,
+  /* 48104 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'r', 'r', 0,
+  /* 48114 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'r', 'r', 0,
+  /* 48124 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'r', 'r', 0,
+  /* 48134 */ 'V', 'A', 'D', 'D', 'P', 'D', 'r', 'r', 0,
+  /* 48143 */ 'V', 'A', 'N', 'D', 'P', 'D', 'r', 'r', 0,
+  /* 48152 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'D', 'r', 'r', 0,
+  /* 48163 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'r', 'r', 0,
+  /* 48175 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'D', 'r', 'r', 0,
+  /* 48187 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'r', 'r', 0,
+  /* 48199 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'r', 'r', 0,
+  /* 48211 */ 'V', 'M', 'U', 'L', 'P', 'D', 'r', 'r', 0,
+  /* 48220 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'r', 0,
+  /* 48230 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'r', 0,
+  /* 48242 */ 'V', 'M', 'I', 'N', 'P', 'D', 'r', 'r', 0,
+  /* 48251 */ 'V', 'O', 'R', 'P', 'D', 'r', 'r', 0,
+  /* 48259 */ 'V', 'X', 'O', 'R', 'P', 'D', 'r', 'r', 0,
+  /* 48268 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'D', 'r', 'r', 0,
+  /* 48279 */ 'V', 'F', 's', 'O', 'R', 'P', 'D', 'r', 'r', 0,
+  /* 48289 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'r', 'r', 0,
+  /* 48299 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'r', 'r', 0,
+  /* 48309 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'r', 'r', 0,
+  /* 48321 */ 'V', 'D', 'I', 'V', 'P', 'D', 'r', 'r', 0,
+  /* 48330 */ 'V', 'M', 'A', 'X', 'P', 'D', 'r', 'r', 0,
+  /* 48339 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'r', 0,
+  /* 48349 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'r', 'r', 0,
+  /* 48360 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'r', 'r', 0,
+  /* 48372 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'r', 'r', 0,
+  /* 48383 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'r', 'r', 0,
+  /* 48393 */ 'K', 'O', 'R', 'D', 'r', 'r', 0,
+  /* 48400 */ 'K', 'X', 'N', 'O', 'R', 'D', 'r', 'r', 0,
+  /* 48409 */ 'K', 'X', 'O', 'R', 'D', 'r', 'r', 0,
+  /* 48417 */ 'V', 'P', 'I', 'N', 'S', 'R', 'D', 'r', 'r', 0,
+  /* 48427 */ 'V', 'P', 'E', 'X', 'T', 'R', 'D', 'r', 'r', 0,
+  /* 48437 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'r', 0,
+  /* 48453 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'r', 0,
+  /* 48468 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'r', 0,
+  /* 48484 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'r', 0,
+  /* 48499 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'D', 'r', 'r', 0,
+  /* 48510 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'D', 'r', 'r', 0,
+  /* 48523 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'D', 'r', 'r', 0,
+  /* 48534 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'D', 'r', 'r', 0,
+  /* 48547 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'r', 0,
+  /* 48556 */ 'V', 'M', 'I', 'N', 'C', 'S', 'D', 'r', 'r', 0,
+  /* 48566 */ 'V', 'M', 'A', 'X', 'C', 'S', 'D', 'r', 'r', 0,
+  /* 48576 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'r', 0,
+  /* 48585 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
+  /* 48600 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
+  /* 48614 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
+  /* 48628 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
+  /* 48641 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'r', 0,
+  /* 48650 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'r', 'r', 0,
+  /* 48660 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'r', 0,
+  /* 48669 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'r', 0,
+  /* 48682 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'D', 'r', 'r', 0,
+  /* 48694 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'r', 0,
+  /* 48703 */ 'V', 'M', 'O', 'V', 'S', 'D', 'r', 'r', 0,
+  /* 48712 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'r', 'r', 0,
+  /* 48722 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'r', 0,
+  /* 48731 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'D', 'r', 'r', 0,
+  /* 48741 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'S', 'D', 'r', 'r', 0,
+  /* 48754 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'r', 0,
+  /* 48768 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'r', 'r', 0,
+  /* 48779 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'r', 0,
+  /* 48790 */ 'K', 'N', 'O', 'T', 'D', 'r', 'r', 0,
+  /* 48798 */ 'V', 'P', 'R', 'O', 'T', 'D', 'r', 'r', 0,
+  /* 48807 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'r', 0,
+  /* 48822 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'r', 'r', 0,
+  /* 48832 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'r', 'r', 0,
+  /* 48842 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'r', 'r', 0,
+  /* 48852 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'r', 'r', 0,
+  /* 48862 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'r', 'r', 0,
+  /* 48872 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'D', 'r', 'r', 0,
+  /* 48883 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'D', 'r', 'r', 0,
+  /* 48894 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'r', 'r', 0,
+  /* 48905 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'r', 'r', 0,
+  /* 48918 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'r', 'r', 0,
+  /* 48931 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'D', 'r', 'r', 0,
+  /* 48942 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'W', 'D', 'r', 'r', 0,
+  /* 48954 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'D', 'r', 'r', 0,
+  /* 48966 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'S', 'W', 'D', 'r', 'r', 0,
+  /* 48979 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'D', 'r', 'r', 0,
+  /* 48991 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'r', 'r', 0,
+  /* 49003 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'r', 'r', 0,
+  /* 49015 */ 'P', 'F', 'C', 'M', 'P', 'G', 'E', 'r', 'r', 0,
+  /* 49025 */ 'S', 'H', 'A', '1', 'N', 'E', 'X', 'T', 'E', 'r', 'r', 0,
+  /* 49037 */ 'L', 'D', '_', 'F', 'r', 'r', 0,
+  /* 49044 */ 'S', 'T', '_', 'F', 'r', 'r', 0,
+  /* 49051 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'r', 'r', 0,
+  /* 49063 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'H', 'r', 'r', 0,
+  /* 49075 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'H', 'r', 'r', 0,
+  /* 49088 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'r', 'r', 0,
+  /* 49101 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'r', 'r', 0,
+  /* 49113 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'r', 'r', 0,
+  /* 49126 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', '2', 'Q', 'I', 'r', 'r', 0,
+  /* 49139 */ 'V', 'M', 'O', 'V', 'Z', 'Q', 'I', '2', 'P', 'Q', 'I', 'r', 'r', 0,
+  /* 49153 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'r', 'r', 0,
+  /* 49170 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'P', 'Q', 'I', 'r', 'r', 0,
+  /* 49184 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'I', 'r', 'r', 0,
+  /* 49197 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'I', 'r', 'r', 0,
+  /* 49210 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'r', 0,
+  /* 49227 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'r', 0,
+  /* 49243 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'r', 0,
+  /* 49255 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'r', 0,
+  /* 49272 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'r', 0,
+  /* 49288 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'r', 0,
+  /* 49300 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'L', 'r', 'r', 0,
+  /* 49312 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'L', 'r', 'r', 0,
+  /* 49325 */ 'P', 'F', 'M', 'U', 'L', 'r', 'r', 0,
+  /* 49333 */ 'V', 'P', 'P', 'E', 'R', 'M', 'r', 'r', 0,
+  /* 49342 */ 'V', 'P', 'A', 'N', 'D', 'N', 'r', 'r', 0,
+  /* 49351 */ 'P', 'F', 'M', 'I', 'N', 'r', 'r', 0,
+  /* 49359 */ 'P', 'F', 'R', 'C', 'P', 'r', 'r', 0,
+  /* 49367 */ 'S', 'T', '_', 'F', 'P', 'r', 'r', 0,
+  /* 49375 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'r', 'r', 0,
+  /* 49386 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'r', 'r', 0,
+  /* 49398 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'r', 'r', 0,
+  /* 49410 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'F', 'R', '6', '4', '2', 'Q', 'r', 'r', 0,
+  /* 49426 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'M', 'B', '2', 'Q', 'r', 'r', 0,
+  /* 49444 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'r', 0,
+  /* 49455 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', 'Q', '2', 'Q', 'r', 'r', 0,
+  /* 49469 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'r', 0,
+  /* 49480 */ 'V', 'P', 'S', 'H', 'A', 'Q', 'r', 'r', 0,
+  /* 49489 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'Q', 'r', 'r', 0,
+  /* 49500 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'Q', 'r', 'r', 0,
+  /* 49512 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'r', 'r', 0,
+  /* 49521 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'r', 'r', 0,
+  /* 49533 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'r', 'r', 0,
+  /* 49545 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'r', 0,
+  /* 49558 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'r', 0,
+  /* 49570 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '2', 'D', 'Q', 'r', 'r', 0,
+  /* 49584 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'r', 0,
+  /* 49597 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'r', 0,
+  /* 49609 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Q', 'r', 'r', 0,
+  /* 49620 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'r', 'r', 0,
+  /* 49629 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Q', 'r', 'r', 0,
+  /* 49640 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'r', 'r', 0,
+  /* 49653 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'r', 'r', 0,
+  /* 49666 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'r', 'r', 0,
+  /* 49676 */ 'K', 'A', 'N', 'D', 'Q', 'r', 'r', 0,
+  /* 49684 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'r', 'r', 0,
+  /* 49698 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'r', 'r', 0,
+  /* 49712 */ 'V', 'P', 'C', 'L', 'M', 'U', 'L', 'Q', 'D', 'Q', 'r', 'r', 0,
+  /* 49725 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'D', 'Q', 'r', 'r', 0,
+  /* 49737 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'r', 'r', 0,
+  /* 49748 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'r', 'r', 0,
+  /* 49760 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'r', 'r', 0,
+  /* 49772 */ 'P', 'F', 'C', 'M', 'P', 'E', 'Q', 'r', 'r', 0,
+  /* 49782 */ 'V', 'P', 'S', 'H', 'L', 'Q', 'r', 'r', 0,
+  /* 49791 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'r', 'r', 0,
+  /* 49800 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'Q', 'r', 'r', 0,
+  /* 49812 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'r', 'r', 0,
+  /* 49821 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'Q', 'r', 'r', 0,
+  /* 49833 */ 'K', 'A', 'N', 'D', 'N', 'Q', 'r', 'r', 0,
+  /* 49842 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'r', 'r', 0,
+  /* 49853 */ 'K', 'O', 'R', 'Q', 'r', 'r', 0,
+  /* 49860 */ 'K', 'X', 'N', 'O', 'R', 'Q', 'r', 'r', 0,
+  /* 49869 */ 'K', 'X', 'O', 'R', 'Q', 'r', 'r', 0,
+  /* 49877 */ 'V', 'P', 'I', 'N', 'S', 'R', 'Q', 'r', 'r', 0,
+  /* 49887 */ 'V', 'P', 'E', 'X', 'T', 'R', 'Q', 'r', 'r', 0,
+  /* 49897 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'r', 0,
+  /* 49911 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'r', 'r', 0,
+  /* 49922 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'r', 0,
+  /* 49933 */ 'K', 'N', 'O', 'T', 'Q', 'r', 'r', 0,
+  /* 49941 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'r', 'r', 0,
+  /* 49950 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'r', 0,
+  /* 49965 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'r', 'r', 0,
+  /* 49975 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'r', 'r', 0,
+  /* 49985 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Q', 'r', 'r', 0,
+  /* 49996 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'Q', 'r', 'r', 0,
+  /* 50008 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'r', 'r', 0,
+  /* 50020 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'r', 'r', 0,
+  /* 50032 */ 'P', 'F', 'S', 'U', 'B', 'R', 'r', 'r', 0,
+  /* 50041 */ 'V', 'P', 'O', 'R', 'r', 'r', 0,
+  /* 50048 */ 'V', 'P', 'X', 'O', 'R', 'r', 'r', 0,
+  /* 50056 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'r', 'r', 0,
+  /* 50068 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'r', 'r', 0,
+  /* 50080 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'r', 0,
+  /* 50092 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'r', 0,
+  /* 50105 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'r', 'r', 0,
+  /* 50117 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'r', 0,
+  /* 50129 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'r', 0,
+  /* 50139 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', 'r', 0,
+  /* 50151 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'r', 'r', 0,
+  /* 50161 */ 'V', 'S', 'U', 'B', 'P', 'S', 'r', 'r', 0,
+  /* 50170 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'r', 'r', 0,
+  /* 50180 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'r', 'r', 0,
+  /* 50190 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'r', 'r', 0,
+  /* 50200 */ 'V', 'A', 'D', 'D', 'P', 'S', 'r', 'r', 0,
+  /* 50209 */ 'V', 'A', 'N', 'D', 'P', 'S', 'r', 'r', 0,
+  /* 50218 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'S', 'r', 'r', 0,
+  /* 50229 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'r', 'r', 0,
+  /* 50241 */ 'V', 'M', 'O', 'V', 'L', 'H', 'P', 'S', 'r', 'r', 0,
+  /* 50252 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'S', 'r', 'r', 0,
+  /* 50264 */ 'V', 'M', 'O', 'V', 'H', 'L', 'P', 'S', 'r', 'r', 0,
+  /* 50275 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'r', 'r', 0,
+  /* 50287 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'r', 'r', 0,
+  /* 50299 */ 'V', 'M', 'U', 'L', 'P', 'S', 'r', 'r', 0,
+  /* 50308 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'r', 0,
+  /* 50318 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'r', 0,
+  /* 50330 */ 'V', 'M', 'I', 'N', 'P', 'S', 'r', 'r', 0,
+  /* 50339 */ 'V', 'O', 'R', 'P', 'S', 'r', 'r', 0,
+  /* 50347 */ 'V', 'X', 'O', 'R', 'P', 'S', 'r', 'r', 0,
+  /* 50356 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'S', 'r', 'r', 0,
+  /* 50367 */ 'V', 'F', 's', 'O', 'R', 'P', 'S', 'r', 'r', 0,
+  /* 50377 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'r', 'r', 0,
+  /* 50390 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'r', 'r', 0,
+  /* 50402 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'r', 'r', 0,
+  /* 50412 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'r', 'r', 0,
+  /* 50422 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'r', 'r', 0,
+  /* 50434 */ 'V', 'D', 'I', 'V', 'P', 'S', 'r', 'r', 0,
+  /* 50443 */ 'V', 'M', 'A', 'X', 'P', 'S', 'r', 'r', 0,
+  /* 50452 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'r', 0,
+  /* 50462 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'r', 0,
+  /* 50478 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'r', 0,
+  /* 50493 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'r', 'r', 0,
+  /* 50505 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'r', 0,
+  /* 50521 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'r', 0,
+  /* 50536 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'S', 'r', 'r', 0,
+  /* 50547 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'S', 'r', 'r', 0,
+  /* 50560 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'S', 'r', 'r', 0,
+  /* 50571 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'S', 'r', 'r', 0,
+  /* 50584 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'r', 0,
+  /* 50593 */ 'V', 'M', 'I', 'N', 'C', 'S', 'S', 'r', 'r', 0,
+  /* 50603 */ 'V', 'M', 'A', 'X', 'C', 'S', 'S', 'r', 'r', 0,
+  /* 50613 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'r', 0,
+  /* 50622 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
+  /* 50637 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
+  /* 50651 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
+  /* 50665 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
+  /* 50678 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'r', 0,
+  /* 50687 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'r', 0,
+  /* 50696 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'r', 0,
+  /* 50709 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'S', 'r', 'r', 0,
+  /* 50721 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'r', 'r', 0,
+  /* 50736 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'r', 0,
+  /* 50745 */ 'V', 'M', 'O', 'V', 'S', 'S', 'r', 'r', 0,
+  /* 50754 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'r', 0,
+  /* 50763 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'S', 'r', 'r', 0,
+  /* 50773 */ 'P', 'F', 'C', 'M', 'P', 'G', 'T', 'r', 'r', 0,
+  /* 50783 */ 'M', 'W', 'A', 'I', 'T', 'r', 'r', 0,
+  /* 50791 */ 'P', 'F', 'R', 'S', 'Q', 'R', 'T', 'r', 'r', 0,
+  /* 50801 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'L', 'A', 'S', 'T', 'r', 'r', 0,
+  /* 50815 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'L', 'A', 'S', 'T', 'r', 'r', 0,
+  /* 50829 */ 'V', 'P', 'T', 'E', 'S', 'T', 'r', 'r', 0,
+  /* 50838 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'r', 'r', 0,
+  /* 50848 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'r', 0,
+  /* 50857 */ 'V', 'P', 'S', 'H', 'A', 'W', 'r', 'r', 0,
+  /* 50866 */ 'V', 'P', 'S', 'R', 'A', 'W', 'r', 'r', 0,
+  /* 50875 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'W', 'r', 'r', 0,
+  /* 50887 */ 'V', 'P', 'H', 'S', 'U', 'B', 'B', 'W', 'r', 'r', 0,
+  /* 50898 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'r', 0,
+  /* 50908 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'W', 'r', 'r', 0,
+  /* 50919 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'r', 'r', 0,
+  /* 50932 */ 'K', 'U', 'N', 'P', 'C', 'K', 'B', 'W', 'r', 'r', 0,
+  /* 50943 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'r', 'r', 0,
+  /* 50956 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'W', 'r', 'r', 0,
+  /* 50968 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'r', 'r', 0,
+  /* 50978 */ 'V', 'P', 'S', 'U', 'B', 'W', 'r', 'r', 0,
+  /* 50987 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'r', 'r', 0,
+  /* 50999 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'r', 'r', 0,
+  /* 51011 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'r', 'r', 0,
+  /* 51021 */ 'V', 'P', 'A', 'D', 'D', 'W', 'r', 'r', 0,
+  /* 51030 */ 'K', 'A', 'N', 'D', 'W', 'r', 'r', 0,
+  /* 51038 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'r', 'r', 0,
+  /* 51050 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'r', 'r', 0,
+  /* 51062 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'r', 'r', 0,
+  /* 51074 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'r', 'r', 0,
+  /* 51085 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'r', 'r', 0,
+  /* 51095 */ 'P', 'I', '2', 'F', 'W', 'r', 'r', 0,
+  /* 51103 */ 'V', 'P', 'A', 'V', 'G', 'W', 'r', 'r', 0,
+  /* 51112 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'r', 'r', 0,
+  /* 51122 */ 'P', 'F', '2', 'I', 'W', 'r', 'r', 0,
+  /* 51130 */ 'V', 'P', 'S', 'H', 'L', 'W', 'r', 'r', 0,
+  /* 51139 */ 'V', 'P', 'S', 'L', 'L', 'W', 'r', 'r', 0,
+  /* 51148 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'W', 'r', 'r', 0,
+  /* 51160 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'r', 'r', 0,
+  /* 51170 */ 'V', 'P', 'S', 'R', 'L', 'W', 'r', 'r', 0,
+  /* 51179 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'W', 'r', 'r', 0,
+  /* 51191 */ 'K', 'A', 'N', 'D', 'N', 'W', 'r', 'r', 0,
+  /* 51200 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'r', 'r', 0,
+  /* 51210 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'r', 'r', 0,
+  /* 51221 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'r', 'r', 0,
+  /* 51233 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'r', 'r', 0,
+  /* 51244 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'r', 'r', 0,
+  /* 51254 */ 'P', 'M', 'U', 'L', 'H', 'R', 'W', 'r', 'r', 0,
+  /* 51264 */ 'K', 'O', 'R', 'W', 'r', 'r', 0,
+  /* 51271 */ 'K', 'X', 'N', 'O', 'R', 'W', 'r', 'r', 0,
+  /* 51280 */ 'K', 'X', 'O', 'R', 'W', 'r', 'r', 0,
+  /* 51288 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'r', 'r', 0,
+  /* 51298 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'r', 'r', 0,
+  /* 51308 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'r', 'r', 0,
+  /* 51318 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'r', 'r', 0,
+  /* 51329 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'r', 'r', 0,
+  /* 51340 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'r', 'r', 0,
+  /* 51350 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'r', 'r', 0,
+  /* 51361 */ 'K', 'N', 'O', 'T', 'W', 'r', 'r', 0,
+  /* 51369 */ 'V', 'P', 'R', 'O', 'T', 'W', 'r', 'r', 0,
+  /* 51378 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'r', 'r', 0,
+  /* 51393 */ 'K', 'O', 'R', 'T', 'E', 'S', 'T', 'W', 'r', 'r', 0,
+  /* 51404 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'r', 'r', 0,
+  /* 51415 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'r', 'r', 0,
+  /* 51425 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'r', 'r', 0,
+  /* 51435 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'W', 'r', 'r', 0,
+  /* 51446 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'W', 'r', 'r', 0,
+  /* 51458 */ 'P', 'F', 'M', 'A', 'X', 'r', 'r', 0,
+  /* 51466 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'r', 'r', 0,
+  /* 51477 */ 'V', 'P', 'S', 'U', 'B', 'B', 'Y', 'r', 'r', 0,
+  /* 51487 */ 'V', 'P', 'A', 'D', 'D', 'B', 'Y', 'r', 'r', 0,
+  /* 51497 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'Y', 'r', 'r', 0,
+  /* 51508 */ 'V', 'P', 'A', 'V', 'G', 'B', 'Y', 'r', 'r', 0,
+  /* 51518 */ 'V', 'P', 'M', 'O', 'V', 'M', 'S', 'K', 'B', 'Y', 'r', 'r', 0,
+  /* 51531 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'Y', 'r', 'r', 0,
+  /* 51542 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Y', 'r', 'r', 0,
+  /* 51554 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'Y', 'r', 'r', 0,
+  /* 51565 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'Y', 'r', 'r', 0,
+  /* 51576 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'Y', 'r', 'r', 0,
+  /* 51587 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'Y', 'r', 'r', 0,
+  /* 51599 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'Y', 'r', 'r', 0,
+  /* 51611 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'Y', 'r', 'r', 0,
+  /* 51622 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Y', 'r', 'r', 0,
+  /* 51634 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'Y', 'r', 'r', 0,
+  /* 51650 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'Y', 'r', 'r', 0,
+  /* 51661 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'Y', 'r', 'r', 0,
+  /* 51672 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'Y', 'r', 'r', 0,
+  /* 51685 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'Y', 'r', 'r', 0,
+  /* 51698 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'Y', 'r', 'r', 0,
+  /* 51711 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Y', 'r', 'r', 0,
+  /* 51721 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Y', 'r', 'r', 0,
+  /* 51732 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Y', 'r', 'r', 0,
+  /* 51742 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Y', 'r', 'r', 0,
+  /* 51755 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Y', 'r', 'r', 0,
+  /* 51768 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Y', 'r', 'r', 0,
+  /* 51779 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Y', 'r', 'r', 0,
+  /* 51789 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Y', 'r', 'r', 0,
+  /* 51799 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Y', 'r', 'r', 0,
+  /* 51810 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Y', 'r', 'r', 0,
+  /* 51820 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Y', 'r', 'r', 0,
+  /* 51830 */ 'V', 'P', 'A', 'N', 'D', 'Y', 'r', 'r', 0,
+  /* 51839 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'Y', 'r', 'r', 0,
+  /* 51850 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 51863 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 51876 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 51887 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 51900 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 51911 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 51921 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 51932 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 51943 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 51954 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 51964 */ 'V', 'A', 'N', 'D', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 51974 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 51987 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 52000 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 52013 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 52026 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 52036 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 52047 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 52057 */ 'V', 'O', 'R', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 52066 */ 'V', 'X', 'O', 'R', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 52076 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 52087 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 52098 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 52111 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 52121 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 52131 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Y', 'r', 'r', 0,
+  /* 52143 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Y', 'r', 'r', 0,
+  /* 52154 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Y', 'r', 'r', 0,
+  /* 52170 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Y', 'r', 'r', 0,
+  /* 52181 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Y', 'r', 'r', 0,
+  /* 52193 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Y', 'r', 'r', 0,
+  /* 52209 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Y', 'r', 'r', 0,
+  /* 52220 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Y', 'r', 'r', 0,
+  /* 52231 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Y', 'r', 'r', 0,
+  /* 52242 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Y', 'r', 'r', 0,
+  /* 52253 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Y', 'r', 'r', 0,
+  /* 52264 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'Y', 'r', 'r', 0,
+  /* 52276 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'Y', 'r', 'r', 0,
+  /* 52290 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'Y', 'r', 'r', 0,
+  /* 52304 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Y', 'r', 'r', 0,
+  /* 52317 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Y', 'r', 'r', 0,
+  /* 52330 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Y', 'r', 'r', 0,
+  /* 52343 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Y', 'r', 'r', 0,
+  /* 52353 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Y', 'r', 'r', 0,
+  /* 52365 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Y', 'r', 'r', 0,
+  /* 52378 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Y', 'r', 'r', 0,
+  /* 52391 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Y', 'r', 'r', 0,
+  /* 52401 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Y', 'r', 'r', 0,
+  /* 52414 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Y', 'r', 'r', 0,
+  /* 52427 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 52441 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 52454 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 52468 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 52481 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 52491 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 52505 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 52519 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 52530 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 52545 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 52560 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 52572 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 52585 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 52598 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Y', 'r', 'r', 0,
+  /* 52608 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Y', 'r', 'r', 0,
+  /* 52618 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Y', 'r', 'r', 0,
+  /* 52630 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Y', 'r', 'r', 0,
+  /* 52642 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Y', 'r', 'r', 0,
+  /* 52658 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Y', 'r', 'r', 0,
+  /* 52669 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Y', 'r', 'r', 0,
+  /* 52680 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Y', 'r', 'r', 0,
+  /* 52693 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Y', 'r', 'r', 0,
+  /* 52706 */ 'V', 'P', 'O', 'R', 'Y', 'r', 'r', 0,
+  /* 52714 */ 'V', 'P', 'X', 'O', 'R', 'Y', 'r', 'r', 0,
+  /* 52723 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52736 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52749 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52762 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52773 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52786 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52797 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52807 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52818 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52829 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52840 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52850 */ 'V', 'A', 'N', 'D', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52860 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52873 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52886 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52899 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52912 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52922 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52933 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52944 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52954 */ 'V', 'O', 'R', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52963 */ 'V', 'X', 'O', 'R', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52973 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52984 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 52995 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 53008 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 53018 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 53028 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Y', 'r', 'r', 0,
+  /* 53044 */ 'V', 'P', 'T', 'E', 'S', 'T', 'Y', 'r', 'r', 0,
+  /* 53054 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'r', 'r', 0,
+  /* 53065 */ 'V', 'P', 'S', 'R', 'A', 'W', 'Y', 'r', 'r', 0,
+  /* 53075 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'r', 0,
+  /* 53086 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'Y', 'r', 'r', 0,
+  /* 53100 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'Y', 'r', 'r', 0,
+  /* 53114 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'Y', 'r', 'r', 0,
+  /* 53125 */ 'V', 'P', 'S', 'U', 'B', 'W', 'Y', 'r', 'r', 0,
+  /* 53135 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'Y', 'r', 'r', 0,
+  /* 53148 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'Y', 'r', 'r', 0,
+  /* 53161 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Y', 'r', 'r', 0,
+  /* 53172 */ 'V', 'P', 'A', 'D', 'D', 'W', 'Y', 'r', 'r', 0,
+  /* 53182 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'Y', 'r', 'r', 0,
+  /* 53195 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'Y', 'r', 'r', 0,
+  /* 53208 */ 'V', 'P', 'A', 'V', 'G', 'W', 'Y', 'r', 'r', 0,
+  /* 53218 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'Y', 'r', 'r', 0,
+  /* 53229 */ 'V', 'P', 'S', 'L', 'L', 'W', 'Y', 'r', 'r', 0,
+  /* 53239 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'Y', 'r', 'r', 0,
+  /* 53250 */ 'V', 'P', 'S', 'R', 'L', 'W', 'Y', 'r', 'r', 0,
+  /* 53260 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'Y', 'r', 'r', 0,
+  /* 53271 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Y', 'r', 'r', 0,
+  /* 53283 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'Y', 'r', 'r', 0,
+  /* 53294 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'Y', 'r', 'r', 0,
+  /* 53305 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'Y', 'r', 'r', 0,
+  /* 53316 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'Y', 'r', 'r', 0,
+  /* 53328 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'Y', 'r', 'r', 0,
+  /* 53340 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'Y', 'r', 'r', 0,
+  /* 53351 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Y', 'r', 'r', 0,
+  /* 53363 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'Y', 'r', 'r', 0,
+  /* 53379 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'Y', 'r', 'r', 0,
+  /* 53391 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'Y', 'r', 'r', 0,
+  /* 53402 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'Y', 'r', 'r', 0,
+  /* 53413 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', 0,
+  /* 53426 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', 0,
+  /* 53439 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', 0,
+  /* 53452 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'r', 0,
+  /* 53471 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'r', 0,
+  /* 53491 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
+  /* 53511 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
+  /* 53526 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
+  /* 53546 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
+  /* 53561 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
+  /* 53582 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
+  /* 53598 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
+  /* 53619 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
+  /* 53635 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'r', 0,
+  /* 53654 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'r', 0,
+  /* 53674 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', 0,
+  /* 53687 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'Z', 'r', 'r', 0,
+  /* 53701 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', 't', 'o', '6', '4', 'Z', 'r', 'r', 0,
+  /* 53716 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', 0,
+  /* 53729 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', 0,
+  /* 53741 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Z', 'r', 'r', 0,
+  /* 53753 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Z', 'r', 'r', 0,
+  /* 53765 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'r', 0,
+  /* 53775 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'r', 0,
+  /* 53785 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'r', 0,
+  /* 53798 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'r', 0,
+  /* 53811 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'r', 0,
+  /* 53821 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'r', 0,
+  /* 53831 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'r', 0,
+  /* 53841 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'r', 0,
+  /* 53852 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'r', 0,
+  /* 53862 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'D', 'Z', 'r', 'r', 0,
+  /* 53875 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'D', 'Z', 'r', 'r', 0,
+  /* 53888 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Z', 'r', 'r', 0,
+  /* 53898 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'D', 'Z', 'r', 'r', 0,
+  /* 53910 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'r', 0,
+  /* 53921 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 53934 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 53948 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 53961 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 53972 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 53982 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 53992 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 54005 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 54018 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 54028 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 54041 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 54052 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 54062 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 54073 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 54084 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 54094 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 54104 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', 'r', 'r', 0,
+  /* 54116 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'r', 0,
+  /* 54125 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'r', 0,
+  /* 54135 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54150 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54166 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54183 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54201 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54214 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54224 */ 'V', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54234 */ 'V', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54244 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54260 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54275 */ 'V', 'M', 'U', 'L', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54285 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54296 */ 'V', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54306 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54316 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54332 */ 'V', 'D', 'I', 'V', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54342 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54352 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54363 */ 'V', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54373 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 54387 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', 'r', 'r', 0,
+  /* 54399 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'r', 'r', 0,
+  /* 54415 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'r', 0,
+  /* 54426 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'r', 0,
+  /* 54437 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Z', 'r', 'r', 0,
+  /* 54448 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Z', 'r', 'r', 0,
+  /* 54459 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Z', 'r', 'r', 0,
+  /* 54470 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'r', 0,
+  /* 54483 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'r', 0,
+  /* 54496 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Z', 'r', 'r', 0,
+  /* 54509 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'Z', 'r', 'r', 0,
+  /* 54523 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'Z', 'r', 'r', 0,
+  /* 54536 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'Z', 'r', 'r', 0,
+  /* 54550 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'Z', 'r', 'r', 0,
+  /* 54568 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'P', 'Q', 'I', 'Z', 'r', 'r', 0,
+  /* 54583 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'r', 0,
+  /* 54601 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'r', 0,
+  /* 54614 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'r', 0,
+  /* 54632 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'r', 0,
+  /* 54645 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
+  /* 54664 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
+  /* 54678 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
+  /* 54697 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
+  /* 54711 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Z', 'r', 'r', 0,
+  /* 54723 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Z', 'r', 'r', 0,
+  /* 54736 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Z', 'r', 'r', 0,
+  /* 54749 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'r', 0,
+  /* 54759 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'r', 0,
+  /* 54769 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'r', 0,
+  /* 54782 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'r', 0,
+  /* 54795 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 54809 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 54822 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 54836 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 54849 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 54859 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 54873 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 54887 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 54898 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 54908 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 54923 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 54938 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 54953 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 54967 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 54982 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 54996 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 55008 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 55021 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 55034 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'r', 0,
+  /* 55044 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'r', 0,
+  /* 55054 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'Q', 'Z', 'r', 'r', 0,
+  /* 55067 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'Q', 'Z', 'r', 'r', 0,
+  /* 55080 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'r', 'r', 0,
+  /* 55090 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'Q', 'Z', 'r', 'r', 0,
+  /* 55102 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'r', 0,
+  /* 55113 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', 'r', 'r', 0,
+  /* 55125 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'r', 0,
+  /* 55134 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'r', 0,
+  /* 55144 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'r', 0,
+  /* 55154 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'r', 0,
+  /* 55165 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'r', 0,
+  /* 55176 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', 'r', 'r', 0,
+  /* 55188 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'r', 'r', 0,
+  /* 55204 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'r', 0,
+  /* 55215 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'r', 0,
+  /* 55226 */ 'V', 'P', 'S', 'R', 'A', 'V', 'Q', 'Z', 'r', 'r', 0,
+  /* 55237 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Z', 'r', 'r', 0,
+  /* 55248 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Z', 'r', 'r', 0,
+  /* 55259 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'r', 0,
+  /* 55272 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'r', 0,
+  /* 55285 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55298 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55311 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55324 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55338 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55349 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55359 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55369 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55382 */ 'V', 'M', 'O', 'V', 'L', 'H', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55394 */ 'V', 'M', 'O', 'V', 'H', 'L', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55406 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55419 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55429 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55442 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55453 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55463 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55474 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55485 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55495 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 55505 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55520 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55536 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55549 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55562 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55579 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55597 */ 'V', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55607 */ 'V', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55617 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55633 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55648 */ 'V', 'M', 'U', 'L', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55658 */ 'V', 'M', 'I', 'N', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55668 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55678 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55694 */ 'V', 'D', 'I', 'V', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55704 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55714 */ 'V', 'M', 'A', 'X', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 55724 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Z', 'r', 'r', 0,
+  /* 55736 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Z', 'r', 'r', 0,
+  /* 55748 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'Z', 'r', 'r', 0,
+  /* 55765 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'Z', 'r', 'r', 0,
+  /* 55782 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'g', 'r', 'r', 0,
+  /* 55796 */ 'M', 'M', 'X', '_', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '6', '4', 'i', 'r', 'r', 0,
+  /* 55813 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'B', 'i', 'r', 'r', 0,
+  /* 55826 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'B', 'i', 'r', 'r', 0,
+  /* 55839 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'B', 'i', 'r', 'r', 0,
+  /* 55852 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'i', 'r', 'r', 0,
+  /* 55867 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'B', 'i', 'r', 'r', 0,
+  /* 55881 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'B', 'i', 'r', 'r', 0,
+  /* 55895 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'i', 'r', 'r', 0,
+  /* 55910 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'i', 'r', 'r', 0,
+  /* 55925 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'i', 'r', 'r', 0,
+  /* 55940 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'U', 'B', 'i', 'r', 'r', 0,
+  /* 55954 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'U', 'B', 'i', 'r', 'r', 0,
+  /* 55968 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'i', 'r', 'r', 0,
+  /* 55984 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'i', 'r', 'r', 0,
+  /* 56000 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'D', 'i', 'r', 'r', 0,
+  /* 56013 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'D', 'i', 'r', 'r', 0,
+  /* 56026 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'i', 'r', 'r', 0,
+  /* 56038 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'D', 'i', 'r', 'r', 0,
+  /* 56054 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'i', 'r', 'r', 0,
+  /* 56069 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'i', 'r', 'r', 0,
+  /* 56084 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'i', 'r', 'r', 0,
+  /* 56099 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'i', 'r', 'r', 0,
+  /* 56116 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'i', 'r', 'r', 0,
+  /* 56133 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'r', 0,
+  /* 56150 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'r', 0,
+  /* 56166 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'r', 0,
+  /* 56183 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'r', 0,
+  /* 56199 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'N', 'i', 'r', 'r', 0,
+  /* 56212 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'Q', 'i', 'r', 'r', 0,
+  /* 56225 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'Q', 'i', 'r', 'r', 0,
+  /* 56238 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'i', 'r', 'r', 0,
+  /* 56255 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'i', 'r', 'r', 0,
+  /* 56272 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'i', 'r', 'r', 0,
+  /* 56287 */ 'M', 'M', 'X', '_', 'P', 'O', 'R', 'i', 'r', 'r', 0,
+  /* 56298 */ 'M', 'M', 'X', '_', 'P', 'X', 'O', 'R', 'i', 'r', 'r', 0,
+  /* 56310 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'S', 'i', 'r', 'r', 0,
+  /* 56326 */ 'M', 'M', 'X', '_', 'P', 'S', 'A', 'D', 'B', 'W', 'i', 'r', 'r', 0,
+  /* 56340 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'i', 'r', 'r', 0,
+  /* 56357 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'i', 'r', 'r', 0,
+  /* 56374 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'W', 'i', 'r', 'r', 0,
+  /* 56387 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'W', 'i', 'r', 'r', 0,
+  /* 56400 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'i', 'r', 'r', 0,
+  /* 56416 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'W', 'i', 'r', 'r', 0,
+  /* 56429 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'W', 'i', 'r', 'r', 0,
+  /* 56443 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'L', 'W', 'i', 'r', 'r', 0,
+  /* 56457 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'i', 'r', 'r', 0,
+  /* 56472 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'W', 'i', 'r', 'r', 0,
+  /* 56486 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'W', 'i', 'r', 'r', 0,
+  /* 56500 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'S', 'W', 'i', 'r', 'r', 0,
+  /* 56514 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'i', 'r', 'r', 0,
+  /* 56529 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'i', 'r', 'r', 0,
+  /* 56544 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'S', 'W', 'i', 'r', 'r', 0,
+  /* 56558 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'i', 'r', 'r', 0,
+  /* 56573 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'i', 'r', 'r', 0,
+  /* 56588 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'k', 'r', 'r', 0,
+  /* 56605 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'k', 'r', 'r', 0,
+  /* 56622 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'Z', 'k', 'r', 'r', 0,
+  /* 56640 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'Z', 'k', 'r', 'r', 0,
+  /* 56658 */ 'M', 'O', 'N', 'I', 'T', 'O', 'R', 'r', 'r', 'r', 0,
+  /* 56669 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'z', 'r', 'r', 0,
+  /* 56683 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'z', 'r', 'r', 0,
+  /* 56696 */ 'M', 'O', 'V', '3', '2', 's', 'r', 0,
+  /* 56704 */ 'M', 'O', 'V', '6', '4', 's', 'r', 0,
+  /* 56712 */ 'M', 'O', 'V', '1', '6', 's', 'r', 0,
+  /* 56720 */ 'M', 'O', 'V', '3', '2', 'm', 's', 0,
+  /* 56728 */ 'M', 'O', 'V', '6', '4', 'm', 's', 0,
+  /* 56736 */ 'M', 'O', 'V', '1', '6', 'm', 's', 0,
+  /* 56744 */ 'M', 'O', 'V', '3', '2', 'r', 's', 0,
+  /* 56752 */ 'M', 'O', 'V', '6', '4', 'r', 's', 0,
+  /* 56760 */ 'M', 'O', 'V', '1', '6', 'r', 's', 0,
+  /* 56768 */ 'T', 'E', 'S', 'T', '6', '4', 'm', 'i', '3', '2', '_', 'a', 'l', 't', 0,
+  /* 56783 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'i', '3', '2', '_', 'a', 'l', 't', 0,
+  /* 56798 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 56811 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 56824 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 56836 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 56850 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 56864 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 56879 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 56894 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 56909 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 56924 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 56939 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 56955 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 56970 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 56986 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 57001 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 57016 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57029 */ 'M', 'O', 'V', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57041 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57054 */ 'M', 'O', 'V', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57066 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57078 */ 'M', 'O', 'V', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57089 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57103 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57117 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57132 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57147 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57162 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57177 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57192 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57208 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57223 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57239 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57254 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 57269 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'm', 'i', 'k', '_', 'a', 'l', 't', 0,
+  /* 57285 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'm', 'i', 'k', '_', 'a', 'l', 't', 0,
+  /* 57302 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'm', 'i', 'k', '_', 'a', 'l', 't', 0,
+  /* 57318 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'm', 'i', 'k', '_', 'a', 'l', 't', 0,
+  /* 57335 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'r', 'i', 'k', '_', 'a', 'l', 't', 0,
+  /* 57351 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'r', 'i', 'k', '_', 'a', 'l', 't', 0,
+  /* 57368 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'r', 'i', 'k', '_', 'a', 'l', 't', 0,
+  /* 57384 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'r', 'i', 'k', '_', 'a', 'l', 't', 0,
+  /* 57401 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57422 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57443 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57464 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57485 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57506 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57526 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57545 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57564 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57583 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57602 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57623 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57644 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57665 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57686 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57707 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57727 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57746 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57765 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57784 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57803 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57821 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57839 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57857 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57875 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57893 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57910 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57926 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57942 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57958 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 57974 */ 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'm', '_', 'a', 'l', 't', 0,
+  /* 57987 */ 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'm', '_', 'a', 'l', 't', 0,
+  /* 58000 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58020 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58040 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58060 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58080 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58100 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58119 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58137 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58155 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58173 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58191 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58211 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58231 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58251 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58271 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58291 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58310 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58328 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58346 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58364 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58382 */ 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58395 */ 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58408 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58425 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58442 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58459 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58476 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58493 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58509 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58524 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58539 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58554 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 58569 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58591 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58613 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58635 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58657 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58679 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58700 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58720 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58740 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58760 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58780 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58802 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58824 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58846 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58868 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58890 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58911 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58931 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58951 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58971 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 58991 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 59010 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 59029 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 59048 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 59067 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 59086 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 59104 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 59121 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 59138 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 59155 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 59172 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'm', '_', 'I', 'n', 't', 0,
+  /* 59185 */ 'V', 'R', 'C', 'P', 'P', 'S', 'm', '_', 'I', 'n', 't', 0,
+  /* 59197 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'm', '_', 'I', 'n', 't', 0,
+  /* 59211 */ 'V', 'R', 'C', 'P', 'S', 'S', 'm', '_', 'I', 'n', 't', 0,
+  /* 59223 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', '_', 'I', 'n', 't', 0,
+  /* 59237 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', '_', 'I', 'n', 't', 0,
+  /* 59250 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'm', '_', 'I', 'n', 't', 0,
+  /* 59263 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'm', '_', 'I', 'n', 't', 0,
+  /* 59278 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'm', '_', 'I', 'n', 't', 0,
+  /* 59292 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'm', '_', 'I', 'n', 't', 0,
+  /* 59306 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59322 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59339 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59355 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59372 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59388 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59405 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59421 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59438 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59451 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59464 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59477 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59490 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59503 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59516 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59529 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59542 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59555 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59568 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59581 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 59594 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'D', 'r', '_', 'I', 'n', 't', 0,
+  /* 59608 */ 'S', 'Q', 'R', 'T', 'S', 'D', 'r', '_', 'I', 'n', 't', 0,
+  /* 59620 */ 'V', 'R', 'C', 'P', 'P', 'S', 'r', '_', 'I', 'n', 't', 0,
+  /* 59632 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'r', '_', 'I', 'n', 't', 0,
+  /* 59646 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'S', 'r', '_', 'I', 'n', 't', 0,
+  /* 59660 */ 'R', 'C', 'P', 'S', 'S', 'r', '_', 'I', 'n', 't', 0,
+  /* 59671 */ 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'r', '_', 'I', 'n', 't', 0,
+  /* 59684 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'r', '_', 'I', 'n', 't', 0,
+  /* 59697 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'r', '_', 'I', 'n', 't', 0,
+  /* 59712 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'r', '_', 'I', 'n', 't', 0,
+  /* 59726 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'r', '_', 'I', 'n', 't', 0,
+  /* 59740 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
+  /* 59756 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
+  /* 59773 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
+  /* 59789 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
+  /* 59806 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
+  /* 59822 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
+  /* 59839 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
+  /* 59855 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
+  /* 59872 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 59888 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 59905 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 59921 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 59938 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 59954 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 59971 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 59987 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 60004 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 60017 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 60030 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 60043 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 60056 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 60069 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 60082 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 60095 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 60108 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 60121 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 60134 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 60147 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 60160 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60177 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60191 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60208 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60222 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60235 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60248 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60261 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60275 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60289 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60302 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60315 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60328 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60341 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60354 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60367 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60379 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60392 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60405 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60419 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60433 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60447 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60461 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60474 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60487 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60501 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60514 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60529 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60543 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60555 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60568 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60581 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60595 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60609 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60623 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60637 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60650 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60663 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60676 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60689 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60702 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 60715 */ 'V', 'A', 'L', 'I', 'G', 'N', 'D', 'r', 'r', 'i', 'k', 'z', 0,
+  /* 60728 */ 'V', 'A', 'L', 'I', 'G', 'N', 'Q', 'r', 'r', 'i', 'k', 'z', 0,
+  /* 60741 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 60759 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 60777 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 60795 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 60813 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 60831 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 60848 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 60864 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 60880 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 60896 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 60912 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 60930 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 60948 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 60966 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 60984 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 61002 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 61019 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 61035 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 61051 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 61067 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 61083 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'm', 'k', 'z', 0,
+  /* 61096 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'm', 'k', 'z', 0,
+  /* 61109 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'm', 'k', 'z', 0,
+  /* 61123 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'm', 'k', 'z', 0,
+  /* 61137 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'k', 'z', 0,
+  /* 61153 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 'k', 'z', 0,
+  /* 61166 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'm', 'k', 'z', 0,
+  /* 61179 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'm', 'k', 'z', 0,
+  /* 61192 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'k', 'z', 0,
+  /* 61208 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 'k', 'z', 0,
+  /* 61221 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'm', 'k', 'z', 0,
+  /* 61235 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'm', 'k', 'z', 0,
+  /* 61249 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61264 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61279 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61294 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61309 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61324 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61338 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61350 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61365 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61380 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61392 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61404 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61417 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61430 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61443 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61455 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61467 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61479 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61491 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61504 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61516 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61528 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61539 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61551 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61563 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61576 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61589 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61602 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61615 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61630 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61645 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61657 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61672 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61687 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61699 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61712 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61724 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61738 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61753 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61768 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61781 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61792 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61804 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61816 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61829 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61842 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61855 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61868 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61883 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61898 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61911 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61923 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61935 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61947 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61959 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61972 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61984 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 61996 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 'k', 'z', 0,
+  /* 62015 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 'k', 'z', 0,
+  /* 62031 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 'k', 'z', 0,
+  /* 62048 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 'k', 'z', 0,
+  /* 62067 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 'k', 'z', 0,
+  /* 62083 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 'k', 'z', 0,
+  /* 62100 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 'k', 'z', 0,
+  /* 62119 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 'k', 'z', 0,
+  /* 62135 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 'k', 'z', 0,
+  /* 62152 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 'k', 'z', 0,
+  /* 62171 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 'k', 'z', 0,
+  /* 62187 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 'k', 'z', 0,
+  /* 62204 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 62222 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 62240 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 62258 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 62276 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 62294 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 62311 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 62327 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 62343 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 62359 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 62375 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 62393 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 62411 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 62429 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 62447 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 62465 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 62482 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 62498 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 62514 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 62530 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 62546 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'r', 'r', 'k', 'z', 0,
+  /* 62560 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'r', 'r', 'k', 'z', 0,
+  /* 62573 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'r', 'r', 'k', 'z', 0,
+  /* 62585 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'r', 'r', 'k', 'z', 0,
+  /* 62599 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'r', 'r', 'k', 'z', 0,
+  /* 62612 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'r', 'r', 'k', 'z', 0,
+  /* 62624 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 62637 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 62650 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 62664 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 62678 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 62692 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 62705 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 62717 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 62733 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 62746 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'r', 'k', 'z', 0,
+  /* 62759 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'r', 'k', 'z', 0,
+  /* 62772 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'r', 'k', 'z', 0,
+  /* 62788 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'r', 'k', 'z', 0,
+  /* 62801 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'r', 'k', 'z', 0,
+  /* 62815 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'r', 'k', 'z', 0,
+  /* 62829 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'r', 'r', 'k', 'z', 0,
+  /* 62843 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'r', 'r', 'k', 'z', 0,
+  /* 62856 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'r', 'r', 'k', 'z', 0,
+  /* 62868 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'r', 'r', 'k', 'z', 0,
+  /* 62882 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'r', 'r', 'k', 'z', 0,
+  /* 62895 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'r', 'r', 'k', 'z', 0,
+  /* 62907 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 62922 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 62937 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 62952 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 62967 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 62982 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 62996 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63008 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63023 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63038 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63050 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63062 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63075 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63088 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63101 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63113 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63125 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63137 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63149 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63162 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63174 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63186 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63197 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63209 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63221 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63234 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63247 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63260 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63273 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63288 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63303 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63315 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63330 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63345 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63357 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63370 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63382 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63396 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63411 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63426 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63439 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63450 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63462 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63474 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63487 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63500 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63513 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63526 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63541 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63556 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63569 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63581 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63593 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63605 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63617 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63630 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 63642 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
 };
 
 static const unsigned X86InstrNameIndices[] = {
     8389U, 9519U, 9553U, 9124U, 9115U, 9172U, 8275U, 8290U, 
-    8098U, 8332U, 9961U, 8075U, 7952U, 11655U, 7992U, 10091U, 
+    8098U, 8332U, 9961U, 8075U, 7952U, 11595U, 7992U, 10091U, 
     7862U, 9594U, 10071U, 7875U, 7532U, 6342U, 6363U, 9857U, 
-    8210U, 2956U, 4672U, 456U, 27717U, 26071U, 26922U, 28240U, 
-    5527U, 15268U, 6677U, 40613U, 16645U, 7032U, 27384U, 44439U, 
-    10944U, 1916U, 14995U, 6415U, 39948U, 16389U, 6836U, 25546U, 
-    42508U, 10395U, 1989U, 2087U, 6546U, 40093U, 2219U, 6934U, 
-    26226U, 43175U, 10491U, 6335U, 15435U, 41097U, 16787U, 28185U, 
-    45266U, 11038U, 26087U, 43036U, 26938U, 43902U, 5536U, 15281U, 
-    6700U, 40634U, 16653U, 7050U, 7662U, 7685U, 27393U, 44456U, 
-    7718U, 10956U, 1925U, 15008U, 6438U, 39969U, 16397U, 6854U, 
-    7638U, 7674U, 25597U, 42567U, 7696U, 10407U, 1998U, 2102U, 
-    6569U, 40114U, 2229U, 7625U, 6952U, 7650U, 26277U, 43234U, 
-    7707U, 10503U, 6349U, 15447U, 41109U, 16794U, 7120U, 28193U, 
-    45274U, 11049U, 29013U, 46248U, 30932U, 48314U, 29457U, 57526U, 
-    46699U, 58092U, 31366U, 57604U, 48736U, 58170U, 28961U, 46196U, 
-    30880U, 48262U, 22776U, 23486U, 23822U, 22858U, 656U, 37437U, 
-    2858U, 23083U, 4574U, 23693U, 2578U, 358U, 2352U, 3937U, 
+    8210U, 2956U, 4672U, 456U, 28945U, 27299U, 28150U, 29740U, 
+    5527U, 15388U, 6677U, 42161U, 16765U, 7032U, 28612U, 46077U, 
+    10944U, 1916U, 15115U, 6415U, 41496U, 16509U, 6836U, 26774U, 
+    44146U, 10395U, 1989U, 2087U, 6546U, 41641U, 2219U, 6934U, 
+    27454U, 44813U, 10491U, 6335U, 15555U, 42735U, 16907U, 29685U, 
+    47144U, 11038U, 27315U, 44674U, 28166U, 45540U, 5536U, 15401U, 
+    6700U, 42182U, 16773U, 7050U, 7662U, 7685U, 28621U, 46094U, 
+    7718U, 10956U, 1925U, 15128U, 6438U, 41517U, 16517U, 6854U, 
+    7638U, 7674U, 26825U, 44205U, 7696U, 10407U, 1998U, 2102U, 
+    6569U, 41662U, 2229U, 7625U, 6952U, 7650U, 27505U, 44872U, 
+    7707U, 10503U, 6349U, 15567U, 42747U, 16914U, 7120U, 29693U, 
+    47152U, 11049U, 30513U, 48126U, 32432U, 50192U, 30957U, 59452U, 
+    48577U, 60018U, 32866U, 59530U, 50614U, 60096U, 30461U, 48074U, 
+    32380U, 50140U, 24004U, 24714U, 25050U, 24086U, 656U, 38985U, 
+    2858U, 24311U, 4574U, 24921U, 2578U, 358U, 2352U, 3937U, 
     2690U, 4189U, 222U, 2438U, 4023U, 68U, 593U, 1597U, 
-    3509U, 1627U, 3548U, 26114U, 43063U, 26965U, 43929U, 31546U, 
-    48924U, 28562U, 45788U, 31560U, 48938U, 28582U, 45808U, 28572U, 
-    45798U, 27999U, 45080U, 5545U, 15294U, 6714U, 40647U, 16661U, 
-    7059U, 27401U, 44464U, 10968U, 1934U, 15021U, 6452U, 39982U, 
-    16405U, 6863U, 25605U, 42575U, 10419U, 2007U, 2117U, 6583U, 
-    40127U, 2239U, 6961U, 26285U, 43242U, 10515U, 6356U, 15459U, 
-    41121U, 16801U, 7128U, 28200U, 45281U, 11060U, 25830U, 42800U, 
-    26660U, 43617U, 29129U, 46343U, 31048U, 48431U, 29031U, 46266U, 
-    30950U, 48332U, 40665U, 44567U, 9865U, 540U, 563U, 25931U, 
-    42916U, 26774U, 43755U, 15029U, 16413U, 15163U, 16537U, 25779U, 
-    42749U, 26609U, 43566U, 25720U, 42690U, 26448U, 43405U, 25554U, 
-    42516U, 26234U, 43183U, 25747U, 42717U, 26577U, 43534U, 25941U, 
-    42926U, 26784U, 43765U, 16016U, 17670U, 16069U, 17723U, 725U, 
-    769U, 737U, 781U, 25791U, 42761U, 26621U, 43578U, 25738U, 
-    42708U, 26568U, 43525U, 25564U, 42526U, 26244U, 43193U, 25758U, 
-    42728U, 26588U, 43545U, 25922U, 42899U, 26765U, 43738U, 27613U, 
-    25958U, 27474U, 44537U, 25690U, 42660U, 26418U, 43375U, 27597U, 
-    44676U, 25914U, 42891U, 26757U, 43730U, 38201U, 38694U, 6777U, 
-    40723U, 7104U, 44721U, 6515U, 40049U, 6908U, 42964U, 6646U, 
-    40220U, 7006U, 43838U, 6686U, 40621U, 7041U, 44447U, 6424U, 
-    39956U, 6845U, 42547U, 6555U, 40101U, 6943U, 43214U, 6759U, 
-    40707U, 7086U, 44684U, 6497U, 40033U, 6890U, 42908U, 6628U, 
-    40204U, 6988U, 43747U, 6768U, 40715U, 7095U, 44703U, 6506U, 
-    40041U, 6899U, 42946U, 6637U, 40212U, 6997U, 43820U, 25729U, 
-    42699U, 26457U, 43414U, 23877U, 38821U, 22913U, 38185U, 23557U, 
-    2302U, 38678U, 5590U, 2316U, 11360U, 9653U, 8021U, 8216U, 
+    3509U, 1627U, 3548U, 27342U, 44701U, 28193U, 45567U, 33046U, 
+    50802U, 30062U, 47666U, 33060U, 50816U, 30082U, 47686U, 30072U, 
+    47676U, 29363U, 46838U, 5545U, 15414U, 6714U, 42195U, 16781U, 
+    7059U, 28629U, 46102U, 10968U, 1934U, 15141U, 6452U, 41530U, 
+    16525U, 6863U, 26833U, 44213U, 10419U, 2007U, 2117U, 6583U, 
+    41675U, 2239U, 6961U, 27513U, 44880U, 10515U, 6356U, 15579U, 
+    42759U, 16921U, 7128U, 29700U, 47159U, 11060U, 27058U, 44438U, 
+    27888U, 45255U, 30629U, 48221U, 32548U, 50309U, 30531U, 48144U, 
+    32450U, 50210U, 42213U, 46205U, 9865U, 540U, 563U, 27159U, 
+    44554U, 28002U, 45393U, 15149U, 16533U, 15283U, 16657U, 27007U, 
+    44387U, 27837U, 45204U, 26948U, 44328U, 27676U, 45043U, 26782U, 
+    44154U, 27462U, 44821U, 26975U, 44355U, 27805U, 45172U, 27169U, 
+    44564U, 28012U, 45403U, 16136U, 17790U, 16189U, 17843U, 725U, 
+    769U, 737U, 781U, 27019U, 44399U, 27849U, 45216U, 26966U, 
+    44346U, 27796U, 45163U, 26792U, 44164U, 27472U, 44831U, 26986U, 
+    44366U, 27816U, 45183U, 27150U, 44537U, 27993U, 45376U, 28841U, 
+    27186U, 28702U, 46175U, 26918U, 44298U, 27646U, 45013U, 28825U, 
+    46314U, 27142U, 44529U, 27985U, 45368U, 39749U, 40242U, 6777U, 
+    42271U, 7104U, 46359U, 6515U, 41597U, 6908U, 44602U, 6646U, 
+    41768U, 7006U, 45476U, 6686U, 42169U, 7041U, 46085U, 6424U, 
+    41504U, 6845U, 44185U, 6555U, 41649U, 6943U, 44852U, 6759U, 
+    42255U, 7086U, 46322U, 6497U, 41581U, 6890U, 44546U, 6628U, 
+    41752U, 6988U, 45385U, 6768U, 42263U, 7095U, 46341U, 6506U, 
+    41589U, 6899U, 44584U, 6637U, 41760U, 6997U, 45458U, 26957U, 
+    44337U, 27685U, 45052U, 25105U, 40369U, 24141U, 39733U, 24785U, 
+    2302U, 40226U, 5590U, 2316U, 11360U, 9653U, 8021U, 8216U, 
     2965U, 4681U, 465U, 7790U, 7822U, 7853U, 8371U, 8379U, 
-    8393U, 9986U, 7826U, 27348U, 44403U, 25510U, 42472U, 26190U, 
-    43139U, 27409U, 44472U, 25613U, 42583U, 26341U, 43298U, 27374U, 
-    44429U, 25536U, 42498U, 26216U, 43165U, 27420U, 44483U, 25624U, 
-    42594U, 26352U, 43309U, 8155U, 2880U, 4596U, 380U, 8137U, 
-    2847U, 4563U, 347U, 27464U, 44527U, 25680U, 42650U, 26408U, 
-    43365U, 8173U, 2904U, 4620U, 404U, 27494U, 44557U, 25710U, 
-    42680U, 26438U, 43395U, 27431U, 44494U, 25635U, 42605U, 26363U, 
-    43320U, 27521U, 44593U, 25820U, 42790U, 26650U, 43607U, 27442U, 
-    44505U, 25646U, 42616U, 26374U, 43331U, 8145U, 2867U, 4583U, 
-    367U, 8128U, 2826U, 4542U, 326U, 27453U, 44516U, 25657U, 
-    42627U, 26385U, 43342U, 8164U, 2892U, 4608U, 392U, 27531U, 
-    44610U, 25839U, 42816U, 26669U, 43626U, 27560U, 44639U, 25877U, 
-    42854U, 26707U, 43664U, 8193U, 2933U, 4649U, 433U, 27648U, 
-    44692U, 25993U, 42935U, 26809U, 43774U, 27542U, 44621U, 25850U, 
-    42827U, 26680U, 43637U, 27571U, 44650U, 25888U, 42865U, 26718U, 
-    43675U, 8202U, 2945U, 4661U, 445U, 27667U, 44711U, 26012U, 
-    42954U, 26863U, 43828U, 1644U, 3580U, 5314U, 1654U, 6285U, 
+    8393U, 9986U, 7826U, 28576U, 46041U, 26738U, 44110U, 27418U, 
+    44777U, 28637U, 46110U, 26841U, 44221U, 27569U, 44936U, 28602U, 
+    46067U, 26764U, 44136U, 27444U, 44803U, 28648U, 46121U, 26852U, 
+    44232U, 27580U, 44947U, 8155U, 2880U, 4596U, 380U, 8137U, 
+    2847U, 4563U, 347U, 28692U, 46165U, 26908U, 44288U, 27636U, 
+    45003U, 8173U, 2904U, 4620U, 404U, 28722U, 46195U, 26938U, 
+    44318U, 27666U, 45033U, 28659U, 46132U, 26863U, 44243U, 27591U, 
+    44958U, 28749U, 46231U, 27048U, 44428U, 27878U, 45245U, 28670U, 
+    46143U, 26874U, 44254U, 27602U, 44969U, 8145U, 2867U, 4583U, 
+    367U, 8128U, 2826U, 4542U, 326U, 28681U, 46154U, 26885U, 
+    44265U, 27613U, 44980U, 8164U, 2892U, 4608U, 392U, 28759U, 
+    46248U, 27067U, 44454U, 27897U, 45264U, 28788U, 46277U, 27105U, 
+    44492U, 27935U, 45302U, 8193U, 2933U, 4649U, 433U, 28876U, 
+    46330U, 27221U, 44573U, 28037U, 45412U, 28770U, 46259U, 27078U, 
+    44465U, 27908U, 45275U, 28799U, 46288U, 27116U, 44503U, 27946U, 
+    45313U, 8202U, 2945U, 4661U, 445U, 28895U, 46349U, 27240U, 
+    44592U, 28091U, 45466U, 1644U, 3580U, 5314U, 1654U, 6285U, 
     1616U, 3528U, 44U, 1540U, 3387U, 3446U, 1529U, 3398U, 
-    3457U, 1552U, 3409U, 3468U, 5554U, 15334U, 6723U, 40674U, 
-    16708U, 7068U, 27552U, 44631U, 10980U, 1943U, 15072U, 6461U, 
-    40000U, 16463U, 6872U, 25869U, 42846U, 10431U, 2026U, 2127U, 
-    6592U, 40158U, 2249U, 6970U, 26699U, 43656U, 10527U, 6370U, 
-    15494U, 41128U, 16842U, 28218U, 45305U, 11071U, 16048U, 54911U, 
-    17702U, 55164U, 16101U, 54925U, 17755U, 55178U, 7772U, 29554U, 
-    56049U, 46796U, 56457U, 9206U, 9688U, 31453U, 56062U, 48823U, 
-    56470U, 11410U, 7593U, 27482U, 44545U, 25698U, 42668U, 26426U, 
-    43383U, 7605U, 28207U, 45288U, 29471U, 46713U, 31380U, 48750U, 
-    37467U, 39169U, 39132U, 37457U, 8222U, 2974U, 4690U, 474U, 
+    3457U, 1552U, 3409U, 3468U, 5554U, 15454U, 6723U, 42222U, 
+    16828U, 7068U, 28780U, 46269U, 10980U, 1943U, 15192U, 6461U, 
+    41548U, 16583U, 6872U, 27097U, 44484U, 10431U, 2026U, 2127U, 
+    6592U, 41706U, 2249U, 6970U, 27927U, 45294U, 10527U, 6370U, 
+    15614U, 42766U, 16962U, 29718U, 47183U, 11071U, 16168U, 56837U, 
+    17822U, 57090U, 16221U, 56851U, 17875U, 57104U, 7772U, 31054U, 
+    57975U, 48674U, 58383U, 9206U, 9688U, 32953U, 57988U, 50701U, 
+    58396U, 11410U, 7593U, 28710U, 46183U, 26926U, 44306U, 27654U, 
+    45021U, 7605U, 29707U, 47166U, 30971U, 48591U, 32880U, 50628U, 
+    39015U, 40717U, 40680U, 39005U, 8222U, 2974U, 4690U, 474U, 
     1512U, 3309U, 9585U, 5602U, 2542U, 7309U, 5670U, 3046U, 
-    7420U, 4164U, 7320U, 4762U, 7431U, 11595U, 28893U, 46141U, 
-    30833U, 48228U, 30321U, 47681U, 30784U, 48179U, 30346U, 47720U, 
-    28905U, 46153U, 26504U, 43461U, 30064U, 47366U, 31219U, 48589U, 
-    26298U, 43255U, 29322U, 46564U, 26825U, 43790U, 31262U, 48632U, 
-    29353U, 46595U, 26555U, 43512U, 30109U, 47411U, 30309U, 47668U, 
-    30333U, 47707U, 26471U, 43428U, 30035U, 47337U, 26522U, 43479U, 
-    30080U, 47382U, 7920U, 7974U, 7536U, 9861U, 11513U, 23768U, 
-    38762U, 38915U, 38262U, 22748U, 38117U, 24041U, 38935U, 23053U, 
-    38292U, 23458U, 38619U, 24066U, 38955U, 23999U, 38882U, 23046U, 
-    38247U, 23676U, 38740U, 24103U, 38996U, 29243U, 46444U, 31174U, 
-    48557U, 22813U, 23523U, 23853U, 22889U, 690U, 37489U, 23123U, 
-    23733U, 2627U, 2389U, 3974U, 2730U, 4229U, 262U, 2478U, 
-    4063U, 108U, 624U, 29575U, 57565U, 46817U, 58131U, 31489U, 
-    57643U, 48859U, 58209U, 22832U, 23541U, 23864U, 22900U, 702U, 
-    37500U, 3002U, 23143U, 4718U, 23753U, 2665U, 502U, 2413U, 
-    3998U, 2744U, 4243U, 276U, 2492U, 4077U, 122U, 635U, 
-    16039U, 17693U, 16092U, 17746U, 11605U, 9575U, 3489U, 3011U, 
-    4727U, 3029U, 4745U, 37413U, 9923U, 10119U, 9795U, 11615U, 
-    41641U, 48500U, 9664U, 8403U, 812U, 14942U, 23874U, 14921U, 
-    22910U, 3479U, 14953U, 23923U, 14932U, 22967U, 3539U, 24121U, 
-    24417U, 22929U, 23573U, 22977U, 23609U, 9630U, 9637U, 9929U, 
-    7979U, 23893U, 22937U, 23933U, 22986U, 9645U, 24006U, 24701U, 
-    7924U, 9991U, 3120U, 3127U, 8397U, 11440U, 10044U, 9615U, 
-    24015U, 38905U, 24723U, 9452U, 9326U, 9389U, 9473U, 9347U, 
-    9410U, 9431U, 9305U, 9368U, 9533U, 9494U, 805U, 9540U, 
-    10063U, 24433U, 24363U, 7985U, 9512U, 9944U, 24709U, 11625U, 
-    9238U, 9809U, 3590U, 8091U, 3378U, 10003U, 11434U, 818U, 
-    29139U, 46353U, 31058U, 48441U, 29040U, 46275U, 30959U, 48341U, 
-    7892U, 9952U, 28940U, 30868U, 29201U, 46402U, 31120U, 48490U, 
-    28928U, 30856U, 29190U, 46391U, 31109U, 48479U, 7810U, 11635U, 
-    29012U, 46247U, 30931U, 48313U, 10059U, 28973U, 46208U, 30892U, 
-    48274U, 23998U, 38881U, 23045U, 38246U, 23675U, 38739U, 24102U, 
-    38995U, 23787U, 22785U, 23495U, 2757U, 4256U, 289U, 2505U, 
-    4090U, 135U, 2590U, 4127U, 172U, 23885U, 38829U, 27512U, 
-    15982U, 6807U, 44584U, 17636U, 7298U, 22921U, 38193U, 25811U, 
-    15959U, 6785U, 42781U, 17613U, 7232U, 23565U, 38686U, 26641U, 
-    2187U, 6796U, 43598U, 2290U, 7265U, 24089U, 38982U, 16701U, 
-    44603U, 16456U, 42809U, 16836U, 45299U, 23780U, 38769U, 38925U, 
-    38272U, 22760U, 38124U, 24051U, 38945U, 23063U, 38302U, 23470U, 
-    38626U, 24077U, 38961U, 7761U, 31130U, 48513U, 9706U, 8410U, 
-    9195U, 11399U, 10067U, 837U, 3239U, 9589U, 7915U, 1765U, 
-    3660U, 8346U, 1450U, 3262U, 1492U, 3289U, 1502U, 3299U, 
-    5418U, 1758U, 3634U, 23912U, 22956U, 23591U, 2781U, 4280U, 
-    313U, 2529U, 4114U, 159U, 2652U, 4151U, 196U, 23796U, 
-    22823U, 23902U, 22946U, 23581U, 2769U, 4268U, 301U, 2517U, 
-    4102U, 147U, 2640U, 4139U, 184U, 29562U, 46804U, 31461U, 
-    48831U, 29508U, 46750U, 31417U, 48787U, 31230U, 48600U, 26311U, 
-    43268U, 29333U, 46575U, 26838U, 43803U, 31273U, 48643U, 29364U, 
-    46606U, 26485U, 43442U, 30047U, 47349U, 26536U, 43493U, 30092U, 
-    47394U, 39857U, 29480U, 46722U, 31389U, 48759U, 29549U, 46791U, 
-    31448U, 48818U, 35071U, 52358U, 29494U, 46736U, 36421U, 53731U, 
-    31403U, 48773U, 31214U, 48584U, 34247U, 51574U, 26293U, 43250U, 
-    34977U, 52264U, 29317U, 46559U, 34430U, 51757U, 26820U, 43785U, 
-    36350U, 53660U, 31257U, 48627U, 29348U, 46590U, 34286U, 51613U, 
-    26466U, 43423U, 35339U, 52681U, 30030U, 47332U, 34356U, 51683U, 
-    35401U, 52743U, 34321U, 51648U, 26517U, 43474U, 35370U, 52712U, 
-    30075U, 47377U, 34393U, 51720U, 35434U, 52776U, 34266U, 51593U, 
-    34994U, 52281U, 34449U, 51776U, 36367U, 53677U, 35055U, 52342U, 
-    29465U, 46707U, 36405U, 53715U, 31374U, 48744U, 852U, 3155U, 
-    5084U, 842U, 3145U, 5074U, 858U, 3161U, 5090U, 847U, 
-    3150U, 5079U, 13510U, 1878U, 3833U, 870U, 3173U, 5102U, 
-    864U, 3167U, 5096U, 887U, 3190U, 5119U, 875U, 3178U, 
-    5107U, 892U, 3195U, 5124U, 23926U, 38837U, 22970U, 38210U, 
-    23602U, 38703U, 913U, 3216U, 5154U, 881U, 3184U, 5113U, 
-    902U, 3205U, 5143U, 919U, 3222U, 5160U, 930U, 3233U, 
-    5171U, 897U, 3200U, 5138U, 908U, 3211U, 5149U, 13515U, 
-    925U, 3228U, 5166U, 45378U, 45980U, 45474U, 46096U, 47955U, 
-    49313U, 47798U, 49152U, 18712U, 25375U, 39895U, 18744U, 20008U, 
-    18720U, 25383U, 39903U, 18752U, 20016U, 18728U, 25391U, 39911U, 
-    18760U, 20024U, 18736U, 25399U, 39919U, 18768U, 20032U, 45664U, 
-    46912U, 48055U, 49483U, 45537U, 46515U, 47975U, 49515U, 49386U, 
-    7573U, 11346U, 7580U, 11353U, 17251U, 17271U, 49054U, 45544U, 
-    46522U, 47982U, 49393U, 45553U, 46531U, 47991U, 49402U, 8118U, 
-    27581U, 44660U, 25898U, 42875U, 26741U, 43698U, 5303U, 7592U, 
-    1578U, 3435U, 6275U, 7604U, 31583U, 9818U, 27605U, 25950U, 
-    534U, 799U, 22786U, 23496U, 22107U, 1432U, 3244U, 26U, 
-    1441U, 3253U, 35U, 23093U, 4091U, 136U, 23703U, 173U, 
-    22134U, 47159U, 38755U, 38110U, 38282U, 38612U, 8085U, 3361U, 
-    27624U, 25969U, 7931U, 27632U, 25977U, 26793U, 23943U, 23006U, 
-    23628U, 27640U, 25985U, 26801U, 23959U, 23022U, 23644U, 23975U, 
-    38858U, 24025U, 38889U, 15276U, 6695U, 40629U, 15003U, 6433U, 
-    39964U, 2097U, 6564U, 40109U, 15442U, 41104U, 15289U, 6709U, 
-    40642U, 15016U, 6447U, 39977U, 2112U, 6578U, 40122U, 15454U, 
-    41116U, 23763U, 22743U, 23453U, 24061U, 23775U, 22755U, 23465U, 
-    24072U, 15387U, 6746U, 40695U, 15125U, 6484U, 40021U, 2152U, 
-    6615U, 40192U, 15541U, 41147U, 11572U, 15255U, 6663U, 40600U, 
-    14982U, 6401U, 39935U, 2072U, 6532U, 40080U, 15423U, 41085U, 
-    15374U, 6732U, 40682U, 15112U, 6470U, 40008U, 2137U, 6601U, 
-    40179U, 15529U, 41135U, 7755U, 9189U, 9676U, 11393U, 9620U, 
-    8015U, 8008U, 9133U, 9657U, 11374U, 9224U, 9700U, 11428U, 
-    27504U, 44576U, 25803U, 42773U, 26633U, 43590U, 27659U, 26004U, 
-    26855U, 24447U, 39216U, 5280U, 1484U, 3281U, 6268U, 27688U, 
-    44739U, 26033U, 42982U, 26884U, 43856U, 10126U, 3681U, 29002U, 
-    46237U, 30921U, 48303U, 29447U, 46689U, 31356U, 48726U, 29265U, 
-    46453U, 31196U, 48566U, 29594U, 57578U, 46836U, 58144U, 31507U, 
-    57656U, 48877U, 58222U, 7938U, 28992U, 46227U, 30911U, 48293U, 
-    29437U, 46679U, 31346U, 48716U, 29151U, 46365U, 31070U, 48453U, 
-    29532U, 57552U, 46774U, 58118U, 31440U, 57630U, 48810U, 58196U, 
-    36866U, 54224U, 36754U, 54112U, 37026U, 54384U, 36899U, 54257U, 
-    36849U, 54207U, 36882U, 54240U, 9935U, 9714U, 3565U, 43956U, 
-    53856U, 40135U, 26328U, 43285U, 43975U, 47577U, 47532U, 41562U, 
-    47692U, 43706U, 40166U, 26728U, 43685U, 10539U, 4334U, 4876U, 
-    4392U, 4934U, 4451U, 4993U, 37116U, 54474U, 36684U, 54042U, 
-    36700U, 54058U, 36542U, 53900U, 36729U, 54087U, 36941U, 54299U, 
-    36597U, 53955U, 37202U, 54560U, 36626U, 53984U, 37245U, 54603U, 
-    37103U, 54461U, 36512U, 53870U, 36915U, 54273U, 36742U, 54100U, 
-    36555U, 53913U, 37132U, 54490U, 36568U, 53926U, 36770U, 54128U, 
-    37173U, 54531U, 36641U, 53999U, 36785U, 54143U, 37274U, 54632U, 
-    18027U, 4499U, 5041U, 4421U, 4963U, 4363U, 4905U, 4348U, 
-    4890U, 4483U, 5025U, 4406U, 4948U, 16358U, 18012U, 4465U, 
-    5007U, 36800U, 54158U, 37260U, 54618U, 36670U, 54028U, 37216U, 
-    54574U, 36656U, 54014U, 45450U, 4515U, 5057U, 37289U, 54647U, 
-    37145U, 54503U, 37159U, 54517U, 36988U, 54346U, 37003U, 54361U, 
-    37042U, 54400U, 4304U, 4846U, 15696U, 17174U, 4319U, 4861U, 
-    4377U, 4919U, 4436U, 4978U, 16967U, 28806U, 46045U, 17080U, 
-    30553U, 47922U, 17218U, 31849U, 49270U, 16936U, 28631U, 45875U, 
-    17162U, 31628U, 48997U, 16988U, 28837U, 46076U, 17101U, 30574U, 
-    47943U, 17239U, 31880U, 49301U, 36529U, 53887U, 36716U, 54074U, 
-    36928U, 54286U, 36583U, 53941U, 37188U, 54546U, 36611U, 53969U, 
-    37230U, 54588U, 37090U, 54448U, 37056U, 54414U, 36954U, 54312U, 
-    36815U, 54173U, 37073U, 54431U, 36971U, 54329U, 36832U, 54190U, 
-    37014U, 54372U, 9801U, 54732U, 9230U, 10018U, 0U, 5660U, 
-    5438U, 15408U, 40738U, 54810U, 13579U, 5475U, 16765U, 55128U, 
-    27725U, 44776U, 11004U, 54834U, 37405U, 54786U, 2806U, 5425U, 
-    39825U, 39841U, 15146U, 40064U, 54794U, 13539U, 5462U, 749U, 
-    14751U, 14807U, 16520U, 3890U, 55103U, 26079U, 43028U, 10455U, 
-    54818U, 37389U, 54770U, 5650U, 2816U, 4532U, 7403U, 39833U, 
-    39849U, 2177U, 40235U, 54802U, 13569U, 13549U, 13559U, 13589U, 
-    14759U, 14815U, 16612U, 2280U, 26930U, 43894U, 10568U, 54826U, 
-    37397U, 54778U, 47293U, 29622U, 46864U, 7412U, 5451U, 15560U, 
-    41166U, 11474U, 13598U, 5488U, 16892U, 55152U, 28248U, 11461U, 
-    45334U, 11487U, 11093U, 41318U, 28931U, 46177U, 11119U, 41611U, 
-    30859U, 48252U, 11160U, 40655U, 27421U, 39990U, 25625U, 40148U, 
-    26353U, 30188U, 47498U, 29948U, 47236U, 31246U, 48616U, 41174U, 
-    28268U, 45342U, 11105U, 41697U, 31592U, 48961U, 11201U, 48387U, 
-    41328U, 29076U, 41621U, 30995U, 48364U, 41338U, 29119U, 41631U, 
-    31038U, 46298U, 48375U, 28256U, 41533U, 40243U, 41505U, 41348U, 
-    41654U, 7901U, 9978U, 38131U, 41468U, 47211U, 41493U, 47249U, 
-    44006U, 29961U, 7784U, 41425U, 29584U, 46826U, 11147U, 40256U, 
-    43993U, 30199U, 47509U, 9218U, 30211U, 47521U, 9694U, 41481U, 
-    47224U, 41688U, 31498U, 48868U, 11188U, 11422U, 7364U, 7475U, 
-    5614U, 7331U, 5682U, 7442U, 5638U, 2794U, 7353U, 5706U, 
-    3108U, 7464U, 41359U, 29221U, 46422U, 11133U, 41665U, 31152U, 
-    48535U, 11174U, 29988U, 47276U, 29974U, 47262U, 7375U, 7486U, 
-    7386U, 7497U, 5626U, 7342U, 5694U, 7453U, 9727U, 9755U, 
-    9741U, 9768U, 16111U, 17765U, 23886U, 38830U, 22922U, 38194U, 
-    23566U, 38687U, 24090U, 38983U, 29110U, 46334U, 31029U, 48422U, 
-    29522U, 57539U, 46764U, 58105U, 31431U, 57617U, 48801U, 58183U, 
-    26105U, 43054U, 26956U, 43920U, 22794U, 23504U, 23832U, 22868U, 
-    667U, 37447U, 2915U, 23102U, 4631U, 23712U, 2602U, 415U, 
-    2364U, 3949U, 2703U, 4202U, 235U, 2451U, 4036U, 81U, 
-    603U, 48905U, 23805U, 38806U, 22841U, 38170U, 23550U, 38663U, 
-    24083U, 38976U, 9625U, 5177U, 5221U, 5916U, 5960U, 5199U, 
-    5243U, 5938U, 5992U, 5189U, 5233U, 5928U, 5972U, 5211U, 
-    5255U, 5950U, 6004U, 9177U, 7514U, 13521U, 13606U, 14718U, 
-    14767U, 14823U, 11381U, 7523U, 13530U, 13615U, 14727U, 14776U, 
-    14832U, 23991U, 38874U, 23038U, 38239U, 23668U, 38732U, 24096U, 
-    38989U, 5564U, 15367U, 6738U, 40688U, 16741U, 7078U, 27590U, 
-    44669U, 10993U, 1953U, 15105U, 6476U, 40014U, 14794U, 16496U, 
-    6882U, 25907U, 42884U, 10444U, 2036U, 2143U, 6607U, 40185U, 
-    2260U, 6980U, 26750U, 43723U, 10557U, 6378U, 15523U, 41141U, 
-    16871U, 7137U, 28226U, 45313U, 11083U, 29173U, 46374U, 31092U, 
-    48462U, 39880U, 44768U, 39872U, 43011U, 39888U, 45327U, 7778U, 
-    9212U, 11416U, 6045U, 6157U, 6057U, 6169U, 6069U, 6181U, 
-    31773U, 49161U, 28511U, 45737U, 31785U, 49173U, 28523U, 45749U, 
-    28296U, 45370U, 28728U, 45972U, 30369U, 47743U, 28374U, 45592U, 
-    31934U, 49421U, 28405U, 45623U, 31965U, 49452U, 31764U, 49144U, 
-    27985U, 45066U, 30163U, 47465U, 28850U, 46089U, 8069U, 28315U, 
-    45430U, 28415U, 45633U, 31805U, 49226U, 713U, 757U, 16123U, 
-    17777U, 30453U, 47835U, 28343U, 45494U, 29284U, 46472U, 30587U, 
-    47965U, 31903U, 49333U, 9278U, 8305U, 30005U, 47307U, 9244U, 
-    8242U, 27953U, 45034U, 28436U, 45654U, 29649U, 46891U, 30635U, 
-    48034U, 31986U, 49473U, 9292U, 8319U, 30018U, 47320U, 9261U, 
-    8259U, 27969U, 45050U, 25860U, 42837U, 26690U, 43647U, 26062U, 
-    43019U, 26913U, 43885U, 41268U, 45572U, 41415U, 46550U, 41553U, 
-    48010U, 41800U, 17283U, 11215U, 28780U, 46019U, 31823U, 49244U, 
-    28534U, 45760U, 28709U, 45953U, 30525U, 47894U, 29900U, 47137U, 
-    31525U, 48895U, 32074U, 49580U, 30171U, 47473U, 30145U, 47447U, 
-    28542U, 45768U, 28551U, 45777U, 25488U, 42450U, 26179U, 43128U, 
-    30179U, 47481U, 25499U, 42461U, 31535U, 48913U, 30760U, 48154U, 
-    28480U, 45706U, 28718U, 45962U, 6111U, 6223U, 31754U, 49134U, 
-    6140U, 6252U, 28667U, 45911U, 6097U, 6209U, 31711U, 49091U, 
-    28772U, 46011U, 31796U, 49217U, 28354U, 45562U, 29308U, 46540U, 
-    30611U, 48000U, 16135U, 17789U, 6081U, 6193U, 29780U, 47017U, 
-    28426U, 45644U, 29593U, 46835U, 31976U, 49463U, 28489U, 45715U, 
-    29705U, 46955U, 32042U, 49548U, 28384U, 45602U, 29531U, 46773U, 
-    31944U, 49431U, 28471U, 45697U, 29695U, 46945U, 32032U, 49538U, 
-    45439U, 28686U, 45930U, 30286U, 47644U, 31730U, 49110U, 30502U, 
-    47871U, 29877U, 47114U, 30737U, 48131U, 28698U, 45942U, 30298U, 
-    47656U, 31742U, 49122U, 30514U, 47883U, 29889U, 47126U, 30749U, 
-    48143U, 30415U, 47789U, 6125U, 6237U, 31913U, 49376U, 32021U, 
-    49527U, 31814U, 49235U, 28819U, 46058U, 31862U, 49283U, 30491U, 
-    47860U, 38844U, 25455U, 42403U, 38217U, 25417U, 42365U, 38710U, 
-    25436U, 42384U, 5273U, 1468U, 27677U, 44728U, 26022U, 42971U, 
-    26873U, 43845U, 5342U, 1682U, 5359U, 1699U, 5296U, 1571U, 
-    3428U, 5376U, 1716U, 3609U, 5393U, 1733U, 3626U, 5410U, 
-    1750U, 30770U, 48164U, 8362U, 7561U, 572U, 826U, 3134U, 
-    11364U, 31652U, 49021U, 28305U, 45420U, 15596U, 16949U, 15710U, 
-    17188U, 15721U, 17199U, 28333U, 45484U, 28858U, 46106U, 31893U, 
-    49323U, 17052U, 16959U, 28798U, 46037U, 17072U, 30545U, 47914U, 
-    17210U, 31841U, 49262U, 16928U, 28623U, 45867U, 17154U, 31620U, 
-    48989U, 17062U, 16980U, 28829U, 46068U, 17093U, 30566U, 47935U, 
-    17231U, 31872U, 49293U, 28287U, 45361U, 28677U, 45921U, 30277U, 
-    47635U, 28364U, 45582U, 31924U, 49411U, 28394U, 45612U, 31954U, 
-    49441U, 31721U, 49101U, 28951U, 46186U, 31574U, 48952U, 31673U, 
-    49042U, 30389U, 47763U, 30425U, 47807U, 29791U, 47028U, 31686U, 
-    49066U, 30402U, 47776U, 30439U, 47821U, 29804U, 47041U, 6312U, 
-    38813U, 25445U, 42393U, 6294U, 38177U, 25407U, 42355U, 5499U, 
-    2016U, 6303U, 38670U, 25426U, 42374U, 5265U, 1460U, 5324U, 
-    1664U, 5333U, 1673U, 5350U, 1690U, 5288U, 1563U, 3420U, 
-    5367U, 1707U, 3600U, 5384U, 1724U, 3617U, 5401U, 1741U, 
-    5582U, 2054U, 30777U, 48171U, 1072U, 8576U, 15310U, 1320U, 
-    8856U, 16677U, 944U, 8432U, 15048U, 1192U, 8712U, 16432U, 
-    1008U, 8504U, 15182U, 1256U, 8784U, 16556U, 1135U, 8647U, 
-    15473U, 1383U, 8927U, 16815U, 24537U, 57260U, 39239U, 57695U, 
-    24660U, 57286U, 39300U, 57734U, 1104U, 8612U, 15350U, 1352U, 
-    8892U, 16724U, 976U, 8468U, 15088U, 1224U, 8748U, 16479U, 
-    1040U, 8540U, 15214U, 1288U, 8820U, 16588U, 1163U, 8679U, 
-    15508U, 1411U, 8959U, 16856U, 8033U, 3317U, 8051U, 3339U, 
-    9835U, 7830U, 38796U, 38160U, 38653U, 38786U, 38150U, 38643U, 
-    7843U, 9608U, 40730U, 40056U, 40227U, 41158U, 11527U, 1801U, 
-    3721U, 1827U, 3747U, 3794U, 1865U, 3820U, 11584U, 1788U, 
-    3708U, 1814U, 3734U, 3781U, 1852U, 3807U, 9134U, 9658U, 
-    11375U, 9225U, 9701U, 11429U, 11500U, 1088U, 8594U, 15326U, 
-    1336U, 8874U, 16693U, 960U, 8450U, 15064U, 1208U, 8730U, 
-    16448U, 1024U, 8522U, 15198U, 1272U, 8802U, 16572U, 1149U, 
-    8663U, 15487U, 1397U, 8943U, 16829U, 1120U, 8630U, 15366U, 
-    1368U, 8910U, 16740U, 992U, 8486U, 15104U, 1240U, 8766U, 
-    16495U, 1056U, 8558U, 15230U, 1304U, 8838U, 16604U, 1177U, 
-    8695U, 15522U, 1425U, 8975U, 16870U, 15154U, 16528U, 15238U, 
-    16620U, 24199U, 39015U, 24527U, 39229U, 24291U, 39045U, 57669U, 
-    24637U, 39277U, 57721U, 9529U, 24607U, 57272U, 39247U, 57707U, 
-    24668U, 57298U, 39308U, 57745U, 8123U, 1064U, 8567U, 15302U, 
-    1312U, 8847U, 16669U, 936U, 8423U, 15040U, 1184U, 8703U, 
-    16424U, 1000U, 8495U, 15174U, 1248U, 8775U, 16548U, 1128U, 
-    8639U, 15466U, 1376U, 8919U, 16808U, 7817U, 1096U, 8603U, 
-    15342U, 1344U, 8883U, 16716U, 968U, 8459U, 15080U, 1216U, 
-    8739U, 16471U, 1032U, 8531U, 15206U, 1280U, 8811U, 16580U, 
-    1156U, 8671U, 15501U, 1404U, 8951U, 16849U, 26123U, 43072U, 
-    26974U, 43938U, 5509U, 15247U, 6654U, 40592U, 16629U, 7014U, 
-    27358U, 44413U, 10920U, 1898U, 14974U, 6392U, 39927U, 16373U, 
-    6818U, 25520U, 42482U, 10371U, 1971U, 2062U, 6523U, 40072U, 
-    2199U, 6916U, 26200U, 43149U, 10467U, 6321U, 15416U, 41078U, 
-    16773U, 28171U, 45252U, 11016U, 7749U, 9183U, 9670U, 11387U, 
-    1774U, 3694U, 14881U, 14868U, 14841U, 14909U, 14897U, 9500U, 
-    14855U, 14736U, 24322U, 39076U, 24109U, 39002U, 24329U, 39083U, 
-    38776U, 38140U, 38633U, 38967U, 24115U, 39008U, 24357U, 39111U, 
-    24336U, 39090U, 24370U, 39125U, 24343U, 39097U, 24376U, 39140U, 
-    24350U, 39104U, 24382U, 39146U, 24404U, 39187U, 24457U, 39221U, 
-    24389U, 39153U, 24411U, 39204U, 24686U, 39326U, 7945U, 23951U, 
-    23014U, 23636U, 25464U, 42426U, 26141U, 43090U, 29910U, 47147U, 
-    15969U, 17623U, 25475U, 42437U, 26152U, 43101U, 26165U, 43114U, 
-    1080U, 8585U, 15318U, 1328U, 8865U, 16685U, 952U, 8441U, 
-    15056U, 1200U, 8721U, 16440U, 1016U, 8513U, 15190U, 1264U, 
-    8793U, 16564U, 1142U, 8655U, 15480U, 1390U, 8935U, 16822U, 
-    9027U, 7188U, 9093U, 7276U, 8983U, 7144U, 9049U, 7210U, 
-    9005U, 7166U, 9071U, 7243U, 26096U, 43045U, 26947U, 43911U, 
-    1112U, 8621U, 15358U, 1360U, 8901U, 16732U, 984U, 8477U, 
-    15096U, 1232U, 8757U, 16487U, 1048U, 8549U, 15222U, 1296U, 
-    8829U, 16596U, 1170U, 8687U, 15515U, 1418U, 8967U, 16863U, 
-    9038U, 7199U, 9104U, 7287U, 8994U, 7155U, 9060U, 7221U, 
-    9016U, 7177U, 9082U, 7254U, 26132U, 43081U, 26983U, 43947U, 
-    16028U, 17682U, 16081U, 17735U, 23967U, 23030U, 23652U, 8187U, 
-    2924U, 4640U, 424U, 10037U, 23983U, 38866U, 38231U, 23660U, 
-    38724U, 24033U, 38897U, 38254U, 38747U, 24271U, 39025U, 24608U, 
-    39248U, 24314U, 57247U, 39068U, 57682U, 24669U, 57299U, 39309U, 
-    57746U, 8228U, 2983U, 4699U, 483U, 11645U, 7795U, 7849U, 
-    7909U, 8384U, 8419U, 9827U, 7766U, 9200U, 9682U, 11404U, 
-    38851U, 38224U, 38717U, 24452U, 22824U, 23533U, 22947U, 23582U, 
-    22115U, 7965U, 5982U, 47489U, 23134U, 23744U, 2641U, 2402U, 
-    3987U, 22996U, 23618U, 2554U, 22124U, 2328U, 3913U, 47166U, 
-    5518U, 15260U, 6668U, 40605U, 16637U, 7023U, 27366U, 44421U, 
-    10932U, 1907U, 14987U, 6406U, 39940U, 16381U, 6827U, 25528U, 
-    42490U, 10383U, 1980U, 2077U, 6537U, 40085U, 2209U, 6925U, 
-    26208U, 43157U, 10479U, 6328U, 15428U, 41090U, 16780U, 7112U, 
-    28178U, 45259U, 11027U, 28964U, 46199U, 30883U, 48265U, 22803U, 
-    23513U, 23842U, 22878U, 678U, 37478U, 23112U, 23722U, 2614U, 
-    2376U, 3961U, 2716U, 4215U, 248U, 2464U, 4049U, 94U, 
-    613U, 29428U, 57513U, 46670U, 58079U, 31337U, 57591U, 48707U, 
-    58157U, 22767U, 23477U, 23812U, 22848U, 645U, 37427U, 2838U, 
-    23073U, 4554U, 23683U, 2566U, 338U, 2340U, 3925U, 2677U, 
-    4176U, 209U, 2425U, 4010U, 55U, 583U, 9916U, 9155U, 
-    9792U, 10051U, 3650U, 10011U, 3641U, 25574U, 42536U, 26254U, 
-    43203U, 14785U, 3853U, 24395U, 4293U, 39178U, 4786U, 14963U, 
-    3864U, 15645U, 3877U, 17040U, 3900U, 5572U, 15399U, 54885U, 
-    16756U, 55115U, 27708U, 44759U, 1961U, 15137U, 54872U, 16511U, 
-    55090U, 26053U, 43002U, 2044U, 2166U, 54842U, 2269U, 54857U, 
-    26904U, 43876U, 6384U, 15552U, 54898U, 16884U, 11447U, 55140U, 
-    28232U, 45319U, 1887U, 3842U, 3070U, 4808U, 3081U, 4819U, 
-    9603U, 8235U, 2993U, 4709U, 493U, 27698U, 44749U, 26043U, 
-    42992U, 26894U, 43866U, 25769U, 42739U, 26599U, 43556U, 29470U, 
-    46712U, 31379U, 48749U, 39168U, 39131U, 39194U, 39159U, 3058U, 
-    4774U, 511U, 3097U, 4835U, 523U, 39117U, 7587U, 29064U, 
-    46286U, 30983U, 48352U, 29098U, 46322U, 31017U, 48410U, 3760U, 
-    32624U, 50076U, 34751U, 14162U, 18174U, 58376U, 19476U, 59529U, 
-    52080U, 21553U, 60979U, 29021U, 46256U, 33596U, 50962U, 36143U, 
-    14458U, 18496U, 58724U, 19941U, 59997U, 53457U, 22029U, 61447U, 
-    30940U, 48322U, 35045U, 52332U, 29456U, 57525U, 46698U, 58091U, 
-    36395U, 53705U, 31365U, 57603U, 48735U, 58169U, 32557U, 50009U, 
-    28960U, 46195U, 33529U, 50895U, 30879U, 48261U, 31545U, 48923U, 
-    28561U, 45787U, 31559U, 48937U, 28581U, 45807U, 28571U, 45797U, 
-    27998U, 45079U, 16004U, 17658U, 18688U, 58789U, 16057U, 17711U, 
-    18700U, 58802U, 32707U, 50158U, 29128U, 46342U, 33690U, 51055U, 
-    31047U, 48430U, 32634U, 50086U, 29030U, 46265U, 33606U, 50972U, 
-    30949U, 48331U, 9894U, 34811U, 52126U, 36203U, 53527U, 16158U, 
-    17812U, 16015U, 17669U, 16194U, 17848U, 16068U, 17722U, 32783U, 
-    50220U, 29230U, 46431U, 33766U, 51117U, 31161U, 48544U, 6014U, 
-    6029U, 37304U, 27252U, 37323U, 27270U, 32867U, 50276U, 35127U, 
-    52414U, 33813U, 51150U, 36466U, 53776U, 31473U, 48843U, 16183U, 
-    54938U, 17837U, 55191U, 16289U, 54983U, 17943U, 55236U, 13624U, 
-    16047U, 54910U, 17701U, 55163U, 16229U, 54953U, 17883U, 55206U, 
-    16347U, 55060U, 18001U, 55313U, 13636U, 16100U, 54924U, 17754U, 
-    55177U, 35117U, 54998U, 52404U, 55251U, 29553U, 56048U, 46795U, 
-    56456U, 36456U, 55075U, 53766U, 55328U, 31452U, 56061U, 48822U, 
-    56469U, 35075U, 52362U, 29498U, 46740U, 36425U, 53735U, 31407U, 
-    48777U, 32520U, 49972U, 34690U, 52019U, 28892U, 46140U, 33505U, 
-    50871U, 36095U, 53409U, 14689U, 30832U, 48227U, 32096U, 33155U, 
-    50563U, 35565U, 52907U, 14617U, 47680U, 32109U, 33479U, 50845U, 
-    36069U, 53383U, 14675U, 48178U, 35723U, 53051U, 14645U, 33492U, 
-    50858U, 36082U, 53396U, 30795U, 48190U, 33182U, 50590U, 35592U, 
-    52934U, 14631U, 30345U, 47719U, 32533U, 49985U, 34717U, 52046U, 
-    28904U, 46152U, 41891U, 50452U, 42199U, 52594U, 41455U, 47173U, 
-    35752U, 53080U, 14660U, 34306U, 51633U, 26503U, 43460U, 35357U, 
-    52699U, 30063U, 47365U, 36324U, 53634U, 31218U, 48588U, 34377U, 
-    51704U, 35420U, 52762U, 26297U, 43254U, 34981U, 52268U, 29321U, 
-    46563U, 26824U, 43789U, 36354U, 53664U, 31261U, 48631U, 34946U, 
-    52233U, 36293U, 53603U, 35012U, 52299U, 29352U, 46594U, 34341U, 
-    51668U, 26554U, 43511U, 35388U, 52730U, 30108U, 47410U, 34414U, 
-    51741U, 35453U, 52795U, 32082U, 33141U, 50549U, 35551U, 52893U, 
-    47667U, 35708U, 53036U, 33168U, 50576U, 35578U, 52920U, 30332U, 
-    47706U, 35737U, 53065U, 34290U, 51617U, 26470U, 43427U, 35343U, 
-    52685U, 30034U, 47336U, 34360U, 51687U, 35405U, 52747U, 34325U, 
-    51652U, 26521U, 43478U, 35374U, 52716U, 30079U, 47381U, 34397U, 
-    51724U, 35438U, 52780U, 34703U, 52032U, 36108U, 53422U, 14703U, 
-    34998U, 52285U, 36371U, 53681U, 34961U, 52248U, 36308U, 53618U, 
-    32796U, 50233U, 34881U, 14195U, 18210U, 58415U, 19521U, 59578U, 
-    52182U, 21598U, 61028U, 29242U, 46443U, 33779U, 51130U, 36273U, 
-    14491U, 18532U, 58763U, 19986U, 60046U, 53583U, 22074U, 61496U, 
-    31173U, 48556U, 35143U, 52430U, 29574U, 57564U, 46816U, 58130U, 
-    36482U, 53792U, 31488U, 57642U, 48858U, 58208U, 16038U, 17692U, 
-    16219U, 17873U, 16091U, 17745U, 24441U, 39210U, 24717U, 39332U, 
-    40897U, 44962U, 40528U, 44279U, 40560U, 44341U, 40912U, 45004U, 
-    40544U, 44310U, 40576U, 44372U, 41640U, 48499U, 42412U, 54743U, 
-    24834U, 13715U, 25136U, 13911U, 24926U, 13813U, 39441U, 25228U, 
-    14009U, 39651U, 40323U, 13127U, 27047U, 12307U, 44074U, 13337U, 
-    10226U, 10651U, 22506U, 11923U, 37873U, 12743U, 23216U, 12119U, 
-    38375U, 12939U, 22206U, 11727U, 37573U, 12547U, 40453U, 13213U, 
-    27177U, 12393U, 44204U, 13423U, 10336U, 10821U, 22656U, 12021U, 
-    38023U, 12841U, 23366U, 12217U, 38525U, 13037U, 22356U, 11825U, 
-    37723U, 12645U, 40373U, 57847U, 27097U, 57413U, 44124U, 57979U, 
-    10717U, 25040U, 39555U, 22564U, 37931U, 23274U, 38433U, 22264U, 
-    37631U, 40503U, 57913U, 27227U, 57479U, 44254U, 58045U, 10887U, 
-    25342U, 39765U, 22714U, 38081U, 23424U, 38583U, 22414U, 37781U, 
-    24771U, 13648U, 25073U, 13844U, 24863U, 13746U, 39378U, 25165U, 
-    13942U, 39588U, 40268U, 13068U, 26992U, 12248U, 44019U, 13278U, 
-    10151U, 10580U, 22443U, 11856U, 37810U, 12676U, 23153U, 12052U, 
-    38312U, 12872U, 22143U, 11660U, 37510U, 12480U, 40398U, 13154U, 
-    27122U, 12334U, 44149U, 13364U, 10261U, 10750U, 22593U, 11954U, 
-    37960U, 12774U, 23303U, 12150U, 38462U, 12970U, 22293U, 11758U, 
-    37660U, 12578U, 24788U, 13666U, 25090U, 13862U, 24880U, 13764U, 
-    39395U, 25182U, 13960U, 39605U, 24817U, 13697U, 25119U, 13893U, 
-    24909U, 13795U, 39424U, 25211U, 13991U, 39634U, 40308U, 13111U, 
-    27032U, 12291U, 44059U, 13321U, 10206U, 10632U, 22489U, 11905U, 
-    37856U, 12725U, 23199U, 12101U, 38358U, 12921U, 22189U, 11709U, 
-    37556U, 12529U, 40438U, 13197U, 27162U, 12377U, 44189U, 13407U, 
-    10316U, 10802U, 22639U, 12003U, 38006U, 12823U, 23349U, 12199U, 
-    38508U, 13019U, 22339U, 11807U, 37706U, 12627U, 40283U, 13084U, 
-    27007U, 12264U, 44034U, 13294U, 10171U, 10599U, 22460U, 11874U, 
-    37827U, 12694U, 23170U, 12070U, 38329U, 12890U, 22160U, 11678U, 
-    37527U, 12498U, 40413U, 13170U, 27137U, 12350U, 44164U, 13380U, 
-    10281U, 10769U, 22610U, 11972U, 37977U, 12792U, 23320U, 12168U, 
-    38479U, 12988U, 22310U, 11776U, 37677U, 12596U, 40348U, 57814U, 
-    27072U, 57380U, 44099U, 57946U, 10684U, 25017U, 39532U, 22535U, 
-    37902U, 23245U, 38404U, 22235U, 37602U, 40478U, 57880U, 27202U, 
-    57446U, 44229U, 58012U, 10854U, 25319U, 39742U, 22685U, 38052U, 
-    23395U, 38554U, 22385U, 37752U, 24848U, 13730U, 25150U, 13926U, 
-    24940U, 13828U, 39455U, 25242U, 14024U, 39665U, 40335U, 13140U, 
-    27059U, 12320U, 44086U, 13350U, 10243U, 10667U, 22520U, 11938U, 
-    37887U, 12758U, 23230U, 12134U, 38389U, 12954U, 22220U, 11742U, 
-    37587U, 12562U, 40465U, 13226U, 27189U, 12406U, 44216U, 13436U, 
-    10353U, 10837U, 22670U, 12036U, 38037U, 12856U, 23380U, 12232U, 
-    38539U, 13052U, 22370U, 11840U, 37737U, 12660U, 40385U, 57863U, 
-    27109U, 57429U, 44136U, 57995U, 10733U, 25051U, 39566U, 22578U, 
-    37945U, 23288U, 38447U, 22278U, 37645U, 40515U, 57929U, 27239U, 
-    57495U, 44266U, 58061U, 10903U, 25353U, 39776U, 22728U, 38095U, 
-    23438U, 38597U, 22428U, 37795U, 24802U, 13681U, 25104U, 13877U, 
-    24894U, 13779U, 39409U, 25196U, 13975U, 39619U, 40295U, 13097U, 
-    27019U, 12277U, 44046U, 13307U, 10188U, 10615U, 22474U, 11889U, 
-    37841U, 12709U, 23184U, 12085U, 38343U, 12905U, 22174U, 11693U, 
-    37541U, 12513U, 40425U, 13183U, 27149U, 12363U, 44176U, 13393U, 
-    10298U, 10785U, 22624U, 11987U, 37991U, 12807U, 23334U, 12183U, 
-    38493U, 13003U, 22324U, 11791U, 37691U, 12611U, 40360U, 57830U, 
-    27084U, 57396U, 44111U, 57962U, 10700U, 25028U, 39543U, 22549U, 
-    37916U, 23259U, 38418U, 22249U, 37616U, 40490U, 57896U, 27214U, 
-    57462U, 44241U, 58028U, 10870U, 25330U, 39753U, 22699U, 38066U, 
-    23409U, 38568U, 22399U, 37766U, 29273U, 12434U, 46461U, 13464U, 
-    31204U, 12459U, 48574U, 13489U, 29611U, 46853U, 31515U, 48885U, 
-    29138U, 46352U, 31057U, 48440U, 29039U, 46274U, 30958U, 48340U, 
-    29200U, 46401U, 31119U, 48489U, 29189U, 46390U, 31108U, 48478U, 
-    32644U, 34761U, 29050U, 33616U, 36153U, 30969U, 24136U, 24464U, 
-    24208U, 24544U, 24167U, 24495U, 24239U, 24575U, 32728U, 34845U, 
-    29159U, 33711U, 36237U, 31078U, 32613U, 50065U, 29011U, 46246U, 
-    33585U, 50951U, 30930U, 48312U, 32570U, 50022U, 28972U, 46207U, 
-    33542U, 50908U, 30891U, 48273U, 27911U, 44977U, 27288U, 44295U, 
-    27318U, 44357U, 27938U, 45019U, 27303U, 44326U, 27333U, 44388U, 
-    31129U, 48512U, 37376U, 54757U, 33839U, 31582U, 9817U, 10125U, 
-    3680U, 41863U, 32806U, 41368U, 29251U, 41964U, 33789U, 41674U, 
-    31182U, 32602U, 50054U, 29001U, 46236U, 33574U, 50940U, 30920U, 
-    48302U, 29446U, 46688U, 31355U, 48725U, 32820U, 50243U, 34891U, 
-    14206U, 18222U, 58428U, 19532U, 59590U, 52192U, 21609U, 61040U, 
-    29264U, 46452U, 33803U, 51140U, 36283U, 14502U, 18544U, 58776U, 
-    19997U, 60058U, 53593U, 22085U, 61508U, 31195U, 48565U, 35174U, 
-    52461U, 29602U, 57577U, 46844U, 58143U, 36502U, 53812U, 31506U, 
-    57655U, 48876U, 58221U, 9148U, 24424U, 7836U, 32591U, 50043U, 
-    28991U, 46226U, 33563U, 50929U, 30910U, 48292U, 29436U, 46678U, 
-    31345U, 48715U, 32718U, 50169U, 34835U, 14184U, 18198U, 58402U, 
-    19498U, 59553U, 52150U, 21575U, 61003U, 29150U, 46364U, 33701U, 
-    51066U, 36227U, 14480U, 18520U, 58750U, 19963U, 60021U, 53551U, 
-    22051U, 61471U, 31069U, 48452U, 35107U, 52394U, 29540U, 57551U, 
-    46782U, 58117U, 36446U, 53756U, 31439U, 57629U, 48809U, 58195U, 
-    8353U, 1475U, 3272U, 9140U, 52666U, 47292U, 52471U, 29621U, 
-    46863U, 41829U, 32546U, 49998U, 11243U, 41022U, 20302U, 28115U, 
-    19038U, 59093U, 45196U, 56384U, 20935U, 55801U, 60348U, 56985U, 
-    40841U, 20141U, 27842U, 18877U, 58922U, 44893U, 56193U, 20774U, 
-    55600U, 60177U, 56774U, 42110U, 20625U, 34730U, 19453U, 59504U, 
-    52059U, 56583U, 21530U, 55984U, 60954U, 57178U, 41317U, 28930U, 
-    46176U, 11118U, 41930U, 33518U, 50884U, 11273U, 41050U, 20332U, 
-    28143U, 19068U, 59125U, 45224U, 56420U, 20965U, 55839U, 60380U, 
-    57025U, 40869U, 20171U, 27870U, 18907U, 58954U, 44921U, 56229U, 
-    20804U, 55638U, 60209U, 56814U, 42281U, 20649U, 36122U, 19918U, 
-    59972U, 53436U, 56613U, 22006U, 56016U, 61422U, 57212U, 41610U, 
-    30858U, 48251U, 11159U, 33067U, 50475U, 35467U, 52809U, 30187U, 
-    47497U, 35293U, 52634U, 29947U, 47235U, 36337U, 53647U, 31245U, 
-    48615U, 40927U, 20201U, 28020U, 18937U, 58986U, 45101U, 56265U, 
-    20834U, 55676U, 60241U, 56854U, 40746U, 20040U, 27747U, 18776U, 
-    58815U, 44798U, 56074U, 20673U, 55475U, 60070U, 56643U, 41989U, 
-    20542U, 34208U, 19252U, 59323U, 51535U, 56482U, 21329U, 55877U, 
-    60773U, 57065U, 40959U, 20235U, 28052U, 18971U, 59022U, 45133U, 
-    56305U, 20868U, 55718U, 60277U, 56898U, 40778U, 20074U, 27779U, 
-    18810U, 58851U, 44830U, 56114U, 20707U, 55517U, 60106U, 56687U, 
-    42015U, 20570U, 34234U, 19280U, 59353U, 51561U, 56516U, 21357U, 
-    55913U, 60803U, 57103U, 41818U, 32135U, 49588U, 11228U, 41173U, 
-    28267U, 45341U, 11104U, 40991U, 20269U, 28084U, 19005U, 59058U, 
-    45165U, 56345U, 20902U, 55760U, 60313U, 56942U, 40810U, 20108U, 
-    27811U, 18844U, 58887U, 44862U, 56154U, 20741U, 55559U, 60142U, 
-    56731U, 42070U, 20598U, 34482U, 19308U, 59383U, 51838U, 56550U, 
-    21385U, 55949U, 60833U, 57141U, 40943U, 20218U, 28036U, 18954U, 
-    59004U, 45117U, 56285U, 20851U, 55697U, 60259U, 56876U, 40762U, 
-    20057U, 27763U, 18793U, 58833U, 44814U, 56094U, 20690U, 55496U, 
-    60088U, 56665U, 42002U, 20556U, 34221U, 19266U, 59338U, 51548U, 
-    56499U, 21343U, 55895U, 60788U, 57084U, 40975U, 20252U, 28068U, 
-    18988U, 59040U, 45149U, 56325U, 20885U, 55739U, 60295U, 56920U, 
-    40794U, 20091U, 27795U, 18827U, 58869U, 44846U, 56134U, 20724U, 
-    55538U, 60124U, 56709U, 42028U, 20584U, 34469U, 19294U, 59368U, 
-    51796U, 56533U, 21371U, 55931U, 60818U, 57122U, 41007U, 20286U, 
-    28100U, 19022U, 59076U, 45181U, 56365U, 20919U, 55781U, 60331U, 
-    56964U, 40826U, 20125U, 27827U, 18861U, 58905U, 44878U, 56174U, 
-    20758U, 55580U, 60160U, 56753U, 42083U, 20612U, 34495U, 19322U, 
-    59398U, 51851U, 56567U, 21399U, 55967U, 60848U, 57160U, 41978U, 
-    33849U, 51176U, 11303U, 41696U, 31591U, 48960U, 11200U, 53492U, 
-    48386U, 41327U, 29075U, 41620U, 30994U, 53480U, 48363U, 41337U, 
-    29118U, 41630U, 31037U, 50109U, 46297U, 50995U, 48374U, 32122U, 
-    34507U, 28255U, 41904U, 42254U, 41532U, 41840U, 42151U, 41347U, 
-    41941U, 42322U, 41653U, 42212U, 52607U, 41467U, 47210U, 41492U, 
-    47248U, 42055U, 51823U, 44005U, 35307U, 29960U, 42189U, 35153U, 
-    52440U, 11318U, 21664U, 41424U, 29583U, 46825U, 11146U, 42041U, 
-    51809U, 40255U, 43992U, 33079U, 50487U, 35479U, 52821U, 30198U, 
-    47508U, 33092U, 50500U, 35492U, 52834U, 30210U, 47520U, 42226U, 
-    52621U, 41480U, 47223U, 42345U, 36492U, 53802U, 11332U, 22096U, 
-    41687U, 31497U, 48867U, 11187U, 41852U, 32772U, 50209U, 11258U, 
-    41036U, 20317U, 28129U, 19053U, 59109U, 45210U, 56402U, 20950U, 
-    55820U, 60364U, 57005U, 40855U, 20156U, 27856U, 18892U, 58938U, 
-    44907U, 56211U, 20789U, 55619U, 60193U, 56794U, 42163U, 20637U, 
-    34870U, 19509U, 59565U, 52171U, 56598U, 21586U, 56000U, 61015U, 
-    57195U, 41358U, 29220U, 46421U, 11132U, 41953U, 33755U, 51106U, 
-    11288U, 41064U, 20347U, 28157U, 19083U, 59141U, 45238U, 56438U, 
-    20980U, 55858U, 60396U, 57045U, 40883U, 20186U, 27884U, 18922U, 
-    58970U, 44935U, 56247U, 20819U, 55657U, 60225U, 56834U, 42334U, 
-    20661U, 36262U, 19974U, 60033U, 53572U, 56628U, 22062U, 56032U, 
-    61483U, 57229U, 41664U, 31151U, 48534U, 11173U, 35321U, 52648U, 
-    29987U, 47275U, 29973U, 47261U, 16240U, 17894U, 16110U, 17764U, 
-    24127U, 24692U, 25585U, 42555U, 26265U, 43222U, 7999U, 1589U, 
-    3501U, 1520U, 3369U, 32697U, 50148U, 34801U, 14173U, 18186U, 
-    58389U, 19487U, 59541U, 52116U, 21564U, 60991U, 29109U, 46333U, 
-    33669U, 51034U, 36193U, 14469U, 18508U, 58737U, 19952U, 60009U, 
-    53517U, 22040U, 61459U, 31028U, 48421U, 35086U, 52373U, 29521U, 
-    57538U, 46763U, 58104U, 36436U, 53746U, 31430U, 57616U, 48800U, 
-    58182U, 25668U, 42638U, 26396U, 43353U, 8111U, 9569U, 32742U, 
-    50179U, 29172U, 46373U, 33725U, 51076U, 31091U, 48461U, 6044U, 
-    5726U, 6156U, 5821U, 35025U, 14238U, 18257U, 58466U, 19564U, 
-    59625U, 52312U, 21641U, 61075U, 6056U, 5738U, 6168U, 5833U, 
-    35928U, 14388U, 18420U, 58642U, 19831U, 59878U, 53242U, 21919U, 
-    61328U, 6068U, 5750U, 6180U, 5845U, 33977U, 51304U, 31772U, 
-    49160U, 32341U, 49807U, 28510U, 45736U, 33990U, 51317U, 31784U, 
-    49172U, 32354U, 49820U, 28522U, 45748U, 32156U, 49609U, 28295U, 
-    45369U, 32435U, 49901U, 34566U, 14105U, 18112U, 58309U, 19385U, 
-    59454U, 51909U, 21462U, 60904U, 28727U, 45971U, 33195U, 50603U, 
-    35605U, 14308U, 18333U, 58548U, 19701U, 59761U, 52947U, 21789U, 
-    61211U, 30368U, 47742U, 32221U, 49687U, 28373U, 45591U, 34089U, 
-    51416U, 31933U, 49420U, 32255U, 49721U, 28404U, 45622U, 34123U, 
-    51450U, 31964U, 49451U, 33967U, 51294U, 31763U, 49143U, 27984U, 
-    45065U, 27733U, 44784U, 34576U, 14116U, 18124U, 58322U, 19396U, 
-    59466U, 51919U, 21473U, 60916U, 34679U, 14139U, 18149U, 58349U, 
-    19441U, 59491U, 52008U, 21518U, 60941U, 35872U, 14355U, 18384U, 
-    58603U, 19798U, 59842U, 53200U, 21886U, 61292U, 33057U, 50465U, 
-    30162U, 47464U, 35654U, 14331U, 18358U, 58575U, 19724U, 59786U, 
-    52996U, 21812U, 61236U, 32500U, 49952U, 28849U, 46088U, 32177U, 
-    49630U, 28314U, 45429U, 34003U, 51330U, 31804U, 49225U, 16145U, 
-    17799U, 15992U, 17646U, 34631U, 51960U, 35824U, 53152U, 32328U, 
-    49794U, 28498U, 45724U, 16253U, 17907U, 16122U, 17776U, 32290U, 
-    49756U, 28455U, 45681U, 32906U, 50315U, 37342U, 54662U, 35196U, 
-    52497U, 54696U, 53822U, 29679U, 46929U, 47548U, 45839U, 33384U, 
-    50764U, 37359U, 54679U, 35972U, 53286U, 54714U, 53839U, 30665U, 
-    48072U, 34158U, 51485U, 32005U, 49500U, 30452U, 47834U, 41706U, 
-    13268U, 31601U, 12470U, 48970U, 13500U, 16278U, 54968U, 55343U, 
-    17932U, 55221U, 55409U, 32198U, 49664U, 28342U, 45493U, 32830U, 
-    50253U, 34901U, 52202U, 29283U, 46471U, 33346U, 50740U, 35883U, 
-    53211U, 30586U, 47964U, 34066U, 51393U, 31902U, 49332U, 9277U, 
-    8304U, 30004U, 47306U, 9243U, 8241U, 27952U, 45033U, 32278U, 
-    49744U, 28435U, 45653U, 32894U, 50303U, 35184U, 52485U, 29648U, 
-    46890U, 33372U, 50752U, 35960U, 53274U, 30634U, 48033U, 34146U, 
-    51473U, 31985U, 49472U, 9291U, 8318U, 30017U, 47319U, 9260U, 
-    8258U, 27968U, 45049U, 16312U, 55029U, 55376U, 17966U, 55282U, 
-    55442U, 16300U, 55013U, 55359U, 17954U, 55266U, 55425U, 16323U, 
-    55044U, 55392U, 17977U, 55297U, 55458U, 15567U, 16899U, 15605U, 
-    17000U, 15656U, 17113U, 15585U, 16917U, 15635U, 17030U, 15674U, 
-    17131U, 15749U, 17301U, 15731U, 17262U, 29634U, 14040U, 18042U, 
-    58234U, 19148U, 59211U, 46876U, 21153U, 60583U, 30620U, 14067U, 
-    18071U, 58265U, 19199U, 59266U, 48019U, 21204U, 60638U, 27898U, 
-    44949U, 27925U, 44991U, 32490U, 49942U, 34657U, 51986U, 28591U, 
-    19098U, 59157U, 45817U, 21067U, 60490U, 28867U, 19122U, 59183U, 
-    46115U, 21091U, 60516U, 30807U, 19226U, 59295U, 48202U, 21231U, 
-    60667U, 30222U, 19175U, 59240U, 47566U, 21180U, 60612U, 41304U, 
-    13240U, 28879U, 12420U, 46127U, 13450U, 41597U, 13254U, 30819U, 
-    12445U, 48214U, 13475U, 15770U, 17352U, 32671U, 50122U, 15882U, 
-    17536U, 15614U, 17009U, 29085U, 46309U, 15804U, 17428U, 33643U, 
-    51008U, 15946U, 17600U, 15684U, 17141U, 31004U, 48397U, 15783U, 
-    17365U, 15895U, 17549U, 34824U, 52139U, 33679U, 51044U, 36216U, 
-    53540U, 15794U, 17418U, 15936U, 17590U, 35850U, 53178U, 28602U, 
-    19110U, 59170U, 45828U, 21079U, 60503U, 28916U, 19135U, 59197U, 
-    46164U, 21104U, 60530U, 30844U, 19239U, 59309U, 48239U, 21244U, 
-    60681U, 30233U, 19187U, 59253U, 47591U, 21192U, 60625U, 41267U, 
-    45571U, 41414U, 46549U, 41552U, 48009U, 41799U, 17282U, 11214U, 
-    32445U, 34586U, 28736U, 33274U, 35694U, 30465U, 32842U, 34913U, 
-    29294U, 33358U, 35895U, 30597U, 28643U, 45887U, 30253U, 47611U, 
-    31661U, 49030U, 30377U, 47751U, 32424U, 49890U, 28717U, 45961U, 
-    6110U, 5792U, 6222U, 5887U, 28654U, 45898U, 30264U, 47622U, 
-    31698U, 49078U, 30478U, 47847U, 29864U, 47101U, 30724U, 48118U, 
-    29768U, 47005U, 30713U, 48107U, 33956U, 51283U, 31753U, 49133U, 
-    6139U, 6251U, 31640U, 49009U, 30357U, 47731U, 32377U, 49843U, 
-    28666U, 45910U, 6096U, 5778U, 6208U, 5873U, 29757U, 46994U, 
-    33909U, 51236U, 31710U, 49090U, 28353U, 45561U, 29307U, 46539U, 
-    30610U, 47999U, 16134U, 17788U, 29659U, 14055U, 18058U, 58251U, 
-    19163U, 59227U, 46901U, 21168U, 60599U, 30645U, 14082U, 18087U, 
-    58282U, 19214U, 59282U, 48044U, 21219U, 60654U, 28749U, 45988U, 
-    29922U, 47185U, 30120U, 47422U, 28760U, 45999U, 29934U, 47197U, 
-    30132U, 47434U, 29839U, 47076U, 32062U, 49568U, 29816U, 47053U, 
-    32051U, 49557U, 29851U, 47088U, 29827U, 47064U, 6080U, 5762U, 
-    6192U, 5857U, 32991U, 50386U, 29779U, 47016U, 41877U, 32977U, 
-    41442U, 29744U, 41916U, 33422U, 41584U, 30700U, 32267U, 49733U, 
-    28425U, 45643U, 32883U, 50292U, 35163U, 14261U, 18282U, 58493U, 
-    19587U, 59650U, 52450U, 21675U, 61100U, 29592U, 46834U, 35949U, 
-    14411U, 18445U, 58669U, 19854U, 59903U, 53263U, 21942U, 61353U, 
-    34135U, 51462U, 31975U, 49462U, 32317U, 49783U, 28488U, 45714U, 
-    32933U, 50342U, 35223U, 14285U, 18308U, 58521U, 19611U, 59676U, 
-    52524U, 21699U, 61126U, 29704U, 46954U, 35999U, 14435U, 18471U, 
-    58697U, 19878U, 59929U, 53313U, 21966U, 61379U, 34197U, 51524U, 
-    32041U, 49547U, 32232U, 49698U, 28383U, 45601U, 32856U, 50265U, 
-    35096U, 14249U, 18269U, 58479U, 19575U, 59637U, 52383U, 21652U, 
-    61087U, 29530U, 46772U, 35938U, 14399U, 18432U, 58655U, 19842U, 
-    59890U, 53252U, 21930U, 61340U, 34100U, 51427U, 31943U, 49430U, 
-    32306U, 49772U, 28470U, 45696U, 32922U, 50331U, 35212U, 14273U, 
-    18295U, 58507U, 19599U, 59663U, 52513U, 21687U, 61113U, 29694U, 
-    46944U, 35988U, 14423U, 18458U, 58683U, 19866U, 59916U, 53302U, 
-    21954U, 61366U, 34186U, 51513U, 32031U, 49537U, 41215U, 20387U, 
-    45409U, 21020U, 60439U, 41747U, 20495U, 49207U, 21282U, 60722U, 
-    49640U, 45438U, 41257U, 20423U, 45527U, 21056U, 60478U, 41404U, 
-    20459U, 46505U, 21142U, 60571U, 41789U, 20531U, 49366U, 21318U, 
-    60761U, 41204U, 20375U, 45398U, 21008U, 60426U, 41736U, 20483U, 
-    49196U, 21270U, 60709U, 41246U, 20411U, 45516U, 21044U, 60465U, 
-    41393U, 20447U, 46494U, 21130U, 60558U, 41778U, 20519U, 49355U, 
-    21306U, 60748U, 32398U, 49864U, 34540U, 19357U, 59424U, 51883U, 
-    21434U, 60874U, 28685U, 45929U, 33115U, 50523U, 35525U, 19673U, 
-    59731U, 52867U, 21761U, 61181U, 30285U, 47643U, 33930U, 51257U, 
-    31729U, 49109U, 33300U, 50694U, 35778U, 19748U, 59812U, 53106U, 
-    21836U, 61262U, 30501U, 47870U, 33031U, 50426U, 35267U, 19623U, 
-    59689U, 52568U, 21711U, 61139U, 29876U, 47113U, 33436U, 50802U, 
-    36043U, 19890U, 59942U, 53357U, 21978U, 61392U, 30736U, 48130U, 
-    41192U, 20362U, 45386U, 20995U, 60412U, 41724U, 20470U, 49184U, 
-    21257U, 60695U, 41234U, 20398U, 45504U, 21031U, 60451U, 41381U, 
-    20434U, 46482U, 21117U, 60544U, 41766U, 20506U, 49343U, 21293U, 
-    60734U, 32411U, 49877U, 34553U, 19371U, 59439U, 51896U, 21448U, 
-    60889U, 28697U, 45941U, 33128U, 50536U, 35538U, 19687U, 59746U, 
-    52880U, 21775U, 61196U, 30297U, 47655U, 33943U, 51270U, 31741U, 
-    49121U, 33313U, 50707U, 35791U, 19762U, 59827U, 53119U, 21850U, 
-    61277U, 30513U, 47882U, 33044U, 50439U, 35280U, 19637U, 59704U, 
-    52581U, 21725U, 61154U, 29888U, 47125U, 33449U, 50815U, 36056U, 
-    19904U, 59957U, 53370U, 21992U, 61407U, 30748U, 48142U, 33233U, 
-    50641U, 35643U, 14319U, 18345U, 58561U, 19712U, 59773U, 52985U, 
-    21800U, 61223U, 30414U, 47788U, 6124U, 5806U, 6236U, 5901U, 
-    34174U, 51501U, 32020U, 49526U, 34013U, 51340U, 31813U, 49234U, 
-    32469U, 49921U, 34610U, 14127U, 18136U, 58335U, 19418U, 59478U, 
-    51939U, 21495U, 60928U, 28818U, 46057U, 34034U, 51361U, 31861U, 
-    49282U, 33288U, 50682U, 35766U, 14342U, 18370U, 58588U, 19735U, 
-    59798U, 53094U, 21823U, 61248U, 30490U, 47859U, 34927U, 14217U, 
-    18234U, 58441U, 19543U, 59602U, 52214U, 21620U, 61052U, 35909U, 
-    14367U, 18397U, 58617U, 19810U, 59855U, 53223U, 21898U, 61305U, 
-    33462U, 50828U, 30769U, 48163U, 41514U, 30153U, 47455U, 15576U, 
-    41277U, 16908U, 28446U, 45672U, 15626U, 41433U, 17021U, 29670U, 
-    46920U, 15665U, 41575U, 17122U, 30656U, 48063U, 15740U, 41809U, 
-    17292U, 31996U, 49491U, 33870U, 51197U, 31651U, 49020U, 42095U, 
-    42239U, 42174U, 42266U, 41183U, 28277U, 45351U, 41286U, 28613U, 
-    45857U, 41523U, 30244U, 47602U, 41715U, 31610U, 48979U, 41225U, 
-    28323U, 45465U, 41295U, 28788U, 46027U, 41543U, 30535U, 47904U, 
-    41757U, 31831U, 49252U, 32166U, 49619U, 28304U, 45419U, 15759U, 
-    17321U, 15851U, 17505U, 15595U, 16948U, 15817U, 17451U, 15709U, 
-    17187U, 15829U, 17463U, 15720U, 17198U, 32187U, 49653U, 28332U, 
-    45483U, 32509U, 49961U, 28857U, 46105U, 34055U, 51382U, 31892U, 
-    49322U, 17376U, 17051U, 17332U, 32459U, 49911U, 15862U, 18567U, 
-    17516U, 18633U, 34600U, 19407U, 51929U, 21484U, 16958U, 28797U, 
-    46036U, 17398U, 33326U, 50720U, 15916U, 18600U, 17570U, 18666U, 
-    35804U, 19776U, 53132U, 21864U, 17071U, 30544U, 47913U, 32955U, 
-    50364U, 35245U, 52546U, 29724U, 46974U, 33400U, 50780U, 36021U, 
-    53335U, 30680U, 48087U, 17475U, 34024U, 51351U, 17209U, 31840U, 
-    49261U, 17311U, 32367U, 49833U, 15841U, 18556U, 17495U, 18622U, 
-    34520U, 19335U, 51863U, 21412U, 16927U, 28622U, 45866U, 15906U, 
-    18589U, 17560U, 18655U, 35505U, 19651U, 52847U, 21739U, 32944U, 
-    50353U, 35234U, 52535U, 29714U, 46964U, 36010U, 53324U, 17441U, 
-    33860U, 51187U, 17153U, 31619U, 48988U, 17387U, 17061U, 17342U, 
-    32480U, 49932U, 15872U, 18578U, 17526U, 18644U, 34621U, 19430U, 
-    51950U, 21507U, 16979U, 28828U, 46067U, 17408U, 33336U, 50730U, 
-    15926U, 18611U, 17580U, 18677U, 35814U, 19787U, 53142U, 21875U, 
-    17092U, 30565U, 47934U, 32966U, 50375U, 35256U, 52557U, 29734U, 
-    46984U, 33411U, 50791U, 36032U, 53346U, 30690U, 48097U, 17485U, 
-    34045U, 51372U, 17230U, 31871U, 49292U, 32146U, 49599U, 28286U, 
-    45360U, 32388U, 49854U, 34530U, 14094U, 18100U, 58296U, 19346U, 
-    59412U, 51873U, 21423U, 60862U, 28676U, 45920U, 33105U, 50513U, 
-    35515U, 14297U, 18321U, 58535U, 19662U, 59719U, 52857U, 21750U, 
-    61169U, 30276U, 47634U, 32210U, 49676U, 28363U, 45581U, 34078U, 
-    51405U, 31923U, 49410U, 32243U, 49709U, 28393U, 45611U, 34111U, 
-    51438U, 31953U, 49440U, 33920U, 51247U, 31720U, 49100U, 34667U, 
-    51996U, 35860U, 53188U, 34644U, 51973U, 35837U, 53165U, 33829U, 
-    51166U, 31573U, 48951U, 33881U, 51208U, 31672U, 49041U, 33205U, 
-    50613U, 35615U, 52957U, 30388U, 47762U, 33244U, 50652U, 35664U, 
-    53006U, 30424U, 47806U, 33003U, 50398U, 29790U, 47027U, 33895U, 
-    51222U, 31685U, 49065U, 33219U, 50627U, 35629U, 52971U, 30401U, 
-    47775U, 33259U, 50667U, 35679U, 53021U, 30438U, 47820U, 33017U, 
-    50412U, 29803U, 47040U, 34936U, 14227U, 18245U, 58453U, 19553U, 
-    59613U, 52223U, 21630U, 61063U, 35918U, 14377U, 18408U, 58629U, 
-    19820U, 59866U, 53232U, 21908U, 61316U, 33470U, 50836U, 30776U, 
-    48170U, 24955U, 39470U, 25257U, 39680U, 29379U, 46621U, 31288U, 
-    48658U, 24979U, 39494U, 14513U, 25281U, 39704U, 14539U, 29403U, 
-    46645U, 14565U, 31312U, 48682U, 14591U, 24741U, 57324U, 39348U, 
-    57758U, 24536U, 57259U, 39238U, 57694U, 24659U, 57285U, 39299U, 
-    25003U, 39518U, 25305U, 39728U, 24300U, 39054U, 24646U, 39286U, 
-    24198U, 39014U, 24526U, 39228U, 24290U, 39044U, 57668U, 24636U, 
-    39276U, 57720U, 24279U, 39033U, 24625U, 39265U, 24966U, 39481U, 
-    25268U, 39691U, 29390U, 46632U, 31299U, 48669U, 24990U, 39505U, 
-    14525U, 25292U, 39715U, 14551U, 29414U, 46656U, 14577U, 31323U, 
-    48693U, 14603U, 24750U, 57337U, 39357U, 57771U, 24606U, 57271U, 
-    39246U, 57706U, 24667U, 57297U, 39307U, 42121U, 42292U, 24151U, 
-    24479U, 24223U, 24559U, 24182U, 24510U, 24254U, 24590U, 42136U, 
-    42307U, 16171U, 17825U, 16266U, 17920U, 16027U, 17681U, 16207U, 
-    17861U, 16335U, 17989U, 16080U, 17734U, 24731U, 39338U, 34859U, 
-    52160U, 24270U, 39024U, 24761U, 39368U, 36251U, 53561U, 24616U, 
-    39256U, 25063U, 57352U, 39578U, 57786U, 24313U, 57246U, 39067U, 
-    25365U, 57366U, 39788U, 57800U, 24677U, 57311U, 39317U, 9826U, 
-    32581U, 50033U, 34741U, 14151U, 18162U, 58363U, 19465U, 59517U, 
-    52070U, 21542U, 60967U, 28982U, 46217U, 33553U, 50919U, 36133U, 
-    14447U, 18484U, 58711U, 19930U, 59985U, 53447U, 22018U, 61435U, 
-    30901U, 48283U, 35035U, 52322U, 29427U, 57512U, 46669U, 58078U, 
-    36385U, 53695U, 31336U, 57590U, 48706U, 58156U, 32761U, 50198U, 
-    29210U, 46411U, 33744U, 51095U, 31141U, 48524U, 35059U, 52346U, 
-    29469U, 46711U, 36409U, 53719U, 31378U, 48748U, 32658U, 50096U, 
-    34775U, 52090U, 29063U, 46285U, 33630U, 50982U, 36167U, 53467U, 
-    30982U, 48351U, 32684U, 50135U, 34788U, 52103U, 29097U, 46321U, 
-    33656U, 51021U, 36180U, 53504U, 31016U, 48409U, 32751U, 50188U, 
-    29180U, 46381U, 33734U, 51085U, 31099U, 48469U, 9163U, 9781U, 
-    556U, 9881U, 7540U, 10032U, 7913U, 7550U, 1840U, 3769U, 
-    8042U, 3328U, 8060U, 3350U, 9841U, 10106U, 11540U, 27392U, 
-    44455U, 25596U, 42566U, 26276U, 43233U, 28192U, 45273U, 9546U, 
-    5129U, 39816U, 27485U, 44548U, 39798U, 4797U, 25701U, 42671U, 
-    39807U, 26429U, 43386U, 28210U, 45291U, 8181U, 7800U, 7729U, 
-    9847U, 7615U, 7739U, 7857U, 10137U, 9998U, 5563U, 15379U, 
-    6737U, 40687U, 16748U, 7077U, 27589U, 44668U, 10992U, 1952U, 
-    15117U, 6475U, 40013U, 16503U, 6881U, 25906U, 42883U, 10443U, 
-    2035U, 2142U, 6606U, 40184U, 2259U, 6979U, 26749U, 43722U, 
-    10556U, 6377U, 15534U, 41140U, 16877U, 7136U, 28225U, 45312U, 
-    11082U, 29181U, 46382U, 31100U, 48470U, 11556U, 9810U, 3591U, 
-    8092U, 3379U, 10082U, 3669U, 10144U, 793U, 5718U, 8026U, 
-    10113U, 
+    7420U, 4164U, 7320U, 4762U, 7431U, 30393U, 48019U, 32333U, 
+    50106U, 31821U, 49559U, 32284U, 50057U, 31846U, 49598U, 30405U, 
+    48031U, 27732U, 45099U, 31564U, 49244U, 32719U, 50467U, 27526U, 
+    44893U, 30822U, 48442U, 28053U, 45428U, 32762U, 50510U, 30853U, 
+    48473U, 27783U, 45150U, 31609U, 49289U, 31809U, 49546U, 31833U, 
+    49585U, 27699U, 45066U, 31535U, 49215U, 27750U, 45117U, 31580U, 
+    49260U, 7920U, 7974U, 7536U, 9861U, 11513U, 24996U, 40310U, 
+    40463U, 39810U, 23976U, 39665U, 25269U, 40483U, 24281U, 39840U, 
+    24686U, 40167U, 25294U, 40503U, 25227U, 40430U, 24274U, 39795U, 
+    24904U, 40288U, 25331U, 40544U, 30743U, 48322U, 32674U, 50435U, 
+    24041U, 24751U, 25081U, 24117U, 690U, 39037U, 24351U, 24961U, 
+    2627U, 2389U, 3974U, 2730U, 4229U, 262U, 2478U, 4063U, 
+    108U, 624U, 31075U, 59491U, 48695U, 60057U, 32989U, 59569U, 
+    50737U, 60135U, 24060U, 24769U, 25092U, 24128U, 702U, 39048U, 
+    3002U, 24371U, 4718U, 24981U, 2665U, 502U, 2413U, 3998U, 
+    2744U, 4243U, 276U, 2492U, 4077U, 122U, 635U, 16159U, 
+    17813U, 16212U, 17866U, 9575U, 3489U, 3011U, 4727U, 3029U, 
+    4745U, 38961U, 9923U, 10119U, 9795U, 43279U, 50378U, 9664U, 
+    8403U, 812U, 15062U, 25102U, 15041U, 24138U, 3479U, 15073U, 
+    25151U, 15052U, 24195U, 3539U, 25349U, 25645U, 24157U, 24801U, 
+    24205U, 24837U, 9630U, 9637U, 9929U, 7979U, 25121U, 24165U, 
+    25161U, 24214U, 9645U, 25234U, 25929U, 7924U, 9991U, 3120U, 
+    3127U, 8397U, 11440U, 10044U, 9615U, 25243U, 40453U, 25951U, 
+    9452U, 9326U, 9389U, 9473U, 9347U, 9410U, 9431U, 9305U, 
+    9368U, 9533U, 9494U, 805U, 9540U, 10063U, 25661U, 25591U, 
+    7985U, 9512U, 9944U, 25937U, 9238U, 9809U, 3590U, 8091U, 
+    3378U, 10003U, 11434U, 818U, 30639U, 48231U, 32558U, 50319U, 
+    30540U, 48153U, 32459U, 50219U, 7892U, 9952U, 30440U, 32368U, 
+    30701U, 48280U, 32620U, 50368U, 30428U, 32356U, 30690U, 48269U, 
+    32609U, 50357U, 7810U, 30512U, 48125U, 32431U, 50191U, 10059U, 
+    30473U, 48086U, 32392U, 50152U, 25226U, 40429U, 24273U, 39794U, 
+    24903U, 40287U, 25330U, 40543U, 25015U, 24013U, 24723U, 2757U, 
+    4256U, 289U, 2505U, 4090U, 135U, 2590U, 4127U, 172U, 
+    25113U, 40377U, 28740U, 16102U, 6807U, 46222U, 17756U, 7298U, 
+    24149U, 39741U, 27039U, 16079U, 6785U, 44419U, 17733U, 7232U, 
+    24793U, 40234U, 27869U, 2187U, 6796U, 45236U, 2290U, 7265U, 
+    25317U, 40530U, 16821U, 46241U, 16576U, 44447U, 16956U, 47177U, 
+    25008U, 40317U, 40473U, 39820U, 23988U, 39672U, 25279U, 40493U, 
+    24291U, 39850U, 24698U, 40174U, 25305U, 40509U, 7761U, 32630U, 
+    50391U, 9706U, 8410U, 9195U, 11399U, 10067U, 837U, 3239U, 
+    9589U, 7915U, 1765U, 3660U, 8346U, 1450U, 3262U, 1492U, 
+    3289U, 1502U, 3299U, 5418U, 1758U, 3634U, 25140U, 24184U, 
+    24819U, 2781U, 4280U, 313U, 2529U, 4114U, 159U, 2652U, 
+    4151U, 196U, 25024U, 24051U, 25130U, 24174U, 24809U, 2769U, 
+    4268U, 301U, 2517U, 4102U, 147U, 2640U, 4139U, 184U, 
+    31062U, 48682U, 32961U, 50709U, 31008U, 48628U, 32917U, 50665U, 
+    32730U, 50478U, 27539U, 44906U, 30833U, 48453U, 28066U, 45441U, 
+    32773U, 50521U, 30864U, 48484U, 27713U, 45080U, 31547U, 49227U, 
+    27764U, 45131U, 31592U, 49272U, 41405U, 30980U, 48600U, 32889U, 
+    50637U, 31049U, 48669U, 32948U, 50696U, 36595U, 54260U, 30994U, 
+    48614U, 37945U, 55633U, 32903U, 50651U, 32714U, 50462U, 35747U, 
+    53452U, 27521U, 44888U, 36501U, 54166U, 30817U, 48437U, 35930U, 
+    53635U, 28048U, 45423U, 37874U, 55562U, 32757U, 50505U, 30848U, 
+    48468U, 35786U, 53491U, 27694U, 45061U, 36863U, 54583U, 31530U, 
+    49210U, 35856U, 53561U, 36925U, 54645U, 35821U, 53526U, 27745U, 
+    45112U, 36894U, 54614U, 31575U, 49255U, 35893U, 53598U, 36958U, 
+    54678U, 35766U, 53471U, 36518U, 54183U, 35949U, 53654U, 37891U, 
+    55579U, 36579U, 54244U, 30965U, 48585U, 37929U, 55617U, 32874U, 
+    50622U, 852U, 3155U, 5084U, 842U, 3145U, 5074U, 858U, 
+    3161U, 5090U, 847U, 3150U, 5079U, 13450U, 1878U, 3833U, 
+    870U, 3173U, 5102U, 864U, 3167U, 5096U, 887U, 3190U, 
+    5119U, 875U, 3178U, 5107U, 892U, 3195U, 5124U, 25154U, 
+    40385U, 24198U, 39758U, 24830U, 40251U, 913U, 3216U, 5154U, 
+    881U, 3184U, 5113U, 902U, 3205U, 5143U, 919U, 3222U, 
+    5160U, 930U, 3233U, 5171U, 897U, 3200U, 5138U, 908U, 
+    3211U, 5149U, 13455U, 925U, 3228U, 5166U, 47256U, 47858U, 
+    47352U, 47974U, 49833U, 51191U, 49676U, 51030U, 19024U, 26603U, 
+    41443U, 19056U, 20680U, 19032U, 26611U, 41451U, 19064U, 20688U, 
+    19040U, 26619U, 41459U, 19072U, 20696U, 19048U, 26627U, 41467U, 
+    19080U, 20704U, 47542U, 48790U, 49933U, 51361U, 47415U, 48393U, 
+    49853U, 51393U, 51264U, 7573U, 11346U, 7580U, 11353U, 17371U, 
+    17391U, 50932U, 47422U, 48400U, 49860U, 51271U, 47431U, 48409U, 
+    49869U, 51280U, 8118U, 28809U, 46298U, 27126U, 44513U, 27969U, 
+    45336U, 5303U, 7592U, 1578U, 3435U, 6275U, 7604U, 33083U, 
+    9818U, 28833U, 27178U, 534U, 799U, 24014U, 24724U, 23335U, 
+    1432U, 3244U, 26U, 1441U, 3253U, 35U, 24321U, 4091U, 
+    136U, 24931U, 173U, 23362U, 49037U, 40303U, 39658U, 39830U, 
+    40160U, 8085U, 3361U, 28852U, 27197U, 7931U, 28860U, 27205U, 
+    28021U, 25171U, 24234U, 24856U, 28868U, 27213U, 28029U, 25187U, 
+    24250U, 24872U, 25203U, 40406U, 25253U, 40437U, 15396U, 6695U, 
+    42177U, 15123U, 6433U, 41512U, 2097U, 6564U, 41657U, 15562U, 
+    42742U, 15409U, 6709U, 42190U, 15136U, 6447U, 41525U, 2112U, 
+    6578U, 41670U, 15574U, 42754U, 24991U, 23971U, 24681U, 25289U, 
+    25003U, 23983U, 24693U, 25300U, 15507U, 6746U, 42243U, 15245U, 
+    6484U, 41569U, 2152U, 6615U, 41740U, 15661U, 42785U, 11572U, 
+    15375U, 6663U, 42148U, 15102U, 6401U, 41483U, 2072U, 6532U, 
+    41628U, 15543U, 42723U, 15494U, 6732U, 42230U, 15232U, 6470U, 
+    41556U, 2137U, 6601U, 41727U, 15649U, 42773U, 7755U, 9189U, 
+    9676U, 11393U, 9620U, 8015U, 8008U, 9133U, 9657U, 11374U, 
+    9224U, 9700U, 11428U, 28732U, 46214U, 27031U, 44411U, 27861U, 
+    45228U, 28887U, 27232U, 28083U, 25675U, 40764U, 5280U, 1484U, 
+    3281U, 6268U, 28916U, 46377U, 27261U, 44620U, 28112U, 45494U, 
+    10126U, 3681U, 30502U, 48115U, 32421U, 50181U, 30947U, 48567U, 
+    32856U, 50604U, 30765U, 48331U, 32696U, 50444U, 31094U, 59504U, 
+    48714U, 60070U, 33007U, 59582U, 50755U, 60148U, 7938U, 30492U, 
+    48105U, 32411U, 50171U, 30937U, 48557U, 32846U, 50594U, 30651U, 
+    48243U, 32570U, 50331U, 31032U, 59478U, 48652U, 60044U, 32940U, 
+    59556U, 50688U, 60122U, 38414U, 56150U, 38302U, 56038U, 38574U, 
+    56310U, 38447U, 56183U, 38397U, 56133U, 38430U, 56166U, 9935U, 
+    9714U, 3565U, 45594U, 55782U, 41683U, 27556U, 44923U, 45613U, 
+    49455U, 49410U, 43200U, 49570U, 45344U, 41714U, 27956U, 45323U, 
+    10539U, 4334U, 4876U, 4392U, 4934U, 4451U, 4993U, 38664U, 
+    56400U, 38232U, 55968U, 38248U, 55984U, 38090U, 55826U, 38277U, 
+    56013U, 38489U, 56225U, 38145U, 55881U, 38750U, 56486U, 38174U, 
+    55910U, 38793U, 56529U, 38651U, 56387U, 38060U, 55796U, 38463U, 
+    56199U, 38290U, 56026U, 38103U, 55839U, 38680U, 56416U, 38116U, 
+    55852U, 38318U, 56054U, 38721U, 56457U, 38189U, 55925U, 38333U, 
+    56069U, 38822U, 56558U, 18147U, 4499U, 5041U, 4421U, 4963U, 
+    4363U, 4905U, 4348U, 4890U, 4483U, 5025U, 4406U, 4948U, 
+    16478U, 18132U, 4465U, 5007U, 38348U, 56084U, 38808U, 56544U, 
+    38218U, 55954U, 38764U, 56500U, 38204U, 55940U, 47328U, 4515U, 
+    5057U, 38837U, 56573U, 38693U, 56429U, 38707U, 56443U, 38536U, 
+    56272U, 38551U, 56287U, 38590U, 56326U, 4304U, 4846U, 15816U, 
+    17294U, 4319U, 4861U, 4377U, 4919U, 4436U, 4978U, 17087U, 
+    30306U, 47923U, 17200U, 32053U, 49800U, 17338U, 33349U, 51148U, 
+    17056U, 30131U, 47753U, 17282U, 33128U, 50875U, 17108U, 30337U, 
+    47954U, 17221U, 32074U, 49821U, 17359U, 33380U, 51179U, 38077U, 
+    55813U, 38264U, 56000U, 38476U, 56212U, 38131U, 55867U, 38736U, 
+    56472U, 38159U, 55895U, 38778U, 56514U, 38638U, 56374U, 38604U, 
+    56340U, 38502U, 56238U, 38363U, 56099U, 38621U, 56357U, 38519U, 
+    56255U, 38380U, 56116U, 38562U, 56298U, 9801U, 56658U, 9230U, 
+    10018U, 0U, 5660U, 5438U, 15528U, 42286U, 56736U, 13519U, 
+    5475U, 16885U, 57054U, 28953U, 46414U, 11004U, 56760U, 38953U, 
+    56712U, 2806U, 5425U, 41373U, 41389U, 15266U, 41612U, 56720U, 
+    13479U, 5462U, 749U, 14871U, 14927U, 16640U, 3890U, 57029U, 
+    27307U, 44666U, 10455U, 56744U, 38937U, 56696U, 5650U, 2816U, 
+    4532U, 7403U, 41381U, 41397U, 2177U, 41783U, 56728U, 13509U, 
+    13489U, 13499U, 13529U, 14879U, 14935U, 16732U, 2280U, 28158U, 
+    45532U, 10568U, 56752U, 38945U, 56704U, 49171U, 31122U, 48742U, 
+    7412U, 5451U, 15680U, 42804U, 11474U, 13538U, 5488U, 17012U, 
+    57078U, 29748U, 11461U, 47212U, 11487U, 11093U, 42956U, 30431U, 
+    48055U, 11119U, 43249U, 32359U, 50130U, 11160U, 42203U, 28649U, 
+    41538U, 26853U, 41696U, 27581U, 31688U, 49376U, 31448U, 49114U, 
+    32746U, 50494U, 42812U, 29768U, 47220U, 11105U, 43335U, 33092U, 
+    50839U, 11201U, 50265U, 42966U, 30576U, 43259U, 32495U, 50242U, 
+    42976U, 30619U, 43269U, 32538U, 48176U, 50253U, 29756U, 43171U, 
+    41791U, 43143U, 42986U, 43292U, 7901U, 9978U, 39679U, 43106U, 
+    49089U, 43131U, 49127U, 45644U, 31461U, 7784U, 43063U, 31084U, 
+    48704U, 11147U, 41804U, 45631U, 31699U, 49387U, 9218U, 31711U, 
+    49399U, 9694U, 43119U, 49102U, 43326U, 32998U, 50746U, 11188U, 
+    11422U, 7364U, 7475U, 5614U, 7331U, 5682U, 7442U, 5638U, 
+    2794U, 7353U, 5706U, 3108U, 7464U, 42997U, 30721U, 48300U, 
+    11133U, 43303U, 32652U, 50413U, 11174U, 31488U, 49154U, 31474U, 
+    49140U, 7375U, 7486U, 7386U, 7497U, 5626U, 7342U, 5694U, 
+    7453U, 9727U, 9755U, 9741U, 9768U, 16231U, 17885U, 25114U, 
+    40378U, 24150U, 39742U, 24794U, 40235U, 25318U, 40531U, 30610U, 
+    48212U, 32529U, 50300U, 31022U, 59465U, 48642U, 60031U, 32931U, 
+    59543U, 50679U, 60109U, 27333U, 44692U, 28184U, 45558U, 24022U, 
+    24732U, 25060U, 24096U, 667U, 38995U, 2915U, 24330U, 4631U, 
+    24940U, 2602U, 415U, 2364U, 3949U, 2703U, 4202U, 235U, 
+    2451U, 4036U, 81U, 603U, 50783U, 25033U, 40354U, 24069U, 
+    39718U, 24778U, 40211U, 25311U, 40524U, 9625U, 5177U, 5221U, 
+    5916U, 5960U, 5199U, 5243U, 5938U, 5992U, 5189U, 5233U, 
+    5928U, 5972U, 5211U, 5255U, 5950U, 6004U, 9177U, 7514U, 
+    13461U, 13546U, 14838U, 14887U, 14943U, 11381U, 7523U, 13470U, 
+    13555U, 14847U, 14896U, 14952U, 25219U, 40422U, 24266U, 39787U, 
+    24896U, 40280U, 25324U, 40537U, 5564U, 15487U, 6738U, 42236U, 
+    16861U, 7078U, 28818U, 46307U, 10993U, 1953U, 15225U, 6476U, 
+    41562U, 14914U, 16616U, 6882U, 27135U, 44522U, 10444U, 2036U, 
+    2143U, 6607U, 41733U, 2260U, 6980U, 27978U, 45361U, 10557U, 
+    6378U, 15643U, 42779U, 16991U, 7137U, 29726U, 47191U, 11083U, 
+    30673U, 48252U, 32592U, 50340U, 41428U, 46406U, 41420U, 44649U, 
+    41436U, 47205U, 7778U, 9212U, 11416U, 6045U, 6157U, 6057U, 
+    6169U, 6069U, 6181U, 33273U, 51039U, 30011U, 47615U, 33285U, 
+    51051U, 30023U, 47627U, 29796U, 47248U, 30228U, 47850U, 31869U, 
+    49621U, 29874U, 47470U, 33434U, 51299U, 29905U, 47501U, 33465U, 
+    51330U, 33264U, 51022U, 29349U, 46824U, 31663U, 49343U, 30350U, 
+    47967U, 8069U, 29815U, 47308U, 29915U, 47511U, 33305U, 51104U, 
+    713U, 757U, 16243U, 17897U, 31953U, 49713U, 29843U, 47372U, 
+    30784U, 48350U, 32087U, 49843U, 33403U, 51211U, 9278U, 8305U, 
+    31505U, 49185U, 9244U, 8242U, 29317U, 46792U, 29936U, 47532U, 
+    31149U, 48769U, 32135U, 49912U, 33486U, 51351U, 9292U, 8319U, 
+    31518U, 49198U, 9261U, 8259U, 29333U, 46808U, 27088U, 44475U, 
+    27918U, 45285U, 27290U, 44657U, 28141U, 45523U, 42906U, 47450U, 
+    43053U, 48428U, 43191U, 49888U, 43438U, 17403U, 11215U, 30280U, 
+    47897U, 33323U, 51122U, 30034U, 47638U, 30209U, 47831U, 32025U, 
+    49772U, 31400U, 49015U, 33025U, 50773U, 33574U, 51458U, 31671U, 
+    49351U, 31645U, 49325U, 30042U, 47646U, 30051U, 47655U, 26716U, 
+    44088U, 27407U, 44766U, 31679U, 49359U, 26727U, 44099U, 33035U, 
+    50791U, 32260U, 50032U, 29980U, 47584U, 30218U, 47840U, 6111U, 
+    6223U, 33254U, 51012U, 6140U, 6252U, 30167U, 47789U, 6097U, 
+    6209U, 33211U, 50969U, 30272U, 47889U, 33296U, 51095U, 29854U, 
+    47440U, 30808U, 48418U, 32111U, 49878U, 16255U, 17909U, 6081U, 
+    6193U, 31280U, 48895U, 29926U, 47522U, 31093U, 48713U, 33476U, 
+    51341U, 29989U, 47593U, 31205U, 48833U, 33542U, 51426U, 29884U, 
+    47480U, 31031U, 48651U, 33444U, 51309U, 29971U, 47575U, 31195U, 
+    48823U, 33532U, 51416U, 47317U, 30186U, 47808U, 31786U, 49522U, 
+    33230U, 50988U, 32002U, 49749U, 31377U, 48992U, 32237U, 50009U, 
+    30198U, 47820U, 31798U, 49534U, 33242U, 51000U, 32014U, 49761U, 
+    31389U, 49004U, 32249U, 50021U, 31915U, 49667U, 6125U, 6237U, 
+    33413U, 51254U, 33521U, 51405U, 33314U, 51113U, 30319U, 47936U, 
+    33362U, 51161U, 31991U, 49738U, 40392U, 26683U, 44041U, 39765U, 
+    26645U, 44003U, 40258U, 26664U, 44022U, 5273U, 1468U, 28905U, 
+    46366U, 27250U, 44609U, 28101U, 45483U, 5342U, 1682U, 5359U, 
+    1699U, 5296U, 1571U, 3428U, 5376U, 1716U, 3609U, 5393U, 
+    1733U, 3626U, 5410U, 1750U, 32270U, 50042U, 8362U, 7561U, 
+    572U, 826U, 3134U, 11364U, 33152U, 50899U, 29805U, 47298U, 
+    15716U, 17069U, 15830U, 17308U, 15841U, 17319U, 29833U, 47362U, 
+    30358U, 47984U, 33393U, 51201U, 17172U, 17079U, 30298U, 47915U, 
+    17192U, 32045U, 49792U, 17330U, 33341U, 51140U, 17048U, 30123U, 
+    47745U, 17274U, 33120U, 50867U, 17182U, 17100U, 30329U, 47946U, 
+    17213U, 32066U, 49813U, 17351U, 33372U, 51171U, 29787U, 47239U, 
+    30177U, 47799U, 31777U, 49513U, 29864U, 47460U, 33424U, 51289U, 
+    29894U, 47490U, 33454U, 51319U, 33221U, 50979U, 30451U, 48064U, 
+    33074U, 50830U, 33173U, 50920U, 31889U, 49641U, 31925U, 49685U, 
+    31291U, 48906U, 33186U, 50944U, 31902U, 49654U, 31939U, 49699U, 
+    31304U, 48919U, 6312U, 40361U, 26673U, 44031U, 6294U, 39725U, 
+    26635U, 43993U, 5499U, 2016U, 6303U, 40218U, 26654U, 44012U, 
+    5265U, 1460U, 5324U, 1664U, 5333U, 1673U, 5350U, 1690U, 
+    5288U, 1563U, 3420U, 5367U, 1707U, 3600U, 5384U, 1724U, 
+    3617U, 5401U, 1741U, 5582U, 2054U, 32277U, 50049U, 1072U, 
+    8576U, 15430U, 1320U, 8856U, 16797U, 944U, 8432U, 15168U, 
+    1192U, 8712U, 16552U, 1008U, 8504U, 15302U, 1256U, 8784U, 
+    16676U, 1135U, 8647U, 15593U, 1383U, 8927U, 16935U, 25765U, 
+    59186U, 40787U, 59621U, 25888U, 59212U, 40848U, 59660U, 1104U, 
+    8612U, 15470U, 1352U, 8892U, 16844U, 976U, 8468U, 15208U, 
+    1224U, 8748U, 16599U, 1040U, 8540U, 15334U, 1288U, 8820U, 
+    16708U, 1163U, 8679U, 15628U, 1411U, 8959U, 16976U, 8033U, 
+    3317U, 8051U, 3339U, 9835U, 7830U, 40344U, 39708U, 40201U, 
+    40334U, 39698U, 40191U, 7843U, 9608U, 42278U, 41604U, 41775U, 
+    42796U, 11527U, 1801U, 3721U, 1827U, 3747U, 3794U, 1865U, 
+    3820U, 11584U, 1788U, 3708U, 1814U, 3734U, 3781U, 1852U, 
+    3807U, 9134U, 9658U, 11375U, 9225U, 9701U, 11429U, 11500U, 
+    1088U, 8594U, 15446U, 1336U, 8874U, 16813U, 960U, 8450U, 
+    15184U, 1208U, 8730U, 16568U, 1024U, 8522U, 15318U, 1272U, 
+    8802U, 16692U, 1149U, 8663U, 15607U, 1397U, 8943U, 16949U, 
+    1120U, 8630U, 15486U, 1368U, 8910U, 16860U, 992U, 8486U, 
+    15224U, 1240U, 8766U, 16615U, 1056U, 8558U, 15350U, 1304U, 
+    8838U, 16724U, 1177U, 8695U, 15642U, 1425U, 8975U, 16990U, 
+    15274U, 16648U, 15358U, 16740U, 25427U, 40563U, 25755U, 40777U, 
+    25519U, 40593U, 59595U, 25865U, 40825U, 59647U, 9529U, 25835U, 
+    59198U, 40795U, 59633U, 25896U, 59224U, 40856U, 59671U, 8123U, 
+    1064U, 8567U, 15422U, 1312U, 8847U, 16789U, 936U, 8423U, 
+    15160U, 1184U, 8703U, 16544U, 1000U, 8495U, 15294U, 1248U, 
+    8775U, 16668U, 1128U, 8639U, 15586U, 1376U, 8919U, 16928U, 
+    7817U, 1096U, 8603U, 15462U, 1344U, 8883U, 16836U, 968U, 
+    8459U, 15200U, 1216U, 8739U, 16591U, 1032U, 8531U, 15326U, 
+    1280U, 8811U, 16700U, 1156U, 8671U, 15621U, 1404U, 8951U, 
+    16969U, 27351U, 44710U, 28202U, 45576U, 5509U, 15367U, 6654U, 
+    42140U, 16749U, 7014U, 28586U, 46051U, 10920U, 1898U, 15094U, 
+    6392U, 41475U, 16493U, 6818U, 26748U, 44120U, 10371U, 1971U, 
+    2062U, 6523U, 41620U, 2199U, 6916U, 27428U, 44787U, 10467U, 
+    6321U, 15536U, 42716U, 16893U, 29671U, 47130U, 11016U, 7749U, 
+    9183U, 9670U, 11387U, 1774U, 3694U, 15001U, 14988U, 14961U, 
+    15029U, 15017U, 9500U, 14975U, 14856U, 25550U, 40624U, 25337U, 
+    40550U, 25557U, 40631U, 40324U, 39688U, 40181U, 40515U, 25343U, 
+    40556U, 25585U, 40659U, 25564U, 40638U, 25598U, 40673U, 25571U, 
+    40645U, 25604U, 40688U, 25578U, 40652U, 25610U, 40694U, 25632U, 
+    40735U, 25685U, 40769U, 25617U, 40701U, 25639U, 40752U, 25914U, 
+    40874U, 7945U, 25179U, 24242U, 24864U, 26692U, 44064U, 27369U, 
+    44728U, 31410U, 49025U, 16089U, 17743U, 26703U, 44075U, 27380U, 
+    44739U, 27393U, 44752U, 1080U, 8585U, 15438U, 1328U, 8865U, 
+    16805U, 952U, 8441U, 15176U, 1200U, 8721U, 16560U, 1016U, 
+    8513U, 15310U, 1264U, 8793U, 16684U, 1142U, 8655U, 15600U, 
+    1390U, 8935U, 16942U, 9027U, 7188U, 9093U, 7276U, 8983U, 
+    7144U, 9049U, 7210U, 9005U, 7166U, 9071U, 7243U, 27324U, 
+    44683U, 28175U, 45549U, 1112U, 8621U, 15478U, 1360U, 8901U, 
+    16852U, 984U, 8477U, 15216U, 1232U, 8757U, 16607U, 1048U, 
+    8549U, 15342U, 1296U, 8829U, 16716U, 1170U, 8687U, 15635U, 
+    1418U, 8967U, 16983U, 9038U, 7199U, 9104U, 7287U, 8994U, 
+    7155U, 9060U, 7221U, 9016U, 7177U, 9082U, 7254U, 27360U, 
+    44719U, 28211U, 45585U, 16148U, 17802U, 16201U, 17855U, 25195U, 
+    24258U, 24880U, 8187U, 2924U, 4640U, 424U, 10037U, 25211U, 
+    40414U, 39779U, 24888U, 40272U, 25261U, 40445U, 39802U, 40295U, 
+    25499U, 40573U, 25836U, 40796U, 25542U, 59173U, 40616U, 59608U, 
+    25897U, 59225U, 40857U, 59672U, 8228U, 2983U, 4699U, 483U, 
+    7795U, 7849U, 7909U, 8384U, 8419U, 9827U, 7766U, 9200U, 
+    9682U, 11404U, 40399U, 39772U, 40265U, 25680U, 24052U, 24761U, 
+    24175U, 24810U, 23343U, 7965U, 5982U, 49367U, 24362U, 24972U, 
+    2641U, 2402U, 3987U, 24224U, 24846U, 2554U, 23352U, 2328U, 
+    3913U, 49044U, 5518U, 15380U, 6668U, 42153U, 16757U, 7023U, 
+    28594U, 46059U, 10932U, 1907U, 15107U, 6406U, 41488U, 16501U, 
+    6827U, 26756U, 44128U, 10383U, 1980U, 2077U, 6537U, 41633U, 
+    2209U, 6925U, 27436U, 44795U, 10479U, 6328U, 15548U, 42728U, 
+    16900U, 7112U, 29678U, 47137U, 11027U, 30464U, 48077U, 32383U, 
+    50143U, 24031U, 24741U, 25070U, 24106U, 678U, 39026U, 24340U, 
+    24950U, 2614U, 2376U, 3961U, 2716U, 4215U, 248U, 2464U, 
+    4049U, 94U, 613U, 30928U, 59439U, 48548U, 60005U, 32837U, 
+    59517U, 50585U, 60083U, 23995U, 24705U, 25040U, 24076U, 645U, 
+    38975U, 2838U, 24301U, 4554U, 24911U, 2566U, 338U, 2340U, 
+    3925U, 2677U, 4176U, 209U, 2425U, 4010U, 55U, 583U, 
+    9916U, 9155U, 9792U, 10051U, 3650U, 10011U, 3641U, 26802U, 
+    44174U, 27482U, 44841U, 14905U, 3853U, 25623U, 4293U, 40726U, 
+    4786U, 15083U, 3864U, 15765U, 3877U, 17160U, 3900U, 5572U, 
+    15519U, 56811U, 16876U, 57041U, 28936U, 46397U, 1961U, 15257U, 
+    56798U, 16631U, 57016U, 27281U, 44640U, 2044U, 2166U, 56768U, 
+    2269U, 56783U, 28132U, 45514U, 6384U, 15672U, 56824U, 17004U, 
+    11447U, 57066U, 29732U, 47197U, 1887U, 3842U, 3070U, 4808U, 
+    3081U, 4819U, 9603U, 8235U, 2993U, 4709U, 493U, 28926U, 
+    46387U, 27271U, 44630U, 28122U, 45504U, 26997U, 44377U, 27827U, 
+    45194U, 30970U, 48590U, 32879U, 50627U, 40716U, 40679U, 40742U, 
+    40707U, 3058U, 4774U, 511U, 3097U, 4835U, 523U, 40665U, 
+    7587U, 30564U, 48164U, 32483U, 50230U, 30598U, 48200U, 32517U, 
+    50288U, 3760U, 34124U, 51954U, 36275U, 14230U, 18430U, 60302U, 
+    20070U, 61455U, 53982U, 22703U, 63113U, 30521U, 48134U, 35096U, 
+    52840U, 37667U, 14578U, 18808U, 60650U, 20587U, 61923U, 55359U, 
+    23231U, 63581U, 32440U, 50200U, 36569U, 54234U, 30956U, 59451U, 
+    48576U, 60017U, 37919U, 55607U, 32865U, 59529U, 50613U, 60095U, 
+    34057U, 51887U, 30460U, 48073U, 35029U, 52773U, 32379U, 50139U, 
+    33045U, 50801U, 30061U, 47665U, 33059U, 50815U, 30081U, 47685U, 
+    30071U, 47675U, 29362U, 46837U, 16124U, 17778U, 19000U, 60715U, 
+    16177U, 17831U, 19012U, 60728U, 34207U, 52036U, 30628U, 48220U, 
+    35190U, 52933U, 32547U, 50308U, 34134U, 51964U, 30530U, 48143U, 
+    35106U, 52850U, 32449U, 50209U, 9894U, 36335U, 54028U, 37727U, 
+    55429U, 16278U, 17932U, 16135U, 17789U, 16314U, 17968U, 16188U, 
+    17842U, 34283U, 52098U, 30730U, 48309U, 35266U, 52995U, 32661U, 
+    50422U, 6014U, 6029U, 38852U, 28480U, 38871U, 28498U, 34367U, 
+    52154U, 36651U, 54316U, 35313U, 53028U, 37990U, 55678U, 32973U, 
+    50721U, 16303U, 56864U, 17957U, 57117U, 16409U, 56909U, 18063U, 
+    57162U, 13564U, 16167U, 56836U, 17821U, 57089U, 16349U, 56879U, 
+    18003U, 57132U, 16467U, 56986U, 18121U, 57239U, 13576U, 16220U, 
+    56850U, 17874U, 57103U, 36641U, 56924U, 54306U, 57177U, 31053U, 
+    57974U, 48673U, 58382U, 37980U, 57001U, 55668U, 57254U, 32952U, 
+    57987U, 50700U, 58395U, 36599U, 54264U, 30998U, 48618U, 37949U, 
+    55637U, 32907U, 50655U, 34020U, 51850U, 36214U, 53921U, 30392U, 
+    48018U, 35005U, 52749U, 37619U, 55311U, 14809U, 32332U, 50105U, 
+    33596U, 34655U, 52441U, 37089U, 54809U, 14737U, 49558U, 33609U, 
+    34979U, 52723U, 37593U, 55285U, 14795U, 50056U, 37247U, 54953U, 
+    14765U, 34992U, 52736U, 37606U, 55298U, 32295U, 50068U, 34682U, 
+    52468U, 37116U, 54836U, 14751U, 31845U, 49597U, 34033U, 51863U, 
+    36241U, 53948U, 30404U, 48030U, 43529U, 52330U, 43837U, 54496U, 
+    43093U, 49051U, 37276U, 54982U, 14780U, 35806U, 53511U, 27731U, 
+    45098U, 36881U, 54601U, 31563U, 49243U, 37848U, 55536U, 32718U, 
+    50466U, 35877U, 53582U, 36944U, 54664U, 27525U, 44892U, 36505U, 
+    54170U, 30821U, 48441U, 28052U, 45427U, 37878U, 55566U, 32761U, 
+    50509U, 36470U, 54135U, 37817U, 55505U, 36536U, 54201U, 30852U, 
+    48472U, 35841U, 53546U, 27782U, 45149U, 36912U, 54632U, 31608U, 
+    49288U, 35914U, 53619U, 36977U, 54697U, 33582U, 34641U, 52427U, 
+    37075U, 54795U, 49545U, 37232U, 54938U, 34668U, 52454U, 37102U, 
+    54822U, 31832U, 49584U, 37261U, 54967U, 35790U, 53495U, 27698U, 
+    45065U, 36867U, 54587U, 31534U, 49214U, 35860U, 53565U, 36929U, 
+    54649U, 35825U, 53530U, 27749U, 45116U, 36898U, 54618U, 31579U, 
+    49259U, 35897U, 53602U, 36962U, 54682U, 36227U, 53934U, 37632U, 
+    55324U, 14823U, 36522U, 54187U, 37895U, 55583U, 36485U, 54150U, 
+    37832U, 55520U, 34296U, 52111U, 36405U, 14263U, 18466U, 60341U, 
+    20115U, 61504U, 54084U, 22748U, 63162U, 30742U, 48321U, 35279U, 
+    53008U, 37797U, 14611U, 18844U, 60689U, 20632U, 61972U, 55485U, 
+    23276U, 63630U, 32673U, 50434U, 36667U, 54332U, 31074U, 59490U, 
+    48694U, 60056U, 38006U, 55694U, 32988U, 59568U, 50736U, 60134U, 
+    16158U, 17812U, 16339U, 17993U, 16211U, 17865U, 25669U, 40758U, 
+    25945U, 40880U, 42490U, 46720U, 42076U, 45917U, 42108U, 45979U, 
+    42505U, 46762U, 42092U, 45948U, 42124U, 46010U, 43278U, 50377U, 
+    44050U, 56669U, 26062U, 13655U, 26364U, 13851U, 26154U, 13753U, 
+    40989U, 20779U, 62067U, 26456U, 13949U, 41199U, 20877U, 62171U, 
+    41871U, 13067U, 28275U, 12247U, 45712U, 13277U, 10226U, 10651U, 
+    23734U, 11863U, 39421U, 12683U, 24444U, 12059U, 39923U, 12879U, 
+    23434U, 11667U, 39121U, 12487U, 42001U, 13153U, 28405U, 12333U, 
+    45842U, 13363U, 10336U, 10821U, 23884U, 11961U, 39571U, 12781U, 
+    24594U, 12157U, 40073U, 12977U, 23584U, 11765U, 39271U, 12585U, 
+    41921U, 59773U, 28325U, 59339U, 45762U, 59905U, 10717U, 26268U, 
+    41103U, 23792U, 39479U, 24502U, 39981U, 23492U, 39179U, 42051U, 
+    59839U, 28455U, 59405U, 45892U, 59971U, 10887U, 26570U, 41313U, 
+    23942U, 39629U, 24652U, 40131U, 23642U, 39329U, 25999U, 13588U, 
+    26301U, 13784U, 26091U, 13686U, 40926U, 20712U, 61996U, 26393U, 
+    13882U, 41136U, 20810U, 62100U, 41816U, 13008U, 28220U, 12188U, 
+    45657U, 13218U, 10151U, 10580U, 23671U, 11796U, 39358U, 12616U, 
+    24381U, 11992U, 39860U, 12812U, 23371U, 11600U, 39058U, 12420U, 
+    41946U, 13094U, 28350U, 12274U, 45787U, 13304U, 10261U, 10750U, 
+    23821U, 11894U, 39508U, 12714U, 24531U, 12090U, 40010U, 12910U, 
+    23521U, 11698U, 39208U, 12518U, 26016U, 13606U, 26318U, 13802U, 
+    26108U, 13704U, 40943U, 20730U, 62015U, 26410U, 13900U, 41153U, 
+    20828U, 62119U, 26045U, 13637U, 26347U, 13833U, 26137U, 13735U, 
+    40972U, 20761U, 62048U, 26439U, 13931U, 41182U, 20859U, 62152U, 
+    41856U, 13051U, 28260U, 12231U, 45697U, 13261U, 10206U, 10632U, 
+    23717U, 11845U, 39404U, 12665U, 24427U, 12041U, 39906U, 12861U, 
+    23417U, 11649U, 39104U, 12469U, 41986U, 13137U, 28390U, 12317U, 
+    45827U, 13347U, 10316U, 10802U, 23867U, 11943U, 39554U, 12763U, 
+    24577U, 12139U, 40056U, 12959U, 23567U, 11747U, 39254U, 12567U, 
+    41831U, 13024U, 28235U, 12204U, 45672U, 13234U, 10171U, 10599U, 
+    23688U, 11814U, 39375U, 12634U, 24398U, 12010U, 39877U, 12830U, 
+    23388U, 11618U, 39075U, 12438U, 41961U, 13110U, 28365U, 12290U, 
+    45802U, 13320U, 10281U, 10769U, 23838U, 11912U, 39525U, 12732U, 
+    24548U, 12108U, 40027U, 12928U, 23538U, 11716U, 39225U, 12536U, 
+    41896U, 59740U, 28300U, 59306U, 45737U, 59872U, 10684U, 26245U, 
+    41080U, 23763U, 39450U, 24473U, 39952U, 23463U, 39150U, 42026U, 
+    59806U, 28430U, 59372U, 45867U, 59938U, 10854U, 26547U, 41290U, 
+    23913U, 39600U, 24623U, 40102U, 23613U, 39300U, 26076U, 13670U, 
+    26378U, 13866U, 26168U, 13768U, 41003U, 20794U, 62083U, 26470U, 
+    13964U, 41213U, 20892U, 62187U, 41883U, 13080U, 28287U, 12260U, 
+    45724U, 13290U, 10243U, 10667U, 23748U, 11878U, 39435U, 12698U, 
+    24458U, 12074U, 39937U, 12894U, 23448U, 11682U, 39135U, 12502U, 
+    42013U, 13166U, 28417U, 12346U, 45854U, 13376U, 10353U, 10837U, 
+    23898U, 11976U, 39585U, 12796U, 24608U, 12172U, 40087U, 12992U, 
+    23598U, 11780U, 39285U, 12600U, 41933U, 59789U, 28337U, 59355U, 
+    45774U, 59921U, 10733U, 26279U, 41114U, 23806U, 39493U, 24516U, 
+    39995U, 23506U, 39193U, 42063U, 59855U, 28467U, 59421U, 45904U, 
+    59987U, 10903U, 26581U, 41324U, 23956U, 39643U, 24666U, 40145U, 
+    23656U, 39343U, 26030U, 13621U, 26332U, 13817U, 26122U, 13719U, 
+    40957U, 20745U, 62031U, 26424U, 13915U, 41167U, 20843U, 62135U, 
+    41843U, 13037U, 28247U, 12217U, 45684U, 13247U, 10188U, 10615U, 
+    23702U, 11829U, 39389U, 12649U, 24412U, 12025U, 39891U, 12845U, 
+    23402U, 11633U, 39089U, 12453U, 41973U, 13123U, 28377U, 12303U, 
+    45814U, 13333U, 10298U, 10785U, 23852U, 11927U, 39539U, 12747U, 
+    24562U, 12123U, 40041U, 12943U, 23552U, 11731U, 39239U, 12551U, 
+    41908U, 59756U, 28312U, 59322U, 45749U, 59888U, 10700U, 26256U, 
+    41091U, 23777U, 39464U, 24487U, 39966U, 23477U, 39164U, 42038U, 
+    59822U, 28442U, 59388U, 45879U, 59954U, 10870U, 26558U, 41301U, 
+    23927U, 39614U, 24637U, 40116U, 23627U, 39314U, 30773U, 12374U, 
+    48339U, 13404U, 32704U, 12399U, 50452U, 13429U, 31111U, 48731U, 
+    33015U, 50763U, 30638U, 48230U, 32557U, 50318U, 30539U, 48152U, 
+    32458U, 50218U, 30700U, 48279U, 32619U, 50367U, 30689U, 48268U, 
+    32608U, 50356U, 34144U, 36285U, 30550U, 35116U, 37677U, 32469U, 
+    25364U, 25692U, 25436U, 25772U, 25395U, 25723U, 25467U, 25803U, 
+    34228U, 36369U, 30659U, 35211U, 37761U, 32578U, 34113U, 51943U, 
+    30511U, 48124U, 35085U, 52829U, 32430U, 50190U, 34070U, 51900U, 
+    30472U, 48085U, 35042U, 52786U, 32391U, 50151U, 29275U, 46735U, 
+    28516U, 45933U, 28546U, 45995U, 29302U, 46777U, 28531U, 45964U, 
+    28561U, 46026U, 32629U, 50390U, 38924U, 56683U, 35339U, 33082U, 
+    9817U, 10125U, 3680U, 43501U, 34306U, 43006U, 30751U, 43602U, 
+    35289U, 43312U, 32682U, 34102U, 51932U, 30501U, 48114U, 35074U, 
+    52818U, 32420U, 50180U, 30946U, 48566U, 32855U, 50603U, 34320U, 
+    52121U, 36415U, 14274U, 18478U, 60354U, 20126U, 61516U, 54094U, 
+    22759U, 63174U, 30764U, 48330U, 35303U, 53018U, 37807U, 14622U, 
+    18856U, 60702U, 20643U, 61984U, 55495U, 23287U, 63642U, 32695U, 
+    50443U, 36698U, 54363U, 31102U, 59503U, 48722U, 60069U, 38026U, 
+    55714U, 33006U, 59581U, 50754U, 60147U, 9148U, 25652U, 7836U, 
+    34091U, 51921U, 30491U, 48104U, 35063U, 52807U, 32410U, 50170U, 
+    30936U, 48556U, 32845U, 50593U, 34218U, 52047U, 36359U, 14252U, 
+    18454U, 60328U, 20092U, 61479U, 54052U, 22725U, 63137U, 30650U, 
+    48242U, 35201U, 52944U, 37751U, 14600U, 18832U, 60676U, 20609U, 
+    61947U, 55453U, 23253U, 63605U, 32569U, 50330U, 36631U, 54296U, 
+    31040U, 59477U, 48660U, 60043U, 37970U, 55658U, 32939U, 59555U, 
+    50687U, 60121U, 8353U, 1475U, 3272U, 9140U, 54568U, 49170U, 
+    54373U, 31121U, 48741U, 43467U, 34046U, 51876U, 11243U, 42615U, 
+    21170U, 29525U, 19510U, 61019U, 46984U, 58310U, 21963U, 57727U, 
+    62482U, 58911U, 42389U, 21009U, 29116U, 19221U, 60848U, 46561U, 
+    58119U, 21674U, 57526U, 62311U, 58700U, 43748U, 21493U, 36254U, 
+    20047U, 61430U, 53961U, 58509U, 22680U, 57910U, 63088U, 59104U, 
+    42955U, 30430U, 48054U, 11118U, 43568U, 35018U, 52762U, 11273U, 
+    42673U, 21200U, 29613U, 19604U, 61051U, 47072U, 58346U, 22057U, 
+    57765U, 62514U, 58951U, 42447U, 21039U, 29204U, 19315U, 60880U, 
+    46649U, 58155U, 21768U, 57564U, 62343U, 58740U, 43919U, 21517U, 
+    37646U, 20564U, 61898U, 55338U, 58539U, 23208U, 57942U, 63556U, 
+    59138U, 43248U, 32358U, 50129U, 11159U, 34567U, 52353U, 36991U, 
+    54711U, 31687U, 49375U, 36817U, 54536U, 31447U, 49113U, 37861U, 
+    55549U, 32745U, 50493U, 42520U, 21069U, 29384U, 19377U, 60912U, 
+    46859U, 58191U, 21830U, 57602U, 62375U, 58780U, 42294U, 20908U, 
+    28975U, 19088U, 60741U, 46436U, 58000U, 21541U, 57401U, 62204U, 
+    58569U, 43627U, 21410U, 35708U, 19820U, 61249U, 53413U, 58408U, 
+    22453U, 57803U, 62907U, 58991U, 42552U, 21103U, 29416U, 19411U, 
+    60948U, 46891U, 58231U, 21864U, 57644U, 62411U, 58824U, 42326U, 
+    20942U, 29007U, 19122U, 60777U, 46468U, 58040U, 21575U, 57443U, 
+    62240U, 58613U, 43653U, 21438U, 35734U, 19848U, 61279U, 53439U, 
+    58442U, 22481U, 57839U, 62937U, 59029U, 43456U, 33635U, 51466U, 
+    11228U, 42811U, 29767U, 47219U, 11104U, 42584U, 21137U, 29448U, 
+    19445U, 60984U, 46923U, 58271U, 21898U, 57686U, 62447U, 58868U, 
+    42358U, 20976U, 29039U, 19156U, 60813U, 46500U, 58080U, 21609U, 
+    57485U, 62276U, 58657U, 43708U, 21466U, 35982U, 19876U, 61309U, 
+    53716U, 58476U, 22509U, 57875U, 62967U, 59067U, 42536U, 21086U, 
+    29400U, 19394U, 60930U, 46875U, 58211U, 21847U, 57623U, 62393U, 
+    58802U, 42310U, 20925U, 28991U, 19105U, 60759U, 46452U, 58020U, 
+    21558U, 57422U, 62222U, 58591U, 43640U, 21424U, 35721U, 19834U, 
+    61264U, 53426U, 58425U, 22467U, 57821U, 62922U, 59010U, 42568U, 
+    21120U, 29432U, 19428U, 60966U, 46907U, 58251U, 21881U, 57665U, 
+    62429U, 58846U, 42342U, 20959U, 29023U, 19139U, 60795U, 46484U, 
+    58060U, 21592U, 57464U, 62258U, 58635U, 43666U, 21452U, 35969U, 
+    19862U, 61294U, 53674U, 58459U, 22495U, 57857U, 62952U, 59048U, 
+    42600U, 21154U, 29464U, 19462U, 61002U, 46939U, 58291U, 21915U, 
+    57707U, 62465U, 58890U, 42374U, 20993U, 29055U, 19173U, 60831U, 
+    46516U, 58100U, 21626U, 57506U, 62294U, 58679U, 43721U, 21480U, 
+    35995U, 19890U, 61324U, 53729U, 58493U, 22523U, 57893U, 62982U, 
+    59086U, 43616U, 35349U, 53054U, 11303U, 43334U, 33091U, 50838U, 
+    11200U, 55394U, 50264U, 42965U, 30575U, 43258U, 32494U, 55382U, 
+    50241U, 42975U, 30618U, 43268U, 32537U, 51987U, 48175U, 52873U, 
+    50252U, 33622U, 29479U, 29070U, 36007U, 29755U, 43542U, 42658U, 
+    42432U, 43892U, 43170U, 43478U, 42629U, 42403U, 43789U, 42985U, 
+    43579U, 42687U, 42461U, 43960U, 43291U, 43850U, 54509U, 43105U, 
+    49088U, 43130U, 49126U, 43693U, 53701U, 45643U, 36831U, 31460U, 
+    43827U, 36677U, 54342U, 11318U, 22827U, 43062U, 31083U, 48703U, 
+    11146U, 43679U, 53687U, 41803U, 45630U, 34579U, 52365U, 37003U, 
+    54723U, 31698U, 49386U, 34592U, 52378U, 37016U, 54736U, 31710U, 
+    49398U, 43864U, 54523U, 43118U, 49101U, 43983U, 38016U, 55704U, 
+    11332U, 23298U, 43325U, 32997U, 50745U, 11187U, 43490U, 34272U, 
+    52087U, 11258U, 42644U, 21185U, 29539U, 19525U, 61035U, 46998U, 
+    58328U, 21978U, 57746U, 62498U, 58931U, 42418U, 21024U, 29130U, 
+    19236U, 60864U, 46575U, 58137U, 21689U, 57545U, 62327U, 58720U, 
+    43801U, 21505U, 36394U, 20103U, 61491U, 54073U, 58524U, 22736U, 
+    57926U, 63149U, 59121U, 42996U, 30720U, 48299U, 11132U, 43591U, 
+    35255U, 52984U, 11288U, 42702U, 21215U, 29627U, 19619U, 61067U, 
+    47086U, 58364U, 22072U, 57784U, 62530U, 58971U, 42476U, 21054U, 
+    29218U, 19330U, 60896U, 46663U, 58173U, 21783U, 57583U, 62359U, 
+    58760U, 43972U, 21529U, 37786U, 20620U, 61959U, 55474U, 58554U, 
+    23264U, 57958U, 63617U, 59155U, 43302U, 32651U, 50412U, 11173U, 
+    36845U, 54550U, 31487U, 49153U, 31473U, 49139U, 16360U, 18014U, 
+    16230U, 17884U, 25355U, 25920U, 26813U, 44193U, 27493U, 44860U, 
+    7999U, 1589U, 3501U, 1520U, 3369U, 34197U, 52026U, 36325U, 
+    14241U, 18442U, 60315U, 20081U, 61467U, 54018U, 22714U, 63125U, 
+    30609U, 48211U, 35169U, 52912U, 37717U, 14589U, 18820U, 60663U, 
+    20598U, 61935U, 55419U, 23242U, 63593U, 32528U, 50299U, 36610U, 
+    54275U, 31021U, 59464U, 48641U, 60030U, 37960U, 55648U, 32930U, 
+    59542U, 50678U, 60108U, 26896U, 44276U, 27624U, 44991U, 8111U, 
+    9569U, 34242U, 52057U, 30672U, 48251U, 35225U, 52954U, 32591U, 
+    50339U, 6044U, 5726U, 6156U, 5821U, 36549U, 14319U, 18527U, 
+    60392U, 20171U, 61551U, 54214U, 22804U, 63209U, 6056U, 5738U, 
+    6168U, 5833U, 37452U, 14495U, 18718U, 60568U, 20464U, 61804U, 
+    55144U, 23108U, 63462U, 6068U, 5750U, 6180U, 5845U, 35477U, 
+    53182U, 33272U, 51038U, 33841U, 51685U, 30010U, 47614U, 35490U, 
+    53195U, 33284U, 51050U, 33854U, 51698U, 30022U, 47626U, 33656U, 
+    51487U, 29795U, 47247U, 33935U, 51779U, 36090U, 14173U, 18368U, 
+    60235U, 19979U, 61380U, 53811U, 22612U, 63038U, 30227U, 47849U, 
+    34695U, 52481U, 37129U, 14402U, 18617U, 60474U, 20321U, 61687U, 
+    54849U, 22965U, 63345U, 31868U, 49620U, 33721U, 51565U, 29873U, 
+    47469U, 35589U, 53294U, 33433U, 51298U, 33755U, 51599U, 29904U, 
+    47500U, 35623U, 53328U, 33464U, 51329U, 35467U, 53172U, 33263U, 
+    51021U, 29348U, 46823U, 28961U, 46422U, 36100U, 14184U, 18380U, 
+    60248U, 19990U, 61392U, 53821U, 22623U, 63050U, 36203U, 14207U, 
+    18405U, 60275U, 20035U, 61417U, 53910U, 22668U, 63075U, 37396U, 
+    14449U, 18668U, 60529U, 20418U, 61768U, 55102U, 23062U, 63426U, 
+    34557U, 52343U, 31662U, 49342U, 37178U, 14425U, 18642U, 60501U, 
+    20344U, 61712U, 54898U, 22988U, 63370U, 34000U, 51830U, 30349U, 
+    47966U, 33677U, 51508U, 29814U, 47307U, 35503U, 53208U, 33304U, 
+    51103U, 16265U, 17919U, 16112U, 17766U, 36155U, 53862U, 37348U, 
+    55054U, 33828U, 51672U, 29998U, 47602U, 16373U, 18027U, 16242U, 
+    17896U, 33790U, 51634U, 29955U, 47559U, 34406U, 52193U, 38890U, 
+    56588U, 36720U, 54399U, 56622U, 55748U, 31179U, 48807U, 49426U, 
+    47717U, 34884U, 52642U, 38907U, 56605U, 37496U, 55188U, 56640U, 
+    55765U, 32165U, 49950U, 35658U, 53363U, 33505U, 51378U, 31952U, 
+    49712U, 43344U, 13208U, 33101U, 12410U, 50848U, 13440U, 16398U, 
+    56894U, 57269U, 18052U, 57147U, 57335U, 33698U, 51542U, 29495U, 
+    19478U, 46954U, 21931U, 29086U, 19189U, 46531U, 21642U, 36020U, 
+    19903U, 53741U, 22536U, 29842U, 47371U, 34330U, 52131U, 29553U, 
+    14044U, 18230U, 19540U, 47012U, 21993U, 29144U, 13980U, 18162U, 
+    19251U, 46589U, 21704U, 36425U, 14285U, 18490U, 20137U, 54104U, 
+    22770U, 30783U, 48349U, 34846U, 52618U, 29583U, 14076U, 18264U, 
+    19572U, 47042U, 22025U, 29174U, 14012U, 18196U, 19283U, 46619U, 
+    21736U, 37407U, 14461U, 18681U, 20430U, 55113U, 23074U, 32086U, 
+    49842U, 35566U, 53271U, 29641U, 19634U, 47100U, 22087U, 29232U, 
+    19345U, 46677U, 21798U, 38036U, 20654U, 55724U, 23309U, 33402U, 
+    51210U, 9277U, 8304U, 31504U, 49184U, 9243U, 8241U, 29316U, 
+    46791U, 33778U, 51622U, 29510U, 19494U, 46969U, 21947U, 29101U, 
+    19205U, 46546U, 21658U, 36032U, 19916U, 53753U, 22549U, 29935U, 
+    47531U, 34394U, 52181U, 29568U, 14060U, 18247U, 19556U, 47027U, 
+    22009U, 29159U, 13996U, 18179U, 19267U, 46604U, 21720U, 36708U, 
+    14354U, 18565U, 20206U, 54387U, 22850U, 31148U, 48768U, 34872U, 
+    52630U, 29598U, 14092U, 18281U, 19588U, 47057U, 22041U, 29189U, 
+    14028U, 18213U, 19299U, 46634U, 21752U, 37484U, 14530U, 18756U, 
+    20499U, 55176U, 23143U, 32134U, 49911U, 35646U, 53351U, 29656U, 
+    19650U, 47115U, 22103U, 29247U, 19361U, 46692U, 21814U, 38048U, 
+    20667U, 55736U, 23322U, 33485U, 51350U, 9291U, 8318U, 31517U, 
+    49197U, 9260U, 8258U, 29332U, 46807U, 16432U, 56955U, 57302U, 
+    18086U, 57208U, 57368U, 16420U, 56939U, 57285U, 18074U, 57192U, 
+    57351U, 16443U, 56970U, 57318U, 18097U, 57223U, 57384U, 15687U, 
+    17019U, 15725U, 17120U, 15776U, 17233U, 15705U, 17037U, 15755U, 
+    17150U, 15794U, 17251U, 15869U, 17421U, 15851U, 17382U, 31134U, 
+    14108U, 18298U, 60160U, 19716U, 61137U, 48754U, 22277U, 62717U, 
+    32120U, 14135U, 18327U, 60191U, 19767U, 61192U, 49897U, 22328U, 
+    62772U, 29262U, 46707U, 29289U, 46749U, 33990U, 51820U, 36181U, 
+    53888U, 30091U, 19666U, 61083U, 47695U, 22191U, 62624U, 30367U, 
+    19690U, 61109U, 47993U, 22215U, 62650U, 32307U, 19794U, 61221U, 
+    50080U, 22355U, 62801U, 31722U, 19743U, 61166U, 49444U, 22304U, 
+    62746U, 42942U, 13180U, 30379U, 12360U, 48005U, 13390U, 43235U, 
+    13194U, 32319U, 12385U, 50092U, 13415U, 15890U, 17472U, 34171U, 
+    52000U, 16002U, 17656U, 15734U, 17129U, 30585U, 48187U, 15924U, 
+    17548U, 35143U, 52886U, 16066U, 17720U, 15804U, 17261U, 32504U, 
+    50275U, 15903U, 17485U, 16015U, 17669U, 36348U, 54041U, 35179U, 
+    52922U, 37740U, 55442U, 15914U, 17538U, 16056U, 17710U, 37374U, 
+    55080U, 30102U, 19678U, 61096U, 47706U, 22203U, 62637U, 30416U, 
+    19703U, 61123U, 48042U, 22228U, 62664U, 32344U, 19807U, 61235U, 
+    50117U, 22368U, 62815U, 31733U, 19755U, 61179U, 49469U, 22316U, 
+    62759U, 42905U, 47449U, 43052U, 48427U, 43190U, 49887U, 43437U, 
+    17402U, 11214U, 33945U, 36110U, 30236U, 34774U, 37218U, 31965U, 
+    34342U, 36437U, 30794U, 34858U, 37419U, 32097U, 30143U, 47765U, 
+    31753U, 49489U, 33161U, 50908U, 31877U, 49629U, 33924U, 51768U, 
+    30217U, 47839U, 6110U, 5792U, 6222U, 5887U, 30154U, 47776U, 
+    31764U, 49500U, 33198U, 50956U, 31978U, 49725U, 31364U, 48979U, 
+    32224U, 49996U, 31268U, 48883U, 32213U, 49985U, 35456U, 53161U, 
+    33253U, 51011U, 6139U, 6251U, 33140U, 50887U, 31857U, 49609U, 
+    33877U, 51721U, 30166U, 47788U, 6096U, 5778U, 6208U, 5873U, 
+    31257U, 48872U, 35409U, 53114U, 33210U, 50968U, 29853U, 47439U, 
+    30807U, 48417U, 32110U, 49877U, 16254U, 17908U, 31159U, 14123U, 
+    18314U, 60177U, 19731U, 61153U, 48779U, 22292U, 62733U, 32145U, 
+    14150U, 18343U, 60208U, 19782U, 61208U, 49922U, 22343U, 62788U, 
+    30249U, 47866U, 31422U, 49063U, 31620U, 49300U, 30260U, 47877U, 
+    31434U, 49075U, 31632U, 49312U, 31339U, 48954U, 33562U, 51446U, 
+    31316U, 48931U, 33551U, 51435U, 31351U, 48966U, 31327U, 48942U, 
+    6080U, 5762U, 6192U, 5857U, 34491U, 52264U, 31279U, 48894U, 
+    43515U, 34477U, 43080U, 31244U, 43554U, 34922U, 43222U, 32200U, 
+    33767U, 51611U, 29925U, 47521U, 34383U, 52170U, 36687U, 14342U, 
+    18552U, 60419U, 20194U, 61576U, 54352U, 22838U, 63234U, 31092U, 
+    48712U, 37473U, 14518U, 18743U, 60595U, 20487U, 61829U, 55165U, 
+    23131U, 63487U, 35635U, 53340U, 33475U, 51340U, 33817U, 51661U, 
+    29988U, 47592U, 34433U, 52220U, 36747U, 14379U, 18592U, 60447U, 
+    20231U, 61602U, 54426U, 22875U, 63260U, 31204U, 48832U, 37523U, 
+    14555U, 18783U, 60623U, 20524U, 61855U, 55215U, 23168U, 63513U, 
+    35697U, 53402U, 33541U, 51425U, 33732U, 51576U, 29883U, 47479U, 
+    34356U, 52143U, 36620U, 14330U, 18539U, 60405U, 20182U, 61563U, 
+    54285U, 22815U, 63221U, 31030U, 48650U, 37462U, 14506U, 18730U, 
+    60581U, 20475U, 61816U, 55154U, 23119U, 63474U, 35600U, 53305U, 
+    33443U, 51308U, 33806U, 51650U, 29970U, 47574U, 34422U, 52209U, 
+    36736U, 14367U, 18579U, 60433U, 20219U, 61589U, 54415U, 22863U, 
+    63247U, 31194U, 48822U, 37512U, 14543U, 18770U, 60609U, 20512U, 
+    61842U, 55204U, 23156U, 63500U, 35686U, 53391U, 33531U, 51415U, 
+    42853U, 21255U, 47287U, 22144U, 62573U, 43385U, 21363U, 51085U, 
+    22406U, 62856U, 51518U, 47316U, 42895U, 21291U, 47405U, 22180U, 
+    62612U, 43042U, 21327U, 48383U, 22266U, 62705U, 43427U, 21399U, 
+    51244U, 22442U, 62895U, 42842U, 21243U, 47276U, 22132U, 62560U, 
+    43374U, 21351U, 51074U, 22394U, 62843U, 42884U, 21279U, 47394U, 
+    22168U, 62599U, 43031U, 21315U, 48372U, 22254U, 62692U, 43416U, 
+    21387U, 51233U, 22430U, 62882U, 33898U, 51742U, 36064U, 19951U, 
+    61350U, 53785U, 22584U, 63008U, 30185U, 47807U, 34615U, 52401U, 
+    37049U, 20293U, 61657U, 54769U, 22937U, 63315U, 31785U, 49521U, 
+    35430U, 53135U, 33229U, 50987U, 34800U, 52572U, 37302U, 20368U, 
+    61738U, 55008U, 23012U, 63396U, 32001U, 49748U, 34531U, 52304U, 
+    36791U, 20243U, 61615U, 54470U, 22887U, 63273U, 31376U, 48991U, 
+    34936U, 52680U, 37567U, 20536U, 61868U, 55259U, 23180U, 63526U, 
+    32236U, 50008U, 42830U, 21230U, 47264U, 22119U, 62546U, 43362U, 
+    21338U, 51062U, 22381U, 62829U, 42872U, 21266U, 47382U, 22155U, 
+    62585U, 43019U, 21302U, 48360U, 22241U, 62678U, 43404U, 21374U, 
+    51221U, 22417U, 62868U, 33911U, 51755U, 36077U, 19965U, 61365U, 
+    53798U, 22598U, 63023U, 30197U, 47819U, 34628U, 52414U, 37062U, 
+    20307U, 61672U, 54782U, 22951U, 63330U, 31797U, 49533U, 35443U, 
+    53148U, 33241U, 50999U, 34813U, 52585U, 37315U, 20382U, 61753U, 
+    55021U, 23026U, 63411U, 32013U, 49760U, 34544U, 52317U, 36804U, 
+    20257U, 61630U, 54483U, 22901U, 63288U, 31388U, 49003U, 34949U, 
+    52693U, 37580U, 20550U, 61883U, 55272U, 23194U, 63541U, 32248U, 
+    50020U, 34733U, 52519U, 37167U, 14413U, 18629U, 60487U, 20332U, 
+    61699U, 54887U, 22976U, 63357U, 31914U, 49666U, 6124U, 5806U, 
+    6236U, 5901U, 35674U, 53379U, 33520U, 51404U, 35513U, 53218U, 
+    33313U, 51112U, 33969U, 51799U, 36134U, 14195U, 18392U, 60261U, 
+    20012U, 61404U, 53841U, 22645U, 63062U, 30318U, 47935U, 35534U, 
+    53239U, 33361U, 51160U, 34788U, 52560U, 37290U, 14436U, 18654U, 
+    60514U, 20355U, 61724U, 54996U, 22999U, 63382U, 31990U, 49737U, 
+    36451U, 14298U, 18504U, 60367U, 20150U, 61528U, 54116U, 22783U, 
+    63186U, 37433U, 14474U, 18695U, 60543U, 20443U, 61781U, 55125U, 
+    23087U, 63439U, 34962U, 52706U, 32269U, 50041U, 43152U, 31653U, 
+    49333U, 15696U, 42915U, 17028U, 29946U, 47550U, 15746U, 43071U, 
+    17141U, 31170U, 48798U, 15785U, 43213U, 17242U, 32156U, 49941U, 
+    15860U, 43447U, 17412U, 33496U, 51369U, 35370U, 53075U, 33151U, 
+    50898U, 43733U, 43877U, 43812U, 43904U, 42821U, 29777U, 47229U, 
+    42924U, 30113U, 47735U, 43161U, 31744U, 49480U, 43353U, 33110U, 
+    50857U, 42863U, 29823U, 47343U, 42933U, 30288U, 47905U, 43181U, 
+    32035U, 49782U, 43395U, 33331U, 51130U, 33666U, 51497U, 29804U, 
+    47297U, 15879U, 17441U, 15971U, 17625U, 15715U, 17068U, 15937U, 
+    17571U, 15829U, 17307U, 15949U, 17583U, 15840U, 17318U, 33687U, 
+    51531U, 29832U, 47361U, 34009U, 51839U, 30357U, 47983U, 35555U, 
+    53260U, 33392U, 51200U, 17496U, 17171U, 17452U, 33959U, 51789U, 
+    15982U, 18879U, 17636U, 18945U, 36124U, 20001U, 53831U, 22634U, 
+    17078U, 30297U, 47914U, 17518U, 34826U, 52598U, 16036U, 18912U, 
+    17690U, 18978U, 37328U, 20396U, 55034U, 23040U, 17191U, 32044U, 
+    49791U, 34455U, 52242U, 36769U, 54448U, 31224U, 48852U, 34900U, 
+    52658U, 37545U, 55237U, 32180U, 49965U, 17595U, 35524U, 53229U, 
+    17329U, 33340U, 51139U, 17431U, 33867U, 51711U, 15961U, 18868U, 
+    17615U, 18934U, 36044U, 19929U, 53765U, 22562U, 17047U, 30122U, 
+    47744U, 16026U, 18901U, 17680U, 18967U, 37029U, 20271U, 54749U, 
+    22915U, 34444U, 52231U, 36758U, 54437U, 31214U, 48842U, 37534U, 
+    55226U, 17561U, 35360U, 53065U, 17273U, 33119U, 50866U, 17507U, 
+    17181U, 17462U, 33980U, 51810U, 15992U, 18890U, 17646U, 18956U, 
+    36145U, 20024U, 53852U, 22657U, 17099U, 30328U, 47945U, 17528U, 
+    34836U, 52608U, 16046U, 18923U, 17700U, 18989U, 37338U, 20407U, 
+    55044U, 23051U, 17212U, 32065U, 49812U, 34466U, 52253U, 36780U, 
+    54459U, 31234U, 48862U, 34911U, 52669U, 37556U, 55248U, 32190U, 
+    49975U, 17605U, 35545U, 53250U, 17350U, 33371U, 51170U, 33646U, 
+    51477U, 29786U, 47238U, 33888U, 51732U, 36054U, 14162U, 18356U, 
+    60222U, 19940U, 61338U, 53775U, 22573U, 62996U, 30176U, 47798U, 
+    34605U, 52391U, 37039U, 14391U, 18605U, 60461U, 20282U, 61645U, 
+    54759U, 22926U, 63303U, 31776U, 49512U, 33710U, 51554U, 29863U, 
+    47459U, 35578U, 53283U, 33423U, 51288U, 33743U, 51587U, 29893U, 
+    47489U, 35611U, 53316U, 33453U, 51318U, 35420U, 53125U, 33220U, 
+    50978U, 36191U, 53898U, 37384U, 55090U, 36168U, 53875U, 37361U, 
+    55067U, 35329U, 53044U, 33073U, 50829U, 35381U, 53086U, 33172U, 
+    50919U, 34705U, 52491U, 37139U, 54859U, 31888U, 49640U, 34744U, 
+    52530U, 37188U, 54908U, 31924U, 49684U, 34503U, 52276U, 31290U, 
+    48905U, 35395U, 53100U, 33185U, 50943U, 34719U, 52505U, 37153U, 
+    54873U, 31901U, 49653U, 34759U, 52545U, 37203U, 54923U, 31938U, 
+    49698U, 34517U, 52290U, 31303U, 48918U, 36460U, 14308U, 18515U, 
+    60379U, 20160U, 61539U, 54125U, 22793U, 63197U, 37442U, 14484U, 
+    18706U, 60555U, 20453U, 61792U, 55134U, 23097U, 63450U, 34970U, 
+    52714U, 32276U, 50048U, 26183U, 41018U, 26485U, 41228U, 30879U, 
+    48499U, 32788U, 50536U, 26207U, 41042U, 14633U, 26509U, 41252U, 
+    14659U, 30903U, 48523U, 14685U, 32812U, 50560U, 14711U, 25969U, 
+    59250U, 40896U, 59684U, 25764U, 59185U, 40786U, 59620U, 25887U, 
+    59211U, 40847U, 26231U, 41066U, 26533U, 41276U, 25528U, 40602U, 
+    25874U, 40834U, 25426U, 40562U, 25754U, 40776U, 25518U, 40592U, 
+    59594U, 25864U, 40824U, 59646U, 25507U, 40581U, 25853U, 40813U, 
+    26194U, 41029U, 26496U, 41239U, 30890U, 48510U, 32799U, 50547U, 
+    26218U, 41053U, 14645U, 26520U, 41263U, 14671U, 30914U, 48534U, 
+    14697U, 32823U, 50571U, 14723U, 25978U, 59263U, 40905U, 59697U, 
+    25834U, 59197U, 40794U, 59632U, 25895U, 59223U, 40855U, 43759U, 
+    43930U, 25379U, 25707U, 25451U, 25787U, 25410U, 25738U, 25482U, 
+    25818U, 43774U, 43945U, 16291U, 17945U, 16386U, 18040U, 16147U, 
+    17801U, 16327U, 17981U, 16455U, 18109U, 16200U, 17854U, 25959U, 
+    40886U, 36383U, 54062U, 25498U, 40572U, 25989U, 40916U, 37775U, 
+    55463U, 25844U, 40804U, 26291U, 59278U, 41126U, 59712U, 25541U, 
+    59172U, 40615U, 26593U, 59292U, 41336U, 59726U, 25905U, 59237U, 
+    40865U, 9826U, 34081U, 51911U, 36265U, 14219U, 18418U, 60289U, 
+    20059U, 61443U, 53972U, 22692U, 63101U, 30482U, 48095U, 35053U, 
+    52797U, 37657U, 14567U, 18796U, 60637U, 20576U, 61911U, 55349U, 
+    23220U, 63569U, 32401U, 50161U, 36559U, 54224U, 30927U, 59438U, 
+    48547U, 60004U, 37909U, 55597U, 32836U, 59516U, 50584U, 60082U, 
+    34261U, 52076U, 30710U, 48289U, 35244U, 52973U, 32641U, 50402U, 
+    36583U, 54248U, 30969U, 48589U, 37933U, 55621U, 32878U, 50626U, 
+    34158U, 51974U, 36299U, 53992U, 30563U, 48163U, 35130U, 52860U, 
+    37691U, 55369U, 32482U, 50229U, 34184U, 52013U, 36312U, 54005U, 
+    30597U, 48199U, 35156U, 52899U, 37704U, 55406U, 32516U, 50287U, 
+    34251U, 52066U, 30680U, 48259U, 35234U, 52963U, 32599U, 50347U, 
+    9163U, 9781U, 556U, 9881U, 7540U, 10032U, 7913U, 7550U, 
+    1840U, 3769U, 8042U, 3328U, 8060U, 3350U, 9841U, 10106U, 
+    11540U, 28620U, 46093U, 26824U, 44204U, 27504U, 44871U, 29692U, 
+    47151U, 9546U, 5129U, 41364U, 28713U, 46186U, 41346U, 4797U, 
+    26929U, 44309U, 41355U, 27657U, 45024U, 29710U, 47169U, 8181U, 
+    7800U, 7729U, 9847U, 7615U, 7739U, 7857U, 10137U, 9998U, 
+    5563U, 15499U, 6737U, 42235U, 16868U, 7077U, 28817U, 46306U, 
+    10992U, 1952U, 15237U, 6475U, 41561U, 16623U, 6881U, 27134U, 
+    44521U, 10443U, 2035U, 2142U, 6606U, 41732U, 2259U, 6979U, 
+    27977U, 45360U, 10556U, 6377U, 15654U, 42778U, 16997U, 7136U, 
+    29725U, 47190U, 11082U, 30681U, 48260U, 32600U, 50348U, 11556U, 
+    9810U, 3591U, 8092U, 3379U, 10082U, 3669U, 10144U, 793U, 
+    5718U, 8026U, 10113U, 
 };
 
 #endif // GET_INSTRINFO_MC_DESC
diff --git a/arch/X86/X86GenInstrInfo_reduce.inc b/arch/X86/X86GenInstrInfo_reduce.inc
index de98b28..4b6f211 100644
--- a/arch/X86/X86GenInstrInfo_reduce.inc
+++ b/arch/X86/X86GenInstrInfo_reduce.inc
@@ -472,1224 +472,1218 @@
     X86_CPUID32	= 455,
     X86_CPUID64	= 456,
     X86_CQO	= 457,
-    X86_CS_PREFIX	= 458,
-    X86_CWD	= 459,
-    X86_CWDE	= 460,
-    X86_DAA	= 461,
-    X86_DAS	= 462,
-    X86_DATA16_PREFIX	= 463,
-    X86_DEC16m	= 464,
-    X86_DEC16r	= 465,
-    X86_DEC32_16r	= 466,
-    X86_DEC32_32r	= 467,
-    X86_DEC32m	= 468,
-    X86_DEC32r	= 469,
-    X86_DEC64_16m	= 470,
-    X86_DEC64_16r	= 471,
-    X86_DEC64_32m	= 472,
-    X86_DEC64_32r	= 473,
-    X86_DEC64m	= 474,
-    X86_DEC64r	= 475,
-    X86_DEC8m	= 476,
-    X86_DEC8r	= 477,
-    X86_DIV16m	= 478,
-    X86_DIV16r	= 479,
-    X86_DIV32m	= 480,
-    X86_DIV32r	= 481,
-    X86_DIV64m	= 482,
-    X86_DIV64r	= 483,
-    X86_DIV8m	= 484,
-    X86_DIV8r	= 485,
-    X86_DS_PREFIX	= 486,
-    X86_EH_RETURN	= 487,
-    X86_EH_RETURN64	= 488,
-    X86_EH_SjLj_LongJmp32	= 489,
-    X86_EH_SjLj_LongJmp64	= 490,
-    X86_EH_SjLj_SetJmp32	= 491,
-    X86_EH_SjLj_SetJmp64	= 492,
-    X86_EH_SjLj_Setup	= 493,
-    X86_ENTER	= 494,
-    X86_ES_PREFIX	= 495,
-    X86_FARCALL16i	= 496,
-    X86_FARCALL16m	= 497,
-    X86_FARCALL32i	= 498,
-    X86_FARCALL32m	= 499,
-    X86_FARCALL64	= 500,
-    X86_FARJMP16i	= 501,
-    X86_FARJMP16m	= 502,
-    X86_FARJMP32i	= 503,
-    X86_FARJMP32m	= 504,
-    X86_FARJMP64	= 505,
-    X86_FSETPM	= 506,
-    X86_FS_PREFIX	= 507,
-    X86_GETSEC	= 508,
-    X86_GS_PREFIX	= 509,
-    X86_HLT	= 510,
-    X86_IDIV16m	= 511,
-    X86_IDIV16r	= 512,
-    X86_IDIV32m	= 513,
-    X86_IDIV32r	= 514,
-    X86_IDIV64m	= 515,
-    X86_IDIV64r	= 516,
-    X86_IDIV8m	= 517,
-    X86_IDIV8r	= 518,
-    X86_IMUL16m	= 519,
-    X86_IMUL16r	= 520,
-    X86_IMUL16rm	= 521,
-    X86_IMUL16rmi	= 522,
-    X86_IMUL16rmi8	= 523,
-    X86_IMUL16rr	= 524,
-    X86_IMUL16rri	= 525,
-    X86_IMUL16rri8	= 526,
-    X86_IMUL32m	= 527,
-    X86_IMUL32r	= 528,
-    X86_IMUL32rm	= 529,
-    X86_IMUL32rmi	= 530,
-    X86_IMUL32rmi8	= 531,
-    X86_IMUL32rr	= 532,
-    X86_IMUL32rri	= 533,
-    X86_IMUL32rri8	= 534,
-    X86_IMUL64m	= 535,
-    X86_IMUL64r	= 536,
-    X86_IMUL64rm	= 537,
-    X86_IMUL64rmi32	= 538,
-    X86_IMUL64rmi8	= 539,
-    X86_IMUL64rr	= 540,
-    X86_IMUL64rri32	= 541,
-    X86_IMUL64rri8	= 542,
-    X86_IMUL8m	= 543,
-    X86_IMUL8r	= 544,
-    X86_IN16ri	= 545,
-    X86_IN16rr	= 546,
-    X86_IN32ri	= 547,
-    X86_IN32rr	= 548,
-    X86_IN8ri	= 549,
-    X86_IN8rr	= 550,
-    X86_INC16m	= 551,
-    X86_INC16r	= 552,
-    X86_INC32_16r	= 553,
-    X86_INC32_32r	= 554,
-    X86_INC32m	= 555,
-    X86_INC32r	= 556,
-    X86_INC64_16m	= 557,
-    X86_INC64_16r	= 558,
-    X86_INC64_32m	= 559,
-    X86_INC64_32r	= 560,
-    X86_INC64m	= 561,
-    X86_INC64r	= 562,
-    X86_INC8m	= 563,
-    X86_INC8r	= 564,
-    X86_INSB	= 565,
-    X86_INSL	= 566,
-    X86_INSW	= 567,
-    X86_INT	= 568,
-    X86_INT1	= 569,
-    X86_INT3	= 570,
-    X86_INTO	= 571,
-    X86_INVD	= 572,
-    X86_INVEPT32	= 573,
-    X86_INVEPT64	= 574,
-    X86_INVLPG	= 575,
-    X86_INVLPGA32	= 576,
-    X86_INVLPGA64	= 577,
-    X86_INVPCID32	= 578,
-    X86_INVPCID64	= 579,
-    X86_INVVPID32	= 580,
-    X86_INVVPID64	= 581,
-    X86_IRET16	= 582,
-    X86_IRET32	= 583,
-    X86_IRET64	= 584,
-    X86_Int_MemBarrier	= 585,
-    X86_JAE_1	= 586,
-    X86_JAE_2	= 587,
-    X86_JAE_4	= 588,
-    X86_JA_1	= 589,
-    X86_JA_2	= 590,
-    X86_JA_4	= 591,
-    X86_JBE_1	= 592,
-    X86_JBE_2	= 593,
-    X86_JBE_4	= 594,
-    X86_JB_1	= 595,
-    X86_JB_2	= 596,
-    X86_JB_4	= 597,
-    X86_JCXZ	= 598,
-    X86_JECXZ_32	= 599,
-    X86_JECXZ_64	= 600,
-    X86_JE_1	= 601,
-    X86_JE_2	= 602,
-    X86_JE_4	= 603,
-    X86_JGE_1	= 604,
-    X86_JGE_2	= 605,
-    X86_JGE_4	= 606,
-    X86_JG_1	= 607,
-    X86_JG_2	= 608,
-    X86_JG_4	= 609,
-    X86_JLE_1	= 610,
-    X86_JLE_2	= 611,
-    X86_JLE_4	= 612,
-    X86_JL_1	= 613,
-    X86_JL_2	= 614,
-    X86_JL_4	= 615,
-    X86_JMP16m	= 616,
-    X86_JMP16r	= 617,
-    X86_JMP32m	= 618,
-    X86_JMP32r	= 619,
-    X86_JMP64m	= 620,
-    X86_JMP64r	= 621,
-    X86_JMP_1	= 622,
-    X86_JMP_2	= 623,
-    X86_JMP_4	= 624,
-    X86_JNE_1	= 625,
-    X86_JNE_2	= 626,
-    X86_JNE_4	= 627,
-    X86_JNO_1	= 628,
-    X86_JNO_2	= 629,
-    X86_JNO_4	= 630,
-    X86_JNP_1	= 631,
-    X86_JNP_2	= 632,
-    X86_JNP_4	= 633,
-    X86_JNS_1	= 634,
-    X86_JNS_2	= 635,
-    X86_JNS_4	= 636,
-    X86_JO_1	= 637,
-    X86_JO_2	= 638,
-    X86_JO_4	= 639,
-    X86_JP_1	= 640,
-    X86_JP_2	= 641,
-    X86_JP_4	= 642,
-    X86_JRCXZ	= 643,
-    X86_JS_1	= 644,
-    X86_JS_2	= 645,
-    X86_JS_4	= 646,
-    X86_LAHF	= 647,
-    X86_LAR16rm	= 648,
-    X86_LAR16rr	= 649,
-    X86_LAR32rm	= 650,
-    X86_LAR32rr	= 651,
-    X86_LAR64rm	= 652,
-    X86_LAR64rr	= 653,
-    X86_LCMPXCHG16	= 654,
-    X86_LCMPXCHG16B	= 655,
-    X86_LCMPXCHG32	= 656,
-    X86_LCMPXCHG64	= 657,
-    X86_LCMPXCHG8	= 658,
-    X86_LCMPXCHG8B	= 659,
-    X86_LDS16rm	= 660,
-    X86_LDS32rm	= 661,
-    X86_LEA16r	= 662,
-    X86_LEA32r	= 663,
-    X86_LEA64_32r	= 664,
-    X86_LEA64r	= 665,
-    X86_LEAVE	= 666,
-    X86_LEAVE64	= 667,
-    X86_LES16rm	= 668,
-    X86_LES32rm	= 669,
-    X86_LFS16rm	= 670,
-    X86_LFS32rm	= 671,
-    X86_LFS64rm	= 672,
-    X86_LGDT16m	= 673,
-    X86_LGDT32m	= 674,
-    X86_LGDT64m	= 675,
-    X86_LGS16rm	= 676,
-    X86_LGS32rm	= 677,
-    X86_LGS64rm	= 678,
-    X86_LIDT16m	= 679,
-    X86_LIDT32m	= 680,
-    X86_LIDT64m	= 681,
-    X86_LLDT16m	= 682,
-    X86_LLDT16r	= 683,
-    X86_LMSW16m	= 684,
-    X86_LMSW16r	= 685,
-    X86_LOCK_ADD16mi	= 686,
-    X86_LOCK_ADD16mi8	= 687,
-    X86_LOCK_ADD16mr	= 688,
-    X86_LOCK_ADD32mi	= 689,
-    X86_LOCK_ADD32mi8	= 690,
-    X86_LOCK_ADD32mr	= 691,
-    X86_LOCK_ADD64mi32	= 692,
-    X86_LOCK_ADD64mi8	= 693,
-    X86_LOCK_ADD64mr	= 694,
-    X86_LOCK_ADD8mi	= 695,
-    X86_LOCK_ADD8mr	= 696,
-    X86_LOCK_AND16mi	= 697,
-    X86_LOCK_AND16mi8	= 698,
-    X86_LOCK_AND16mr	= 699,
-    X86_LOCK_AND32mi	= 700,
-    X86_LOCK_AND32mi8	= 701,
-    X86_LOCK_AND32mr	= 702,
-    X86_LOCK_AND64mi32	= 703,
-    X86_LOCK_AND64mi8	= 704,
-    X86_LOCK_AND64mr	= 705,
-    X86_LOCK_AND8mi	= 706,
-    X86_LOCK_AND8mr	= 707,
-    X86_LOCK_DEC16m	= 708,
-    X86_LOCK_DEC32m	= 709,
-    X86_LOCK_DEC64m	= 710,
-    X86_LOCK_DEC8m	= 711,
-    X86_LOCK_INC16m	= 712,
-    X86_LOCK_INC32m	= 713,
-    X86_LOCK_INC64m	= 714,
-    X86_LOCK_INC8m	= 715,
-    X86_LOCK_OR16mi	= 716,
-    X86_LOCK_OR16mi8	= 717,
-    X86_LOCK_OR16mr	= 718,
-    X86_LOCK_OR32mi	= 719,
-    X86_LOCK_OR32mi8	= 720,
-    X86_LOCK_OR32mr	= 721,
-    X86_LOCK_OR64mi32	= 722,
-    X86_LOCK_OR64mi8	= 723,
-    X86_LOCK_OR64mr	= 724,
-    X86_LOCK_OR8mi	= 725,
-    X86_LOCK_OR8mr	= 726,
-    X86_LOCK_PREFIX	= 727,
-    X86_LOCK_SUB16mi	= 728,
-    X86_LOCK_SUB16mi8	= 729,
-    X86_LOCK_SUB16mr	= 730,
-    X86_LOCK_SUB32mi	= 731,
-    X86_LOCK_SUB32mi8	= 732,
-    X86_LOCK_SUB32mr	= 733,
-    X86_LOCK_SUB64mi32	= 734,
-    X86_LOCK_SUB64mi8	= 735,
-    X86_LOCK_SUB64mr	= 736,
-    X86_LOCK_SUB8mi	= 737,
-    X86_LOCK_SUB8mr	= 738,
-    X86_LOCK_XOR16mi	= 739,
-    X86_LOCK_XOR16mi8	= 740,
-    X86_LOCK_XOR16mr	= 741,
-    X86_LOCK_XOR32mi	= 742,
-    X86_LOCK_XOR32mi8	= 743,
-    X86_LOCK_XOR32mr	= 744,
-    X86_LOCK_XOR64mi32	= 745,
-    X86_LOCK_XOR64mi8	= 746,
-    X86_LOCK_XOR64mr	= 747,
-    X86_LOCK_XOR8mi	= 748,
-    X86_LOCK_XOR8mr	= 749,
-    X86_LODSB	= 750,
-    X86_LODSL	= 751,
-    X86_LODSQ	= 752,
-    X86_LODSW	= 753,
-    X86_LOOP	= 754,
-    X86_LOOPE	= 755,
-    X86_LOOPNE	= 756,
-    X86_LRETIL	= 757,
-    X86_LRETIQ	= 758,
-    X86_LRETIW	= 759,
-    X86_LRETL	= 760,
-    X86_LRETQ	= 761,
-    X86_LRETW	= 762,
-    X86_LSL16rm	= 763,
-    X86_LSL16rr	= 764,
-    X86_LSL32rm	= 765,
-    X86_LSL32rr	= 766,
-    X86_LSL64rm	= 767,
-    X86_LSL64rr	= 768,
-    X86_LSS16rm	= 769,
-    X86_LSS32rm	= 770,
-    X86_LSS64rm	= 771,
-    X86_LTRm	= 772,
-    X86_LTRr	= 773,
-    X86_LXADD16	= 774,
-    X86_LXADD32	= 775,
-    X86_LXADD64	= 776,
-    X86_LXADD8	= 777,
-    X86_LZCNT16rm	= 778,
-    X86_LZCNT16rr	= 779,
-    X86_LZCNT32rm	= 780,
-    X86_LZCNT32rr	= 781,
-    X86_LZCNT64rm	= 782,
-    X86_LZCNT64rr	= 783,
-    X86_MONTMUL	= 784,
-    X86_MORESTACK_RET	= 785,
-    X86_MORESTACK_RET_RESTORE_R10	= 786,
-    X86_MOV16ao16	= 787,
-    X86_MOV16ao16_16	= 788,
-    X86_MOV16mi	= 789,
-    X86_MOV16mr	= 790,
-    X86_MOV16ms	= 791,
-    X86_MOV16o16a	= 792,
-    X86_MOV16o16a_16	= 793,
-    X86_MOV16ri	= 794,
-    X86_MOV16ri_alt	= 795,
-    X86_MOV16rm	= 796,
-    X86_MOV16rr	= 797,
-    X86_MOV16rr_REV	= 798,
-    X86_MOV16rs	= 799,
-    X86_MOV16sm	= 800,
-    X86_MOV16sr	= 801,
-    X86_MOV32ao32	= 802,
-    X86_MOV32ao32_16	= 803,
-    X86_MOV32cr	= 804,
-    X86_MOV32dr	= 805,
-    X86_MOV32mi	= 806,
-    X86_MOV32mr	= 807,
-    X86_MOV32ms	= 808,
-    X86_MOV32o32a	= 809,
-    X86_MOV32o32a_16	= 810,
-    X86_MOV32r0	= 811,
-    X86_MOV32rc	= 812,
-    X86_MOV32rd	= 813,
-    X86_MOV32ri	= 814,
-    X86_MOV32ri64	= 815,
-    X86_MOV32ri_alt	= 816,
-    X86_MOV32rm	= 817,
-    X86_MOV32rr	= 818,
-    X86_MOV32rr_REV	= 819,
-    X86_MOV32rs	= 820,
-    X86_MOV32sm	= 821,
-    X86_MOV32sr	= 822,
-    X86_MOV64ao16	= 823,
-    X86_MOV64ao32	= 824,
-    X86_MOV64ao64	= 825,
-    X86_MOV64ao8	= 826,
-    X86_MOV64cr	= 827,
-    X86_MOV64dr	= 828,
-    X86_MOV64mi32	= 829,
-    X86_MOV64mr	= 830,
-    X86_MOV64ms	= 831,
-    X86_MOV64o16a	= 832,
-    X86_MOV64o32a	= 833,
-    X86_MOV64o64a	= 834,
-    X86_MOV64o8a	= 835,
-    X86_MOV64rc	= 836,
-    X86_MOV64rd	= 837,
-    X86_MOV64ri	= 838,
-    X86_MOV64ri32	= 839,
-    X86_MOV64rm	= 840,
-    X86_MOV64rr	= 841,
-    X86_MOV64rr_REV	= 842,
-    X86_MOV64rs	= 843,
-    X86_MOV64sm	= 844,
-    X86_MOV64sr	= 845,
-    X86_MOV8ao8	= 846,
-    X86_MOV8ao8_16	= 847,
-    X86_MOV8mi	= 848,
-    X86_MOV8mr	= 849,
-    X86_MOV8mr_NOREX	= 850,
-    X86_MOV8o8a	= 851,
-    X86_MOV8o8a_16	= 852,
-    X86_MOV8ri	= 853,
-    X86_MOV8ri_alt	= 854,
-    X86_MOV8rm	= 855,
-    X86_MOV8rm_NOREX	= 856,
-    X86_MOV8rr	= 857,
-    X86_MOV8rr_NOREX	= 858,
-    X86_MOV8rr_REV	= 859,
-    X86_MOVBE16mr	= 860,
-    X86_MOVBE16rm	= 861,
-    X86_MOVBE32mr	= 862,
-    X86_MOVBE32rm	= 863,
-    X86_MOVBE64mr	= 864,
-    X86_MOVBE64rm	= 865,
-    X86_MOVPC32r	= 866,
-    X86_MOVSB	= 867,
-    X86_MOVSL	= 868,
-    X86_MOVSQ	= 869,
-    X86_MOVSW	= 870,
-    X86_MOVSX16rm8	= 871,
-    X86_MOVSX16rr8	= 872,
-    X86_MOVSX32rm16	= 873,
-    X86_MOVSX32rm8	= 874,
-    X86_MOVSX32rr16	= 875,
-    X86_MOVSX32rr8	= 876,
-    X86_MOVSX64rm16	= 877,
-    X86_MOVSX64rm32	= 878,
-    X86_MOVSX64rm8	= 879,
-    X86_MOVSX64rr16	= 880,
-    X86_MOVSX64rr32	= 881,
-    X86_MOVSX64rr8	= 882,
-    X86_MOVZX16rm8	= 883,
-    X86_MOVZX16rr8	= 884,
-    X86_MOVZX32_NOREXrm8	= 885,
-    X86_MOVZX32_NOREXrr8	= 886,
-    X86_MOVZX32rm16	= 887,
-    X86_MOVZX32rm8	= 888,
-    X86_MOVZX32rr16	= 889,
-    X86_MOVZX32rr8	= 890,
-    X86_MOVZX64rm16_Q	= 891,
-    X86_MOVZX64rm8_Q	= 892,
-    X86_MOVZX64rr16_Q	= 893,
-    X86_MOVZX64rr8_Q	= 894,
-    X86_MUL16m	= 895,
-    X86_MUL16r	= 896,
-    X86_MUL32m	= 897,
-    X86_MUL32r	= 898,
-    X86_MUL64m	= 899,
-    X86_MUL64r	= 900,
-    X86_MUL8m	= 901,
-    X86_MUL8r	= 902,
-    X86_MULX32rm	= 903,
-    X86_MULX32rr	= 904,
-    X86_MULX64rm	= 905,
-    X86_MULX64rr	= 906,
-    X86_NEG16m	= 907,
-    X86_NEG16r	= 908,
-    X86_NEG32m	= 909,
-    X86_NEG32r	= 910,
-    X86_NEG64m	= 911,
-    X86_NEG64r	= 912,
-    X86_NEG8m	= 913,
-    X86_NEG8r	= 914,
-    X86_NOOP	= 915,
-    X86_NOOP18_16m4	= 916,
-    X86_NOOP18_16m5	= 917,
-    X86_NOOP18_16m6	= 918,
-    X86_NOOP18_16m7	= 919,
-    X86_NOOP18_16r4	= 920,
-    X86_NOOP18_16r5	= 921,
-    X86_NOOP18_16r6	= 922,
-    X86_NOOP18_16r7	= 923,
-    X86_NOOP18_m4	= 924,
-    X86_NOOP18_m5	= 925,
-    X86_NOOP18_m6	= 926,
-    X86_NOOP18_m7	= 927,
-    X86_NOOP18_r4	= 928,
-    X86_NOOP18_r5	= 929,
-    X86_NOOP18_r6	= 930,
-    X86_NOOP18_r7	= 931,
-    X86_NOOPL	= 932,
-    X86_NOOPL_19	= 933,
-    X86_NOOPL_1a	= 934,
-    X86_NOOPL_1b	= 935,
-    X86_NOOPL_1c	= 936,
-    X86_NOOPL_1d	= 937,
-    X86_NOOPL_1e	= 938,
-    X86_NOOPW	= 939,
-    X86_NOOPW_19	= 940,
-    X86_NOOPW_1a	= 941,
-    X86_NOOPW_1b	= 942,
-    X86_NOOPW_1c	= 943,
-    X86_NOOPW_1d	= 944,
-    X86_NOOPW_1e	= 945,
-    X86_NOT16m	= 946,
-    X86_NOT16r	= 947,
-    X86_NOT32m	= 948,
-    X86_NOT32r	= 949,
-    X86_NOT64m	= 950,
-    X86_NOT64r	= 951,
-    X86_NOT8m	= 952,
-    X86_NOT8r	= 953,
-    X86_OR16i16	= 954,
-    X86_OR16mi	= 955,
-    X86_OR16mi8	= 956,
-    X86_OR16mr	= 957,
-    X86_OR16ri	= 958,
-    X86_OR16ri8	= 959,
-    X86_OR16rm	= 960,
-    X86_OR16rr	= 961,
-    X86_OR16rr_REV	= 962,
-    X86_OR32i32	= 963,
-    X86_OR32mi	= 964,
-    X86_OR32mi8	= 965,
-    X86_OR32mr	= 966,
-    X86_OR32mrLocked	= 967,
-    X86_OR32ri	= 968,
-    X86_OR32ri8	= 969,
-    X86_OR32rm	= 970,
-    X86_OR32rr	= 971,
-    X86_OR32rr_REV	= 972,
-    X86_OR64i32	= 973,
-    X86_OR64mi32	= 974,
-    X86_OR64mi8	= 975,
-    X86_OR64mr	= 976,
-    X86_OR64ri32	= 977,
-    X86_OR64ri8	= 978,
-    X86_OR64rm	= 979,
-    X86_OR64rr	= 980,
-    X86_OR64rr_REV	= 981,
-    X86_OR8i8	= 982,
-    X86_OR8mi	= 983,
-    X86_OR8mr	= 984,
-    X86_OR8ri	= 985,
-    X86_OR8ri8	= 986,
-    X86_OR8rm	= 987,
-    X86_OR8rr	= 988,
-    X86_OR8rr_REV	= 989,
-    X86_OUT16ir	= 990,
-    X86_OUT16rr	= 991,
-    X86_OUT32ir	= 992,
-    X86_OUT32rr	= 993,
-    X86_OUT8ir	= 994,
-    X86_OUT8rr	= 995,
-    X86_OUTSB	= 996,
-    X86_OUTSL	= 997,
-    X86_OUTSW	= 998,
-    X86_PDEP32rm	= 999,
-    X86_PDEP32rr	= 1000,
-    X86_PDEP64rm	= 1001,
-    X86_PDEP64rr	= 1002,
-    X86_PEXT32rm	= 1003,
-    X86_PEXT32rr	= 1004,
-    X86_PEXT64rm	= 1005,
-    X86_PEXT64rr	= 1006,
-    X86_POP16r	= 1007,
-    X86_POP16rmm	= 1008,
-    X86_POP16rmr	= 1009,
-    X86_POP32r	= 1010,
-    X86_POP32rmm	= 1011,
-    X86_POP32rmr	= 1012,
-    X86_POP64r	= 1013,
-    X86_POP64rmm	= 1014,
-    X86_POP64rmr	= 1015,
-    X86_POPA16	= 1016,
-    X86_POPA32	= 1017,
-    X86_POPDS16	= 1018,
-    X86_POPDS32	= 1019,
-    X86_POPES16	= 1020,
-    X86_POPES32	= 1021,
-    X86_POPF16	= 1022,
-    X86_POPF32	= 1023,
-    X86_POPF64	= 1024,
-    X86_POPFS16	= 1025,
-    X86_POPFS32	= 1026,
-    X86_POPFS64	= 1027,
-    X86_POPGS16	= 1028,
-    X86_POPGS32	= 1029,
-    X86_POPGS64	= 1030,
-    X86_POPSS16	= 1031,
-    X86_POPSS32	= 1032,
-    X86_PUSH16i8	= 1033,
-    X86_PUSH16r	= 1034,
-    X86_PUSH16rmm	= 1035,
-    X86_PUSH16rmr	= 1036,
-    X86_PUSH32i8	= 1037,
-    X86_PUSH32r	= 1038,
-    X86_PUSH32rmm	= 1039,
-    X86_PUSH32rmr	= 1040,
-    X86_PUSH64i16	= 1041,
-    X86_PUSH64i32	= 1042,
-    X86_PUSH64i8	= 1043,
-    X86_PUSH64r	= 1044,
-    X86_PUSH64rmm	= 1045,
-    X86_PUSH64rmr	= 1046,
-    X86_PUSHA16	= 1047,
-    X86_PUSHA32	= 1048,
-    X86_PUSHCS16	= 1049,
-    X86_PUSHCS32	= 1050,
-    X86_PUSHDS16	= 1051,
-    X86_PUSHDS32	= 1052,
-    X86_PUSHES16	= 1053,
-    X86_PUSHES32	= 1054,
-    X86_PUSHF16	= 1055,
-    X86_PUSHF32	= 1056,
-    X86_PUSHF64	= 1057,
-    X86_PUSHFS16	= 1058,
-    X86_PUSHFS32	= 1059,
-    X86_PUSHFS64	= 1060,
-    X86_PUSHGS16	= 1061,
-    X86_PUSHGS32	= 1062,
-    X86_PUSHGS64	= 1063,
-    X86_PUSHSS16	= 1064,
-    X86_PUSHSS32	= 1065,
-    X86_PUSHi16	= 1066,
-    X86_PUSHi32	= 1067,
-    X86_RCL16m1	= 1068,
-    X86_RCL16mCL	= 1069,
-    X86_RCL16mi	= 1070,
-    X86_RCL16r1	= 1071,
-    X86_RCL16rCL	= 1072,
-    X86_RCL16ri	= 1073,
-    X86_RCL32m1	= 1074,
-    X86_RCL32mCL	= 1075,
-    X86_RCL32mi	= 1076,
-    X86_RCL32r1	= 1077,
-    X86_RCL32rCL	= 1078,
-    X86_RCL32ri	= 1079,
-    X86_RCL64m1	= 1080,
-    X86_RCL64mCL	= 1081,
-    X86_RCL64mi	= 1082,
-    X86_RCL64r1	= 1083,
-    X86_RCL64rCL	= 1084,
-    X86_RCL64ri	= 1085,
-    X86_RCL8m1	= 1086,
-    X86_RCL8mCL	= 1087,
-    X86_RCL8mi	= 1088,
-    X86_RCL8r1	= 1089,
-    X86_RCL8rCL	= 1090,
-    X86_RCL8ri	= 1091,
-    X86_RCR16m1	= 1092,
-    X86_RCR16mCL	= 1093,
-    X86_RCR16mi	= 1094,
-    X86_RCR16r1	= 1095,
-    X86_RCR16rCL	= 1096,
-    X86_RCR16ri	= 1097,
-    X86_RCR32m1	= 1098,
-    X86_RCR32mCL	= 1099,
-    X86_RCR32mi	= 1100,
-    X86_RCR32r1	= 1101,
-    X86_RCR32rCL	= 1102,
-    X86_RCR32ri	= 1103,
-    X86_RCR64m1	= 1104,
-    X86_RCR64mCL	= 1105,
-    X86_RCR64mi	= 1106,
-    X86_RCR64r1	= 1107,
-    X86_RCR64rCL	= 1108,
-    X86_RCR64ri	= 1109,
-    X86_RCR8m1	= 1110,
-    X86_RCR8mCL	= 1111,
-    X86_RCR8mi	= 1112,
-    X86_RCR8r1	= 1113,
-    X86_RCR8rCL	= 1114,
-    X86_RCR8ri	= 1115,
-    X86_RDFSBASE	= 1116,
-    X86_RDFSBASE64	= 1117,
-    X86_RDGSBASE	= 1118,
-    X86_RDGSBASE64	= 1119,
-    X86_RDMSR	= 1120,
-    X86_RDPMC	= 1121,
-    X86_RDRAND16r	= 1122,
-    X86_RDRAND32r	= 1123,
-    X86_RDRAND64r	= 1124,
-    X86_RDSEED16r	= 1125,
-    X86_RDSEED32r	= 1126,
-    X86_RDSEED64r	= 1127,
-    X86_RDTSC	= 1128,
-    X86_RDTSCP	= 1129,
-    X86_RELEASE_MOV16mr	= 1130,
-    X86_RELEASE_MOV32mr	= 1131,
-    X86_RELEASE_MOV64mr	= 1132,
-    X86_RELEASE_MOV8mr	= 1133,
-    X86_REPNE_PREFIX	= 1134,
-    X86_REP_MOVSB_32	= 1135,
-    X86_REP_MOVSB_64	= 1136,
-    X86_REP_MOVSD_32	= 1137,
-    X86_REP_MOVSD_64	= 1138,
-    X86_REP_MOVSQ_64	= 1139,
-    X86_REP_MOVSW_32	= 1140,
-    X86_REP_MOVSW_64	= 1141,
-    X86_REP_PREFIX	= 1142,
-    X86_REP_STOSB_32	= 1143,
-    X86_REP_STOSB_64	= 1144,
-    X86_REP_STOSD_32	= 1145,
-    X86_REP_STOSD_64	= 1146,
-    X86_REP_STOSQ_64	= 1147,
-    X86_REP_STOSW_32	= 1148,
-    X86_REP_STOSW_64	= 1149,
-    X86_RETIL	= 1150,
-    X86_RETIQ	= 1151,
-    X86_RETIW	= 1152,
-    X86_RETL	= 1153,
-    X86_RETQ	= 1154,
-    X86_RETW	= 1155,
-    X86_REX64_PREFIX	= 1156,
-    X86_ROL16m1	= 1157,
-    X86_ROL16mCL	= 1158,
-    X86_ROL16mi	= 1159,
-    X86_ROL16r1	= 1160,
-    X86_ROL16rCL	= 1161,
-    X86_ROL16ri	= 1162,
-    X86_ROL32m1	= 1163,
-    X86_ROL32mCL	= 1164,
-    X86_ROL32mi	= 1165,
-    X86_ROL32r1	= 1166,
-    X86_ROL32rCL	= 1167,
-    X86_ROL32ri	= 1168,
-    X86_ROL64m1	= 1169,
-    X86_ROL64mCL	= 1170,
-    X86_ROL64mi	= 1171,
-    X86_ROL64r1	= 1172,
-    X86_ROL64rCL	= 1173,
-    X86_ROL64ri	= 1174,
-    X86_ROL8m1	= 1175,
-    X86_ROL8mCL	= 1176,
-    X86_ROL8mi	= 1177,
-    X86_ROL8r1	= 1178,
-    X86_ROL8rCL	= 1179,
-    X86_ROL8ri	= 1180,
-    X86_ROR16m1	= 1181,
-    X86_ROR16mCL	= 1182,
-    X86_ROR16mi	= 1183,
-    X86_ROR16r1	= 1184,
-    X86_ROR16rCL	= 1185,
-    X86_ROR16ri	= 1186,
-    X86_ROR32m1	= 1187,
-    X86_ROR32mCL	= 1188,
-    X86_ROR32mi	= 1189,
-    X86_ROR32r1	= 1190,
-    X86_ROR32rCL	= 1191,
-    X86_ROR32ri	= 1192,
-    X86_ROR64m1	= 1193,
-    X86_ROR64mCL	= 1194,
-    X86_ROR64mi	= 1195,
-    X86_ROR64r1	= 1196,
-    X86_ROR64rCL	= 1197,
-    X86_ROR64ri	= 1198,
-    X86_ROR8m1	= 1199,
-    X86_ROR8mCL	= 1200,
-    X86_ROR8mi	= 1201,
-    X86_ROR8r1	= 1202,
-    X86_ROR8rCL	= 1203,
-    X86_ROR8ri	= 1204,
-    X86_RORX32mi	= 1205,
-    X86_RORX32ri	= 1206,
-    X86_RORX64mi	= 1207,
-    X86_RORX64ri	= 1208,
-    X86_RSM	= 1209,
-    X86_SAHF	= 1210,
-    X86_SAL16m1	= 1211,
-    X86_SAL16mCL	= 1212,
-    X86_SAL16mi	= 1213,
-    X86_SAL16r1	= 1214,
-    X86_SAL16rCL	= 1215,
-    X86_SAL16ri	= 1216,
-    X86_SAL32m1	= 1217,
-    X86_SAL32mCL	= 1218,
-    X86_SAL32mi	= 1219,
-    X86_SAL32r1	= 1220,
-    X86_SAL32rCL	= 1221,
-    X86_SAL32ri	= 1222,
-    X86_SAL64m1	= 1223,
-    X86_SAL64mCL	= 1224,
-    X86_SAL64mi	= 1225,
-    X86_SAL64r1	= 1226,
-    X86_SAL64rCL	= 1227,
-    X86_SAL64ri	= 1228,
-    X86_SAL8m1	= 1229,
-    X86_SAL8mCL	= 1230,
-    X86_SAL8mi	= 1231,
-    X86_SAL8r1	= 1232,
-    X86_SAL8rCL	= 1233,
-    X86_SAL8ri	= 1234,
-    X86_SALC	= 1235,
-    X86_SAR16m1	= 1236,
-    X86_SAR16mCL	= 1237,
-    X86_SAR16mi	= 1238,
-    X86_SAR16r1	= 1239,
-    X86_SAR16rCL	= 1240,
-    X86_SAR16ri	= 1241,
-    X86_SAR32m1	= 1242,
-    X86_SAR32mCL	= 1243,
-    X86_SAR32mi	= 1244,
-    X86_SAR32r1	= 1245,
-    X86_SAR32rCL	= 1246,
-    X86_SAR32ri	= 1247,
-    X86_SAR64m1	= 1248,
-    X86_SAR64mCL	= 1249,
-    X86_SAR64mi	= 1250,
-    X86_SAR64r1	= 1251,
-    X86_SAR64rCL	= 1252,
-    X86_SAR64ri	= 1253,
-    X86_SAR8m1	= 1254,
-    X86_SAR8mCL	= 1255,
-    X86_SAR8mi	= 1256,
-    X86_SAR8r1	= 1257,
-    X86_SAR8rCL	= 1258,
-    X86_SAR8ri	= 1259,
-    X86_SARX32rm	= 1260,
-    X86_SARX32rr	= 1261,
-    X86_SARX64rm	= 1262,
-    X86_SARX64rr	= 1263,
-    X86_SBB16i16	= 1264,
-    X86_SBB16mi	= 1265,
-    X86_SBB16mi8	= 1266,
-    X86_SBB16mr	= 1267,
-    X86_SBB16ri	= 1268,
-    X86_SBB16ri8	= 1269,
-    X86_SBB16rm	= 1270,
-    X86_SBB16rr	= 1271,
-    X86_SBB16rr_REV	= 1272,
-    X86_SBB32i32	= 1273,
-    X86_SBB32mi	= 1274,
-    X86_SBB32mi8	= 1275,
-    X86_SBB32mr	= 1276,
-    X86_SBB32ri	= 1277,
-    X86_SBB32ri8	= 1278,
-    X86_SBB32rm	= 1279,
-    X86_SBB32rr	= 1280,
-    X86_SBB32rr_REV	= 1281,
-    X86_SBB64i32	= 1282,
-    X86_SBB64mi32	= 1283,
-    X86_SBB64mi8	= 1284,
-    X86_SBB64mr	= 1285,
-    X86_SBB64ri32	= 1286,
-    X86_SBB64ri8	= 1287,
-    X86_SBB64rm	= 1288,
-    X86_SBB64rr	= 1289,
-    X86_SBB64rr_REV	= 1290,
-    X86_SBB8i8	= 1291,
-    X86_SBB8mi	= 1292,
-    X86_SBB8mr	= 1293,
-    X86_SBB8ri	= 1294,
-    X86_SBB8rm	= 1295,
-    X86_SBB8rr	= 1296,
-    X86_SBB8rr_REV	= 1297,
-    X86_SCASB	= 1298,
-    X86_SCASL	= 1299,
-    X86_SCASQ	= 1300,
-    X86_SCASW	= 1301,
-    X86_SEG_ALLOCA_32	= 1302,
-    X86_SEG_ALLOCA_64	= 1303,
-    X86_SEH_EndPrologue	= 1304,
-    X86_SEH_Epilogue	= 1305,
-    X86_SEH_PushFrame	= 1306,
-    X86_SEH_PushReg	= 1307,
-    X86_SEH_SaveReg	= 1308,
-    X86_SEH_SaveXMM	= 1309,
-    X86_SEH_SetFrame	= 1310,
-    X86_SEH_StackAlloc	= 1311,
-    X86_SETAEm	= 1312,
-    X86_SETAEr	= 1313,
-    X86_SETAm	= 1314,
-    X86_SETAr	= 1315,
-    X86_SETBEm	= 1316,
-    X86_SETBEr	= 1317,
-    X86_SETB_C16r	= 1318,
-    X86_SETB_C32r	= 1319,
-    X86_SETB_C64r	= 1320,
-    X86_SETB_C8r	= 1321,
-    X86_SETBm	= 1322,
-    X86_SETBr	= 1323,
-    X86_SETEm	= 1324,
-    X86_SETEr	= 1325,
-    X86_SETGEm	= 1326,
-    X86_SETGEr	= 1327,
-    X86_SETGm	= 1328,
-    X86_SETGr	= 1329,
-    X86_SETLEm	= 1330,
-    X86_SETLEr	= 1331,
-    X86_SETLm	= 1332,
-    X86_SETLr	= 1333,
-    X86_SETNEm	= 1334,
-    X86_SETNEr	= 1335,
-    X86_SETNOm	= 1336,
-    X86_SETNOr	= 1337,
-    X86_SETNPm	= 1338,
-    X86_SETNPr	= 1339,
-    X86_SETNSm	= 1340,
-    X86_SETNSr	= 1341,
-    X86_SETOm	= 1342,
-    X86_SETOr	= 1343,
-    X86_SETPm	= 1344,
-    X86_SETPr	= 1345,
-    X86_SETSm	= 1346,
-    X86_SETSr	= 1347,
-    X86_SGDT16m	= 1348,
-    X86_SGDT32m	= 1349,
-    X86_SGDT64m	= 1350,
-    X86_SHL16m1	= 1351,
-    X86_SHL16mCL	= 1352,
-    X86_SHL16mi	= 1353,
-    X86_SHL16r1	= 1354,
-    X86_SHL16rCL	= 1355,
-    X86_SHL16ri	= 1356,
-    X86_SHL32m1	= 1357,
-    X86_SHL32mCL	= 1358,
-    X86_SHL32mi	= 1359,
-    X86_SHL32r1	= 1360,
-    X86_SHL32rCL	= 1361,
-    X86_SHL32ri	= 1362,
-    X86_SHL64m1	= 1363,
-    X86_SHL64mCL	= 1364,
-    X86_SHL64mi	= 1365,
-    X86_SHL64r1	= 1366,
-    X86_SHL64rCL	= 1367,
-    X86_SHL64ri	= 1368,
-    X86_SHL8m1	= 1369,
-    X86_SHL8mCL	= 1370,
-    X86_SHL8mi	= 1371,
-    X86_SHL8r1	= 1372,
-    X86_SHL8rCL	= 1373,
-    X86_SHL8ri	= 1374,
-    X86_SHLD16mrCL	= 1375,
-    X86_SHLD16mri8	= 1376,
-    X86_SHLD16rrCL	= 1377,
-    X86_SHLD16rri8	= 1378,
-    X86_SHLD32mrCL	= 1379,
-    X86_SHLD32mri8	= 1380,
-    X86_SHLD32rrCL	= 1381,
-    X86_SHLD32rri8	= 1382,
-    X86_SHLD64mrCL	= 1383,
-    X86_SHLD64mri8	= 1384,
-    X86_SHLD64rrCL	= 1385,
-    X86_SHLD64rri8	= 1386,
-    X86_SHLX32rm	= 1387,
-    X86_SHLX32rr	= 1388,
-    X86_SHLX64rm	= 1389,
-    X86_SHLX64rr	= 1390,
-    X86_SHR16m1	= 1391,
-    X86_SHR16mCL	= 1392,
-    X86_SHR16mi	= 1393,
-    X86_SHR16r1	= 1394,
-    X86_SHR16rCL	= 1395,
-    X86_SHR16ri	= 1396,
-    X86_SHR32m1	= 1397,
-    X86_SHR32mCL	= 1398,
-    X86_SHR32mi	= 1399,
-    X86_SHR32r1	= 1400,
-    X86_SHR32rCL	= 1401,
-    X86_SHR32ri	= 1402,
-    X86_SHR64m1	= 1403,
-    X86_SHR64mCL	= 1404,
-    X86_SHR64mi	= 1405,
-    X86_SHR64r1	= 1406,
-    X86_SHR64rCL	= 1407,
-    X86_SHR64ri	= 1408,
-    X86_SHR8m1	= 1409,
-    X86_SHR8mCL	= 1410,
-    X86_SHR8mi	= 1411,
-    X86_SHR8r1	= 1412,
-    X86_SHR8rCL	= 1413,
-    X86_SHR8ri	= 1414,
-    X86_SHRD16mrCL	= 1415,
-    X86_SHRD16mri8	= 1416,
-    X86_SHRD16rrCL	= 1417,
-    X86_SHRD16rri8	= 1418,
-    X86_SHRD32mrCL	= 1419,
-    X86_SHRD32mri8	= 1420,
-    X86_SHRD32rrCL	= 1421,
-    X86_SHRD32rri8	= 1422,
-    X86_SHRD64mrCL	= 1423,
-    X86_SHRD64mri8	= 1424,
-    X86_SHRD64rrCL	= 1425,
-    X86_SHRD64rri8	= 1426,
-    X86_SHRX32rm	= 1427,
-    X86_SHRX32rr	= 1428,
-    X86_SHRX64rm	= 1429,
-    X86_SHRX64rr	= 1430,
-    X86_SIDT16m	= 1431,
-    X86_SIDT32m	= 1432,
-    X86_SIDT64m	= 1433,
-    X86_SKINIT	= 1434,
-    X86_SLDT16m	= 1435,
-    X86_SLDT16r	= 1436,
-    X86_SLDT32r	= 1437,
-    X86_SLDT64m	= 1438,
-    X86_SLDT64r	= 1439,
-    X86_SMSW16m	= 1440,
-    X86_SMSW16r	= 1441,
-    X86_SMSW32r	= 1442,
-    X86_SMSW64r	= 1443,
-    X86_SS_PREFIX	= 1444,
-    X86_STAC	= 1445,
-    X86_STC	= 1446,
-    X86_STD	= 1447,
-    X86_STGI	= 1448,
-    X86_STI	= 1449,
-    X86_STOSB	= 1450,
-    X86_STOSL	= 1451,
-    X86_STOSQ	= 1452,
-    X86_STOSW	= 1453,
-    X86_STR16r	= 1454,
-    X86_STR32r	= 1455,
-    X86_STR64r	= 1456,
-    X86_STRm	= 1457,
-    X86_SUB16i16	= 1458,
-    X86_SUB16mi	= 1459,
-    X86_SUB16mi8	= 1460,
-    X86_SUB16mr	= 1461,
-    X86_SUB16ri	= 1462,
-    X86_SUB16ri8	= 1463,
-    X86_SUB16rm	= 1464,
-    X86_SUB16rr	= 1465,
-    X86_SUB16rr_REV	= 1466,
-    X86_SUB32i32	= 1467,
-    X86_SUB32mi	= 1468,
-    X86_SUB32mi8	= 1469,
-    X86_SUB32mr	= 1470,
-    X86_SUB32ri	= 1471,
-    X86_SUB32ri8	= 1472,
-    X86_SUB32rm	= 1473,
-    X86_SUB32rr	= 1474,
-    X86_SUB32rr_REV	= 1475,
-    X86_SUB64i32	= 1476,
-    X86_SUB64mi32	= 1477,
-    X86_SUB64mi8	= 1478,
-    X86_SUB64mr	= 1479,
-    X86_SUB64ri32	= 1480,
-    X86_SUB64ri8	= 1481,
-    X86_SUB64rm	= 1482,
-    X86_SUB64rr	= 1483,
-    X86_SUB64rr_REV	= 1484,
-    X86_SUB8i8	= 1485,
-    X86_SUB8mi	= 1486,
-    X86_SUB8mr	= 1487,
-    X86_SUB8ri	= 1488,
-    X86_SUB8ri8	= 1489,
-    X86_SUB8rm	= 1490,
-    X86_SUB8rr	= 1491,
-    X86_SUB8rr_REV	= 1492,
-    X86_SWAPGS	= 1493,
-    X86_SYSCALL	= 1494,
-    X86_SYSENTER	= 1495,
-    X86_SYSEXIT	= 1496,
-    X86_SYSEXIT64	= 1497,
-    X86_SYSRET	= 1498,
-    X86_SYSRET64	= 1499,
-    X86_T1MSKC32rm	= 1500,
-    X86_T1MSKC32rr	= 1501,
-    X86_T1MSKC64rm	= 1502,
-    X86_T1MSKC64rr	= 1503,
-    X86_TAILJMPd	= 1504,
-    X86_TAILJMPd64	= 1505,
-    X86_TAILJMPm	= 1506,
-    X86_TAILJMPm64	= 1507,
-    X86_TAILJMPr	= 1508,
-    X86_TAILJMPr64	= 1509,
-    X86_TCRETURNdi	= 1510,
-    X86_TCRETURNdi64	= 1511,
-    X86_TCRETURNmi	= 1512,
-    X86_TCRETURNmi64	= 1513,
-    X86_TCRETURNri	= 1514,
-    X86_TCRETURNri64	= 1515,
-    X86_TEST16i16	= 1516,
-    X86_TEST16mi	= 1517,
-    X86_TEST16mi_alt	= 1518,
-    X86_TEST16ri	= 1519,
-    X86_TEST16ri_alt	= 1520,
-    X86_TEST16rm	= 1521,
-    X86_TEST16rr	= 1522,
-    X86_TEST32i32	= 1523,
-    X86_TEST32mi	= 1524,
-    X86_TEST32mi_alt	= 1525,
-    X86_TEST32ri	= 1526,
-    X86_TEST32ri_alt	= 1527,
-    X86_TEST32rm	= 1528,
-    X86_TEST32rr	= 1529,
-    X86_TEST64i32	= 1530,
-    X86_TEST64mi32	= 1531,
-    X86_TEST64mi32_alt	= 1532,
-    X86_TEST64ri32	= 1533,
-    X86_TEST64ri32_alt	= 1534,
-    X86_TEST64rm	= 1535,
-    X86_TEST64rr	= 1536,
-    X86_TEST8i8	= 1537,
-    X86_TEST8mi	= 1538,
-    X86_TEST8mi_alt	= 1539,
-    X86_TEST8ri	= 1540,
-    X86_TEST8ri_NOREX	= 1541,
-    X86_TEST8ri_alt	= 1542,
-    X86_TEST8rm	= 1543,
-    X86_TEST8rr	= 1544,
-    X86_TLSCall_32	= 1545,
-    X86_TLSCall_64	= 1546,
-    X86_TLS_addr32	= 1547,
-    X86_TLS_addr64	= 1548,
-    X86_TLS_base_addr32	= 1549,
-    X86_TLS_base_addr64	= 1550,
-    X86_TRAP	= 1551,
-    X86_TZCNT16rm	= 1552,
-    X86_TZCNT16rr	= 1553,
-    X86_TZCNT32rm	= 1554,
-    X86_TZCNT32rr	= 1555,
-    X86_TZCNT64rm	= 1556,
-    X86_TZCNT64rr	= 1557,
-    X86_TZMSK32rm	= 1558,
-    X86_TZMSK32rr	= 1559,
-    X86_TZMSK64rm	= 1560,
-    X86_TZMSK64rr	= 1561,
-    X86_UD2B	= 1562,
-    X86_VAARG_64	= 1563,
-    X86_VASTART_SAVE_XMM_REGS	= 1564,
-    X86_VERRm	= 1565,
-    X86_VERRr	= 1566,
-    X86_VERWm	= 1567,
-    X86_VERWr	= 1568,
-    X86_VMCALL	= 1569,
-    X86_VMCLEARm	= 1570,
-    X86_VMFUNC	= 1571,
-    X86_VMLAUNCH	= 1572,
-    X86_VMLOAD32	= 1573,
-    X86_VMLOAD64	= 1574,
-    X86_VMMCALL	= 1575,
-    X86_VMPTRLDm	= 1576,
-    X86_VMPTRSTm	= 1577,
-    X86_VMREAD32rm	= 1578,
-    X86_VMREAD32rr	= 1579,
-    X86_VMREAD64rm	= 1580,
-    X86_VMREAD64rr	= 1581,
-    X86_VMRESUME	= 1582,
-    X86_VMRUN32	= 1583,
-    X86_VMRUN64	= 1584,
-    X86_VMSAVE32	= 1585,
-    X86_VMSAVE64	= 1586,
-    X86_VMWRITE32rm	= 1587,
-    X86_VMWRITE32rr	= 1588,
-    X86_VMWRITE64rm	= 1589,
-    X86_VMWRITE64rr	= 1590,
-    X86_VMXOFF	= 1591,
-    X86_VMXON	= 1592,
-    X86_W64ALLOCA	= 1593,
-    X86_WBINVD	= 1594,
-    X86_WIN_ALLOCA	= 1595,
-    X86_WIN_FTOL_32	= 1596,
-    X86_WIN_FTOL_64	= 1597,
-    X86_WRFSBASE	= 1598,
-    X86_WRFSBASE64	= 1599,
-    X86_WRGSBASE	= 1600,
-    X86_WRGSBASE64	= 1601,
-    X86_WRMSR	= 1602,
-    X86_XADD16rm	= 1603,
-    X86_XADD16rr	= 1604,
-    X86_XADD32rm	= 1605,
-    X86_XADD32rr	= 1606,
-    X86_XADD64rm	= 1607,
-    X86_XADD64rr	= 1608,
-    X86_XADD8rm	= 1609,
-    X86_XADD8rr	= 1610,
-    X86_XCHG16ar	= 1611,
-    X86_XCHG16rm	= 1612,
-    X86_XCHG16rr	= 1613,
-    X86_XCHG32ar	= 1614,
-    X86_XCHG32ar64	= 1615,
-    X86_XCHG32rm	= 1616,
-    X86_XCHG32rr	= 1617,
-    X86_XCHG64ar	= 1618,
-    X86_XCHG64rm	= 1619,
-    X86_XCHG64rr	= 1620,
-    X86_XCHG8rm	= 1621,
-    X86_XCHG8rr	= 1622,
-    X86_XCRYPTCBC	= 1623,
-    X86_XCRYPTCFB	= 1624,
-    X86_XCRYPTCTR	= 1625,
-    X86_XCRYPTECB	= 1626,
-    X86_XCRYPTOFB	= 1627,
-    X86_XGETBV	= 1628,
-    X86_XLAT	= 1629,
-    X86_XOR16i16	= 1630,
-    X86_XOR16mi	= 1631,
-    X86_XOR16mi8	= 1632,
-    X86_XOR16mr	= 1633,
-    X86_XOR16ri	= 1634,
-    X86_XOR16ri8	= 1635,
-    X86_XOR16rm	= 1636,
-    X86_XOR16rr	= 1637,
-    X86_XOR16rr_REV	= 1638,
-    X86_XOR32i32	= 1639,
-    X86_XOR32mi	= 1640,
-    X86_XOR32mi8	= 1641,
-    X86_XOR32mr	= 1642,
-    X86_XOR32ri	= 1643,
-    X86_XOR32ri8	= 1644,
-    X86_XOR32rm	= 1645,
-    X86_XOR32rr	= 1646,
-    X86_XOR32rr_REV	= 1647,
-    X86_XOR64i32	= 1648,
-    X86_XOR64mi32	= 1649,
-    X86_XOR64mi8	= 1650,
-    X86_XOR64mr	= 1651,
-    X86_XOR64ri32	= 1652,
-    X86_XOR64ri8	= 1653,
-    X86_XOR64rm	= 1654,
-    X86_XOR64rr	= 1655,
-    X86_XOR64rr_REV	= 1656,
-    X86_XOR8i8	= 1657,
-    X86_XOR8mi	= 1658,
-    X86_XOR8mr	= 1659,
-    X86_XOR8ri	= 1660,
-    X86_XOR8ri8	= 1661,
-    X86_XOR8rm	= 1662,
-    X86_XOR8rr	= 1663,
-    X86_XOR8rr_REV	= 1664,
-    X86_XRSTOR	= 1665,
-    X86_XRSTOR64	= 1666,
-    X86_XSAVE	= 1667,
-    X86_XSAVE64	= 1668,
-    X86_XSAVEOPT	= 1669,
-    X86_XSAVEOPT64	= 1670,
-    X86_XSETBV	= 1671,
-    X86_XSHA1	= 1672,
-    X86_XSHA256	= 1673,
-    X86_XSTORE	= 1674,
-    X86_INSTRUCTION_LIST_END = 1675
+    X86_CWD	= 458,
+    X86_CWDE	= 459,
+    X86_DAA	= 460,
+    X86_DAS	= 461,
+    X86_DATA16_PREFIX	= 462,
+    X86_DEC16m	= 463,
+    X86_DEC16r	= 464,
+    X86_DEC32_16r	= 465,
+    X86_DEC32_32r	= 466,
+    X86_DEC32m	= 467,
+    X86_DEC32r	= 468,
+    X86_DEC64_16m	= 469,
+    X86_DEC64_16r	= 470,
+    X86_DEC64_32m	= 471,
+    X86_DEC64_32r	= 472,
+    X86_DEC64m	= 473,
+    X86_DEC64r	= 474,
+    X86_DEC8m	= 475,
+    X86_DEC8r	= 476,
+    X86_DIV16m	= 477,
+    X86_DIV16r	= 478,
+    X86_DIV32m	= 479,
+    X86_DIV32r	= 480,
+    X86_DIV64m	= 481,
+    X86_DIV64r	= 482,
+    X86_DIV8m	= 483,
+    X86_DIV8r	= 484,
+    X86_EH_RETURN	= 485,
+    X86_EH_RETURN64	= 486,
+    X86_EH_SjLj_LongJmp32	= 487,
+    X86_EH_SjLj_LongJmp64	= 488,
+    X86_EH_SjLj_SetJmp32	= 489,
+    X86_EH_SjLj_SetJmp64	= 490,
+    X86_EH_SjLj_Setup	= 491,
+    X86_ENTER	= 492,
+    X86_FARCALL16i	= 493,
+    X86_FARCALL16m	= 494,
+    X86_FARCALL32i	= 495,
+    X86_FARCALL32m	= 496,
+    X86_FARCALL64	= 497,
+    X86_FARJMP16i	= 498,
+    X86_FARJMP16m	= 499,
+    X86_FARJMP32i	= 500,
+    X86_FARJMP32m	= 501,
+    X86_FARJMP64	= 502,
+    X86_FSETPM	= 503,
+    X86_GETSEC	= 504,
+    X86_HLT	= 505,
+    X86_IDIV16m	= 506,
+    X86_IDIV16r	= 507,
+    X86_IDIV32m	= 508,
+    X86_IDIV32r	= 509,
+    X86_IDIV64m	= 510,
+    X86_IDIV64r	= 511,
+    X86_IDIV8m	= 512,
+    X86_IDIV8r	= 513,
+    X86_IMUL16m	= 514,
+    X86_IMUL16r	= 515,
+    X86_IMUL16rm	= 516,
+    X86_IMUL16rmi	= 517,
+    X86_IMUL16rmi8	= 518,
+    X86_IMUL16rr	= 519,
+    X86_IMUL16rri	= 520,
+    X86_IMUL16rri8	= 521,
+    X86_IMUL32m	= 522,
+    X86_IMUL32r	= 523,
+    X86_IMUL32rm	= 524,
+    X86_IMUL32rmi	= 525,
+    X86_IMUL32rmi8	= 526,
+    X86_IMUL32rr	= 527,
+    X86_IMUL32rri	= 528,
+    X86_IMUL32rri8	= 529,
+    X86_IMUL64m	= 530,
+    X86_IMUL64r	= 531,
+    X86_IMUL64rm	= 532,
+    X86_IMUL64rmi32	= 533,
+    X86_IMUL64rmi8	= 534,
+    X86_IMUL64rr	= 535,
+    X86_IMUL64rri32	= 536,
+    X86_IMUL64rri8	= 537,
+    X86_IMUL8m	= 538,
+    X86_IMUL8r	= 539,
+    X86_IN16ri	= 540,
+    X86_IN16rr	= 541,
+    X86_IN32ri	= 542,
+    X86_IN32rr	= 543,
+    X86_IN8ri	= 544,
+    X86_IN8rr	= 545,
+    X86_INC16m	= 546,
+    X86_INC16r	= 547,
+    X86_INC32_16r	= 548,
+    X86_INC32_32r	= 549,
+    X86_INC32m	= 550,
+    X86_INC32r	= 551,
+    X86_INC64_16m	= 552,
+    X86_INC64_16r	= 553,
+    X86_INC64_32m	= 554,
+    X86_INC64_32r	= 555,
+    X86_INC64m	= 556,
+    X86_INC64r	= 557,
+    X86_INC8m	= 558,
+    X86_INC8r	= 559,
+    X86_INSB	= 560,
+    X86_INSL	= 561,
+    X86_INSW	= 562,
+    X86_INT	= 563,
+    X86_INT1	= 564,
+    X86_INT3	= 565,
+    X86_INTO	= 566,
+    X86_INVD	= 567,
+    X86_INVEPT32	= 568,
+    X86_INVEPT64	= 569,
+    X86_INVLPG	= 570,
+    X86_INVLPGA32	= 571,
+    X86_INVLPGA64	= 572,
+    X86_INVPCID32	= 573,
+    X86_INVPCID64	= 574,
+    X86_INVVPID32	= 575,
+    X86_INVVPID64	= 576,
+    X86_IRET16	= 577,
+    X86_IRET32	= 578,
+    X86_IRET64	= 579,
+    X86_Int_MemBarrier	= 580,
+    X86_JAE_1	= 581,
+    X86_JAE_2	= 582,
+    X86_JAE_4	= 583,
+    X86_JA_1	= 584,
+    X86_JA_2	= 585,
+    X86_JA_4	= 586,
+    X86_JBE_1	= 587,
+    X86_JBE_2	= 588,
+    X86_JBE_4	= 589,
+    X86_JB_1	= 590,
+    X86_JB_2	= 591,
+    X86_JB_4	= 592,
+    X86_JCXZ	= 593,
+    X86_JECXZ_32	= 594,
+    X86_JECXZ_64	= 595,
+    X86_JE_1	= 596,
+    X86_JE_2	= 597,
+    X86_JE_4	= 598,
+    X86_JGE_1	= 599,
+    X86_JGE_2	= 600,
+    X86_JGE_4	= 601,
+    X86_JG_1	= 602,
+    X86_JG_2	= 603,
+    X86_JG_4	= 604,
+    X86_JLE_1	= 605,
+    X86_JLE_2	= 606,
+    X86_JLE_4	= 607,
+    X86_JL_1	= 608,
+    X86_JL_2	= 609,
+    X86_JL_4	= 610,
+    X86_JMP16m	= 611,
+    X86_JMP16r	= 612,
+    X86_JMP32m	= 613,
+    X86_JMP32r	= 614,
+    X86_JMP64m	= 615,
+    X86_JMP64r	= 616,
+    X86_JMP_1	= 617,
+    X86_JMP_2	= 618,
+    X86_JMP_4	= 619,
+    X86_JNE_1	= 620,
+    X86_JNE_2	= 621,
+    X86_JNE_4	= 622,
+    X86_JNO_1	= 623,
+    X86_JNO_2	= 624,
+    X86_JNO_4	= 625,
+    X86_JNP_1	= 626,
+    X86_JNP_2	= 627,
+    X86_JNP_4	= 628,
+    X86_JNS_1	= 629,
+    X86_JNS_2	= 630,
+    X86_JNS_4	= 631,
+    X86_JO_1	= 632,
+    X86_JO_2	= 633,
+    X86_JO_4	= 634,
+    X86_JP_1	= 635,
+    X86_JP_2	= 636,
+    X86_JP_4	= 637,
+    X86_JRCXZ	= 638,
+    X86_JS_1	= 639,
+    X86_JS_2	= 640,
+    X86_JS_4	= 641,
+    X86_LAHF	= 642,
+    X86_LAR16rm	= 643,
+    X86_LAR16rr	= 644,
+    X86_LAR32rm	= 645,
+    X86_LAR32rr	= 646,
+    X86_LAR64rm	= 647,
+    X86_LAR64rr	= 648,
+    X86_LCMPXCHG16	= 649,
+    X86_LCMPXCHG16B	= 650,
+    X86_LCMPXCHG32	= 651,
+    X86_LCMPXCHG64	= 652,
+    X86_LCMPXCHG8	= 653,
+    X86_LCMPXCHG8B	= 654,
+    X86_LDS16rm	= 655,
+    X86_LDS32rm	= 656,
+    X86_LEA16r	= 657,
+    X86_LEA32r	= 658,
+    X86_LEA64_32r	= 659,
+    X86_LEA64r	= 660,
+    X86_LEAVE	= 661,
+    X86_LEAVE64	= 662,
+    X86_LES16rm	= 663,
+    X86_LES32rm	= 664,
+    X86_LFS16rm	= 665,
+    X86_LFS32rm	= 666,
+    X86_LFS64rm	= 667,
+    X86_LGDT16m	= 668,
+    X86_LGDT32m	= 669,
+    X86_LGDT64m	= 670,
+    X86_LGS16rm	= 671,
+    X86_LGS32rm	= 672,
+    X86_LGS64rm	= 673,
+    X86_LIDT16m	= 674,
+    X86_LIDT32m	= 675,
+    X86_LIDT64m	= 676,
+    X86_LLDT16m	= 677,
+    X86_LLDT16r	= 678,
+    X86_LMSW16m	= 679,
+    X86_LMSW16r	= 680,
+    X86_LOCK_ADD16mi	= 681,
+    X86_LOCK_ADD16mi8	= 682,
+    X86_LOCK_ADD16mr	= 683,
+    X86_LOCK_ADD32mi	= 684,
+    X86_LOCK_ADD32mi8	= 685,
+    X86_LOCK_ADD32mr	= 686,
+    X86_LOCK_ADD64mi32	= 687,
+    X86_LOCK_ADD64mi8	= 688,
+    X86_LOCK_ADD64mr	= 689,
+    X86_LOCK_ADD8mi	= 690,
+    X86_LOCK_ADD8mr	= 691,
+    X86_LOCK_AND16mi	= 692,
+    X86_LOCK_AND16mi8	= 693,
+    X86_LOCK_AND16mr	= 694,
+    X86_LOCK_AND32mi	= 695,
+    X86_LOCK_AND32mi8	= 696,
+    X86_LOCK_AND32mr	= 697,
+    X86_LOCK_AND64mi32	= 698,
+    X86_LOCK_AND64mi8	= 699,
+    X86_LOCK_AND64mr	= 700,
+    X86_LOCK_AND8mi	= 701,
+    X86_LOCK_AND8mr	= 702,
+    X86_LOCK_DEC16m	= 703,
+    X86_LOCK_DEC32m	= 704,
+    X86_LOCK_DEC64m	= 705,
+    X86_LOCK_DEC8m	= 706,
+    X86_LOCK_INC16m	= 707,
+    X86_LOCK_INC32m	= 708,
+    X86_LOCK_INC64m	= 709,
+    X86_LOCK_INC8m	= 710,
+    X86_LOCK_OR16mi	= 711,
+    X86_LOCK_OR16mi8	= 712,
+    X86_LOCK_OR16mr	= 713,
+    X86_LOCK_OR32mi	= 714,
+    X86_LOCK_OR32mi8	= 715,
+    X86_LOCK_OR32mr	= 716,
+    X86_LOCK_OR64mi32	= 717,
+    X86_LOCK_OR64mi8	= 718,
+    X86_LOCK_OR64mr	= 719,
+    X86_LOCK_OR8mi	= 720,
+    X86_LOCK_OR8mr	= 721,
+    X86_LOCK_PREFIX	= 722,
+    X86_LOCK_SUB16mi	= 723,
+    X86_LOCK_SUB16mi8	= 724,
+    X86_LOCK_SUB16mr	= 725,
+    X86_LOCK_SUB32mi	= 726,
+    X86_LOCK_SUB32mi8	= 727,
+    X86_LOCK_SUB32mr	= 728,
+    X86_LOCK_SUB64mi32	= 729,
+    X86_LOCK_SUB64mi8	= 730,
+    X86_LOCK_SUB64mr	= 731,
+    X86_LOCK_SUB8mi	= 732,
+    X86_LOCK_SUB8mr	= 733,
+    X86_LOCK_XOR16mi	= 734,
+    X86_LOCK_XOR16mi8	= 735,
+    X86_LOCK_XOR16mr	= 736,
+    X86_LOCK_XOR32mi	= 737,
+    X86_LOCK_XOR32mi8	= 738,
+    X86_LOCK_XOR32mr	= 739,
+    X86_LOCK_XOR64mi32	= 740,
+    X86_LOCK_XOR64mi8	= 741,
+    X86_LOCK_XOR64mr	= 742,
+    X86_LOCK_XOR8mi	= 743,
+    X86_LOCK_XOR8mr	= 744,
+    X86_LODSB	= 745,
+    X86_LODSL	= 746,
+    X86_LODSQ	= 747,
+    X86_LODSW	= 748,
+    X86_LOOP	= 749,
+    X86_LOOPE	= 750,
+    X86_LOOPNE	= 751,
+    X86_LRETIL	= 752,
+    X86_LRETIQ	= 753,
+    X86_LRETIW	= 754,
+    X86_LRETL	= 755,
+    X86_LRETQ	= 756,
+    X86_LRETW	= 757,
+    X86_LSL16rm	= 758,
+    X86_LSL16rr	= 759,
+    X86_LSL32rm	= 760,
+    X86_LSL32rr	= 761,
+    X86_LSL64rm	= 762,
+    X86_LSL64rr	= 763,
+    X86_LSS16rm	= 764,
+    X86_LSS32rm	= 765,
+    X86_LSS64rm	= 766,
+    X86_LTRm	= 767,
+    X86_LTRr	= 768,
+    X86_LXADD16	= 769,
+    X86_LXADD32	= 770,
+    X86_LXADD64	= 771,
+    X86_LXADD8	= 772,
+    X86_LZCNT16rm	= 773,
+    X86_LZCNT16rr	= 774,
+    X86_LZCNT32rm	= 775,
+    X86_LZCNT32rr	= 776,
+    X86_LZCNT64rm	= 777,
+    X86_LZCNT64rr	= 778,
+    X86_MONTMUL	= 779,
+    X86_MORESTACK_RET	= 780,
+    X86_MORESTACK_RET_RESTORE_R10	= 781,
+    X86_MOV16ao16	= 782,
+    X86_MOV16ao16_16	= 783,
+    X86_MOV16mi	= 784,
+    X86_MOV16mr	= 785,
+    X86_MOV16ms	= 786,
+    X86_MOV16o16a	= 787,
+    X86_MOV16o16a_16	= 788,
+    X86_MOV16ri	= 789,
+    X86_MOV16ri_alt	= 790,
+    X86_MOV16rm	= 791,
+    X86_MOV16rr	= 792,
+    X86_MOV16rr_REV	= 793,
+    X86_MOV16rs	= 794,
+    X86_MOV16sm	= 795,
+    X86_MOV16sr	= 796,
+    X86_MOV32ao32	= 797,
+    X86_MOV32ao32_16	= 798,
+    X86_MOV32cr	= 799,
+    X86_MOV32dr	= 800,
+    X86_MOV32mi	= 801,
+    X86_MOV32mr	= 802,
+    X86_MOV32ms	= 803,
+    X86_MOV32o32a	= 804,
+    X86_MOV32o32a_16	= 805,
+    X86_MOV32r0	= 806,
+    X86_MOV32rc	= 807,
+    X86_MOV32rd	= 808,
+    X86_MOV32ri	= 809,
+    X86_MOV32ri64	= 810,
+    X86_MOV32ri_alt	= 811,
+    X86_MOV32rm	= 812,
+    X86_MOV32rr	= 813,
+    X86_MOV32rr_REV	= 814,
+    X86_MOV32rs	= 815,
+    X86_MOV32sm	= 816,
+    X86_MOV32sr	= 817,
+    X86_MOV64ao16	= 818,
+    X86_MOV64ao32	= 819,
+    X86_MOV64ao64	= 820,
+    X86_MOV64ao8	= 821,
+    X86_MOV64cr	= 822,
+    X86_MOV64dr	= 823,
+    X86_MOV64mi32	= 824,
+    X86_MOV64mr	= 825,
+    X86_MOV64ms	= 826,
+    X86_MOV64o16a	= 827,
+    X86_MOV64o32a	= 828,
+    X86_MOV64o64a	= 829,
+    X86_MOV64o8a	= 830,
+    X86_MOV64rc	= 831,
+    X86_MOV64rd	= 832,
+    X86_MOV64ri	= 833,
+    X86_MOV64ri32	= 834,
+    X86_MOV64rm	= 835,
+    X86_MOV64rr	= 836,
+    X86_MOV64rr_REV	= 837,
+    X86_MOV64rs	= 838,
+    X86_MOV64sm	= 839,
+    X86_MOV64sr	= 840,
+    X86_MOV8ao8	= 841,
+    X86_MOV8ao8_16	= 842,
+    X86_MOV8mi	= 843,
+    X86_MOV8mr	= 844,
+    X86_MOV8mr_NOREX	= 845,
+    X86_MOV8o8a	= 846,
+    X86_MOV8o8a_16	= 847,
+    X86_MOV8ri	= 848,
+    X86_MOV8ri_alt	= 849,
+    X86_MOV8rm	= 850,
+    X86_MOV8rm_NOREX	= 851,
+    X86_MOV8rr	= 852,
+    X86_MOV8rr_NOREX	= 853,
+    X86_MOV8rr_REV	= 854,
+    X86_MOVBE16mr	= 855,
+    X86_MOVBE16rm	= 856,
+    X86_MOVBE32mr	= 857,
+    X86_MOVBE32rm	= 858,
+    X86_MOVBE64mr	= 859,
+    X86_MOVBE64rm	= 860,
+    X86_MOVPC32r	= 861,
+    X86_MOVSB	= 862,
+    X86_MOVSL	= 863,
+    X86_MOVSQ	= 864,
+    X86_MOVSW	= 865,
+    X86_MOVSX16rm8	= 866,
+    X86_MOVSX16rr8	= 867,
+    X86_MOVSX32rm16	= 868,
+    X86_MOVSX32rm8	= 869,
+    X86_MOVSX32rr16	= 870,
+    X86_MOVSX32rr8	= 871,
+    X86_MOVSX64rm16	= 872,
+    X86_MOVSX64rm32	= 873,
+    X86_MOVSX64rm8	= 874,
+    X86_MOVSX64rr16	= 875,
+    X86_MOVSX64rr32	= 876,
+    X86_MOVSX64rr8	= 877,
+    X86_MOVZX16rm8	= 878,
+    X86_MOVZX16rr8	= 879,
+    X86_MOVZX32_NOREXrm8	= 880,
+    X86_MOVZX32_NOREXrr8	= 881,
+    X86_MOVZX32rm16	= 882,
+    X86_MOVZX32rm8	= 883,
+    X86_MOVZX32rr16	= 884,
+    X86_MOVZX32rr8	= 885,
+    X86_MOVZX64rm16_Q	= 886,
+    X86_MOVZX64rm8_Q	= 887,
+    X86_MOVZX64rr16_Q	= 888,
+    X86_MOVZX64rr8_Q	= 889,
+    X86_MUL16m	= 890,
+    X86_MUL16r	= 891,
+    X86_MUL32m	= 892,
+    X86_MUL32r	= 893,
+    X86_MUL64m	= 894,
+    X86_MUL64r	= 895,
+    X86_MUL8m	= 896,
+    X86_MUL8r	= 897,
+    X86_MULX32rm	= 898,
+    X86_MULX32rr	= 899,
+    X86_MULX64rm	= 900,
+    X86_MULX64rr	= 901,
+    X86_NEG16m	= 902,
+    X86_NEG16r	= 903,
+    X86_NEG32m	= 904,
+    X86_NEG32r	= 905,
+    X86_NEG64m	= 906,
+    X86_NEG64r	= 907,
+    X86_NEG8m	= 908,
+    X86_NEG8r	= 909,
+    X86_NOOP	= 910,
+    X86_NOOP18_16m4	= 911,
+    X86_NOOP18_16m5	= 912,
+    X86_NOOP18_16m6	= 913,
+    X86_NOOP18_16m7	= 914,
+    X86_NOOP18_16r4	= 915,
+    X86_NOOP18_16r5	= 916,
+    X86_NOOP18_16r6	= 917,
+    X86_NOOP18_16r7	= 918,
+    X86_NOOP18_m4	= 919,
+    X86_NOOP18_m5	= 920,
+    X86_NOOP18_m6	= 921,
+    X86_NOOP18_m7	= 922,
+    X86_NOOP18_r4	= 923,
+    X86_NOOP18_r5	= 924,
+    X86_NOOP18_r6	= 925,
+    X86_NOOP18_r7	= 926,
+    X86_NOOPL	= 927,
+    X86_NOOPL_19	= 928,
+    X86_NOOPL_1a	= 929,
+    X86_NOOPL_1b	= 930,
+    X86_NOOPL_1c	= 931,
+    X86_NOOPL_1d	= 932,
+    X86_NOOPL_1e	= 933,
+    X86_NOOPW	= 934,
+    X86_NOOPW_19	= 935,
+    X86_NOOPW_1a	= 936,
+    X86_NOOPW_1b	= 937,
+    X86_NOOPW_1c	= 938,
+    X86_NOOPW_1d	= 939,
+    X86_NOOPW_1e	= 940,
+    X86_NOT16m	= 941,
+    X86_NOT16r	= 942,
+    X86_NOT32m	= 943,
+    X86_NOT32r	= 944,
+    X86_NOT64m	= 945,
+    X86_NOT64r	= 946,
+    X86_NOT8m	= 947,
+    X86_NOT8r	= 948,
+    X86_OR16i16	= 949,
+    X86_OR16mi	= 950,
+    X86_OR16mi8	= 951,
+    X86_OR16mr	= 952,
+    X86_OR16ri	= 953,
+    X86_OR16ri8	= 954,
+    X86_OR16rm	= 955,
+    X86_OR16rr	= 956,
+    X86_OR16rr_REV	= 957,
+    X86_OR32i32	= 958,
+    X86_OR32mi	= 959,
+    X86_OR32mi8	= 960,
+    X86_OR32mr	= 961,
+    X86_OR32mrLocked	= 962,
+    X86_OR32ri	= 963,
+    X86_OR32ri8	= 964,
+    X86_OR32rm	= 965,
+    X86_OR32rr	= 966,
+    X86_OR32rr_REV	= 967,
+    X86_OR64i32	= 968,
+    X86_OR64mi32	= 969,
+    X86_OR64mi8	= 970,
+    X86_OR64mr	= 971,
+    X86_OR64ri32	= 972,
+    X86_OR64ri8	= 973,
+    X86_OR64rm	= 974,
+    X86_OR64rr	= 975,
+    X86_OR64rr_REV	= 976,
+    X86_OR8i8	= 977,
+    X86_OR8mi	= 978,
+    X86_OR8mr	= 979,
+    X86_OR8ri	= 980,
+    X86_OR8ri8	= 981,
+    X86_OR8rm	= 982,
+    X86_OR8rr	= 983,
+    X86_OR8rr_REV	= 984,
+    X86_OUT16ir	= 985,
+    X86_OUT16rr	= 986,
+    X86_OUT32ir	= 987,
+    X86_OUT32rr	= 988,
+    X86_OUT8ir	= 989,
+    X86_OUT8rr	= 990,
+    X86_OUTSB	= 991,
+    X86_OUTSL	= 992,
+    X86_OUTSW	= 993,
+    X86_PDEP32rm	= 994,
+    X86_PDEP32rr	= 995,
+    X86_PDEP64rm	= 996,
+    X86_PDEP64rr	= 997,
+    X86_PEXT32rm	= 998,
+    X86_PEXT32rr	= 999,
+    X86_PEXT64rm	= 1000,
+    X86_PEXT64rr	= 1001,
+    X86_POP16r	= 1002,
+    X86_POP16rmm	= 1003,
+    X86_POP16rmr	= 1004,
+    X86_POP32r	= 1005,
+    X86_POP32rmm	= 1006,
+    X86_POP32rmr	= 1007,
+    X86_POP64r	= 1008,
+    X86_POP64rmm	= 1009,
+    X86_POP64rmr	= 1010,
+    X86_POPA16	= 1011,
+    X86_POPA32	= 1012,
+    X86_POPDS16	= 1013,
+    X86_POPDS32	= 1014,
+    X86_POPES16	= 1015,
+    X86_POPES32	= 1016,
+    X86_POPF16	= 1017,
+    X86_POPF32	= 1018,
+    X86_POPF64	= 1019,
+    X86_POPFS16	= 1020,
+    X86_POPFS32	= 1021,
+    X86_POPFS64	= 1022,
+    X86_POPGS16	= 1023,
+    X86_POPGS32	= 1024,
+    X86_POPGS64	= 1025,
+    X86_POPSS16	= 1026,
+    X86_POPSS32	= 1027,
+    X86_PUSH16i8	= 1028,
+    X86_PUSH16r	= 1029,
+    X86_PUSH16rmm	= 1030,
+    X86_PUSH16rmr	= 1031,
+    X86_PUSH32i8	= 1032,
+    X86_PUSH32r	= 1033,
+    X86_PUSH32rmm	= 1034,
+    X86_PUSH32rmr	= 1035,
+    X86_PUSH64i16	= 1036,
+    X86_PUSH64i32	= 1037,
+    X86_PUSH64i8	= 1038,
+    X86_PUSH64r	= 1039,
+    X86_PUSH64rmm	= 1040,
+    X86_PUSH64rmr	= 1041,
+    X86_PUSHA16	= 1042,
+    X86_PUSHA32	= 1043,
+    X86_PUSHCS16	= 1044,
+    X86_PUSHCS32	= 1045,
+    X86_PUSHDS16	= 1046,
+    X86_PUSHDS32	= 1047,
+    X86_PUSHES16	= 1048,
+    X86_PUSHES32	= 1049,
+    X86_PUSHF16	= 1050,
+    X86_PUSHF32	= 1051,
+    X86_PUSHF64	= 1052,
+    X86_PUSHFS16	= 1053,
+    X86_PUSHFS32	= 1054,
+    X86_PUSHFS64	= 1055,
+    X86_PUSHGS16	= 1056,
+    X86_PUSHGS32	= 1057,
+    X86_PUSHGS64	= 1058,
+    X86_PUSHSS16	= 1059,
+    X86_PUSHSS32	= 1060,
+    X86_PUSHi16	= 1061,
+    X86_PUSHi32	= 1062,
+    X86_RCL16m1	= 1063,
+    X86_RCL16mCL	= 1064,
+    X86_RCL16mi	= 1065,
+    X86_RCL16r1	= 1066,
+    X86_RCL16rCL	= 1067,
+    X86_RCL16ri	= 1068,
+    X86_RCL32m1	= 1069,
+    X86_RCL32mCL	= 1070,
+    X86_RCL32mi	= 1071,
+    X86_RCL32r1	= 1072,
+    X86_RCL32rCL	= 1073,
+    X86_RCL32ri	= 1074,
+    X86_RCL64m1	= 1075,
+    X86_RCL64mCL	= 1076,
+    X86_RCL64mi	= 1077,
+    X86_RCL64r1	= 1078,
+    X86_RCL64rCL	= 1079,
+    X86_RCL64ri	= 1080,
+    X86_RCL8m1	= 1081,
+    X86_RCL8mCL	= 1082,
+    X86_RCL8mi	= 1083,
+    X86_RCL8r1	= 1084,
+    X86_RCL8rCL	= 1085,
+    X86_RCL8ri	= 1086,
+    X86_RCR16m1	= 1087,
+    X86_RCR16mCL	= 1088,
+    X86_RCR16mi	= 1089,
+    X86_RCR16r1	= 1090,
+    X86_RCR16rCL	= 1091,
+    X86_RCR16ri	= 1092,
+    X86_RCR32m1	= 1093,
+    X86_RCR32mCL	= 1094,
+    X86_RCR32mi	= 1095,
+    X86_RCR32r1	= 1096,
+    X86_RCR32rCL	= 1097,
+    X86_RCR32ri	= 1098,
+    X86_RCR64m1	= 1099,
+    X86_RCR64mCL	= 1100,
+    X86_RCR64mi	= 1101,
+    X86_RCR64r1	= 1102,
+    X86_RCR64rCL	= 1103,
+    X86_RCR64ri	= 1104,
+    X86_RCR8m1	= 1105,
+    X86_RCR8mCL	= 1106,
+    X86_RCR8mi	= 1107,
+    X86_RCR8r1	= 1108,
+    X86_RCR8rCL	= 1109,
+    X86_RCR8ri	= 1110,
+    X86_RDFSBASE	= 1111,
+    X86_RDFSBASE64	= 1112,
+    X86_RDGSBASE	= 1113,
+    X86_RDGSBASE64	= 1114,
+    X86_RDMSR	= 1115,
+    X86_RDPMC	= 1116,
+    X86_RDRAND16r	= 1117,
+    X86_RDRAND32r	= 1118,
+    X86_RDRAND64r	= 1119,
+    X86_RDSEED16r	= 1120,
+    X86_RDSEED32r	= 1121,
+    X86_RDSEED64r	= 1122,
+    X86_RDTSC	= 1123,
+    X86_RDTSCP	= 1124,
+    X86_RELEASE_MOV16mr	= 1125,
+    X86_RELEASE_MOV32mr	= 1126,
+    X86_RELEASE_MOV64mr	= 1127,
+    X86_RELEASE_MOV8mr	= 1128,
+    X86_REPNE_PREFIX	= 1129,
+    X86_REP_MOVSB_32	= 1130,
+    X86_REP_MOVSB_64	= 1131,
+    X86_REP_MOVSD_32	= 1132,
+    X86_REP_MOVSD_64	= 1133,
+    X86_REP_MOVSQ_64	= 1134,
+    X86_REP_MOVSW_32	= 1135,
+    X86_REP_MOVSW_64	= 1136,
+    X86_REP_PREFIX	= 1137,
+    X86_REP_STOSB_32	= 1138,
+    X86_REP_STOSB_64	= 1139,
+    X86_REP_STOSD_32	= 1140,
+    X86_REP_STOSD_64	= 1141,
+    X86_REP_STOSQ_64	= 1142,
+    X86_REP_STOSW_32	= 1143,
+    X86_REP_STOSW_64	= 1144,
+    X86_RETIL	= 1145,
+    X86_RETIQ	= 1146,
+    X86_RETIW	= 1147,
+    X86_RETL	= 1148,
+    X86_RETQ	= 1149,
+    X86_RETW	= 1150,
+    X86_REX64_PREFIX	= 1151,
+    X86_ROL16m1	= 1152,
+    X86_ROL16mCL	= 1153,
+    X86_ROL16mi	= 1154,
+    X86_ROL16r1	= 1155,
+    X86_ROL16rCL	= 1156,
+    X86_ROL16ri	= 1157,
+    X86_ROL32m1	= 1158,
+    X86_ROL32mCL	= 1159,
+    X86_ROL32mi	= 1160,
+    X86_ROL32r1	= 1161,
+    X86_ROL32rCL	= 1162,
+    X86_ROL32ri	= 1163,
+    X86_ROL64m1	= 1164,
+    X86_ROL64mCL	= 1165,
+    X86_ROL64mi	= 1166,
+    X86_ROL64r1	= 1167,
+    X86_ROL64rCL	= 1168,
+    X86_ROL64ri	= 1169,
+    X86_ROL8m1	= 1170,
+    X86_ROL8mCL	= 1171,
+    X86_ROL8mi	= 1172,
+    X86_ROL8r1	= 1173,
+    X86_ROL8rCL	= 1174,
+    X86_ROL8ri	= 1175,
+    X86_ROR16m1	= 1176,
+    X86_ROR16mCL	= 1177,
+    X86_ROR16mi	= 1178,
+    X86_ROR16r1	= 1179,
+    X86_ROR16rCL	= 1180,
+    X86_ROR16ri	= 1181,
+    X86_ROR32m1	= 1182,
+    X86_ROR32mCL	= 1183,
+    X86_ROR32mi	= 1184,
+    X86_ROR32r1	= 1185,
+    X86_ROR32rCL	= 1186,
+    X86_ROR32ri	= 1187,
+    X86_ROR64m1	= 1188,
+    X86_ROR64mCL	= 1189,
+    X86_ROR64mi	= 1190,
+    X86_ROR64r1	= 1191,
+    X86_ROR64rCL	= 1192,
+    X86_ROR64ri	= 1193,
+    X86_ROR8m1	= 1194,
+    X86_ROR8mCL	= 1195,
+    X86_ROR8mi	= 1196,
+    X86_ROR8r1	= 1197,
+    X86_ROR8rCL	= 1198,
+    X86_ROR8ri	= 1199,
+    X86_RORX32mi	= 1200,
+    X86_RORX32ri	= 1201,
+    X86_RORX64mi	= 1202,
+    X86_RORX64ri	= 1203,
+    X86_RSM	= 1204,
+    X86_SAHF	= 1205,
+    X86_SAL16m1	= 1206,
+    X86_SAL16mCL	= 1207,
+    X86_SAL16mi	= 1208,
+    X86_SAL16r1	= 1209,
+    X86_SAL16rCL	= 1210,
+    X86_SAL16ri	= 1211,
+    X86_SAL32m1	= 1212,
+    X86_SAL32mCL	= 1213,
+    X86_SAL32mi	= 1214,
+    X86_SAL32r1	= 1215,
+    X86_SAL32rCL	= 1216,
+    X86_SAL32ri	= 1217,
+    X86_SAL64m1	= 1218,
+    X86_SAL64mCL	= 1219,
+    X86_SAL64mi	= 1220,
+    X86_SAL64r1	= 1221,
+    X86_SAL64rCL	= 1222,
+    X86_SAL64ri	= 1223,
+    X86_SAL8m1	= 1224,
+    X86_SAL8mCL	= 1225,
+    X86_SAL8mi	= 1226,
+    X86_SAL8r1	= 1227,
+    X86_SAL8rCL	= 1228,
+    X86_SAL8ri	= 1229,
+    X86_SALC	= 1230,
+    X86_SAR16m1	= 1231,
+    X86_SAR16mCL	= 1232,
+    X86_SAR16mi	= 1233,
+    X86_SAR16r1	= 1234,
+    X86_SAR16rCL	= 1235,
+    X86_SAR16ri	= 1236,
+    X86_SAR32m1	= 1237,
+    X86_SAR32mCL	= 1238,
+    X86_SAR32mi	= 1239,
+    X86_SAR32r1	= 1240,
+    X86_SAR32rCL	= 1241,
+    X86_SAR32ri	= 1242,
+    X86_SAR64m1	= 1243,
+    X86_SAR64mCL	= 1244,
+    X86_SAR64mi	= 1245,
+    X86_SAR64r1	= 1246,
+    X86_SAR64rCL	= 1247,
+    X86_SAR64ri	= 1248,
+    X86_SAR8m1	= 1249,
+    X86_SAR8mCL	= 1250,
+    X86_SAR8mi	= 1251,
+    X86_SAR8r1	= 1252,
+    X86_SAR8rCL	= 1253,
+    X86_SAR8ri	= 1254,
+    X86_SARX32rm	= 1255,
+    X86_SARX32rr	= 1256,
+    X86_SARX64rm	= 1257,
+    X86_SARX64rr	= 1258,
+    X86_SBB16i16	= 1259,
+    X86_SBB16mi	= 1260,
+    X86_SBB16mi8	= 1261,
+    X86_SBB16mr	= 1262,
+    X86_SBB16ri	= 1263,
+    X86_SBB16ri8	= 1264,
+    X86_SBB16rm	= 1265,
+    X86_SBB16rr	= 1266,
+    X86_SBB16rr_REV	= 1267,
+    X86_SBB32i32	= 1268,
+    X86_SBB32mi	= 1269,
+    X86_SBB32mi8	= 1270,
+    X86_SBB32mr	= 1271,
+    X86_SBB32ri	= 1272,
+    X86_SBB32ri8	= 1273,
+    X86_SBB32rm	= 1274,
+    X86_SBB32rr	= 1275,
+    X86_SBB32rr_REV	= 1276,
+    X86_SBB64i32	= 1277,
+    X86_SBB64mi32	= 1278,
+    X86_SBB64mi8	= 1279,
+    X86_SBB64mr	= 1280,
+    X86_SBB64ri32	= 1281,
+    X86_SBB64ri8	= 1282,
+    X86_SBB64rm	= 1283,
+    X86_SBB64rr	= 1284,
+    X86_SBB64rr_REV	= 1285,
+    X86_SBB8i8	= 1286,
+    X86_SBB8mi	= 1287,
+    X86_SBB8mr	= 1288,
+    X86_SBB8ri	= 1289,
+    X86_SBB8rm	= 1290,
+    X86_SBB8rr	= 1291,
+    X86_SBB8rr_REV	= 1292,
+    X86_SCASB	= 1293,
+    X86_SCASL	= 1294,
+    X86_SCASQ	= 1295,
+    X86_SCASW	= 1296,
+    X86_SEG_ALLOCA_32	= 1297,
+    X86_SEG_ALLOCA_64	= 1298,
+    X86_SEH_EndPrologue	= 1299,
+    X86_SEH_Epilogue	= 1300,
+    X86_SEH_PushFrame	= 1301,
+    X86_SEH_PushReg	= 1302,
+    X86_SEH_SaveReg	= 1303,
+    X86_SEH_SaveXMM	= 1304,
+    X86_SEH_SetFrame	= 1305,
+    X86_SEH_StackAlloc	= 1306,
+    X86_SETAEm	= 1307,
+    X86_SETAEr	= 1308,
+    X86_SETAm	= 1309,
+    X86_SETAr	= 1310,
+    X86_SETBEm	= 1311,
+    X86_SETBEr	= 1312,
+    X86_SETB_C16r	= 1313,
+    X86_SETB_C32r	= 1314,
+    X86_SETB_C64r	= 1315,
+    X86_SETB_C8r	= 1316,
+    X86_SETBm	= 1317,
+    X86_SETBr	= 1318,
+    X86_SETEm	= 1319,
+    X86_SETEr	= 1320,
+    X86_SETGEm	= 1321,
+    X86_SETGEr	= 1322,
+    X86_SETGm	= 1323,
+    X86_SETGr	= 1324,
+    X86_SETLEm	= 1325,
+    X86_SETLEr	= 1326,
+    X86_SETLm	= 1327,
+    X86_SETLr	= 1328,
+    X86_SETNEm	= 1329,
+    X86_SETNEr	= 1330,
+    X86_SETNOm	= 1331,
+    X86_SETNOr	= 1332,
+    X86_SETNPm	= 1333,
+    X86_SETNPr	= 1334,
+    X86_SETNSm	= 1335,
+    X86_SETNSr	= 1336,
+    X86_SETOm	= 1337,
+    X86_SETOr	= 1338,
+    X86_SETPm	= 1339,
+    X86_SETPr	= 1340,
+    X86_SETSm	= 1341,
+    X86_SETSr	= 1342,
+    X86_SGDT16m	= 1343,
+    X86_SGDT32m	= 1344,
+    X86_SGDT64m	= 1345,
+    X86_SHL16m1	= 1346,
+    X86_SHL16mCL	= 1347,
+    X86_SHL16mi	= 1348,
+    X86_SHL16r1	= 1349,
+    X86_SHL16rCL	= 1350,
+    X86_SHL16ri	= 1351,
+    X86_SHL32m1	= 1352,
+    X86_SHL32mCL	= 1353,
+    X86_SHL32mi	= 1354,
+    X86_SHL32r1	= 1355,
+    X86_SHL32rCL	= 1356,
+    X86_SHL32ri	= 1357,
+    X86_SHL64m1	= 1358,
+    X86_SHL64mCL	= 1359,
+    X86_SHL64mi	= 1360,
+    X86_SHL64r1	= 1361,
+    X86_SHL64rCL	= 1362,
+    X86_SHL64ri	= 1363,
+    X86_SHL8m1	= 1364,
+    X86_SHL8mCL	= 1365,
+    X86_SHL8mi	= 1366,
+    X86_SHL8r1	= 1367,
+    X86_SHL8rCL	= 1368,
+    X86_SHL8ri	= 1369,
+    X86_SHLD16mrCL	= 1370,
+    X86_SHLD16mri8	= 1371,
+    X86_SHLD16rrCL	= 1372,
+    X86_SHLD16rri8	= 1373,
+    X86_SHLD32mrCL	= 1374,
+    X86_SHLD32mri8	= 1375,
+    X86_SHLD32rrCL	= 1376,
+    X86_SHLD32rri8	= 1377,
+    X86_SHLD64mrCL	= 1378,
+    X86_SHLD64mri8	= 1379,
+    X86_SHLD64rrCL	= 1380,
+    X86_SHLD64rri8	= 1381,
+    X86_SHLX32rm	= 1382,
+    X86_SHLX32rr	= 1383,
+    X86_SHLX64rm	= 1384,
+    X86_SHLX64rr	= 1385,
+    X86_SHR16m1	= 1386,
+    X86_SHR16mCL	= 1387,
+    X86_SHR16mi	= 1388,
+    X86_SHR16r1	= 1389,
+    X86_SHR16rCL	= 1390,
+    X86_SHR16ri	= 1391,
+    X86_SHR32m1	= 1392,
+    X86_SHR32mCL	= 1393,
+    X86_SHR32mi	= 1394,
+    X86_SHR32r1	= 1395,
+    X86_SHR32rCL	= 1396,
+    X86_SHR32ri	= 1397,
+    X86_SHR64m1	= 1398,
+    X86_SHR64mCL	= 1399,
+    X86_SHR64mi	= 1400,
+    X86_SHR64r1	= 1401,
+    X86_SHR64rCL	= 1402,
+    X86_SHR64ri	= 1403,
+    X86_SHR8m1	= 1404,
+    X86_SHR8mCL	= 1405,
+    X86_SHR8mi	= 1406,
+    X86_SHR8r1	= 1407,
+    X86_SHR8rCL	= 1408,
+    X86_SHR8ri	= 1409,
+    X86_SHRD16mrCL	= 1410,
+    X86_SHRD16mri8	= 1411,
+    X86_SHRD16rrCL	= 1412,
+    X86_SHRD16rri8	= 1413,
+    X86_SHRD32mrCL	= 1414,
+    X86_SHRD32mri8	= 1415,
+    X86_SHRD32rrCL	= 1416,
+    X86_SHRD32rri8	= 1417,
+    X86_SHRD64mrCL	= 1418,
+    X86_SHRD64mri8	= 1419,
+    X86_SHRD64rrCL	= 1420,
+    X86_SHRD64rri8	= 1421,
+    X86_SHRX32rm	= 1422,
+    X86_SHRX32rr	= 1423,
+    X86_SHRX64rm	= 1424,
+    X86_SHRX64rr	= 1425,
+    X86_SIDT16m	= 1426,
+    X86_SIDT32m	= 1427,
+    X86_SIDT64m	= 1428,
+    X86_SKINIT	= 1429,
+    X86_SLDT16m	= 1430,
+    X86_SLDT16r	= 1431,
+    X86_SLDT32r	= 1432,
+    X86_SLDT64m	= 1433,
+    X86_SLDT64r	= 1434,
+    X86_SMSW16m	= 1435,
+    X86_SMSW16r	= 1436,
+    X86_SMSW32r	= 1437,
+    X86_SMSW64r	= 1438,
+    X86_STAC	= 1439,
+    X86_STC	= 1440,
+    X86_STD	= 1441,
+    X86_STGI	= 1442,
+    X86_STI	= 1443,
+    X86_STOSB	= 1444,
+    X86_STOSL	= 1445,
+    X86_STOSQ	= 1446,
+    X86_STOSW	= 1447,
+    X86_STR16r	= 1448,
+    X86_STR32r	= 1449,
+    X86_STR64r	= 1450,
+    X86_STRm	= 1451,
+    X86_SUB16i16	= 1452,
+    X86_SUB16mi	= 1453,
+    X86_SUB16mi8	= 1454,
+    X86_SUB16mr	= 1455,
+    X86_SUB16ri	= 1456,
+    X86_SUB16ri8	= 1457,
+    X86_SUB16rm	= 1458,
+    X86_SUB16rr	= 1459,
+    X86_SUB16rr_REV	= 1460,
+    X86_SUB32i32	= 1461,
+    X86_SUB32mi	= 1462,
+    X86_SUB32mi8	= 1463,
+    X86_SUB32mr	= 1464,
+    X86_SUB32ri	= 1465,
+    X86_SUB32ri8	= 1466,
+    X86_SUB32rm	= 1467,
+    X86_SUB32rr	= 1468,
+    X86_SUB32rr_REV	= 1469,
+    X86_SUB64i32	= 1470,
+    X86_SUB64mi32	= 1471,
+    X86_SUB64mi8	= 1472,
+    X86_SUB64mr	= 1473,
+    X86_SUB64ri32	= 1474,
+    X86_SUB64ri8	= 1475,
+    X86_SUB64rm	= 1476,
+    X86_SUB64rr	= 1477,
+    X86_SUB64rr_REV	= 1478,
+    X86_SUB8i8	= 1479,
+    X86_SUB8mi	= 1480,
+    X86_SUB8mr	= 1481,
+    X86_SUB8ri	= 1482,
+    X86_SUB8ri8	= 1483,
+    X86_SUB8rm	= 1484,
+    X86_SUB8rr	= 1485,
+    X86_SUB8rr_REV	= 1486,
+    X86_SWAPGS	= 1487,
+    X86_SYSCALL	= 1488,
+    X86_SYSENTER	= 1489,
+    X86_SYSEXIT	= 1490,
+    X86_SYSEXIT64	= 1491,
+    X86_SYSRET	= 1492,
+    X86_SYSRET64	= 1493,
+    X86_T1MSKC32rm	= 1494,
+    X86_T1MSKC32rr	= 1495,
+    X86_T1MSKC64rm	= 1496,
+    X86_T1MSKC64rr	= 1497,
+    X86_TAILJMPd	= 1498,
+    X86_TAILJMPd64	= 1499,
+    X86_TAILJMPm	= 1500,
+    X86_TAILJMPm64	= 1501,
+    X86_TAILJMPr	= 1502,
+    X86_TAILJMPr64	= 1503,
+    X86_TCRETURNdi	= 1504,
+    X86_TCRETURNdi64	= 1505,
+    X86_TCRETURNmi	= 1506,
+    X86_TCRETURNmi64	= 1507,
+    X86_TCRETURNri	= 1508,
+    X86_TCRETURNri64	= 1509,
+    X86_TEST16i16	= 1510,
+    X86_TEST16mi	= 1511,
+    X86_TEST16mi_alt	= 1512,
+    X86_TEST16ri	= 1513,
+    X86_TEST16ri_alt	= 1514,
+    X86_TEST16rm	= 1515,
+    X86_TEST16rr	= 1516,
+    X86_TEST32i32	= 1517,
+    X86_TEST32mi	= 1518,
+    X86_TEST32mi_alt	= 1519,
+    X86_TEST32ri	= 1520,
+    X86_TEST32ri_alt	= 1521,
+    X86_TEST32rm	= 1522,
+    X86_TEST32rr	= 1523,
+    X86_TEST64i32	= 1524,
+    X86_TEST64mi32	= 1525,
+    X86_TEST64mi32_alt	= 1526,
+    X86_TEST64ri32	= 1527,
+    X86_TEST64ri32_alt	= 1528,
+    X86_TEST64rm	= 1529,
+    X86_TEST64rr	= 1530,
+    X86_TEST8i8	= 1531,
+    X86_TEST8mi	= 1532,
+    X86_TEST8mi_alt	= 1533,
+    X86_TEST8ri	= 1534,
+    X86_TEST8ri_NOREX	= 1535,
+    X86_TEST8ri_alt	= 1536,
+    X86_TEST8rm	= 1537,
+    X86_TEST8rr	= 1538,
+    X86_TLSCall_32	= 1539,
+    X86_TLSCall_64	= 1540,
+    X86_TLS_addr32	= 1541,
+    X86_TLS_addr64	= 1542,
+    X86_TLS_base_addr32	= 1543,
+    X86_TLS_base_addr64	= 1544,
+    X86_TRAP	= 1545,
+    X86_TZCNT16rm	= 1546,
+    X86_TZCNT16rr	= 1547,
+    X86_TZCNT32rm	= 1548,
+    X86_TZCNT32rr	= 1549,
+    X86_TZCNT64rm	= 1550,
+    X86_TZCNT64rr	= 1551,
+    X86_TZMSK32rm	= 1552,
+    X86_TZMSK32rr	= 1553,
+    X86_TZMSK64rm	= 1554,
+    X86_TZMSK64rr	= 1555,
+    X86_UD2B	= 1556,
+    X86_VAARG_64	= 1557,
+    X86_VASTART_SAVE_XMM_REGS	= 1558,
+    X86_VERRm	= 1559,
+    X86_VERRr	= 1560,
+    X86_VERWm	= 1561,
+    X86_VERWr	= 1562,
+    X86_VMCALL	= 1563,
+    X86_VMCLEARm	= 1564,
+    X86_VMFUNC	= 1565,
+    X86_VMLAUNCH	= 1566,
+    X86_VMLOAD32	= 1567,
+    X86_VMLOAD64	= 1568,
+    X86_VMMCALL	= 1569,
+    X86_VMPTRLDm	= 1570,
+    X86_VMPTRSTm	= 1571,
+    X86_VMREAD32rm	= 1572,
+    X86_VMREAD32rr	= 1573,
+    X86_VMREAD64rm	= 1574,
+    X86_VMREAD64rr	= 1575,
+    X86_VMRESUME	= 1576,
+    X86_VMRUN32	= 1577,
+    X86_VMRUN64	= 1578,
+    X86_VMSAVE32	= 1579,
+    X86_VMSAVE64	= 1580,
+    X86_VMWRITE32rm	= 1581,
+    X86_VMWRITE32rr	= 1582,
+    X86_VMWRITE64rm	= 1583,
+    X86_VMWRITE64rr	= 1584,
+    X86_VMXOFF	= 1585,
+    X86_VMXON	= 1586,
+    X86_W64ALLOCA	= 1587,
+    X86_WBINVD	= 1588,
+    X86_WIN_ALLOCA	= 1589,
+    X86_WIN_FTOL_32	= 1590,
+    X86_WIN_FTOL_64	= 1591,
+    X86_WRFSBASE	= 1592,
+    X86_WRFSBASE64	= 1593,
+    X86_WRGSBASE	= 1594,
+    X86_WRGSBASE64	= 1595,
+    X86_WRMSR	= 1596,
+    X86_XADD16rm	= 1597,
+    X86_XADD16rr	= 1598,
+    X86_XADD32rm	= 1599,
+    X86_XADD32rr	= 1600,
+    X86_XADD64rm	= 1601,
+    X86_XADD64rr	= 1602,
+    X86_XADD8rm	= 1603,
+    X86_XADD8rr	= 1604,
+    X86_XCHG16ar	= 1605,
+    X86_XCHG16rm	= 1606,
+    X86_XCHG16rr	= 1607,
+    X86_XCHG32ar	= 1608,
+    X86_XCHG32ar64	= 1609,
+    X86_XCHG32rm	= 1610,
+    X86_XCHG32rr	= 1611,
+    X86_XCHG64ar	= 1612,
+    X86_XCHG64rm	= 1613,
+    X86_XCHG64rr	= 1614,
+    X86_XCHG8rm	= 1615,
+    X86_XCHG8rr	= 1616,
+    X86_XCRYPTCBC	= 1617,
+    X86_XCRYPTCFB	= 1618,
+    X86_XCRYPTCTR	= 1619,
+    X86_XCRYPTECB	= 1620,
+    X86_XCRYPTOFB	= 1621,
+    X86_XGETBV	= 1622,
+    X86_XLAT	= 1623,
+    X86_XOR16i16	= 1624,
+    X86_XOR16mi	= 1625,
+    X86_XOR16mi8	= 1626,
+    X86_XOR16mr	= 1627,
+    X86_XOR16ri	= 1628,
+    X86_XOR16ri8	= 1629,
+    X86_XOR16rm	= 1630,
+    X86_XOR16rr	= 1631,
+    X86_XOR16rr_REV	= 1632,
+    X86_XOR32i32	= 1633,
+    X86_XOR32mi	= 1634,
+    X86_XOR32mi8	= 1635,
+    X86_XOR32mr	= 1636,
+    X86_XOR32ri	= 1637,
+    X86_XOR32ri8	= 1638,
+    X86_XOR32rm	= 1639,
+    X86_XOR32rr	= 1640,
+    X86_XOR32rr_REV	= 1641,
+    X86_XOR64i32	= 1642,
+    X86_XOR64mi32	= 1643,
+    X86_XOR64mi8	= 1644,
+    X86_XOR64mr	= 1645,
+    X86_XOR64ri32	= 1646,
+    X86_XOR64ri8	= 1647,
+    X86_XOR64rm	= 1648,
+    X86_XOR64rr	= 1649,
+    X86_XOR64rr_REV	= 1650,
+    X86_XOR8i8	= 1651,
+    X86_XOR8mi	= 1652,
+    X86_XOR8mr	= 1653,
+    X86_XOR8ri	= 1654,
+    X86_XOR8ri8	= 1655,
+    X86_XOR8rm	= 1656,
+    X86_XOR8rr	= 1657,
+    X86_XOR8rr_REV	= 1658,
+    X86_XRSTOR	= 1659,
+    X86_XRSTOR64	= 1660,
+    X86_XSAVE	= 1661,
+    X86_XSAVE64	= 1662,
+    X86_XSAVEOPT	= 1663,
+    X86_XSAVEOPT64	= 1664,
+    X86_XSETBV	= 1665,
+    X86_XSHA1	= 1666,
+    X86_XSHA256	= 1667,
+    X86_XSTORE	= 1668,
+    X86_INSTRUCTION_LIST_END = 1669
 };
 
 #endif // GET_INSTRINFO_ENUM
@@ -2428,1021 +2422,1013 @@
   /* 6676 */ 'R', 'E', 'P', 'N', 'E', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
   /* 6689 */ 'L', 'O', 'C', 'K', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
   /* 6701 */ 'R', 'E', 'P', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 6712 */ 'C', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 6722 */ 'D', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 6732 */ 'E', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 6742 */ 'F', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 6752 */ 'G', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 6762 */ 'S', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 6772 */ 'C', 'O', 'P', 'Y', 0,
-  /* 6777 */ 'J', 'C', 'X', 'Z', 0,
-  /* 6782 */ 'J', 'R', 'C', 'X', 'Z', 0,
-  /* 6788 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'a', 0,
-  /* 6797 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'a', 0,
-  /* 6806 */ 'M', 'O', 'V', '3', '2', 'o', '3', '2', 'a', 0,
-  /* 6816 */ 'M', 'O', 'V', '6', '4', 'o', '3', '2', 'a', 0,
-  /* 6826 */ 'M', 'O', 'V', '6', '4', 'o', '6', '4', 'a', 0,
-  /* 6836 */ 'M', 'O', 'V', '6', '4', 'o', '1', '6', 'a', 0,
-  /* 6846 */ 'M', 'O', 'V', '1', '6', 'o', '1', '6', 'a', 0,
-  /* 6856 */ 'M', 'O', 'V', '6', '4', 'o', '8', 'a', 0,
-  /* 6865 */ 'M', 'O', 'V', '8', 'o', '8', 'a', 0,
-  /* 6873 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'b', 0,
-  /* 6882 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'b', 0,
-  /* 6891 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'c', 0,
-  /* 6900 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'c', 0,
-  /* 6909 */ 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 0,
-  /* 6924 */ 'M', 'O', 'V', '3', '2', 'r', 'c', 0,
-  /* 6932 */ 'M', 'O', 'V', '6', '4', 'r', 'c', 0,
-  /* 6940 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'd', 0,
-  /* 6949 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'd', 0,
-  /* 6958 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'd', 0,
-  /* 6967 */ 'O', 'R', '3', '2', 'm', 'r', 'L', 'o', 'c', 'k', 'e', 'd', 0,
-  /* 6980 */ 'M', 'O', 'V', '3', '2', 'r', 'd', 0,
-  /* 6988 */ 'M', 'O', 'V', '6', '4', 'r', 'd', 0,
-  /* 6996 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'e', 0,
-  /* 7005 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'e', 0,
-  /* 7014 */ 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 0,
-  /* 7028 */ 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 0,
-  /* 7041 */ 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
-  /* 7054 */ 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
-  /* 7070 */ 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 0,
-  /* 7082 */ 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 0,
-  /* 7094 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'i', 0,
-  /* 7105 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'i', 0,
-  /* 7115 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'i', 0,
-  /* 7126 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'i', 0,
-  /* 7136 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 'i', 0,
-  /* 7147 */ 'S', 'B', 'B', '3', '2', 'm', 'i', 0,
-  /* 7155 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'i', 0,
-  /* 7168 */ 'A', 'D', 'C', '3', '2', 'm', 'i', 0,
-  /* 7176 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'i', 0,
-  /* 7189 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'i', 0,
-  /* 7202 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'm', 'i', 0,
-  /* 7213 */ 'S', 'A', 'L', '3', '2', 'm', 'i', 0,
-  /* 7221 */ 'R', 'C', 'L', '3', '2', 'm', 'i', 0,
-  /* 7229 */ 'S', 'H', 'L', '3', '2', 'm', 'i', 0,
-  /* 7237 */ 'R', 'O', 'L', '3', '2', 'm', 'i', 0,
-  /* 7245 */ 'C', 'M', 'P', '3', '2', 'm', 'i', 0,
-  /* 7253 */ 'S', 'A', 'R', '3', '2', 'm', 'i', 0,
-  /* 7261 */ 'R', 'C', 'R', '3', '2', 'm', 'i', 0,
-  /* 7269 */ 'S', 'H', 'R', '3', '2', 'm', 'i', 0,
-  /* 7277 */ 'R', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 7285 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 7298 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 7310 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', 0,
-  /* 7319 */ 'M', 'O', 'V', '3', '2', 'm', 'i', 0,
-  /* 7327 */ 'R', 'O', 'R', 'X', '3', '2', 'm', 'i', 0,
-  /* 7336 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'm', 'i', 0,
-  /* 7347 */ 'S', 'A', 'L', '6', '4', 'm', 'i', 0,
-  /* 7355 */ 'R', 'C', 'L', '6', '4', 'm', 'i', 0,
-  /* 7363 */ 'S', 'H', 'L', '6', '4', 'm', 'i', 0,
-  /* 7371 */ 'R', 'O', 'L', '6', '4', 'm', 'i', 0,
-  /* 7379 */ 'S', 'A', 'R', '6', '4', 'm', 'i', 0,
-  /* 7387 */ 'R', 'C', 'R', '6', '4', 'm', 'i', 0,
-  /* 7395 */ 'S', 'H', 'R', '6', '4', 'm', 'i', 0,
-  /* 7403 */ 'R', 'O', 'R', '6', '4', 'm', 'i', 0,
-  /* 7411 */ 'R', 'O', 'R', 'X', '6', '4', 'm', 'i', 0,
-  /* 7420 */ 'S', 'B', 'B', '1', '6', 'm', 'i', 0,
-  /* 7428 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'i', 0,
-  /* 7441 */ 'A', 'D', 'C', '1', '6', 'm', 'i', 0,
-  /* 7449 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'i', 0,
-  /* 7462 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'i', 0,
-  /* 7475 */ 'S', 'A', 'L', '1', '6', 'm', 'i', 0,
-  /* 7483 */ 'R', 'C', 'L', '1', '6', 'm', 'i', 0,
-  /* 7491 */ 'S', 'H', 'L', '1', '6', 'm', 'i', 0,
-  /* 7499 */ 'R', 'O', 'L', '1', '6', 'm', 'i', 0,
-  /* 7507 */ 'C', 'M', 'P', '1', '6', 'm', 'i', 0,
-  /* 7515 */ 'S', 'A', 'R', '1', '6', 'm', 'i', 0,
-  /* 7523 */ 'R', 'C', 'R', '1', '6', 'm', 'i', 0,
-  /* 7531 */ 'S', 'H', 'R', '1', '6', 'm', 'i', 0,
-  /* 7539 */ 'R', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 7547 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 7560 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 7572 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', 0,
-  /* 7581 */ 'M', 'O', 'V', '1', '6', 'm', 'i', 0,
-  /* 7589 */ 'S', 'B', 'B', '8', 'm', 'i', 0,
-  /* 7596 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'i', 0,
-  /* 7608 */ 'A', 'D', 'C', '8', 'm', 'i', 0,
-  /* 7615 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'i', 0,
-  /* 7627 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'i', 0,
-  /* 7639 */ 'S', 'A', 'L', '8', 'm', 'i', 0,
-  /* 7646 */ 'R', 'C', 'L', '8', 'm', 'i', 0,
-  /* 7653 */ 'S', 'H', 'L', '8', 'm', 'i', 0,
-  /* 7660 */ 'R', 'O', 'L', '8', 'm', 'i', 0,
-  /* 7667 */ 'C', 'M', 'P', '8', 'm', 'i', 0,
-  /* 7674 */ 'S', 'A', 'R', '8', 'm', 'i', 0,
-  /* 7681 */ 'R', 'C', 'R', '8', 'm', 'i', 0,
-  /* 7688 */ 'S', 'H', 'R', '8', 'm', 'i', 0,
-  /* 7695 */ 'R', 'O', 'R', '8', 'm', 'i', 0,
-  /* 7702 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'i', 0,
-  /* 7714 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'i', 0,
-  /* 7725 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', 0,
-  /* 7733 */ 'M', 'O', 'V', '8', 'm', 'i', 0,
-  /* 7740 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'm', 'i', 0,
-  /* 7751 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 'i', 0,
-  /* 7761 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 'i', 0,
-  /* 7771 */ 'S', 'B', 'B', '3', '2', 'r', 'i', 0,
-  /* 7779 */ 'S', 'U', 'B', '3', '2', 'r', 'i', 0,
-  /* 7787 */ 'A', 'D', 'C', '3', '2', 'r', 'i', 0,
-  /* 7795 */ 'A', 'D', 'D', '3', '2', 'r', 'i', 0,
-  /* 7803 */ 'A', 'N', 'D', '3', '2', 'r', 'i', 0,
-  /* 7811 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'r', 'i', 0,
-  /* 7822 */ 'S', 'A', 'L', '3', '2', 'r', 'i', 0,
-  /* 7830 */ 'R', 'C', 'L', '3', '2', 'r', 'i', 0,
-  /* 7838 */ 'S', 'H', 'L', '3', '2', 'r', 'i', 0,
-  /* 7846 */ 'R', 'O', 'L', '3', '2', 'r', 'i', 0,
-  /* 7854 */ 'I', 'N', '3', '2', 'r', 'i', 0,
-  /* 7861 */ 'C', 'M', 'P', '3', '2', 'r', 'i', 0,
-  /* 7869 */ 'S', 'A', 'R', '3', '2', 'r', 'i', 0,
-  /* 7877 */ 'R', 'C', 'R', '3', '2', 'r', 'i', 0,
-  /* 7885 */ 'S', 'H', 'R', '3', '2', 'r', 'i', 0,
-  /* 7893 */ 'R', 'O', 'R', '3', '2', 'r', 'i', 0,
-  /* 7901 */ 'X', 'O', 'R', '3', '2', 'r', 'i', 0,
-  /* 7909 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', 0,
-  /* 7918 */ 'M', 'O', 'V', '3', '2', 'r', 'i', 0,
-  /* 7926 */ 'R', 'O', 'R', 'X', '3', '2', 'r', 'i', 0,
-  /* 7935 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'r', 'i', 0,
-  /* 7946 */ 'S', 'A', 'L', '6', '4', 'r', 'i', 0,
-  /* 7954 */ 'R', 'C', 'L', '6', '4', 'r', 'i', 0,
-  /* 7962 */ 'S', 'H', 'L', '6', '4', 'r', 'i', 0,
-  /* 7970 */ 'R', 'O', 'L', '6', '4', 'r', 'i', 0,
-  /* 7978 */ 'S', 'A', 'R', '6', '4', 'r', 'i', 0,
-  /* 7986 */ 'R', 'C', 'R', '6', '4', 'r', 'i', 0,
-  /* 7994 */ 'S', 'H', 'R', '6', '4', 'r', 'i', 0,
-  /* 8002 */ 'R', 'O', 'R', '6', '4', 'r', 'i', 0,
-  /* 8010 */ 'M', 'O', 'V', '6', '4', 'r', 'i', 0,
-  /* 8018 */ 'R', 'O', 'R', 'X', '6', '4', 'r', 'i', 0,
-  /* 8027 */ 'S', 'B', 'B', '1', '6', 'r', 'i', 0,
-  /* 8035 */ 'S', 'U', 'B', '1', '6', 'r', 'i', 0,
-  /* 8043 */ 'A', 'D', 'C', '1', '6', 'r', 'i', 0,
-  /* 8051 */ 'A', 'D', 'D', '1', '6', 'r', 'i', 0,
-  /* 8059 */ 'A', 'N', 'D', '1', '6', 'r', 'i', 0,
-  /* 8067 */ 'S', 'A', 'L', '1', '6', 'r', 'i', 0,
-  /* 8075 */ 'R', 'C', 'L', '1', '6', 'r', 'i', 0,
-  /* 8083 */ 'S', 'H', 'L', '1', '6', 'r', 'i', 0,
-  /* 8091 */ 'R', 'O', 'L', '1', '6', 'r', 'i', 0,
-  /* 8099 */ 'I', 'N', '1', '6', 'r', 'i', 0,
-  /* 8106 */ 'C', 'M', 'P', '1', '6', 'r', 'i', 0,
-  /* 8114 */ 'S', 'A', 'R', '1', '6', 'r', 'i', 0,
-  /* 8122 */ 'R', 'C', 'R', '1', '6', 'r', 'i', 0,
-  /* 8130 */ 'S', 'H', 'R', '1', '6', 'r', 'i', 0,
-  /* 8138 */ 'R', 'O', 'R', '1', '6', 'r', 'i', 0,
-  /* 8146 */ 'X', 'O', 'R', '1', '6', 'r', 'i', 0,
-  /* 8154 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', 0,
-  /* 8163 */ 'M', 'O', 'V', '1', '6', 'r', 'i', 0,
-  /* 8171 */ 'S', 'B', 'B', '8', 'r', 'i', 0,
-  /* 8178 */ 'S', 'U', 'B', '8', 'r', 'i', 0,
-  /* 8185 */ 'A', 'D', 'C', '8', 'r', 'i', 0,
-  /* 8192 */ 'A', 'D', 'D', '8', 'r', 'i', 0,
-  /* 8199 */ 'A', 'N', 'D', '8', 'r', 'i', 0,
-  /* 8206 */ 'S', 'A', 'L', '8', 'r', 'i', 0,
-  /* 8213 */ 'R', 'C', 'L', '8', 'r', 'i', 0,
-  /* 8220 */ 'S', 'H', 'L', '8', 'r', 'i', 0,
-  /* 8227 */ 'R', 'O', 'L', '8', 'r', 'i', 0,
-  /* 8234 */ 'I', 'N', '8', 'r', 'i', 0,
-  /* 8240 */ 'C', 'M', 'P', '8', 'r', 'i', 0,
-  /* 8247 */ 'S', 'A', 'R', '8', 'r', 'i', 0,
-  /* 8254 */ 'R', 'C', 'R', '8', 'r', 'i', 0,
-  /* 8261 */ 'S', 'H', 'R', '8', 'r', 'i', 0,
-  /* 8268 */ 'R', 'O', 'R', '8', 'r', 'i', 0,
-  /* 8275 */ 'X', 'O', 'R', '8', 'r', 'i', 0,
-  /* 8282 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', 0,
-  /* 8290 */ 'M', 'O', 'V', '8', 'r', 'i', 0,
-  /* 8297 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 'i', 0,
-  /* 8308 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 'i', 0,
-  /* 8318 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 'i', 0,
-  /* 8328 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '3', '2', 'm', 0,
-  /* 8340 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '3', '2', 'm', 0,
-  /* 8352 */ 'N', 'E', 'G', '3', '2', 'm', 0,
-  /* 8359 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'm', 0,
-  /* 8370 */ 'I', 'M', 'U', 'L', '3', '2', 'm', 0,
-  /* 8378 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'm', 0,
-  /* 8388 */ 'L', 'G', 'D', 'T', '3', '2', 'm', 0,
-  /* 8396 */ 'S', 'G', 'D', 'T', '3', '2', 'm', 0,
-  /* 8404 */ 'L', 'I', 'D', 'T', '3', '2', 'm', 0,
-  /* 8412 */ 'S', 'I', 'D', 'T', '3', '2', 'm', 0,
-  /* 8420 */ 'N', 'O', 'T', '3', '2', 'm', 0,
-  /* 8427 */ 'I', 'D', 'I', 'V', '3', '2', 'm', 0,
-  /* 8435 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'm', 0,
-  /* 8445 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'm', 0,
-  /* 8455 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '6', '4', 'm', 0,
-  /* 8467 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '6', '4', 'm', 0,
-  /* 8479 */ 'N', 'E', 'G', '6', '4', 'm', 0,
-  /* 8486 */ 'C', 'A', 'L', 'L', '6', '4', 'm', 0,
-  /* 8494 */ 'I', 'M', 'U', 'L', '6', '4', 'm', 0,
-  /* 8502 */ 'J', 'M', 'P', '6', '4', 'm', 0,
-  /* 8509 */ 'L', 'G', 'D', 'T', '6', '4', 'm', 0,
-  /* 8517 */ 'S', 'G', 'D', 'T', '6', '4', 'm', 0,
-  /* 8525 */ 'L', 'I', 'D', 'T', '6', '4', 'm', 0,
-  /* 8533 */ 'S', 'I', 'D', 'T', '6', '4', 'm', 0,
-  /* 8541 */ 'S', 'L', 'D', 'T', '6', '4', 'm', 0,
-  /* 8549 */ 'N', 'O', 'T', '6', '4', 'm', 0,
-  /* 8556 */ 'I', 'D', 'I', 'V', '6', '4', 'm', 0,
-  /* 8564 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '1', '6', 'm', 0,
-  /* 8576 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '1', '6', 'm', 0,
-  /* 8588 */ 'N', 'E', 'G', '1', '6', 'm', 0,
-  /* 8595 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'm', 0,
-  /* 8606 */ 'I', 'M', 'U', 'L', '1', '6', 'm', 0,
-  /* 8614 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'm', 0,
-  /* 8624 */ 'L', 'G', 'D', 'T', '1', '6', 'm', 0,
-  /* 8632 */ 'S', 'G', 'D', 'T', '1', '6', 'm', 0,
-  /* 8640 */ 'L', 'I', 'D', 'T', '1', '6', 'm', 0,
-  /* 8648 */ 'S', 'I', 'D', 'T', '1', '6', 'm', 0,
-  /* 8656 */ 'L', 'L', 'D', 'T', '1', '6', 'm', 0,
-  /* 8664 */ 'S', 'L', 'D', 'T', '1', '6', 'm', 0,
-  /* 8672 */ 'N', 'O', 'T', '1', '6', 'm', 0,
-  /* 8679 */ 'I', 'D', 'I', 'V', '1', '6', 'm', 0,
-  /* 8687 */ 'L', 'M', 'S', 'W', '1', '6', 'm', 0,
-  /* 8695 */ 'S', 'M', 'S', 'W', '1', '6', 'm', 0,
-  /* 8703 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'm', 0,
-  /* 8713 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'm', 0,
-  /* 8723 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '8', 'm', 0,
-  /* 8734 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '8', 'm', 0,
-  /* 8745 */ 'N', 'E', 'G', '8', 'm', 0,
-  /* 8751 */ 'I', 'M', 'U', 'L', '8', 'm', 0,
-  /* 8758 */ 'N', 'O', 'T', '8', 'm', 0,
-  /* 8764 */ 'I', 'D', 'I', 'V', '8', 'm', 0,
-  /* 8771 */ 'S', 'E', 'T', 'A', 'm', 0,
-  /* 8777 */ 'S', 'E', 'T', 'B', 'm', 0,
-  /* 8783 */ 'V', 'M', 'P', 'T', 'R', 'L', 'D', 'm', 0,
-  /* 8792 */ 'S', 'E', 'T', 'A', 'E', 'm', 0,
-  /* 8799 */ 'S', 'E', 'T', 'B', 'E', 'm', 0,
-  /* 8806 */ 'S', 'E', 'T', 'G', 'E', 'm', 0,
-  /* 8813 */ 'S', 'E', 'T', 'L', 'E', 'm', 0,
-  /* 8820 */ 'S', 'E', 'T', 'N', 'E', 'm', 0,
-  /* 8827 */ 'S', 'E', 'T', 'E', 'm', 0,
-  /* 8833 */ 'S', 'E', 'T', 'G', 'm', 0,
-  /* 8839 */ 'S', 'E', 'T', 'L', 'm', 0,
-  /* 8845 */ 'S', 'E', 'T', 'N', 'O', 'm', 0,
-  /* 8852 */ 'S', 'E', 'T', 'O', 'm', 0,
-  /* 8858 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'm', 0,
-  /* 8867 */ 'S', 'E', 'T', 'N', 'P', 'm', 0,
-  /* 8874 */ 'S', 'E', 'T', 'P', 'm', 0,
-  /* 8880 */ 'V', 'M', 'C', 'L', 'E', 'A', 'R', 'm', 0,
-  /* 8889 */ 'V', 'E', 'R', 'R', 'm', 0,
-  /* 8895 */ 'L', 'T', 'R', 'm', 0,
-  /* 8900 */ 'S', 'T', 'R', 'm', 0,
-  /* 8905 */ 'S', 'E', 'T', 'N', 'S', 'm', 0,
-  /* 8912 */ 'S', 'E', 'T', 'S', 'm', 0,
-  /* 8918 */ 'V', 'M', 'P', 'T', 'R', 'S', 'T', 'm', 0,
-  /* 8927 */ 'V', 'E', 'R', 'W', 'm', 0,
-  /* 8933 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'm', 0,
-  /* 8943 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'm', 0,
-  /* 8952 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'm', 0,
-  /* 8962 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'm', 0,
-  /* 8971 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'm', 0,
-  /* 8981 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'm', 0,
-  /* 8990 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'm', 0,
-  /* 9000 */ 'S', 'B', 'B', '3', '2', 'r', 'm', 0,
-  /* 9008 */ 'S', 'U', 'B', '3', '2', 'r', 'm', 0,
-  /* 9016 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'm', 0,
-  /* 9026 */ 'A', 'D', 'C', '3', '2', 'r', 'm', 0,
-  /* 9034 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'm', 0,
-  /* 9044 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'm', 0,
-  /* 9054 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'm', 0,
-  /* 9065 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'm', 0,
-  /* 9076 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'm', 0,
-  /* 9085 */ 'A', 'N', 'D', '3', '2', 'r', 'm', 0,
-  /* 9093 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'm', 0,
-  /* 9104 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'm', 0,
-  /* 9115 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'm', 0,
-  /* 9126 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'm', 0,
-  /* 9137 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'm', 0,
-  /* 9148 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'm', 0,
-  /* 9160 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'm', 0,
-  /* 9170 */ 'B', 'S', 'F', '3', '2', 'r', 'm', 0,
-  /* 9178 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'm', 0,
-  /* 9190 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'm', 0,
-  /* 9200 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'm', 0,
-  /* 9209 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'm', 0,
-  /* 9218 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'm', 0,
-  /* 9227 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 9238 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 9249 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 9259 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
-  /* 9271 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
-  /* 9283 */ 'L', 'S', 'L', '3', '2', 'r', 'm', 0,
-  /* 9291 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 0,
-  /* 9300 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'm', 0,
-  /* 9310 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'm', 0,
-  /* 9319 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'm', 0,
-  /* 9330 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'm', 0,
-  /* 9340 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'm', 0,
-  /* 9349 */ 'C', 'M', 'P', '3', '2', 'r', 'm', 0,
-  /* 9357 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'm', 0,
-  /* 9368 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'm', 0,
-  /* 9378 */ 'L', 'A', 'R', '3', '2', 'r', 'm', 0,
-  /* 9386 */ 'X', 'O', 'R', '3', '2', 'r', 'm', 0,
-  /* 9394 */ 'B', 'S', 'R', '3', '2', 'r', 'm', 0,
-  /* 9402 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'm', 0,
-  /* 9411 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'm', 0,
-  /* 9421 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'm', 0,
-  /* 9430 */ 'L', 'D', 'S', '3', '2', 'r', 'm', 0,
-  /* 9438 */ 'B', 'O', 'U', 'N', 'D', 'S', '3', '2', 'r', 'm', 0,
-  /* 9449 */ 'L', 'E', 'S', '3', '2', 'r', 'm', 0,
-  /* 9457 */ 'L', 'F', 'S', '3', '2', 'r', 'm', 0,
-  /* 9465 */ 'L', 'G', 'S', '3', '2', 'r', 'm', 0,
-  /* 9473 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'm', 0,
-  /* 9484 */ 'L', 'S', 'S', '3', '2', 'r', 'm', 0,
-  /* 9492 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'm', 0,
-  /* 9502 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
-  /* 9512 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
-  /* 9522 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'm', 0,
-  /* 9531 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'm', 0,
-  /* 9540 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '3', '2', 'r', 'm', 0,
-  /* 9556 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'm', 0,
-  /* 9565 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'm', 0,
-  /* 9574 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'm', 0,
-  /* 9583 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'm', 0,
-  /* 9592 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'm', 0,
-  /* 9601 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'm', 0,
-  /* 9610 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'm', 0,
-  /* 9620 */ 'S', 'B', 'B', '6', '4', 'r', 'm', 0,
-  /* 9628 */ 'S', 'U', 'B', '6', '4', 'r', 'm', 0,
-  /* 9636 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'm', 0,
-  /* 9646 */ 'A', 'D', 'C', '6', '4', 'r', 'm', 0,
-  /* 9654 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'm', 0,
-  /* 9664 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'm', 0,
-  /* 9674 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'm', 0,
-  /* 9685 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'm', 0,
-  /* 9696 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'm', 0,
-  /* 9705 */ 'A', 'N', 'D', '6', '4', 'r', 'm', 0,
-  /* 9713 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'm', 0,
-  /* 9724 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'm', 0,
-  /* 9735 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'm', 0,
-  /* 9746 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'm', 0,
-  /* 9757 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'm', 0,
-  /* 9768 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'm', 0,
-  /* 9780 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'm', 0,
-  /* 9790 */ 'B', 'S', 'F', '6', '4', 'r', 'm', 0,
-  /* 9798 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'm', 0,
-  /* 9810 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'm', 0,
-  /* 9820 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'm', 0,
-  /* 9829 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'm', 0,
-  /* 9838 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 9847 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 9858 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 9869 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 9879 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
-  /* 9891 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
-  /* 9903 */ 'L', 'S', 'L', '6', '4', 'r', 'm', 0,
-  /* 9911 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 0,
-  /* 9920 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'm', 0,
-  /* 9930 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'm', 0,
-  /* 9939 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'm', 0,
-  /* 9950 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'm', 0,
-  /* 9960 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'm', 0,
-  /* 9969 */ 'C', 'M', 'P', '6', '4', 'r', 'm', 0,
-  /* 9977 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'm', 0,
-  /* 9988 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'm', 0,
-  /* 9998 */ 'L', 'A', 'R', '6', '4', 'r', 'm', 0,
-  /* 10006 */ 'X', 'O', 'R', '6', '4', 'r', 'm', 0,
-  /* 10014 */ 'B', 'S', 'R', '6', '4', 'r', 'm', 0,
-  /* 10022 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'm', 0,
-  /* 10031 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'm', 0,
-  /* 10041 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'm', 0,
-  /* 10050 */ 'L', 'F', 'S', '6', '4', 'r', 'm', 0,
-  /* 10058 */ 'L', 'G', 'S', '6', '4', 'r', 'm', 0,
-  /* 10066 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'm', 0,
-  /* 10077 */ 'L', 'S', 'S', '6', '4', 'r', 'm', 0,
-  /* 10085 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'm', 0,
-  /* 10095 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
-  /* 10105 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
-  /* 10115 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'm', 0,
-  /* 10124 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'm', 0,
-  /* 10133 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '6', '4', 'r', 'm', 0,
-  /* 10149 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'm', 0,
-  /* 10158 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'm', 0,
-  /* 10167 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'm', 0,
-  /* 10176 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'm', 0,
-  /* 10185 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'm', 0,
-  /* 10194 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'm', 0,
-  /* 10203 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'm', 0,
-  /* 10213 */ 'S', 'B', 'B', '1', '6', 'r', 'm', 0,
-  /* 10221 */ 'S', 'U', 'B', '1', '6', 'r', 'm', 0,
-  /* 10229 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'm', 0,
-  /* 10239 */ 'A', 'D', 'C', '1', '6', 'r', 'm', 0,
-  /* 10247 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'm', 0,
-  /* 10256 */ 'A', 'N', 'D', '1', '6', 'r', 'm', 0,
-  /* 10264 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'm', 0,
-  /* 10275 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'm', 0,
-  /* 10286 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'm', 0,
-  /* 10297 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'm', 0,
-  /* 10308 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'm', 0,
-  /* 10319 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'm', 0,
-  /* 10329 */ 'B', 'S', 'F', '1', '6', 'r', 'm', 0,
-  /* 10337 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'm', 0,
-  /* 10349 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'm', 0,
-  /* 10359 */ 'L', 'S', 'L', '1', '6', 'r', 'm', 0,
-  /* 10367 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 0,
-  /* 10376 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'm', 0,
-  /* 10386 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'm', 0,
-  /* 10397 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'm', 0,
-  /* 10407 */ 'C', 'M', 'P', '1', '6', 'r', 'm', 0,
-  /* 10415 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'm', 0,
-  /* 10426 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'm', 0,
-  /* 10436 */ 'L', 'A', 'R', '1', '6', 'r', 'm', 0,
-  /* 10444 */ 'X', 'O', 'R', '1', '6', 'r', 'm', 0,
-  /* 10452 */ 'B', 'S', 'R', '1', '6', 'r', 'm', 0,
-  /* 10460 */ 'L', 'D', 'S', '1', '6', 'r', 'm', 0,
-  /* 10468 */ 'B', 'O', 'U', 'N', 'D', 'S', '1', '6', 'r', 'm', 0,
-  /* 10479 */ 'L', 'E', 'S', '1', '6', 'r', 'm', 0,
-  /* 10487 */ 'L', 'F', 'S', '1', '6', 'r', 'm', 0,
-  /* 10495 */ 'L', 'G', 'S', '1', '6', 'r', 'm', 0,
-  /* 10503 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'm', 0,
-  /* 10514 */ 'L', 'S', 'S', '1', '6', 'r', 'm', 0,
-  /* 10522 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'm', 0,
-  /* 10532 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
-  /* 10542 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
-  /* 10552 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'm', 0,
-  /* 10561 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '1', '6', 'r', 'm', 0,
-  /* 10577 */ 'S', 'B', 'B', '8', 'r', 'm', 0,
-  /* 10584 */ 'S', 'U', 'B', '8', 'r', 'm', 0,
-  /* 10591 */ 'A', 'D', 'C', '8', 'r', 'm', 0,
-  /* 10598 */ 'X', 'A', 'D', 'D', '8', 'r', 'm', 0,
-  /* 10606 */ 'A', 'N', 'D', '8', 'r', 'm', 0,
-  /* 10613 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'm', 0,
-  /* 10624 */ 'C', 'M', 'P', '8', 'r', 'm', 0,
-  /* 10631 */ 'X', 'O', 'R', '8', 'r', 'm', 0,
-  /* 10638 */ 'T', 'E', 'S', 'T', '8', 'r', 'm', 0,
-  /* 10646 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '8', 'r', 'm', 0,
-  /* 10661 */ 'M', 'O', 'V', '3', '2', 's', 'm', 0,
-  /* 10669 */ 'M', 'O', 'V', '6', '4', 's', 'm', 0,
-  /* 10677 */ 'M', 'O', 'V', '1', '6', 's', 'm', 0,
-  /* 10685 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 0,
-  /* 10699 */ 'L', 'E', 'A', '3', '2', 'r', 0,
-  /* 10706 */ 'D', 'E', 'C', '3', '2', 'r', 0,
-  /* 10713 */ 'I', 'N', 'C', '3', '2', 'r', 0,
-  /* 10720 */ 'M', 'O', 'V', 'P', 'C', '3', '2', 'r', 0,
-  /* 10729 */ 'S', 'E', 'T', 'B', '_', 'C', '3', '2', 'r', 0,
-  /* 10739 */ 'R', 'D', 'S', 'E', 'E', 'D', '3', '2', 'r', 0,
-  /* 10749 */ 'R', 'D', 'R', 'A', 'N', 'D', '3', '2', 'r', 0,
-  /* 10759 */ 'N', 'E', 'G', '3', '2', 'r', 0,
-  /* 10766 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 0,
-  /* 10774 */ 'C', 'A', 'L', 'L', '3', '2', 'r', 0,
-  /* 10782 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 0,
-  /* 10790 */ 'B', 'S', 'W', 'A', 'P', '3', '2', 'r', 0,
-  /* 10799 */ 'J', 'M', 'P', '3', '2', 'r', 0,
-  /* 10806 */ 'P', 'O', 'P', '3', '2', 'r', 0,
-  /* 10813 */ 'S', 'T', 'R', '3', '2', 'r', 0,
-  /* 10820 */ 'S', 'L', 'D', 'T', '3', '2', 'r', 0,
-  /* 10828 */ 'N', 'O', 'T', '3', '2', 'r', 0,
-  /* 10835 */ 'I', 'D', 'I', 'V', '3', '2', 'r', 0,
-  /* 10843 */ 'S', 'M', 'S', 'W', '3', '2', 'r', 0,
-  /* 10851 */ 'D', 'E', 'C', '3', '2', '_', '3', '2', 'r', 0,
-  /* 10861 */ 'I', 'N', 'C', '3', '2', '_', '3', '2', 'r', 0,
-  /* 10871 */ 'L', 'E', 'A', '6', '4', '_', '3', '2', 'r', 0,
-  /* 10881 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'r', 0,
-  /* 10891 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'r', 0,
-  /* 10901 */ 'L', 'E', 'A', '6', '4', 'r', 0,
-  /* 10908 */ 'D', 'E', 'C', '6', '4', 'r', 0,
-  /* 10915 */ 'I', 'N', 'C', '6', '4', 'r', 0,
-  /* 10922 */ 'S', 'E', 'T', 'B', '_', 'C', '6', '4', 'r', 0,
-  /* 10932 */ 'R', 'D', 'S', 'E', 'E', 'D', '6', '4', 'r', 0,
-  /* 10942 */ 'R', 'D', 'R', 'A', 'N', 'D', '6', '4', 'r', 0,
-  /* 10952 */ 'N', 'E', 'G', '6', '4', 'r', 0,
-  /* 10959 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 0,
-  /* 10967 */ 'C', 'A', 'L', 'L', '6', '4', 'r', 0,
-  /* 10975 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 0,
-  /* 10983 */ 'B', 'S', 'W', 'A', 'P', '6', '4', 'r', 0,
-  /* 10992 */ 'J', 'M', 'P', '6', '4', 'r', 0,
-  /* 10999 */ 'P', 'O', 'P', '6', '4', 'r', 0,
-  /* 11006 */ 'S', 'T', 'R', '6', '4', 'r', 0,
-  /* 11013 */ 'S', 'L', 'D', 'T', '6', '4', 'r', 0,
-  /* 11021 */ 'N', 'O', 'T', '6', '4', 'r', 0,
-  /* 11028 */ 'I', 'D', 'I', 'V', '6', '4', 'r', 0,
-  /* 11036 */ 'S', 'M', 'S', 'W', '6', '4', 'r', 0,
-  /* 11044 */ 'L', 'E', 'A', '1', '6', 'r', 0,
-  /* 11051 */ 'D', 'E', 'C', '1', '6', 'r', 0,
-  /* 11058 */ 'I', 'N', 'C', '1', '6', 'r', 0,
-  /* 11065 */ 'S', 'E', 'T', 'B', '_', 'C', '1', '6', 'r', 0,
-  /* 11075 */ 'R', 'D', 'S', 'E', 'E', 'D', '1', '6', 'r', 0,
-  /* 11085 */ 'R', 'D', 'R', 'A', 'N', 'D', '1', '6', 'r', 0,
-  /* 11095 */ 'N', 'E', 'G', '1', '6', 'r', 0,
-  /* 11102 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 0,
-  /* 11110 */ 'C', 'A', 'L', 'L', '1', '6', 'r', 0,
-  /* 11118 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 0,
-  /* 11126 */ 'J', 'M', 'P', '1', '6', 'r', 0,
-  /* 11133 */ 'P', 'O', 'P', '1', '6', 'r', 0,
-  /* 11140 */ 'S', 'T', 'R', '1', '6', 'r', 0,
-  /* 11147 */ 'L', 'L', 'D', 'T', '1', '6', 'r', 0,
-  /* 11155 */ 'S', 'L', 'D', 'T', '1', '6', 'r', 0,
-  /* 11163 */ 'N', 'O', 'T', '1', '6', 'r', 0,
-  /* 11170 */ 'I', 'D', 'I', 'V', '1', '6', 'r', 0,
-  /* 11178 */ 'L', 'M', 'S', 'W', '1', '6', 'r', 0,
-  /* 11186 */ 'S', 'M', 'S', 'W', '1', '6', 'r', 0,
-  /* 11194 */ 'D', 'E', 'C', '3', '2', '_', '1', '6', 'r', 0,
-  /* 11204 */ 'I', 'N', 'C', '3', '2', '_', '1', '6', 'r', 0,
-  /* 11214 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'r', 0,
-  /* 11224 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'r', 0,
-  /* 11234 */ 'D', 'E', 'C', '8', 'r', 0,
-  /* 11240 */ 'I', 'N', 'C', '8', 'r', 0,
-  /* 11246 */ 'S', 'E', 'T', 'B', '_', 'C', '8', 'r', 0,
-  /* 11255 */ 'N', 'E', 'G', '8', 'r', 0,
-  /* 11261 */ 'I', 'M', 'U', 'L', '8', 'r', 0,
-  /* 11268 */ 'N', 'O', 'T', '8', 'r', 0,
-  /* 11274 */ 'I', 'D', 'I', 'V', '8', 'r', 0,
-  /* 11281 */ 'S', 'E', 'T', 'A', 'r', 0,
-  /* 11287 */ 'S', 'E', 'T', 'B', 'r', 0,
-  /* 11293 */ 'S', 'E', 'T', 'A', 'E', 'r', 0,
-  /* 11300 */ 'S', 'E', 'T', 'B', 'E', 'r', 0,
-  /* 11307 */ 'S', 'E', 'T', 'G', 'E', 'r', 0,
-  /* 11314 */ 'S', 'E', 'T', 'L', 'E', 'r', 0,
-  /* 11321 */ 'S', 'E', 'T', 'N', 'E', 'r', 0,
-  /* 11328 */ 'S', 'E', 'T', 'E', 'r', 0,
-  /* 11334 */ 'S', 'E', 'T', 'G', 'r', 0,
-  /* 11340 */ 'S', 'E', 'T', 'L', 'r', 0,
-  /* 11346 */ 'S', 'E', 'T', 'N', 'O', 'r', 0,
-  /* 11353 */ 'S', 'E', 'T', 'O', 'r', 0,
-  /* 11359 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'r', 0,
-  /* 11368 */ 'S', 'E', 'T', 'N', 'P', 'r', 0,
-  /* 11375 */ 'S', 'E', 'T', 'P', 'r', 0,
-  /* 11381 */ 'V', 'E', 'R', 'R', 'r', 0,
-  /* 11387 */ 'L', 'T', 'R', 'r', 0,
-  /* 11392 */ 'S', 'E', 'T', 'N', 'S', 'r', 0,
-  /* 11399 */ 'S', 'E', 'T', 'S', 'r', 0,
-  /* 11405 */ 'V', 'E', 'R', 'W', 'r', 0,
-  /* 11411 */ 'X', 'C', 'H', 'G', '3', '2', 'a', 'r', 0,
-  /* 11420 */ 'X', 'C', 'H', 'G', '6', '4', 'a', 'r', 0,
-  /* 11429 */ 'X', 'C', 'H', 'G', '1', '6', 'a', 'r', 0,
-  /* 11438 */ 'M', 'O', 'V', '3', '2', 'c', 'r', 0,
-  /* 11446 */ 'M', 'O', 'V', '6', '4', 'c', 'r', 0,
-  /* 11454 */ 'M', 'O', 'V', '3', '2', 'd', 'r', 0,
-  /* 11462 */ 'M', 'O', 'V', '6', '4', 'd', 'r', 0,
-  /* 11470 */ 'I', 'n', 't', '_', 'M', 'e', 'm', 'B', 'a', 'r', 'r', 'i', 'e', 'r', 0,
-  /* 11485 */ 'O', 'U', 'T', '3', '2', 'i', 'r', 0,
-  /* 11493 */ 'O', 'U', 'T', '1', '6', 'i', 'r', 0,
-  /* 11501 */ 'O', 'U', 'T', '8', 'i', 'r', 0,
-  /* 11508 */ 'S', 'B', 'B', '3', '2', 'm', 'r', 0,
-  /* 11516 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'r', 0,
-  /* 11529 */ 'A', 'D', 'C', '3', '2', 'm', 'r', 0,
-  /* 11537 */ 'B', 'T', 'C', '3', '2', 'm', 'r', 0,
-  /* 11545 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'r', 0,
-  /* 11558 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'r', 0,
-  /* 11571 */ 'M', 'O', 'V', 'B', 'E', '3', '2', 'm', 'r', 0,
-  /* 11581 */ 'C', 'M', 'P', '3', '2', 'm', 'r', 0,
-  /* 11589 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'r', 0,
-  /* 11602 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'r', 0,
-  /* 11614 */ 'B', 'T', 'R', '3', '2', 'm', 'r', 0,
-  /* 11622 */ 'B', 'T', 'S', '3', '2', 'm', 'r', 0,
-  /* 11630 */ 'B', 'T', '3', '2', 'm', 'r', 0,
-  /* 11637 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '3', '2', 'm', 'r', 0,
-  /* 11653 */ 'S', 'B', 'B', '6', '4', 'm', 'r', 0,
-  /* 11661 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'r', 0,
-  /* 11674 */ 'A', 'D', 'C', '6', '4', 'm', 'r', 0,
-  /* 11682 */ 'B', 'T', 'C', '6', '4', 'm', 'r', 0,
-  /* 11690 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'r', 0,
-  /* 11703 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'r', 0,
-  /* 11716 */ 'M', 'O', 'V', 'B', 'E', '6', '4', 'm', 'r', 0,
-  /* 11726 */ 'C', 'M', 'P', '6', '4', 'm', 'r', 0,
-  /* 11734 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'r', 0,
-  /* 11747 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'r', 0,
-  /* 11759 */ 'B', 'T', 'R', '6', '4', 'm', 'r', 0,
-  /* 11767 */ 'B', 'T', 'S', '6', '4', 'm', 'r', 0,
-  /* 11775 */ 'B', 'T', '6', '4', 'm', 'r', 0,
-  /* 11782 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '6', '4', 'm', 'r', 0,
-  /* 11798 */ 'S', 'B', 'B', '1', '6', 'm', 'r', 0,
-  /* 11806 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'r', 0,
-  /* 11819 */ 'A', 'D', 'C', '1', '6', 'm', 'r', 0,
-  /* 11827 */ 'B', 'T', 'C', '1', '6', 'm', 'r', 0,
-  /* 11835 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'r', 0,
-  /* 11848 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'r', 0,
-  /* 11861 */ 'M', 'O', 'V', 'B', 'E', '1', '6', 'm', 'r', 0,
-  /* 11871 */ 'A', 'R', 'P', 'L', '1', '6', 'm', 'r', 0,
-  /* 11880 */ 'C', 'M', 'P', '1', '6', 'm', 'r', 0,
-  /* 11888 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'r', 0,
-  /* 11901 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'r', 0,
-  /* 11913 */ 'B', 'T', 'R', '1', '6', 'm', 'r', 0,
-  /* 11921 */ 'B', 'T', 'S', '1', '6', 'm', 'r', 0,
-  /* 11929 */ 'B', 'T', '1', '6', 'm', 'r', 0,
-  /* 11936 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '1', '6', 'm', 'r', 0,
-  /* 11952 */ 'S', 'B', 'B', '8', 'm', 'r', 0,
-  /* 11959 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'r', 0,
-  /* 11971 */ 'A', 'D', 'C', '8', 'm', 'r', 0,
-  /* 11978 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'r', 0,
-  /* 11990 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'r', 0,
-  /* 12002 */ 'C', 'M', 'P', '8', 'm', 'r', 0,
-  /* 12009 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'r', 0,
-  /* 12021 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'r', 0,
-  /* 12032 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '8', 'm', 'r', 0,
-  /* 12047 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'r', 0,
-  /* 12057 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'r', 0,
-  /* 12066 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'r', 0,
-  /* 12076 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'r', 0,
-  /* 12085 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'r', 0,
-  /* 12095 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'r', 0,
-  /* 12104 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'r', 0,
-  /* 12114 */ 'S', 'B', 'B', '3', '2', 'r', 'r', 0,
-  /* 12122 */ 'S', 'U', 'B', '3', '2', 'r', 'r', 0,
-  /* 12130 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'r', 0,
-  /* 12140 */ 'A', 'D', 'C', '3', '2', 'r', 'r', 0,
-  /* 12148 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'r', 0,
-  /* 12158 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'r', 0,
-  /* 12168 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'r', 0,
-  /* 12179 */ 'B', 'T', 'C', '3', '2', 'r', 'r', 0,
-  /* 12187 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'r', 0,
-  /* 12198 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'r', 0,
-  /* 12207 */ 'A', 'N', 'D', '3', '2', 'r', 'r', 0,
-  /* 12215 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'r', 0,
-  /* 12226 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'r', 0,
-  /* 12237 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'r', 0,
-  /* 12248 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'r', 0,
-  /* 12259 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'r', 0,
-  /* 12270 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'r', 0,
-  /* 12282 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'r', 0,
-  /* 12292 */ 'B', 'S', 'F', '3', '2', 'r', 'r', 0,
-  /* 12300 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'r', 0,
-  /* 12312 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'r', 0,
-  /* 12322 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'r', 0,
-  /* 12331 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'r', 0,
-  /* 12340 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'r', 0,
-  /* 12349 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 12360 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 12371 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 12381 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
-  /* 12393 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
-  /* 12405 */ 'L', 'S', 'L', '3', '2', 'r', 'r', 0,
-  /* 12413 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 0,
-  /* 12422 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'r', 0,
-  /* 12432 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'r', 0,
-  /* 12441 */ 'I', 'N', '3', '2', 'r', 'r', 0,
-  /* 12448 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'r', 0,
-  /* 12459 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'r', 0,
-  /* 12469 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'r', 0,
-  /* 12478 */ 'C', 'M', 'P', '3', '2', 'r', 'r', 0,
-  /* 12486 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'r', 0,
-  /* 12497 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'r', 0,
-  /* 12507 */ 'L', 'A', 'R', '3', '2', 'r', 'r', 0,
-  /* 12515 */ 'X', 'O', 'R', '3', '2', 'r', 'r', 0,
-  /* 12523 */ 'B', 'S', 'R', '3', '2', 'r', 'r', 0,
-  /* 12531 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'r', 0,
-  /* 12540 */ 'B', 'T', 'R', '3', '2', 'r', 'r', 0,
-  /* 12548 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'r', 0,
-  /* 12558 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'r', 0,
-  /* 12567 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'r', 0,
-  /* 12578 */ 'B', 'T', 'S', '3', '2', 'r', 'r', 0,
-  /* 12586 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'r', 0,
-  /* 12596 */ 'B', 'T', '3', '2', 'r', 'r', 0,
-  /* 12603 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
-  /* 12613 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
-  /* 12623 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'r', 0,
-  /* 12632 */ 'O', 'U', 'T', '3', '2', 'r', 'r', 0,
-  /* 12640 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'r', 0,
-  /* 12649 */ 'M', 'O', 'V', '3', '2', 'r', 'r', 0,
-  /* 12657 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'r', 0,
-  /* 12666 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'r', 0,
-  /* 12675 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'r', 0,
-  /* 12684 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'r', 0,
-  /* 12693 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'r', 0,
-  /* 12702 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'r', 0,
-  /* 12711 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'r', 0,
-  /* 12721 */ 'S', 'B', 'B', '6', '4', 'r', 'r', 0,
-  /* 12729 */ 'S', 'U', 'B', '6', '4', 'r', 'r', 0,
-  /* 12737 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'r', 0,
-  /* 12747 */ 'A', 'D', 'C', '6', '4', 'r', 'r', 0,
-  /* 12755 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'r', 0,
-  /* 12765 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'r', 0,
-  /* 12775 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'r', 0,
-  /* 12786 */ 'B', 'T', 'C', '6', '4', 'r', 'r', 0,
-  /* 12794 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'r', 0,
-  /* 12805 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'r', 0,
-  /* 12814 */ 'A', 'N', 'D', '6', '4', 'r', 'r', 0,
-  /* 12822 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'r', 0,
-  /* 12833 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'r', 0,
-  /* 12844 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'r', 0,
-  /* 12855 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'r', 0,
-  /* 12866 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'r', 0,
-  /* 12877 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'r', 0,
-  /* 12889 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'r', 0,
-  /* 12899 */ 'B', 'S', 'F', '6', '4', 'r', 'r', 0,
-  /* 12907 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'r', 0,
-  /* 12919 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'r', 0,
-  /* 12929 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'r', 0,
-  /* 12938 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'r', 0,
-  /* 12947 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 12956 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 12967 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 12978 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 12988 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
-  /* 13000 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
-  /* 13012 */ 'L', 'S', 'L', '6', '4', 'r', 'r', 0,
-  /* 13020 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 0,
-  /* 13029 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'r', 0,
-  /* 13039 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'r', 0,
-  /* 13048 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'r', 0,
-  /* 13059 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'r', 0,
-  /* 13069 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'r', 0,
-  /* 13078 */ 'C', 'M', 'P', '6', '4', 'r', 'r', 0,
-  /* 13086 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'r', 0,
-  /* 13097 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'r', 0,
-  /* 13107 */ 'L', 'A', 'R', '6', '4', 'r', 'r', 0,
-  /* 13115 */ 'X', 'O', 'R', '6', '4', 'r', 'r', 0,
-  /* 13123 */ 'B', 'S', 'R', '6', '4', 'r', 'r', 0,
-  /* 13131 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'r', 0,
-  /* 13140 */ 'B', 'T', 'R', '6', '4', 'r', 'r', 0,
-  /* 13148 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'r', 0,
-  /* 13158 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'r', 0,
-  /* 13167 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'r', 0,
-  /* 13178 */ 'B', 'T', 'S', '6', '4', 'r', 'r', 0,
-  /* 13186 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'r', 0,
-  /* 13196 */ 'B', 'T', '6', '4', 'r', 'r', 0,
-  /* 13203 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
-  /* 13213 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
-  /* 13223 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'r', 0,
-  /* 13232 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'r', 0,
-  /* 13241 */ 'M', 'O', 'V', '6', '4', 'r', 'r', 0,
-  /* 13249 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'r', 0,
-  /* 13258 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'r', 0,
-  /* 13267 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'r', 0,
-  /* 13276 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'r', 0,
-  /* 13285 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'r', 0,
-  /* 13294 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'r', 0,
-  /* 13303 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'r', 0,
-  /* 13313 */ 'S', 'B', 'B', '1', '6', 'r', 'r', 0,
-  /* 13321 */ 'S', 'U', 'B', '1', '6', 'r', 'r', 0,
-  /* 13329 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'r', 0,
-  /* 13339 */ 'A', 'D', 'C', '1', '6', 'r', 'r', 0,
-  /* 13347 */ 'B', 'T', 'C', '1', '6', 'r', 'r', 0,
-  /* 13355 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'r', 0,
-  /* 13364 */ 'A', 'N', 'D', '1', '6', 'r', 'r', 0,
-  /* 13372 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'r', 0,
-  /* 13383 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'r', 0,
-  /* 13394 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'r', 0,
-  /* 13405 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'r', 0,
-  /* 13416 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'r', 0,
-  /* 13427 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'r', 0,
-  /* 13437 */ 'B', 'S', 'F', '1', '6', 'r', 'r', 0,
-  /* 13445 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'r', 0,
-  /* 13457 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'r', 0,
-  /* 13467 */ 'A', 'R', 'P', 'L', '1', '6', 'r', 'r', 0,
-  /* 13476 */ 'L', 'S', 'L', '1', '6', 'r', 'r', 0,
-  /* 13484 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 0,
-  /* 13493 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'r', 0,
-  /* 13503 */ 'I', 'N', '1', '6', 'r', 'r', 0,
-  /* 13510 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'r', 0,
-  /* 13521 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'r', 0,
-  /* 13531 */ 'C', 'M', 'P', '1', '6', 'r', 'r', 0,
-  /* 13539 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'r', 0,
-  /* 13550 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'r', 0,
-  /* 13560 */ 'L', 'A', 'R', '1', '6', 'r', 'r', 0,
-  /* 13568 */ 'X', 'O', 'R', '1', '6', 'r', 'r', 0,
-  /* 13576 */ 'B', 'S', 'R', '1', '6', 'r', 'r', 0,
-  /* 13584 */ 'B', 'T', 'R', '1', '6', 'r', 'r', 0,
-  /* 13592 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'r', 0,
-  /* 13603 */ 'B', 'T', 'S', '1', '6', 'r', 'r', 0,
-  /* 13611 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'r', 0,
-  /* 13621 */ 'B', 'T', '1', '6', 'r', 'r', 0,
-  /* 13628 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
-  /* 13638 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
-  /* 13648 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'r', 0,
-  /* 13657 */ 'O', 'U', 'T', '1', '6', 'r', 'r', 0,
-  /* 13665 */ 'M', 'O', 'V', '1', '6', 'r', 'r', 0,
-  /* 13673 */ 'S', 'B', 'B', '8', 'r', 'r', 0,
-  /* 13680 */ 'S', 'U', 'B', '8', 'r', 'r', 0,
-  /* 13687 */ 'A', 'D', 'C', '8', 'r', 'r', 0,
-  /* 13694 */ 'X', 'A', 'D', 'D', '8', 'r', 'r', 0,
-  /* 13702 */ 'A', 'N', 'D', '8', 'r', 'r', 0,
-  /* 13709 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'r', 0,
-  /* 13720 */ 'I', 'N', '8', 'r', 'r', 0,
-  /* 13726 */ 'C', 'M', 'P', '8', 'r', 'r', 0,
-  /* 13733 */ 'X', 'O', 'R', '8', 'r', 'r', 0,
-  /* 13740 */ 'T', 'E', 'S', 'T', '8', 'r', 'r', 0,
-  /* 13748 */ 'O', 'U', 'T', '8', 'r', 'r', 0,
-  /* 13755 */ 'M', 'O', 'V', '8', 'r', 'r', 0,
-  /* 13762 */ 'M', 'O', 'V', '3', '2', 's', 'r', 0,
-  /* 13770 */ 'M', 'O', 'V', '6', '4', 's', 'r', 0,
-  /* 13778 */ 'M', 'O', 'V', '1', '6', 's', 'r', 0,
-  /* 13786 */ 'M', 'O', 'V', '3', '2', 'm', 's', 0,
-  /* 13794 */ 'M', 'O', 'V', '6', '4', 'm', 's', 0,
-  /* 13802 */ 'M', 'O', 'V', '1', '6', 'm', 's', 0,
-  /* 13810 */ 'M', 'O', 'V', '3', '2', 'r', 's', 0,
-  /* 13818 */ 'M', 'O', 'V', '6', '4', 'r', 's', 0,
-  /* 13826 */ 'M', 'O', 'V', '1', '6', 'r', 's', 0,
-  /* 13834 */ 'T', 'E', 'S', 'T', '6', '4', 'm', 'i', '3', '2', '_', 'a', 'l', 't', 0,
-  /* 13849 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'i', '3', '2', '_', 'a', 'l', 't', 0,
-  /* 13864 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 13877 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 13890 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 13902 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 13915 */ 'M', 'O', 'V', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 13927 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 13940 */ 'M', 'O', 'V', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 13952 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 13964 */ 'M', 'O', 'V', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 6712 */ 'C', 'O', 'P', 'Y', 0,
+  /* 6717 */ 'J', 'C', 'X', 'Z', 0,
+  /* 6722 */ 'J', 'R', 'C', 'X', 'Z', 0,
+  /* 6728 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'a', 0,
+  /* 6737 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'a', 0,
+  /* 6746 */ 'M', 'O', 'V', '3', '2', 'o', '3', '2', 'a', 0,
+  /* 6756 */ 'M', 'O', 'V', '6', '4', 'o', '3', '2', 'a', 0,
+  /* 6766 */ 'M', 'O', 'V', '6', '4', 'o', '6', '4', 'a', 0,
+  /* 6776 */ 'M', 'O', 'V', '6', '4', 'o', '1', '6', 'a', 0,
+  /* 6786 */ 'M', 'O', 'V', '1', '6', 'o', '1', '6', 'a', 0,
+  /* 6796 */ 'M', 'O', 'V', '6', '4', 'o', '8', 'a', 0,
+  /* 6805 */ 'M', 'O', 'V', '8', 'o', '8', 'a', 0,
+  /* 6813 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'b', 0,
+  /* 6822 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'b', 0,
+  /* 6831 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'c', 0,
+  /* 6840 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'c', 0,
+  /* 6849 */ 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 0,
+  /* 6864 */ 'M', 'O', 'V', '3', '2', 'r', 'c', 0,
+  /* 6872 */ 'M', 'O', 'V', '6', '4', 'r', 'c', 0,
+  /* 6880 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'd', 0,
+  /* 6889 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'd', 0,
+  /* 6898 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'd', 0,
+  /* 6907 */ 'O', 'R', '3', '2', 'm', 'r', 'L', 'o', 'c', 'k', 'e', 'd', 0,
+  /* 6920 */ 'M', 'O', 'V', '3', '2', 'r', 'd', 0,
+  /* 6928 */ 'M', 'O', 'V', '6', '4', 'r', 'd', 0,
+  /* 6936 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'e', 0,
+  /* 6945 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'e', 0,
+  /* 6954 */ 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 0,
+  /* 6968 */ 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 0,
+  /* 6981 */ 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 6994 */ 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 7010 */ 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 0,
+  /* 7022 */ 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 0,
+  /* 7034 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'i', 0,
+  /* 7045 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'i', 0,
+  /* 7055 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'i', 0,
+  /* 7066 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'i', 0,
+  /* 7076 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 'i', 0,
+  /* 7087 */ 'S', 'B', 'B', '3', '2', 'm', 'i', 0,
+  /* 7095 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'i', 0,
+  /* 7108 */ 'A', 'D', 'C', '3', '2', 'm', 'i', 0,
+  /* 7116 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'i', 0,
+  /* 7129 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'i', 0,
+  /* 7142 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'm', 'i', 0,
+  /* 7153 */ 'S', 'A', 'L', '3', '2', 'm', 'i', 0,
+  /* 7161 */ 'R', 'C', 'L', '3', '2', 'm', 'i', 0,
+  /* 7169 */ 'S', 'H', 'L', '3', '2', 'm', 'i', 0,
+  /* 7177 */ 'R', 'O', 'L', '3', '2', 'm', 'i', 0,
+  /* 7185 */ 'C', 'M', 'P', '3', '2', 'm', 'i', 0,
+  /* 7193 */ 'S', 'A', 'R', '3', '2', 'm', 'i', 0,
+  /* 7201 */ 'R', 'C', 'R', '3', '2', 'm', 'i', 0,
+  /* 7209 */ 'S', 'H', 'R', '3', '2', 'm', 'i', 0,
+  /* 7217 */ 'R', 'O', 'R', '3', '2', 'm', 'i', 0,
+  /* 7225 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'i', 0,
+  /* 7238 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'i', 0,
+  /* 7250 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', 0,
+  /* 7259 */ 'M', 'O', 'V', '3', '2', 'm', 'i', 0,
+  /* 7267 */ 'R', 'O', 'R', 'X', '3', '2', 'm', 'i', 0,
+  /* 7276 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'm', 'i', 0,
+  /* 7287 */ 'S', 'A', 'L', '6', '4', 'm', 'i', 0,
+  /* 7295 */ 'R', 'C', 'L', '6', '4', 'm', 'i', 0,
+  /* 7303 */ 'S', 'H', 'L', '6', '4', 'm', 'i', 0,
+  /* 7311 */ 'R', 'O', 'L', '6', '4', 'm', 'i', 0,
+  /* 7319 */ 'S', 'A', 'R', '6', '4', 'm', 'i', 0,
+  /* 7327 */ 'R', 'C', 'R', '6', '4', 'm', 'i', 0,
+  /* 7335 */ 'S', 'H', 'R', '6', '4', 'm', 'i', 0,
+  /* 7343 */ 'R', 'O', 'R', '6', '4', 'm', 'i', 0,
+  /* 7351 */ 'R', 'O', 'R', 'X', '6', '4', 'm', 'i', 0,
+  /* 7360 */ 'S', 'B', 'B', '1', '6', 'm', 'i', 0,
+  /* 7368 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'i', 0,
+  /* 7381 */ 'A', 'D', 'C', '1', '6', 'm', 'i', 0,
+  /* 7389 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'i', 0,
+  /* 7402 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'i', 0,
+  /* 7415 */ 'S', 'A', 'L', '1', '6', 'm', 'i', 0,
+  /* 7423 */ 'R', 'C', 'L', '1', '6', 'm', 'i', 0,
+  /* 7431 */ 'S', 'H', 'L', '1', '6', 'm', 'i', 0,
+  /* 7439 */ 'R', 'O', 'L', '1', '6', 'm', 'i', 0,
+  /* 7447 */ 'C', 'M', 'P', '1', '6', 'm', 'i', 0,
+  /* 7455 */ 'S', 'A', 'R', '1', '6', 'm', 'i', 0,
+  /* 7463 */ 'R', 'C', 'R', '1', '6', 'm', 'i', 0,
+  /* 7471 */ 'S', 'H', 'R', '1', '6', 'm', 'i', 0,
+  /* 7479 */ 'R', 'O', 'R', '1', '6', 'm', 'i', 0,
+  /* 7487 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'i', 0,
+  /* 7500 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'i', 0,
+  /* 7512 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', 0,
+  /* 7521 */ 'M', 'O', 'V', '1', '6', 'm', 'i', 0,
+  /* 7529 */ 'S', 'B', 'B', '8', 'm', 'i', 0,
+  /* 7536 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'i', 0,
+  /* 7548 */ 'A', 'D', 'C', '8', 'm', 'i', 0,
+  /* 7555 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'i', 0,
+  /* 7567 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'i', 0,
+  /* 7579 */ 'S', 'A', 'L', '8', 'm', 'i', 0,
+  /* 7586 */ 'R', 'C', 'L', '8', 'm', 'i', 0,
+  /* 7593 */ 'S', 'H', 'L', '8', 'm', 'i', 0,
+  /* 7600 */ 'R', 'O', 'L', '8', 'm', 'i', 0,
+  /* 7607 */ 'C', 'M', 'P', '8', 'm', 'i', 0,
+  /* 7614 */ 'S', 'A', 'R', '8', 'm', 'i', 0,
+  /* 7621 */ 'R', 'C', 'R', '8', 'm', 'i', 0,
+  /* 7628 */ 'S', 'H', 'R', '8', 'm', 'i', 0,
+  /* 7635 */ 'R', 'O', 'R', '8', 'm', 'i', 0,
+  /* 7642 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'i', 0,
+  /* 7654 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'i', 0,
+  /* 7665 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', 0,
+  /* 7673 */ 'M', 'O', 'V', '8', 'm', 'i', 0,
+  /* 7680 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'm', 'i', 0,
+  /* 7691 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 'i', 0,
+  /* 7701 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 'i', 0,
+  /* 7711 */ 'S', 'B', 'B', '3', '2', 'r', 'i', 0,
+  /* 7719 */ 'S', 'U', 'B', '3', '2', 'r', 'i', 0,
+  /* 7727 */ 'A', 'D', 'C', '3', '2', 'r', 'i', 0,
+  /* 7735 */ 'A', 'D', 'D', '3', '2', 'r', 'i', 0,
+  /* 7743 */ 'A', 'N', 'D', '3', '2', 'r', 'i', 0,
+  /* 7751 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'r', 'i', 0,
+  /* 7762 */ 'S', 'A', 'L', '3', '2', 'r', 'i', 0,
+  /* 7770 */ 'R', 'C', 'L', '3', '2', 'r', 'i', 0,
+  /* 7778 */ 'S', 'H', 'L', '3', '2', 'r', 'i', 0,
+  /* 7786 */ 'R', 'O', 'L', '3', '2', 'r', 'i', 0,
+  /* 7794 */ 'I', 'N', '3', '2', 'r', 'i', 0,
+  /* 7801 */ 'C', 'M', 'P', '3', '2', 'r', 'i', 0,
+  /* 7809 */ 'S', 'A', 'R', '3', '2', 'r', 'i', 0,
+  /* 7817 */ 'R', 'C', 'R', '3', '2', 'r', 'i', 0,
+  /* 7825 */ 'S', 'H', 'R', '3', '2', 'r', 'i', 0,
+  /* 7833 */ 'R', 'O', 'R', '3', '2', 'r', 'i', 0,
+  /* 7841 */ 'X', 'O', 'R', '3', '2', 'r', 'i', 0,
+  /* 7849 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', 0,
+  /* 7858 */ 'M', 'O', 'V', '3', '2', 'r', 'i', 0,
+  /* 7866 */ 'R', 'O', 'R', 'X', '3', '2', 'r', 'i', 0,
+  /* 7875 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'r', 'i', 0,
+  /* 7886 */ 'S', 'A', 'L', '6', '4', 'r', 'i', 0,
+  /* 7894 */ 'R', 'C', 'L', '6', '4', 'r', 'i', 0,
+  /* 7902 */ 'S', 'H', 'L', '6', '4', 'r', 'i', 0,
+  /* 7910 */ 'R', 'O', 'L', '6', '4', 'r', 'i', 0,
+  /* 7918 */ 'S', 'A', 'R', '6', '4', 'r', 'i', 0,
+  /* 7926 */ 'R', 'C', 'R', '6', '4', 'r', 'i', 0,
+  /* 7934 */ 'S', 'H', 'R', '6', '4', 'r', 'i', 0,
+  /* 7942 */ 'R', 'O', 'R', '6', '4', 'r', 'i', 0,
+  /* 7950 */ 'M', 'O', 'V', '6', '4', 'r', 'i', 0,
+  /* 7958 */ 'R', 'O', 'R', 'X', '6', '4', 'r', 'i', 0,
+  /* 7967 */ 'S', 'B', 'B', '1', '6', 'r', 'i', 0,
+  /* 7975 */ 'S', 'U', 'B', '1', '6', 'r', 'i', 0,
+  /* 7983 */ 'A', 'D', 'C', '1', '6', 'r', 'i', 0,
+  /* 7991 */ 'A', 'D', 'D', '1', '6', 'r', 'i', 0,
+  /* 7999 */ 'A', 'N', 'D', '1', '6', 'r', 'i', 0,
+  /* 8007 */ 'S', 'A', 'L', '1', '6', 'r', 'i', 0,
+  /* 8015 */ 'R', 'C', 'L', '1', '6', 'r', 'i', 0,
+  /* 8023 */ 'S', 'H', 'L', '1', '6', 'r', 'i', 0,
+  /* 8031 */ 'R', 'O', 'L', '1', '6', 'r', 'i', 0,
+  /* 8039 */ 'I', 'N', '1', '6', 'r', 'i', 0,
+  /* 8046 */ 'C', 'M', 'P', '1', '6', 'r', 'i', 0,
+  /* 8054 */ 'S', 'A', 'R', '1', '6', 'r', 'i', 0,
+  /* 8062 */ 'R', 'C', 'R', '1', '6', 'r', 'i', 0,
+  /* 8070 */ 'S', 'H', 'R', '1', '6', 'r', 'i', 0,
+  /* 8078 */ 'R', 'O', 'R', '1', '6', 'r', 'i', 0,
+  /* 8086 */ 'X', 'O', 'R', '1', '6', 'r', 'i', 0,
+  /* 8094 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', 0,
+  /* 8103 */ 'M', 'O', 'V', '1', '6', 'r', 'i', 0,
+  /* 8111 */ 'S', 'B', 'B', '8', 'r', 'i', 0,
+  /* 8118 */ 'S', 'U', 'B', '8', 'r', 'i', 0,
+  /* 8125 */ 'A', 'D', 'C', '8', 'r', 'i', 0,
+  /* 8132 */ 'A', 'D', 'D', '8', 'r', 'i', 0,
+  /* 8139 */ 'A', 'N', 'D', '8', 'r', 'i', 0,
+  /* 8146 */ 'S', 'A', 'L', '8', 'r', 'i', 0,
+  /* 8153 */ 'R', 'C', 'L', '8', 'r', 'i', 0,
+  /* 8160 */ 'S', 'H', 'L', '8', 'r', 'i', 0,
+  /* 8167 */ 'R', 'O', 'L', '8', 'r', 'i', 0,
+  /* 8174 */ 'I', 'N', '8', 'r', 'i', 0,
+  /* 8180 */ 'C', 'M', 'P', '8', 'r', 'i', 0,
+  /* 8187 */ 'S', 'A', 'R', '8', 'r', 'i', 0,
+  /* 8194 */ 'R', 'C', 'R', '8', 'r', 'i', 0,
+  /* 8201 */ 'S', 'H', 'R', '8', 'r', 'i', 0,
+  /* 8208 */ 'R', 'O', 'R', '8', 'r', 'i', 0,
+  /* 8215 */ 'X', 'O', 'R', '8', 'r', 'i', 0,
+  /* 8222 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', 0,
+  /* 8230 */ 'M', 'O', 'V', '8', 'r', 'i', 0,
+  /* 8237 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 'i', 0,
+  /* 8248 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 'i', 0,
+  /* 8258 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 'i', 0,
+  /* 8268 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '3', '2', 'm', 0,
+  /* 8280 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '3', '2', 'm', 0,
+  /* 8292 */ 'N', 'E', 'G', '3', '2', 'm', 0,
+  /* 8299 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'm', 0,
+  /* 8310 */ 'I', 'M', 'U', 'L', '3', '2', 'm', 0,
+  /* 8318 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'm', 0,
+  /* 8328 */ 'L', 'G', 'D', 'T', '3', '2', 'm', 0,
+  /* 8336 */ 'S', 'G', 'D', 'T', '3', '2', 'm', 0,
+  /* 8344 */ 'L', 'I', 'D', 'T', '3', '2', 'm', 0,
+  /* 8352 */ 'S', 'I', 'D', 'T', '3', '2', 'm', 0,
+  /* 8360 */ 'N', 'O', 'T', '3', '2', 'm', 0,
+  /* 8367 */ 'I', 'D', 'I', 'V', '3', '2', 'm', 0,
+  /* 8375 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'm', 0,
+  /* 8385 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'm', 0,
+  /* 8395 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '6', '4', 'm', 0,
+  /* 8407 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '6', '4', 'm', 0,
+  /* 8419 */ 'N', 'E', 'G', '6', '4', 'm', 0,
+  /* 8426 */ 'C', 'A', 'L', 'L', '6', '4', 'm', 0,
+  /* 8434 */ 'I', 'M', 'U', 'L', '6', '4', 'm', 0,
+  /* 8442 */ 'J', 'M', 'P', '6', '4', 'm', 0,
+  /* 8449 */ 'L', 'G', 'D', 'T', '6', '4', 'm', 0,
+  /* 8457 */ 'S', 'G', 'D', 'T', '6', '4', 'm', 0,
+  /* 8465 */ 'L', 'I', 'D', 'T', '6', '4', 'm', 0,
+  /* 8473 */ 'S', 'I', 'D', 'T', '6', '4', 'm', 0,
+  /* 8481 */ 'S', 'L', 'D', 'T', '6', '4', 'm', 0,
+  /* 8489 */ 'N', 'O', 'T', '6', '4', 'm', 0,
+  /* 8496 */ 'I', 'D', 'I', 'V', '6', '4', 'm', 0,
+  /* 8504 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '1', '6', 'm', 0,
+  /* 8516 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '1', '6', 'm', 0,
+  /* 8528 */ 'N', 'E', 'G', '1', '6', 'm', 0,
+  /* 8535 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'm', 0,
+  /* 8546 */ 'I', 'M', 'U', 'L', '1', '6', 'm', 0,
+  /* 8554 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'm', 0,
+  /* 8564 */ 'L', 'G', 'D', 'T', '1', '6', 'm', 0,
+  /* 8572 */ 'S', 'G', 'D', 'T', '1', '6', 'm', 0,
+  /* 8580 */ 'L', 'I', 'D', 'T', '1', '6', 'm', 0,
+  /* 8588 */ 'S', 'I', 'D', 'T', '1', '6', 'm', 0,
+  /* 8596 */ 'L', 'L', 'D', 'T', '1', '6', 'm', 0,
+  /* 8604 */ 'S', 'L', 'D', 'T', '1', '6', 'm', 0,
+  /* 8612 */ 'N', 'O', 'T', '1', '6', 'm', 0,
+  /* 8619 */ 'I', 'D', 'I', 'V', '1', '6', 'm', 0,
+  /* 8627 */ 'L', 'M', 'S', 'W', '1', '6', 'm', 0,
+  /* 8635 */ 'S', 'M', 'S', 'W', '1', '6', 'm', 0,
+  /* 8643 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'm', 0,
+  /* 8653 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'm', 0,
+  /* 8663 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '8', 'm', 0,
+  /* 8674 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '8', 'm', 0,
+  /* 8685 */ 'N', 'E', 'G', '8', 'm', 0,
+  /* 8691 */ 'I', 'M', 'U', 'L', '8', 'm', 0,
+  /* 8698 */ 'N', 'O', 'T', '8', 'm', 0,
+  /* 8704 */ 'I', 'D', 'I', 'V', '8', 'm', 0,
+  /* 8711 */ 'S', 'E', 'T', 'A', 'm', 0,
+  /* 8717 */ 'S', 'E', 'T', 'B', 'm', 0,
+  /* 8723 */ 'V', 'M', 'P', 'T', 'R', 'L', 'D', 'm', 0,
+  /* 8732 */ 'S', 'E', 'T', 'A', 'E', 'm', 0,
+  /* 8739 */ 'S', 'E', 'T', 'B', 'E', 'm', 0,
+  /* 8746 */ 'S', 'E', 'T', 'G', 'E', 'm', 0,
+  /* 8753 */ 'S', 'E', 'T', 'L', 'E', 'm', 0,
+  /* 8760 */ 'S', 'E', 'T', 'N', 'E', 'm', 0,
+  /* 8767 */ 'S', 'E', 'T', 'E', 'm', 0,
+  /* 8773 */ 'S', 'E', 'T', 'G', 'm', 0,
+  /* 8779 */ 'S', 'E', 'T', 'L', 'm', 0,
+  /* 8785 */ 'S', 'E', 'T', 'N', 'O', 'm', 0,
+  /* 8792 */ 'S', 'E', 'T', 'O', 'm', 0,
+  /* 8798 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'm', 0,
+  /* 8807 */ 'S', 'E', 'T', 'N', 'P', 'm', 0,
+  /* 8814 */ 'S', 'E', 'T', 'P', 'm', 0,
+  /* 8820 */ 'V', 'M', 'C', 'L', 'E', 'A', 'R', 'm', 0,
+  /* 8829 */ 'V', 'E', 'R', 'R', 'm', 0,
+  /* 8835 */ 'L', 'T', 'R', 'm', 0,
+  /* 8840 */ 'S', 'T', 'R', 'm', 0,
+  /* 8845 */ 'S', 'E', 'T', 'N', 'S', 'm', 0,
+  /* 8852 */ 'S', 'E', 'T', 'S', 'm', 0,
+  /* 8858 */ 'V', 'M', 'P', 'T', 'R', 'S', 'T', 'm', 0,
+  /* 8867 */ 'V', 'E', 'R', 'W', 'm', 0,
+  /* 8873 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'm', 0,
+  /* 8883 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'm', 0,
+  /* 8892 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'm', 0,
+  /* 8902 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'm', 0,
+  /* 8911 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'm', 0,
+  /* 8921 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'm', 0,
+  /* 8930 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'm', 0,
+  /* 8940 */ 'S', 'B', 'B', '3', '2', 'r', 'm', 0,
+  /* 8948 */ 'S', 'U', 'B', '3', '2', 'r', 'm', 0,
+  /* 8956 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'm', 0,
+  /* 8966 */ 'A', 'D', 'C', '3', '2', 'r', 'm', 0,
+  /* 8974 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'm', 0,
+  /* 8984 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'm', 0,
+  /* 8994 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'm', 0,
+  /* 9005 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'm', 0,
+  /* 9016 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'm', 0,
+  /* 9025 */ 'A', 'N', 'D', '3', '2', 'r', 'm', 0,
+  /* 9033 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'm', 0,
+  /* 9044 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'm', 0,
+  /* 9055 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'm', 0,
+  /* 9066 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'm', 0,
+  /* 9077 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'm', 0,
+  /* 9088 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'm', 0,
+  /* 9100 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'm', 0,
+  /* 9110 */ 'B', 'S', 'F', '3', '2', 'r', 'm', 0,
+  /* 9118 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'm', 0,
+  /* 9130 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'm', 0,
+  /* 9140 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'm', 0,
+  /* 9149 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'm', 0,
+  /* 9158 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'm', 0,
+  /* 9167 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
+  /* 9178 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
+  /* 9189 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
+  /* 9199 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
+  /* 9211 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
+  /* 9223 */ 'L', 'S', 'L', '3', '2', 'r', 'm', 0,
+  /* 9231 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 0,
+  /* 9240 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'm', 0,
+  /* 9250 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'm', 0,
+  /* 9259 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'm', 0,
+  /* 9270 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'm', 0,
+  /* 9280 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'm', 0,
+  /* 9289 */ 'C', 'M', 'P', '3', '2', 'r', 'm', 0,
+  /* 9297 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'm', 0,
+  /* 9308 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'm', 0,
+  /* 9318 */ 'L', 'A', 'R', '3', '2', 'r', 'm', 0,
+  /* 9326 */ 'X', 'O', 'R', '3', '2', 'r', 'm', 0,
+  /* 9334 */ 'B', 'S', 'R', '3', '2', 'r', 'm', 0,
+  /* 9342 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'm', 0,
+  /* 9351 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'm', 0,
+  /* 9361 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'm', 0,
+  /* 9370 */ 'L', 'D', 'S', '3', '2', 'r', 'm', 0,
+  /* 9378 */ 'B', 'O', 'U', 'N', 'D', 'S', '3', '2', 'r', 'm', 0,
+  /* 9389 */ 'L', 'E', 'S', '3', '2', 'r', 'm', 0,
+  /* 9397 */ 'L', 'F', 'S', '3', '2', 'r', 'm', 0,
+  /* 9405 */ 'L', 'G', 'S', '3', '2', 'r', 'm', 0,
+  /* 9413 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'm', 0,
+  /* 9424 */ 'L', 'S', 'S', '3', '2', 'r', 'm', 0,
+  /* 9432 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'm', 0,
+  /* 9442 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
+  /* 9452 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
+  /* 9462 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'm', 0,
+  /* 9471 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'm', 0,
+  /* 9480 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '3', '2', 'r', 'm', 0,
+  /* 9496 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'm', 0,
+  /* 9505 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'm', 0,
+  /* 9514 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'm', 0,
+  /* 9523 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'm', 0,
+  /* 9532 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'm', 0,
+  /* 9541 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'm', 0,
+  /* 9550 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'm', 0,
+  /* 9560 */ 'S', 'B', 'B', '6', '4', 'r', 'm', 0,
+  /* 9568 */ 'S', 'U', 'B', '6', '4', 'r', 'm', 0,
+  /* 9576 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'm', 0,
+  /* 9586 */ 'A', 'D', 'C', '6', '4', 'r', 'm', 0,
+  /* 9594 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'm', 0,
+  /* 9604 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'm', 0,
+  /* 9614 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'm', 0,
+  /* 9625 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'm', 0,
+  /* 9636 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'm', 0,
+  /* 9645 */ 'A', 'N', 'D', '6', '4', 'r', 'm', 0,
+  /* 9653 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'm', 0,
+  /* 9664 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'm', 0,
+  /* 9675 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'm', 0,
+  /* 9686 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'm', 0,
+  /* 9697 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'm', 0,
+  /* 9708 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'm', 0,
+  /* 9720 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'm', 0,
+  /* 9730 */ 'B', 'S', 'F', '6', '4', 'r', 'm', 0,
+  /* 9738 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'm', 0,
+  /* 9750 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'm', 0,
+  /* 9760 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'm', 0,
+  /* 9769 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'm', 0,
+  /* 9778 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'm', 0,
+  /* 9787 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
+  /* 9798 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
+  /* 9809 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
+  /* 9819 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
+  /* 9831 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
+  /* 9843 */ 'L', 'S', 'L', '6', '4', 'r', 'm', 0,
+  /* 9851 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 0,
+  /* 9860 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'm', 0,
+  /* 9870 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'm', 0,
+  /* 9879 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'm', 0,
+  /* 9890 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'm', 0,
+  /* 9900 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'm', 0,
+  /* 9909 */ 'C', 'M', 'P', '6', '4', 'r', 'm', 0,
+  /* 9917 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'm', 0,
+  /* 9928 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'm', 0,
+  /* 9938 */ 'L', 'A', 'R', '6', '4', 'r', 'm', 0,
+  /* 9946 */ 'X', 'O', 'R', '6', '4', 'r', 'm', 0,
+  /* 9954 */ 'B', 'S', 'R', '6', '4', 'r', 'm', 0,
+  /* 9962 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'm', 0,
+  /* 9971 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'm', 0,
+  /* 9981 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'm', 0,
+  /* 9990 */ 'L', 'F', 'S', '6', '4', 'r', 'm', 0,
+  /* 9998 */ 'L', 'G', 'S', '6', '4', 'r', 'm', 0,
+  /* 10006 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'm', 0,
+  /* 10017 */ 'L', 'S', 'S', '6', '4', 'r', 'm', 0,
+  /* 10025 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'm', 0,
+  /* 10035 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
+  /* 10045 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
+  /* 10055 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'm', 0,
+  /* 10064 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'm', 0,
+  /* 10073 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '6', '4', 'r', 'm', 0,
+  /* 10089 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'm', 0,
+  /* 10098 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'm', 0,
+  /* 10107 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'm', 0,
+  /* 10116 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'm', 0,
+  /* 10125 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'm', 0,
+  /* 10134 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'm', 0,
+  /* 10143 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'm', 0,
+  /* 10153 */ 'S', 'B', 'B', '1', '6', 'r', 'm', 0,
+  /* 10161 */ 'S', 'U', 'B', '1', '6', 'r', 'm', 0,
+  /* 10169 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'm', 0,
+  /* 10179 */ 'A', 'D', 'C', '1', '6', 'r', 'm', 0,
+  /* 10187 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'm', 0,
+  /* 10196 */ 'A', 'N', 'D', '1', '6', 'r', 'm', 0,
+  /* 10204 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'm', 0,
+  /* 10215 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'm', 0,
+  /* 10226 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'm', 0,
+  /* 10237 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'm', 0,
+  /* 10248 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'm', 0,
+  /* 10259 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'm', 0,
+  /* 10269 */ 'B', 'S', 'F', '1', '6', 'r', 'm', 0,
+  /* 10277 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'm', 0,
+  /* 10289 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'm', 0,
+  /* 10299 */ 'L', 'S', 'L', '1', '6', 'r', 'm', 0,
+  /* 10307 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 0,
+  /* 10316 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'm', 0,
+  /* 10326 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'm', 0,
+  /* 10337 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'm', 0,
+  /* 10347 */ 'C', 'M', 'P', '1', '6', 'r', 'm', 0,
+  /* 10355 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'm', 0,
+  /* 10366 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'm', 0,
+  /* 10376 */ 'L', 'A', 'R', '1', '6', 'r', 'm', 0,
+  /* 10384 */ 'X', 'O', 'R', '1', '6', 'r', 'm', 0,
+  /* 10392 */ 'B', 'S', 'R', '1', '6', 'r', 'm', 0,
+  /* 10400 */ 'L', 'D', 'S', '1', '6', 'r', 'm', 0,
+  /* 10408 */ 'B', 'O', 'U', 'N', 'D', 'S', '1', '6', 'r', 'm', 0,
+  /* 10419 */ 'L', 'E', 'S', '1', '6', 'r', 'm', 0,
+  /* 10427 */ 'L', 'F', 'S', '1', '6', 'r', 'm', 0,
+  /* 10435 */ 'L', 'G', 'S', '1', '6', 'r', 'm', 0,
+  /* 10443 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'm', 0,
+  /* 10454 */ 'L', 'S', 'S', '1', '6', 'r', 'm', 0,
+  /* 10462 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'm', 0,
+  /* 10472 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
+  /* 10482 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
+  /* 10492 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'm', 0,
+  /* 10501 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '1', '6', 'r', 'm', 0,
+  /* 10517 */ 'S', 'B', 'B', '8', 'r', 'm', 0,
+  /* 10524 */ 'S', 'U', 'B', '8', 'r', 'm', 0,
+  /* 10531 */ 'A', 'D', 'C', '8', 'r', 'm', 0,
+  /* 10538 */ 'X', 'A', 'D', 'D', '8', 'r', 'm', 0,
+  /* 10546 */ 'A', 'N', 'D', '8', 'r', 'm', 0,
+  /* 10553 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'm', 0,
+  /* 10564 */ 'C', 'M', 'P', '8', 'r', 'm', 0,
+  /* 10571 */ 'X', 'O', 'R', '8', 'r', 'm', 0,
+  /* 10578 */ 'T', 'E', 'S', 'T', '8', 'r', 'm', 0,
+  /* 10586 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '8', 'r', 'm', 0,
+  /* 10601 */ 'M', 'O', 'V', '3', '2', 's', 'm', 0,
+  /* 10609 */ 'M', 'O', 'V', '6', '4', 's', 'm', 0,
+  /* 10617 */ 'M', 'O', 'V', '1', '6', 's', 'm', 0,
+  /* 10625 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 0,
+  /* 10639 */ 'L', 'E', 'A', '3', '2', 'r', 0,
+  /* 10646 */ 'D', 'E', 'C', '3', '2', 'r', 0,
+  /* 10653 */ 'I', 'N', 'C', '3', '2', 'r', 0,
+  /* 10660 */ 'M', 'O', 'V', 'P', 'C', '3', '2', 'r', 0,
+  /* 10669 */ 'S', 'E', 'T', 'B', '_', 'C', '3', '2', 'r', 0,
+  /* 10679 */ 'R', 'D', 'S', 'E', 'E', 'D', '3', '2', 'r', 0,
+  /* 10689 */ 'R', 'D', 'R', 'A', 'N', 'D', '3', '2', 'r', 0,
+  /* 10699 */ 'N', 'E', 'G', '3', '2', 'r', 0,
+  /* 10706 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 0,
+  /* 10714 */ 'C', 'A', 'L', 'L', '3', '2', 'r', 0,
+  /* 10722 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 0,
+  /* 10730 */ 'B', 'S', 'W', 'A', 'P', '3', '2', 'r', 0,
+  /* 10739 */ 'J', 'M', 'P', '3', '2', 'r', 0,
+  /* 10746 */ 'P', 'O', 'P', '3', '2', 'r', 0,
+  /* 10753 */ 'S', 'T', 'R', '3', '2', 'r', 0,
+  /* 10760 */ 'S', 'L', 'D', 'T', '3', '2', 'r', 0,
+  /* 10768 */ 'N', 'O', 'T', '3', '2', 'r', 0,
+  /* 10775 */ 'I', 'D', 'I', 'V', '3', '2', 'r', 0,
+  /* 10783 */ 'S', 'M', 'S', 'W', '3', '2', 'r', 0,
+  /* 10791 */ 'D', 'E', 'C', '3', '2', '_', '3', '2', 'r', 0,
+  /* 10801 */ 'I', 'N', 'C', '3', '2', '_', '3', '2', 'r', 0,
+  /* 10811 */ 'L', 'E', 'A', '6', '4', '_', '3', '2', 'r', 0,
+  /* 10821 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'r', 0,
+  /* 10831 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'r', 0,
+  /* 10841 */ 'L', 'E', 'A', '6', '4', 'r', 0,
+  /* 10848 */ 'D', 'E', 'C', '6', '4', 'r', 0,
+  /* 10855 */ 'I', 'N', 'C', '6', '4', 'r', 0,
+  /* 10862 */ 'S', 'E', 'T', 'B', '_', 'C', '6', '4', 'r', 0,
+  /* 10872 */ 'R', 'D', 'S', 'E', 'E', 'D', '6', '4', 'r', 0,
+  /* 10882 */ 'R', 'D', 'R', 'A', 'N', 'D', '6', '4', 'r', 0,
+  /* 10892 */ 'N', 'E', 'G', '6', '4', 'r', 0,
+  /* 10899 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 0,
+  /* 10907 */ 'C', 'A', 'L', 'L', '6', '4', 'r', 0,
+  /* 10915 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 0,
+  /* 10923 */ 'B', 'S', 'W', 'A', 'P', '6', '4', 'r', 0,
+  /* 10932 */ 'J', 'M', 'P', '6', '4', 'r', 0,
+  /* 10939 */ 'P', 'O', 'P', '6', '4', 'r', 0,
+  /* 10946 */ 'S', 'T', 'R', '6', '4', 'r', 0,
+  /* 10953 */ 'S', 'L', 'D', 'T', '6', '4', 'r', 0,
+  /* 10961 */ 'N', 'O', 'T', '6', '4', 'r', 0,
+  /* 10968 */ 'I', 'D', 'I', 'V', '6', '4', 'r', 0,
+  /* 10976 */ 'S', 'M', 'S', 'W', '6', '4', 'r', 0,
+  /* 10984 */ 'L', 'E', 'A', '1', '6', 'r', 0,
+  /* 10991 */ 'D', 'E', 'C', '1', '6', 'r', 0,
+  /* 10998 */ 'I', 'N', 'C', '1', '6', 'r', 0,
+  /* 11005 */ 'S', 'E', 'T', 'B', '_', 'C', '1', '6', 'r', 0,
+  /* 11015 */ 'R', 'D', 'S', 'E', 'E', 'D', '1', '6', 'r', 0,
+  /* 11025 */ 'R', 'D', 'R', 'A', 'N', 'D', '1', '6', 'r', 0,
+  /* 11035 */ 'N', 'E', 'G', '1', '6', 'r', 0,
+  /* 11042 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 0,
+  /* 11050 */ 'C', 'A', 'L', 'L', '1', '6', 'r', 0,
+  /* 11058 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 0,
+  /* 11066 */ 'J', 'M', 'P', '1', '6', 'r', 0,
+  /* 11073 */ 'P', 'O', 'P', '1', '6', 'r', 0,
+  /* 11080 */ 'S', 'T', 'R', '1', '6', 'r', 0,
+  /* 11087 */ 'L', 'L', 'D', 'T', '1', '6', 'r', 0,
+  /* 11095 */ 'S', 'L', 'D', 'T', '1', '6', 'r', 0,
+  /* 11103 */ 'N', 'O', 'T', '1', '6', 'r', 0,
+  /* 11110 */ 'I', 'D', 'I', 'V', '1', '6', 'r', 0,
+  /* 11118 */ 'L', 'M', 'S', 'W', '1', '6', 'r', 0,
+  /* 11126 */ 'S', 'M', 'S', 'W', '1', '6', 'r', 0,
+  /* 11134 */ 'D', 'E', 'C', '3', '2', '_', '1', '6', 'r', 0,
+  /* 11144 */ 'I', 'N', 'C', '3', '2', '_', '1', '6', 'r', 0,
+  /* 11154 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'r', 0,
+  /* 11164 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'r', 0,
+  /* 11174 */ 'D', 'E', 'C', '8', 'r', 0,
+  /* 11180 */ 'I', 'N', 'C', '8', 'r', 0,
+  /* 11186 */ 'S', 'E', 'T', 'B', '_', 'C', '8', 'r', 0,
+  /* 11195 */ 'N', 'E', 'G', '8', 'r', 0,
+  /* 11201 */ 'I', 'M', 'U', 'L', '8', 'r', 0,
+  /* 11208 */ 'N', 'O', 'T', '8', 'r', 0,
+  /* 11214 */ 'I', 'D', 'I', 'V', '8', 'r', 0,
+  /* 11221 */ 'S', 'E', 'T', 'A', 'r', 0,
+  /* 11227 */ 'S', 'E', 'T', 'B', 'r', 0,
+  /* 11233 */ 'S', 'E', 'T', 'A', 'E', 'r', 0,
+  /* 11240 */ 'S', 'E', 'T', 'B', 'E', 'r', 0,
+  /* 11247 */ 'S', 'E', 'T', 'G', 'E', 'r', 0,
+  /* 11254 */ 'S', 'E', 'T', 'L', 'E', 'r', 0,
+  /* 11261 */ 'S', 'E', 'T', 'N', 'E', 'r', 0,
+  /* 11268 */ 'S', 'E', 'T', 'E', 'r', 0,
+  /* 11274 */ 'S', 'E', 'T', 'G', 'r', 0,
+  /* 11280 */ 'S', 'E', 'T', 'L', 'r', 0,
+  /* 11286 */ 'S', 'E', 'T', 'N', 'O', 'r', 0,
+  /* 11293 */ 'S', 'E', 'T', 'O', 'r', 0,
+  /* 11299 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'r', 0,
+  /* 11308 */ 'S', 'E', 'T', 'N', 'P', 'r', 0,
+  /* 11315 */ 'S', 'E', 'T', 'P', 'r', 0,
+  /* 11321 */ 'V', 'E', 'R', 'R', 'r', 0,
+  /* 11327 */ 'L', 'T', 'R', 'r', 0,
+  /* 11332 */ 'S', 'E', 'T', 'N', 'S', 'r', 0,
+  /* 11339 */ 'S', 'E', 'T', 'S', 'r', 0,
+  /* 11345 */ 'V', 'E', 'R', 'W', 'r', 0,
+  /* 11351 */ 'X', 'C', 'H', 'G', '3', '2', 'a', 'r', 0,
+  /* 11360 */ 'X', 'C', 'H', 'G', '6', '4', 'a', 'r', 0,
+  /* 11369 */ 'X', 'C', 'H', 'G', '1', '6', 'a', 'r', 0,
+  /* 11378 */ 'M', 'O', 'V', '3', '2', 'c', 'r', 0,
+  /* 11386 */ 'M', 'O', 'V', '6', '4', 'c', 'r', 0,
+  /* 11394 */ 'M', 'O', 'V', '3', '2', 'd', 'r', 0,
+  /* 11402 */ 'M', 'O', 'V', '6', '4', 'd', 'r', 0,
+  /* 11410 */ 'I', 'n', 't', '_', 'M', 'e', 'm', 'B', 'a', 'r', 'r', 'i', 'e', 'r', 0,
+  /* 11425 */ 'O', 'U', 'T', '3', '2', 'i', 'r', 0,
+  /* 11433 */ 'O', 'U', 'T', '1', '6', 'i', 'r', 0,
+  /* 11441 */ 'O', 'U', 'T', '8', 'i', 'r', 0,
+  /* 11448 */ 'S', 'B', 'B', '3', '2', 'm', 'r', 0,
+  /* 11456 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'r', 0,
+  /* 11469 */ 'A', 'D', 'C', '3', '2', 'm', 'r', 0,
+  /* 11477 */ 'B', 'T', 'C', '3', '2', 'm', 'r', 0,
+  /* 11485 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'r', 0,
+  /* 11498 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'r', 0,
+  /* 11511 */ 'M', 'O', 'V', 'B', 'E', '3', '2', 'm', 'r', 0,
+  /* 11521 */ 'C', 'M', 'P', '3', '2', 'm', 'r', 0,
+  /* 11529 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'r', 0,
+  /* 11542 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'r', 0,
+  /* 11554 */ 'B', 'T', 'R', '3', '2', 'm', 'r', 0,
+  /* 11562 */ 'B', 'T', 'S', '3', '2', 'm', 'r', 0,
+  /* 11570 */ 'B', 'T', '3', '2', 'm', 'r', 0,
+  /* 11577 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '3', '2', 'm', 'r', 0,
+  /* 11593 */ 'S', 'B', 'B', '6', '4', 'm', 'r', 0,
+  /* 11601 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'r', 0,
+  /* 11614 */ 'A', 'D', 'C', '6', '4', 'm', 'r', 0,
+  /* 11622 */ 'B', 'T', 'C', '6', '4', 'm', 'r', 0,
+  /* 11630 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'r', 0,
+  /* 11643 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'r', 0,
+  /* 11656 */ 'M', 'O', 'V', 'B', 'E', '6', '4', 'm', 'r', 0,
+  /* 11666 */ 'C', 'M', 'P', '6', '4', 'm', 'r', 0,
+  /* 11674 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'r', 0,
+  /* 11687 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'r', 0,
+  /* 11699 */ 'B', 'T', 'R', '6', '4', 'm', 'r', 0,
+  /* 11707 */ 'B', 'T', 'S', '6', '4', 'm', 'r', 0,
+  /* 11715 */ 'B', 'T', '6', '4', 'm', 'r', 0,
+  /* 11722 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '6', '4', 'm', 'r', 0,
+  /* 11738 */ 'S', 'B', 'B', '1', '6', 'm', 'r', 0,
+  /* 11746 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'r', 0,
+  /* 11759 */ 'A', 'D', 'C', '1', '6', 'm', 'r', 0,
+  /* 11767 */ 'B', 'T', 'C', '1', '6', 'm', 'r', 0,
+  /* 11775 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'r', 0,
+  /* 11788 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'r', 0,
+  /* 11801 */ 'M', 'O', 'V', 'B', 'E', '1', '6', 'm', 'r', 0,
+  /* 11811 */ 'A', 'R', 'P', 'L', '1', '6', 'm', 'r', 0,
+  /* 11820 */ 'C', 'M', 'P', '1', '6', 'm', 'r', 0,
+  /* 11828 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'r', 0,
+  /* 11841 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'r', 0,
+  /* 11853 */ 'B', 'T', 'R', '1', '6', 'm', 'r', 0,
+  /* 11861 */ 'B', 'T', 'S', '1', '6', 'm', 'r', 0,
+  /* 11869 */ 'B', 'T', '1', '6', 'm', 'r', 0,
+  /* 11876 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '1', '6', 'm', 'r', 0,
+  /* 11892 */ 'S', 'B', 'B', '8', 'm', 'r', 0,
+  /* 11899 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'r', 0,
+  /* 11911 */ 'A', 'D', 'C', '8', 'm', 'r', 0,
+  /* 11918 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'r', 0,
+  /* 11930 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'r', 0,
+  /* 11942 */ 'C', 'M', 'P', '8', 'm', 'r', 0,
+  /* 11949 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'r', 0,
+  /* 11961 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'r', 0,
+  /* 11972 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '8', 'm', 'r', 0,
+  /* 11987 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'r', 0,
+  /* 11997 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'r', 0,
+  /* 12006 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'r', 0,
+  /* 12016 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'r', 0,
+  /* 12025 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'r', 0,
+  /* 12035 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'r', 0,
+  /* 12044 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'r', 0,
+  /* 12054 */ 'S', 'B', 'B', '3', '2', 'r', 'r', 0,
+  /* 12062 */ 'S', 'U', 'B', '3', '2', 'r', 'r', 0,
+  /* 12070 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'r', 0,
+  /* 12080 */ 'A', 'D', 'C', '3', '2', 'r', 'r', 0,
+  /* 12088 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'r', 0,
+  /* 12098 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'r', 0,
+  /* 12108 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'r', 0,
+  /* 12119 */ 'B', 'T', 'C', '3', '2', 'r', 'r', 0,
+  /* 12127 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'r', 0,
+  /* 12138 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'r', 0,
+  /* 12147 */ 'A', 'N', 'D', '3', '2', 'r', 'r', 0,
+  /* 12155 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'r', 0,
+  /* 12166 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'r', 0,
+  /* 12177 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'r', 0,
+  /* 12188 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'r', 0,
+  /* 12199 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'r', 0,
+  /* 12210 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'r', 0,
+  /* 12222 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'r', 0,
+  /* 12232 */ 'B', 'S', 'F', '3', '2', 'r', 'r', 0,
+  /* 12240 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'r', 0,
+  /* 12252 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'r', 0,
+  /* 12262 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'r', 0,
+  /* 12271 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'r', 0,
+  /* 12280 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'r', 0,
+  /* 12289 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
+  /* 12300 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
+  /* 12311 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
+  /* 12321 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
+  /* 12333 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
+  /* 12345 */ 'L', 'S', 'L', '3', '2', 'r', 'r', 0,
+  /* 12353 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 0,
+  /* 12362 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'r', 0,
+  /* 12372 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'r', 0,
+  /* 12381 */ 'I', 'N', '3', '2', 'r', 'r', 0,
+  /* 12388 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'r', 0,
+  /* 12399 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'r', 0,
+  /* 12409 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'r', 0,
+  /* 12418 */ 'C', 'M', 'P', '3', '2', 'r', 'r', 0,
+  /* 12426 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'r', 0,
+  /* 12437 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'r', 0,
+  /* 12447 */ 'L', 'A', 'R', '3', '2', 'r', 'r', 0,
+  /* 12455 */ 'X', 'O', 'R', '3', '2', 'r', 'r', 0,
+  /* 12463 */ 'B', 'S', 'R', '3', '2', 'r', 'r', 0,
+  /* 12471 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'r', 0,
+  /* 12480 */ 'B', 'T', 'R', '3', '2', 'r', 'r', 0,
+  /* 12488 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'r', 0,
+  /* 12498 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'r', 0,
+  /* 12507 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'r', 0,
+  /* 12518 */ 'B', 'T', 'S', '3', '2', 'r', 'r', 0,
+  /* 12526 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'r', 0,
+  /* 12536 */ 'B', 'T', '3', '2', 'r', 'r', 0,
+  /* 12543 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
+  /* 12553 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
+  /* 12563 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'r', 0,
+  /* 12572 */ 'O', 'U', 'T', '3', '2', 'r', 'r', 0,
+  /* 12580 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'r', 0,
+  /* 12589 */ 'M', 'O', 'V', '3', '2', 'r', 'r', 0,
+  /* 12597 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'r', 0,
+  /* 12606 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'r', 0,
+  /* 12615 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'r', 0,
+  /* 12624 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'r', 0,
+  /* 12633 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'r', 0,
+  /* 12642 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'r', 0,
+  /* 12651 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'r', 0,
+  /* 12661 */ 'S', 'B', 'B', '6', '4', 'r', 'r', 0,
+  /* 12669 */ 'S', 'U', 'B', '6', '4', 'r', 'r', 0,
+  /* 12677 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'r', 0,
+  /* 12687 */ 'A', 'D', 'C', '6', '4', 'r', 'r', 0,
+  /* 12695 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'r', 0,
+  /* 12705 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'r', 0,
+  /* 12715 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'r', 0,
+  /* 12726 */ 'B', 'T', 'C', '6', '4', 'r', 'r', 0,
+  /* 12734 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'r', 0,
+  /* 12745 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'r', 0,
+  /* 12754 */ 'A', 'N', 'D', '6', '4', 'r', 'r', 0,
+  /* 12762 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'r', 0,
+  /* 12773 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'r', 0,
+  /* 12784 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'r', 0,
+  /* 12795 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'r', 0,
+  /* 12806 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'r', 0,
+  /* 12817 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'r', 0,
+  /* 12829 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'r', 0,
+  /* 12839 */ 'B', 'S', 'F', '6', '4', 'r', 'r', 0,
+  /* 12847 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'r', 0,
+  /* 12859 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'r', 0,
+  /* 12869 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'r', 0,
+  /* 12878 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'r', 0,
+  /* 12887 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'r', 0,
+  /* 12896 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
+  /* 12907 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
+  /* 12918 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
+  /* 12928 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
+  /* 12940 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
+  /* 12952 */ 'L', 'S', 'L', '6', '4', 'r', 'r', 0,
+  /* 12960 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 0,
+  /* 12969 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'r', 0,
+  /* 12979 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'r', 0,
+  /* 12988 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'r', 0,
+  /* 12999 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'r', 0,
+  /* 13009 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'r', 0,
+  /* 13018 */ 'C', 'M', 'P', '6', '4', 'r', 'r', 0,
+  /* 13026 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'r', 0,
+  /* 13037 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'r', 0,
+  /* 13047 */ 'L', 'A', 'R', '6', '4', 'r', 'r', 0,
+  /* 13055 */ 'X', 'O', 'R', '6', '4', 'r', 'r', 0,
+  /* 13063 */ 'B', 'S', 'R', '6', '4', 'r', 'r', 0,
+  /* 13071 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'r', 0,
+  /* 13080 */ 'B', 'T', 'R', '6', '4', 'r', 'r', 0,
+  /* 13088 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'r', 0,
+  /* 13098 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'r', 0,
+  /* 13107 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'r', 0,
+  /* 13118 */ 'B', 'T', 'S', '6', '4', 'r', 'r', 0,
+  /* 13126 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'r', 0,
+  /* 13136 */ 'B', 'T', '6', '4', 'r', 'r', 0,
+  /* 13143 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
+  /* 13153 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
+  /* 13163 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'r', 0,
+  /* 13172 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'r', 0,
+  /* 13181 */ 'M', 'O', 'V', '6', '4', 'r', 'r', 0,
+  /* 13189 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'r', 0,
+  /* 13198 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'r', 0,
+  /* 13207 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'r', 0,
+  /* 13216 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'r', 0,
+  /* 13225 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'r', 0,
+  /* 13234 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'r', 0,
+  /* 13243 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'r', 0,
+  /* 13253 */ 'S', 'B', 'B', '1', '6', 'r', 'r', 0,
+  /* 13261 */ 'S', 'U', 'B', '1', '6', 'r', 'r', 0,
+  /* 13269 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'r', 0,
+  /* 13279 */ 'A', 'D', 'C', '1', '6', 'r', 'r', 0,
+  /* 13287 */ 'B', 'T', 'C', '1', '6', 'r', 'r', 0,
+  /* 13295 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'r', 0,
+  /* 13304 */ 'A', 'N', 'D', '1', '6', 'r', 'r', 0,
+  /* 13312 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'r', 0,
+  /* 13323 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'r', 0,
+  /* 13334 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'r', 0,
+  /* 13345 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'r', 0,
+  /* 13356 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'r', 0,
+  /* 13367 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'r', 0,
+  /* 13377 */ 'B', 'S', 'F', '1', '6', 'r', 'r', 0,
+  /* 13385 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'r', 0,
+  /* 13397 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'r', 0,
+  /* 13407 */ 'A', 'R', 'P', 'L', '1', '6', 'r', 'r', 0,
+  /* 13416 */ 'L', 'S', 'L', '1', '6', 'r', 'r', 0,
+  /* 13424 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 0,
+  /* 13433 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'r', 0,
+  /* 13443 */ 'I', 'N', '1', '6', 'r', 'r', 0,
+  /* 13450 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'r', 0,
+  /* 13461 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'r', 0,
+  /* 13471 */ 'C', 'M', 'P', '1', '6', 'r', 'r', 0,
+  /* 13479 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'r', 0,
+  /* 13490 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'r', 0,
+  /* 13500 */ 'L', 'A', 'R', '1', '6', 'r', 'r', 0,
+  /* 13508 */ 'X', 'O', 'R', '1', '6', 'r', 'r', 0,
+  /* 13516 */ 'B', 'S', 'R', '1', '6', 'r', 'r', 0,
+  /* 13524 */ 'B', 'T', 'R', '1', '6', 'r', 'r', 0,
+  /* 13532 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'r', 0,
+  /* 13543 */ 'B', 'T', 'S', '1', '6', 'r', 'r', 0,
+  /* 13551 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'r', 0,
+  /* 13561 */ 'B', 'T', '1', '6', 'r', 'r', 0,
+  /* 13568 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
+  /* 13578 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
+  /* 13588 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'r', 0,
+  /* 13597 */ 'O', 'U', 'T', '1', '6', 'r', 'r', 0,
+  /* 13605 */ 'M', 'O', 'V', '1', '6', 'r', 'r', 0,
+  /* 13613 */ 'S', 'B', 'B', '8', 'r', 'r', 0,
+  /* 13620 */ 'S', 'U', 'B', '8', 'r', 'r', 0,
+  /* 13627 */ 'A', 'D', 'C', '8', 'r', 'r', 0,
+  /* 13634 */ 'X', 'A', 'D', 'D', '8', 'r', 'r', 0,
+  /* 13642 */ 'A', 'N', 'D', '8', 'r', 'r', 0,
+  /* 13649 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'r', 0,
+  /* 13660 */ 'I', 'N', '8', 'r', 'r', 0,
+  /* 13666 */ 'C', 'M', 'P', '8', 'r', 'r', 0,
+  /* 13673 */ 'X', 'O', 'R', '8', 'r', 'r', 0,
+  /* 13680 */ 'T', 'E', 'S', 'T', '8', 'r', 'r', 0,
+  /* 13688 */ 'O', 'U', 'T', '8', 'r', 'r', 0,
+  /* 13695 */ 'M', 'O', 'V', '8', 'r', 'r', 0,
+  /* 13702 */ 'M', 'O', 'V', '3', '2', 's', 'r', 0,
+  /* 13710 */ 'M', 'O', 'V', '6', '4', 's', 'r', 0,
+  /* 13718 */ 'M', 'O', 'V', '1', '6', 's', 'r', 0,
+  /* 13726 */ 'M', 'O', 'V', '3', '2', 'm', 's', 0,
+  /* 13734 */ 'M', 'O', 'V', '6', '4', 'm', 's', 0,
+  /* 13742 */ 'M', 'O', 'V', '1', '6', 'm', 's', 0,
+  /* 13750 */ 'M', 'O', 'V', '3', '2', 'r', 's', 0,
+  /* 13758 */ 'M', 'O', 'V', '6', '4', 'r', 's', 0,
+  /* 13766 */ 'M', 'O', 'V', '1', '6', 'r', 's', 0,
+  /* 13774 */ 'T', 'E', 'S', 'T', '6', '4', 'm', 'i', '3', '2', '_', 'a', 'l', 't', 0,
+  /* 13789 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'i', '3', '2', '_', 'a', 'l', 't', 0,
+  /* 13804 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 13817 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 13830 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 13842 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 13855 */ 'M', 'O', 'V', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 13867 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 13880 */ 'M', 'O', 'V', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 13892 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 13904 */ 'M', 'O', 'V', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
 };
 
 static const unsigned X86InstrNameIndices[] = {
     4941U, 5778U, 5792U, 5654U, 5645U, 5693U, 4872U, 4887U, 
-    4842U, 4901U, 6040U, 4820U, 4725U, 6772U, 4743U, 6127U, 
+    4842U, 4901U, 6040U, 4820U, 4725U, 6712U, 4743U, 6127U, 
     4680U, 5833U, 6107U, 4693U, 4381U, 3235U, 3256U, 6003U, 
-    10561U, 9540U, 10133U, 10646U, 2898U, 7441U, 3570U, 11819U, 
-    8043U, 3925U, 10239U, 13339U, 6372U, 1112U, 7168U, 3308U, 
-    11529U, 7787U, 3729U, 9026U, 12140U, 6180U, 1185U, 1283U, 
-    3439U, 11674U, 1415U, 3827U, 9646U, 12747U, 6276U, 3228U, 
-    7608U, 11971U, 8185U, 10591U, 13687U, 6466U, 9556U, 12657U, 
-    10149U, 13249U, 2907U, 7454U, 3593U, 11840U, 8051U, 3943U, 
-    4485U, 4508U, 10248U, 13356U, 4541U, 6384U, 1121U, 7181U, 
-    3331U, 11550U, 7795U, 3747U, 4461U, 4497U, 9077U, 12199U, 
-    4519U, 6192U, 1194U, 1298U, 3462U, 11695U, 1425U, 4448U, 
-    3845U, 4473U, 9697U, 12806U, 4530U, 6288U, 3242U, 7620U, 
-    11983U, 8192U, 4013U, 10599U, 13695U, 6477U, 793U, 1975U, 
-    823U, 2014U, 9583U, 12684U, 10176U, 13276U, 2916U, 7467U, 
-    3607U, 11853U, 8059U, 3952U, 10256U, 13364U, 6396U, 1130U, 
-    7194U, 3345U, 11563U, 7803U, 3756U, 9085U, 12207U, 6204U, 
-    1203U, 1313U, 3476U, 11708U, 1435U, 3854U, 9705U, 12814U, 
-    6300U, 3249U, 7632U, 11995U, 8199U, 4021U, 10606U, 13702U, 
-    6488U, 9310U, 12432U, 9930U, 13039U, 11871U, 13467U, 9411U, 
-    12548U, 10031U, 13148U, 7202U, 7811U, 7336U, 7935U, 9259U, 
-    12381U, 9879U, 12988U, 9200U, 12322U, 9820U, 12929U, 9034U, 
-    12148U, 9654U, 12755U, 9227U, 12349U, 9847U, 12956U, 9421U, 
-    12558U, 10041U, 13158U, 9271U, 12393U, 9891U, 13000U, 9218U, 
-    12340U, 9838U, 12947U, 9044U, 12158U, 9664U, 12765U, 9238U, 
-    12360U, 9858U, 12967U, 9402U, 12531U, 10022U, 13131U, 10468U, 
-    9438U, 10329U, 13437U, 9170U, 12292U, 9790U, 12899U, 10452U, 
-    13576U, 9394U, 12523U, 10014U, 13123U, 10790U, 10983U, 3670U, 
-    11929U, 3997U, 13621U, 3408U, 11630U, 3801U, 12596U, 3539U, 
-    11775U, 3899U, 13196U, 3579U, 11827U, 3934U, 13347U, 3317U, 
-    11537U, 3738U, 12179U, 3448U, 11682U, 3836U, 12786U, 3652U, 
-    11913U, 3979U, 13584U, 3390U, 11614U, 3783U, 12540U, 3521U, 
-    11759U, 3881U, 13140U, 3661U, 11921U, 3988U, 13603U, 3399U, 
-    11622U, 3792U, 12578U, 3530U, 11767U, 3890U, 13178U, 9209U, 
-    12331U, 9829U, 12938U, 8598U, 11110U, 8362U, 10774U, 8486U, 
-    1498U, 10967U, 2961U, 1512U, 6532U, 5864U, 4772U, 4613U, 
-    4645U, 4676U, 4931U, 4945U, 6057U, 4649U, 10203U, 13303U, 
-    8990U, 12104U, 9610U, 12711U, 10264U, 13372U, 9093U, 12215U, 
-    9713U, 12822U, 10229U, 13329U, 9016U, 12130U, 9636U, 12737U, 
-    10275U, 13383U, 9104U, 12226U, 9724U, 12833U, 10319U, 13427U, 
-    9160U, 12282U, 9780U, 12889U, 10349U, 13457U, 9190U, 12312U, 
-    9810U, 12919U, 10286U, 13394U, 9115U, 12237U, 9735U, 12844U, 
-    10376U, 13493U, 9300U, 12422U, 9920U, 13029U, 10297U, 13405U, 
-    9126U, 12248U, 9746U, 12855U, 10308U, 13416U, 9137U, 12259U, 
-    9757U, 12866U, 10386U, 13510U, 9319U, 12448U, 9939U, 13048U, 
-    10415U, 13539U, 9357U, 12486U, 9977U, 13086U, 10503U, 13592U, 
-    9473U, 12567U, 10066U, 13167U, 10397U, 13521U, 9330U, 12459U, 
-    9950U, 13059U, 10426U, 13550U, 9368U, 12497U, 9988U, 13097U, 
-    10522U, 13611U, 9492U, 12586U, 10085U, 13186U, 840U, 2031U, 
+    10501U, 9480U, 10073U, 10586U, 2898U, 7381U, 3570U, 11759U, 
+    7983U, 3925U, 10179U, 13279U, 6372U, 1112U, 7108U, 3308U, 
+    11469U, 7727U, 3729U, 8966U, 12080U, 6180U, 1185U, 1283U, 
+    3439U, 11614U, 1415U, 3827U, 9586U, 12687U, 6276U, 3228U, 
+    7548U, 11911U, 8125U, 10531U, 13627U, 6466U, 9496U, 12597U, 
+    10089U, 13189U, 2907U, 7394U, 3593U, 11780U, 7991U, 3943U, 
+    4485U, 4508U, 10188U, 13296U, 4541U, 6384U, 1121U, 7121U, 
+    3331U, 11490U, 7735U, 3747U, 4461U, 4497U, 9017U, 12139U, 
+    4519U, 6192U, 1194U, 1298U, 3462U, 11635U, 1425U, 4448U, 
+    3845U, 4473U, 9637U, 12746U, 4530U, 6288U, 3242U, 7560U, 
+    11923U, 8132U, 4013U, 10539U, 13635U, 6477U, 793U, 1975U, 
+    823U, 2014U, 9523U, 12624U, 10116U, 13216U, 2916U, 7407U, 
+    3607U, 11793U, 7999U, 3952U, 10196U, 13304U, 6396U, 1130U, 
+    7134U, 3345U, 11503U, 7743U, 3756U, 9025U, 12147U, 6204U, 
+    1203U, 1313U, 3476U, 11648U, 1435U, 3854U, 9645U, 12754U, 
+    6300U, 3249U, 7572U, 11935U, 8139U, 4021U, 10546U, 13642U, 
+    6488U, 9250U, 12372U, 9870U, 12979U, 11811U, 13407U, 9351U, 
+    12488U, 9971U, 13088U, 7142U, 7751U, 7276U, 7875U, 9199U, 
+    12321U, 9819U, 12928U, 9140U, 12262U, 9760U, 12869U, 8974U, 
+    12088U, 9594U, 12695U, 9167U, 12289U, 9787U, 12896U, 9361U, 
+    12498U, 9981U, 13098U, 9211U, 12333U, 9831U, 12940U, 9158U, 
+    12280U, 9778U, 12887U, 8984U, 12098U, 9604U, 12705U, 9178U, 
+    12300U, 9798U, 12907U, 9342U, 12471U, 9962U, 13071U, 10408U, 
+    9378U, 10269U, 13377U, 9110U, 12232U, 9730U, 12839U, 10392U, 
+    13516U, 9334U, 12463U, 9954U, 13063U, 10730U, 10923U, 3670U, 
+    11869U, 3997U, 13561U, 3408U, 11570U, 3801U, 12536U, 3539U, 
+    11715U, 3899U, 13136U, 3579U, 11767U, 3934U, 13287U, 3317U, 
+    11477U, 3738U, 12119U, 3448U, 11622U, 3836U, 12726U, 3652U, 
+    11853U, 3979U, 13524U, 3390U, 11554U, 3783U, 12480U, 3521U, 
+    11699U, 3881U, 13080U, 3661U, 11861U, 3988U, 13543U, 3399U, 
+    11562U, 3792U, 12518U, 3530U, 11707U, 3890U, 13118U, 9149U, 
+    12271U, 9769U, 12878U, 8538U, 11050U, 8302U, 10714U, 8426U, 
+    1498U, 10907U, 2961U, 1512U, 6532U, 5864U, 4772U, 4613U, 
+    4645U, 4676U, 4931U, 4945U, 6057U, 4649U, 10143U, 13243U, 
+    8930U, 12044U, 9550U, 12651U, 10204U, 13312U, 9033U, 12155U, 
+    9653U, 12762U, 10169U, 13269U, 8956U, 12070U, 9576U, 12677U, 
+    10215U, 13323U, 9044U, 12166U, 9664U, 12773U, 10259U, 13367U, 
+    9100U, 12222U, 9720U, 12829U, 10289U, 13397U, 9130U, 12252U, 
+    9750U, 12859U, 10226U, 13334U, 9055U, 12177U, 9675U, 12784U, 
+    10316U, 13433U, 9240U, 12362U, 9860U, 12969U, 10237U, 13345U, 
+    9066U, 12188U, 9686U, 12795U, 10248U, 13356U, 9077U, 12199U, 
+    9697U, 12806U, 10326U, 13450U, 9259U, 12388U, 9879U, 12988U, 
+    10355U, 13479U, 9297U, 12426U, 9917U, 13026U, 10443U, 13532U, 
+    9413U, 12507U, 10006U, 13107U, 10337U, 13461U, 9270U, 12399U, 
+    9890U, 12999U, 10366U, 13490U, 9308U, 12437U, 9928U, 13037U, 
+    10462U, 13551U, 9432U, 12526U, 10025U, 13126U, 840U, 2031U, 
     2685U, 850U, 3178U, 812U, 1994U, 26U, 736U, 1853U, 
     1912U, 725U, 1864U, 1923U, 748U, 1875U, 1934U, 2925U, 
-    7507U, 3616U, 11880U, 8106U, 3961U, 10407U, 13531U, 6408U, 
-    1139U, 7245U, 3354U, 11581U, 7861U, 3765U, 9349U, 12478U, 
-    6216U, 1222U, 1323U, 3485U, 11726U, 1445U, 3863U, 9969U, 
-    13078U, 6312U, 3263U, 7667U, 12002U, 8240U, 10624U, 13726U, 
-    6499U, 4595U, 5727U, 5893U, 6572U, 4416U, 10337U, 13445U, 
-    9178U, 12300U, 9798U, 12907U, 4428U, 10613U, 13709U, 708U, 
-    1776U, 5824U, 6712U, 4721U, 4738U, 4385U, 6007U, 6662U, 
-    8569U, 11051U, 11194U, 10851U, 8333U, 10706U, 8703U, 11214U, 
-    8435U, 10881U, 8460U, 10908U, 8728U, 11234U, 8680U, 11171U, 
-    8428U, 10836U, 8557U, 11029U, 8765U, 11275U, 6722U, 5814U, 
-    1955U, 1556U, 2370U, 1574U, 2388U, 10685U, 5968U, 6732U, 
-    7115U, 8595U, 7094U, 8359U, 1945U, 7126U, 8614U, 7105U, 
-    8378U, 2005U, 5771U, 6742U, 4633U, 6752U, 6103U, 8679U, 
-    11170U, 8427U, 10835U, 8556U, 11028U, 8764U, 11274U, 8606U, 
-    11118U, 10367U, 7761U, 3700U, 13484U, 8318U, 4191U, 8370U, 
-    10782U, 9291U, 7751U, 3678U, 12413U, 8308U, 4125U, 8494U, 
-    10975U, 9911U, 1383U, 3689U, 13020U, 1486U, 4158U, 8751U, 
-    11261U, 8099U, 13503U, 7854U, 12441U, 8234U, 13720U, 8581U, 
-    11058U, 11204U, 10861U, 8345U, 10713U, 8713U, 11224U, 8445U, 
-    10891U, 8472U, 10915U, 8739U, 11240U, 4584U, 5716U, 6561U, 
-    6114U, 51U, 1724U, 5828U, 4716U, 961U, 2110U, 4915U, 
-    646U, 1729U, 688U, 1756U, 698U, 1766U, 2789U, 954U, 
-    2084U, 11470U, 66U, 1640U, 2464U, 56U, 1630U, 2454U, 
-    72U, 1646U, 2470U, 61U, 1635U, 2459U, 6777U, 1074U, 
-    2269U, 84U, 1658U, 2482U, 78U, 1652U, 2476U, 101U, 
-    1675U, 2499U, 89U, 1663U, 2487U, 106U, 1680U, 2504U, 
-    8617U, 11126U, 8381U, 10799U, 8502U, 10992U, 127U, 1701U, 
-    2525U, 95U, 1669U, 2493U, 116U, 1690U, 2514U, 133U, 
-    1707U, 2531U, 144U, 1718U, 2542U, 111U, 1685U, 2509U, 
-    122U, 1696U, 2520U, 6782U, 139U, 1713U, 2537U, 4862U, 
-    10436U, 13560U, 9378U, 12507U, 9998U, 13107U, 2674U, 4415U, 
-    774U, 1901U, 3168U, 4427U, 10460U, 9430U, 11044U, 10699U, 
-    10871U, 10901U, 4830U, 1828U, 10479U, 9449U, 10487U, 9457U, 
-    10050U, 8624U, 8388U, 8509U, 10495U, 9465U, 10058U, 8640U, 
-    8404U, 8525U, 8656U, 11147U, 8687U, 11178U, 7449U, 3588U, 
-    11835U, 7176U, 3326U, 11545U, 1293U, 3457U, 11690U, 7615U, 
-    11978U, 7462U, 3602U, 11848U, 7189U, 3340U, 11558U, 1308U, 
-    3471U, 11703U, 7627U, 11990U, 8564U, 8328U, 8455U, 8723U, 
-    8576U, 8340U, 8467U, 8734U, 7560U, 3639U, 11901U, 7298U, 
-    3377U, 11602U, 1348U, 3508U, 11747U, 7714U, 12021U, 6689U, 
-    7428U, 3556U, 11806U, 7155U, 3294U, 11516U, 1268U, 3425U, 
-    11661U, 7596U, 11959U, 7547U, 3625U, 11888U, 7285U, 3363U, 
-    11589U, 1333U, 3494U, 11734U, 7702U, 12009U, 4578U, 5710U, 
-    5881U, 6555U, 5854U, 4766U, 4759U, 5663U, 5868U, 6536U, 
-    5745U, 5905U, 6590U, 10359U, 13476U, 9283U, 12405U, 9903U, 
-    13012U, 10514U, 9484U, 10077U, 8895U, 11387U, 2651U, 680U, 
-    1748U, 3161U, 10532U, 13628U, 9502U, 12603U, 10095U, 13203U, 
-    5751U, 6074U, 0U, 3019U, 2809U, 7581U, 11944U, 13802U, 
-    6846U, 2846U, 8163U, 13940U, 10569U, 13665U, 6432U, 13826U, 
-    10677U, 13778U, 1536U, 2796U, 11438U, 11454U, 7319U, 11645U, 
-    13786U, 6806U, 2833U, 37U, 6924U, 6980U, 7918U, 2326U, 
-    13915U, 9548U, 12649U, 6240U, 13810U, 10661U, 13762U, 3009U, 
-    1546U, 2360U, 4274U, 11446U, 11462U, 1373U, 11790U, 13794U, 
-    6836U, 6816U, 6826U, 6856U, 6932U, 6988U, 8010U, 1476U, 
-    10141U, 13241U, 6336U, 13818U, 10669U, 13770U, 4283U, 2822U, 
-    7733U, 12040U, 6623U, 6865U, 2859U, 8290U, 13964U, 10654U, 
-    6610U, 13755U, 6636U, 6521U, 11861U, 10276U, 11571U, 9105U, 
-    11716U, 9725U, 10720U, 4607U, 5739U, 5899U, 6584U, 4235U, 
-    4324U, 2973U, 4202U, 3029U, 4291U, 2997U, 1524U, 4224U, 
-    3053U, 1618U, 4313U, 4246U, 4335U, 4257U, 4346U, 2985U, 
-    4213U, 3041U, 4302U, 5911U, 5939U, 5925U, 5952U, 8607U, 
-    11119U, 8371U, 10783U, 8495U, 10976U, 8752U, 11262U, 9574U, 
-    12675U, 10167U, 13267U, 8588U, 11095U, 8352U, 10759U, 8479U, 
-    10952U, 8745U, 11255U, 5859U, 2548U, 2592U, 3073U, 3117U, 
-    2570U, 2614U, 3095U, 3139U, 2560U, 2604U, 3085U, 3129U, 
-    2582U, 2626U, 3107U, 3151U, 5698U, 4363U, 6788U, 6873U, 
-    6891U, 6940U, 6996U, 6543U, 4372U, 6797U, 6882U, 6900U, 
-    6949U, 7005U, 8672U, 11163U, 8420U, 10828U, 8549U, 11021U, 
-    8758U, 11268U, 2935U, 7540U, 3631U, 11894U, 8139U, 3971U, 
-    10445U, 13569U, 6421U, 1149U, 7278U, 3369U, 11595U, 6967U, 
-    7894U, 3775U, 9387U, 12516U, 6229U, 1232U, 1339U, 3500U, 
-    11740U, 1456U, 3873U, 10007U, 13116U, 6325U, 3271U, 7696U, 
-    12015U, 8269U, 4030U, 10632U, 13734U, 6511U, 11493U, 13657U, 
-    11485U, 12632U, 11501U, 13748U, 4601U, 5733U, 6578U, 9340U, 
-    12469U, 9960U, 13069U, 9531U, 12640U, 10124U, 13232U, 11133U, 
-    8981U, 12095U, 10806U, 8943U, 12057U, 10999U, 8962U, 12076U, 
-    2644U, 664U, 2713U, 878U, 2730U, 895U, 2667U, 767U, 
-    1894U, 2747U, 912U, 2059U, 2764U, 929U, 2076U, 2781U, 
-    946U, 3205U, 11102U, 8971U, 12085U, 3187U, 10766U, 8933U, 
-    12047U, 2870U, 1212U, 3196U, 10959U, 8952U, 12066U, 2636U, 
-    656U, 2695U, 860U, 2704U, 869U, 2721U, 886U, 2659U, 
-    759U, 1886U, 2738U, 903U, 2050U, 2755U, 920U, 2067U, 
-    2772U, 937U, 2953U, 1250U, 286U, 5106U, 7483U, 534U, 
-    5386U, 8075U, 158U, 4962U, 7221U, 406U, 5242U, 7830U, 
-    222U, 5034U, 7355U, 470U, 5314U, 7954U, 349U, 5177U, 
-    7646U, 597U, 5457U, 8213U, 318U, 5142U, 7523U, 566U, 
-    5422U, 8122U, 190U, 4998U, 7261U, 438U, 5278U, 7877U, 
-    254U, 5070U, 7387U, 502U, 5350U, 7986U, 377U, 5209U, 
-    7681U, 625U, 5489U, 8254U, 4784U, 1784U, 4802U, 1806U, 
-    5981U, 4653U, 11085U, 10749U, 10942U, 11075U, 10739U, 10932U, 
-    4666U, 5847U, 11936U, 11637U, 11782U, 12032U, 6676U, 997U, 
-    2157U, 1023U, 2183U, 2230U, 1061U, 2256U, 6701U, 984U, 
-    2144U, 1010U, 2170U, 2217U, 1048U, 2243U, 5664U, 5869U, 
-    6537U, 5746U, 5906U, 6591U, 6649U, 302U, 5124U, 7499U, 
-    550U, 5404U, 8091U, 174U, 4980U, 7237U, 422U, 5260U, 
-    7846U, 238U, 5052U, 7371U, 486U, 5332U, 7970U, 363U, 
-    5193U, 7660U, 611U, 5473U, 8227U, 334U, 5160U, 7539U, 
-    582U, 5440U, 8138U, 206U, 5016U, 7277U, 454U, 5296U, 
-    7893U, 270U, 5088U, 7403U, 518U, 5368U, 8002U, 391U, 
-    5225U, 7695U, 639U, 5505U, 8268U, 7327U, 7926U, 7411U, 
-    8018U, 5788U, 4867U, 278U, 5097U, 7475U, 526U, 5377U, 
-    8067U, 150U, 4953U, 7213U, 398U, 5233U, 7822U, 214U, 
-    5025U, 7347U, 462U, 5305U, 7946U, 342U, 5169U, 7639U, 
-    590U, 5449U, 8206U, 4640U, 310U, 5133U, 7515U, 558U, 
-    5413U, 8114U, 182U, 4989U, 7253U, 430U, 5269U, 7869U, 
-    246U, 5061U, 7379U, 494U, 5341U, 7978U, 370U, 5201U, 
-    7674U, 618U, 5481U, 8247U, 9592U, 12693U, 10185U, 13285U, 
-    2880U, 7420U, 3547U, 11798U, 8027U, 3907U, 10213U, 13313U, 
-    6348U, 1094U, 7147U, 3285U, 11508U, 7771U, 3711U, 9000U, 
-    12114U, 6156U, 1167U, 1258U, 3416U, 11653U, 1395U, 3809U, 
-    9620U, 12721U, 6252U, 3214U, 7589U, 11952U, 8171U, 10577U, 
-    13673U, 6444U, 4572U, 5704U, 5875U, 6549U, 970U, 2130U, 
-    7054U, 7041U, 7014U, 7082U, 7070U, 5759U, 7028U, 6909U, 
-    8792U, 11293U, 8771U, 11281U, 8799U, 11300U, 11065U, 10729U, 
-    10922U, 11246U, 8777U, 11287U, 8827U, 11328U, 8806U, 11307U, 
-    8833U, 11334U, 8813U, 11314U, 8839U, 11340U, 8820U, 11321U, 
-    8845U, 11346U, 8867U, 11368U, 8905U, 11392U, 8852U, 11353U, 
-    8874U, 11375U, 8912U, 11399U, 8632U, 8396U, 8517U, 294U, 
-    5115U, 7491U, 542U, 5395U, 8083U, 166U, 4971U, 7229U, 
-    414U, 5251U, 7838U, 230U, 5043U, 7363U, 478U, 5323U, 
-    7962U, 356U, 5185U, 7653U, 604U, 5465U, 8220U, 5557U, 
-    4081U, 5623U, 4169U, 5513U, 4037U, 5579U, 4103U, 5535U, 
-    4059U, 5601U, 4136U, 9565U, 12666U, 10158U, 13258U, 326U, 
-    5151U, 7531U, 574U, 5431U, 8130U, 198U, 5007U, 7269U, 
-    446U, 5287U, 7885U, 262U, 5079U, 7395U, 510U, 5359U, 
-    7994U, 384U, 5217U, 7688U, 632U, 5497U, 8261U, 5568U, 
-    4092U, 5634U, 4180U, 5524U, 4048U, 5590U, 4114U, 5546U, 
-    4070U, 5612U, 4147U, 9601U, 12702U, 10194U, 13294U, 8648U, 
-    8412U, 8533U, 6088U, 8664U, 11155U, 10820U, 8541U, 11013U, 
-    8695U, 11186U, 10843U, 11036U, 6762U, 4618U, 4672U, 4710U, 
-    4936U, 4949U, 4589U, 5721U, 5887U, 6566U, 11140U, 10813U, 
-    11006U, 8900U, 2889U, 7433U, 3561U, 11811U, 8035U, 3916U, 
-    10221U, 13321U, 6360U, 1103U, 7160U, 3299U, 11521U, 7779U, 
-    3720U, 9008U, 12122U, 6168U, 1176U, 1273U, 3430U, 11666U, 
-    1405U, 3818U, 9628U, 12729U, 6264U, 3221U, 7601U, 11964U, 
-    8178U, 4005U, 10584U, 13680U, 6455U, 6033U, 5685U, 5965U, 
-    6095U, 2100U, 6067U, 2091U, 9054U, 12168U, 9674U, 12775U, 
-    6958U, 2289U, 8858U, 2349U, 11359U, 2405U, 7136U, 2300U, 
-    7740U, 2313U, 8297U, 2336U, 2943U, 7572U, 13877U, 8154U, 
-    13927U, 10552U, 13648U, 1157U, 7310U, 13864U, 7909U, 13902U, 
-    9522U, 12623U, 1240U, 1362U, 13834U, 1465U, 13849U, 10115U, 
-    13223U, 3277U, 7725U, 13890U, 8282U, 6596U, 13952U, 10638U, 
-    13740U, 1083U, 2278U, 1591U, 2427U, 1602U, 2438U, 5842U, 
-    10542U, 13638U, 9512U, 12613U, 10105U, 13213U, 9249U, 12371U, 
-    9869U, 12978U, 4410U, 2196U, 6011U, 8889U, 11381U, 8927U, 
-    11405U, 5678U, 8880U, 4659U, 4922U, 671U, 1739U, 5670U, 
-    8783U, 8918U, 9065U, 12187U, 9685U, 12794U, 4750U, 785U, 
-    1967U, 716U, 1836U, 9148U, 12270U, 9768U, 12877U, 4855U, 
-    5808U, 4389U, 4714U, 4399U, 1036U, 2205U, 4793U, 1795U, 
-    4811U, 1817U, 5987U, 10247U, 13355U, 9076U, 12198U, 9696U, 
-    12805U, 10598U, 13694U, 11429U, 10340U, 13448U, 11411U, 2416U, 
-    9181U, 12303U, 11420U, 9801U, 12910U, 10616U, 13712U, 4623U, 
-    4552U, 5993U, 4438U, 4562U, 6142U, 6062U, 2934U, 7552U, 
-    3630U, 11893U, 8146U, 3970U, 10444U, 13568U, 6420U, 1148U, 
-    7290U, 3368U, 11594U, 7901U, 3774U, 9386U, 12515U, 6228U, 
-    1231U, 1338U, 3499U, 11739U, 1455U, 3872U, 10006U, 13115U, 
-    6324U, 3270U, 7707U, 12014U, 8275U, 4029U, 10631U, 13733U, 
-    6510U, 5974U, 2041U, 4836U, 1845U, 6118U, 2119U, 6149U, 
-    45U, 3065U, 4777U, 
+    7447U, 3616U, 11820U, 8046U, 3961U, 10347U, 13471U, 6408U, 
+    1139U, 7185U, 3354U, 11521U, 7801U, 3765U, 9289U, 12418U, 
+    6216U, 1222U, 1323U, 3485U, 11666U, 1445U, 3863U, 9909U, 
+    13018U, 6312U, 3263U, 7607U, 11942U, 8180U, 10564U, 13666U, 
+    6499U, 4595U, 5727U, 5893U, 6572U, 4416U, 10277U, 13385U, 
+    9118U, 12240U, 9738U, 12847U, 4428U, 10553U, 13649U, 708U, 
+    1776U, 5824U, 4721U, 4738U, 4385U, 6007U, 6662U, 8509U, 
+    10991U, 11134U, 10791U, 8273U, 10646U, 8643U, 11154U, 8375U, 
+    10821U, 8400U, 10848U, 8668U, 11174U, 8620U, 11111U, 8368U, 
+    10776U, 8497U, 10969U, 8705U, 11215U, 5814U, 1955U, 1556U, 
+    2370U, 1574U, 2388U, 10625U, 5968U, 7055U, 8535U, 7034U, 
+    8299U, 1945U, 7066U, 8554U, 7045U, 8318U, 2005U, 5771U, 
+    4633U, 6103U, 8619U, 11110U, 8367U, 10775U, 8496U, 10968U, 
+    8704U, 11214U, 8546U, 11058U, 10307U, 7701U, 3700U, 13424U, 
+    8258U, 4191U, 8310U, 10722U, 9231U, 7691U, 3678U, 12353U, 
+    8248U, 4125U, 8434U, 10915U, 9851U, 1383U, 3689U, 12960U, 
+    1486U, 4158U, 8691U, 11201U, 8039U, 13443U, 7794U, 12381U, 
+    8174U, 13660U, 8521U, 10998U, 11144U, 10801U, 8285U, 10653U, 
+    8653U, 11164U, 8385U, 10831U, 8412U, 10855U, 8679U, 11180U, 
+    4584U, 5716U, 6561U, 6114U, 51U, 1724U, 5828U, 4716U, 
+    961U, 2110U, 4915U, 646U, 1729U, 688U, 1756U, 698U, 
+    1766U, 2789U, 954U, 2084U, 11410U, 66U, 1640U, 2464U, 
+    56U, 1630U, 2454U, 72U, 1646U, 2470U, 61U, 1635U, 
+    2459U, 6717U, 1074U, 2269U, 84U, 1658U, 2482U, 78U, 
+    1652U, 2476U, 101U, 1675U, 2499U, 89U, 1663U, 2487U, 
+    106U, 1680U, 2504U, 8557U, 11066U, 8321U, 10739U, 8442U, 
+    10932U, 127U, 1701U, 2525U, 95U, 1669U, 2493U, 116U, 
+    1690U, 2514U, 133U, 1707U, 2531U, 144U, 1718U, 2542U, 
+    111U, 1685U, 2509U, 122U, 1696U, 2520U, 6722U, 139U, 
+    1713U, 2537U, 4862U, 10376U, 13500U, 9318U, 12447U, 9938U, 
+    13047U, 2674U, 4415U, 774U, 1901U, 3168U, 4427U, 10400U, 
+    9370U, 10984U, 10639U, 10811U, 10841U, 4830U, 1828U, 10419U, 
+    9389U, 10427U, 9397U, 9990U, 8564U, 8328U, 8449U, 10435U, 
+    9405U, 9998U, 8580U, 8344U, 8465U, 8596U, 11087U, 8627U, 
+    11118U, 7389U, 3588U, 11775U, 7116U, 3326U, 11485U, 1293U, 
+    3457U, 11630U, 7555U, 11918U, 7402U, 3602U, 11788U, 7129U, 
+    3340U, 11498U, 1308U, 3471U, 11643U, 7567U, 11930U, 8504U, 
+    8268U, 8395U, 8663U, 8516U, 8280U, 8407U, 8674U, 7500U, 
+    3639U, 11841U, 7238U, 3377U, 11542U, 1348U, 3508U, 11687U, 
+    7654U, 11961U, 6689U, 7368U, 3556U, 11746U, 7095U, 3294U, 
+    11456U, 1268U, 3425U, 11601U, 7536U, 11899U, 7487U, 3625U, 
+    11828U, 7225U, 3363U, 11529U, 1333U, 3494U, 11674U, 7642U, 
+    11949U, 4578U, 5710U, 5881U, 6555U, 5854U, 4766U, 4759U, 
+    5663U, 5868U, 6536U, 5745U, 5905U, 6590U, 10299U, 13416U, 
+    9223U, 12345U, 9843U, 12952U, 10454U, 9424U, 10017U, 8835U, 
+    11327U, 2651U, 680U, 1748U, 3161U, 10472U, 13568U, 9442U, 
+    12543U, 10035U, 13143U, 5751U, 6074U, 0U, 3019U, 2809U, 
+    7521U, 11884U, 13742U, 6786U, 2846U, 8103U, 13880U, 10509U, 
+    13605U, 6432U, 13766U, 10617U, 13718U, 1536U, 2796U, 11378U, 
+    11394U, 7259U, 11585U, 13726U, 6746U, 2833U, 37U, 6864U, 
+    6920U, 7858U, 2326U, 13855U, 9488U, 12589U, 6240U, 13750U, 
+    10601U, 13702U, 3009U, 1546U, 2360U, 4274U, 11386U, 11402U, 
+    1373U, 11730U, 13734U, 6776U, 6756U, 6766U, 6796U, 6872U, 
+    6928U, 7950U, 1476U, 10081U, 13181U, 6336U, 13758U, 10609U, 
+    13710U, 4283U, 2822U, 7673U, 11980U, 6623U, 6805U, 2859U, 
+    8230U, 13904U, 10594U, 6610U, 13695U, 6636U, 6521U, 11801U, 
+    10216U, 11511U, 9045U, 11656U, 9665U, 10660U, 4607U, 5739U, 
+    5899U, 6584U, 4235U, 4324U, 2973U, 4202U, 3029U, 4291U, 
+    2997U, 1524U, 4224U, 3053U, 1618U, 4313U, 4246U, 4335U, 
+    4257U, 4346U, 2985U, 4213U, 3041U, 4302U, 5911U, 5939U, 
+    5925U, 5952U, 8547U, 11059U, 8311U, 10723U, 8435U, 10916U, 
+    8692U, 11202U, 9514U, 12615U, 10107U, 13207U, 8528U, 11035U, 
+    8292U, 10699U, 8419U, 10892U, 8685U, 11195U, 5859U, 2548U, 
+    2592U, 3073U, 3117U, 2570U, 2614U, 3095U, 3139U, 2560U, 
+    2604U, 3085U, 3129U, 2582U, 2626U, 3107U, 3151U, 5698U, 
+    4363U, 6728U, 6813U, 6831U, 6880U, 6936U, 6543U, 4372U, 
+    6737U, 6822U, 6840U, 6889U, 6945U, 8612U, 11103U, 8360U, 
+    10768U, 8489U, 10961U, 8698U, 11208U, 2935U, 7480U, 3631U, 
+    11834U, 8079U, 3971U, 10385U, 13509U, 6421U, 1149U, 7218U, 
+    3369U, 11535U, 6907U, 7834U, 3775U, 9327U, 12456U, 6229U, 
+    1232U, 1339U, 3500U, 11680U, 1456U, 3873U, 9947U, 13056U, 
+    6325U, 3271U, 7636U, 11955U, 8209U, 4030U, 10572U, 13674U, 
+    6511U, 11433U, 13597U, 11425U, 12572U, 11441U, 13688U, 4601U, 
+    5733U, 6578U, 9280U, 12409U, 9900U, 13009U, 9471U, 12580U, 
+    10064U, 13172U, 11073U, 8921U, 12035U, 10746U, 8883U, 11997U, 
+    10939U, 8902U, 12016U, 2644U, 664U, 2713U, 878U, 2730U, 
+    895U, 2667U, 767U, 1894U, 2747U, 912U, 2059U, 2764U, 
+    929U, 2076U, 2781U, 946U, 3205U, 11042U, 8911U, 12025U, 
+    3187U, 10706U, 8873U, 11987U, 2870U, 1212U, 3196U, 10899U, 
+    8892U, 12006U, 2636U, 656U, 2695U, 860U, 2704U, 869U, 
+    2721U, 886U, 2659U, 759U, 1886U, 2738U, 903U, 2050U, 
+    2755U, 920U, 2067U, 2772U, 937U, 2953U, 1250U, 286U, 
+    5106U, 7423U, 534U, 5386U, 8015U, 158U, 4962U, 7161U, 
+    406U, 5242U, 7770U, 222U, 5034U, 7295U, 470U, 5314U, 
+    7894U, 349U, 5177U, 7586U, 597U, 5457U, 8153U, 318U, 
+    5142U, 7463U, 566U, 5422U, 8062U, 190U, 4998U, 7201U, 
+    438U, 5278U, 7817U, 254U, 5070U, 7327U, 502U, 5350U, 
+    7926U, 377U, 5209U, 7621U, 625U, 5489U, 8194U, 4784U, 
+    1784U, 4802U, 1806U, 5981U, 4653U, 11025U, 10689U, 10882U, 
+    11015U, 10679U, 10872U, 4666U, 5847U, 11876U, 11577U, 11722U, 
+    11972U, 6676U, 997U, 2157U, 1023U, 2183U, 2230U, 1061U, 
+    2256U, 6701U, 984U, 2144U, 1010U, 2170U, 2217U, 1048U, 
+    2243U, 5664U, 5869U, 6537U, 5746U, 5906U, 6591U, 6649U, 
+    302U, 5124U, 7439U, 550U, 5404U, 8031U, 174U, 4980U, 
+    7177U, 422U, 5260U, 7786U, 238U, 5052U, 7311U, 486U, 
+    5332U, 7910U, 363U, 5193U, 7600U, 611U, 5473U, 8167U, 
+    334U, 5160U, 7479U, 582U, 5440U, 8078U, 206U, 5016U, 
+    7217U, 454U, 5296U, 7833U, 270U, 5088U, 7343U, 518U, 
+    5368U, 7942U, 391U, 5225U, 7635U, 639U, 5505U, 8208U, 
+    7267U, 7866U, 7351U, 7958U, 5788U, 4867U, 278U, 5097U, 
+    7415U, 526U, 5377U, 8007U, 150U, 4953U, 7153U, 398U, 
+    5233U, 7762U, 214U, 5025U, 7287U, 462U, 5305U, 7886U, 
+    342U, 5169U, 7579U, 590U, 5449U, 8146U, 4640U, 310U, 
+    5133U, 7455U, 558U, 5413U, 8054U, 182U, 4989U, 7193U, 
+    430U, 5269U, 7809U, 246U, 5061U, 7319U, 494U, 5341U, 
+    7918U, 370U, 5201U, 7614U, 618U, 5481U, 8187U, 9532U, 
+    12633U, 10125U, 13225U, 2880U, 7360U, 3547U, 11738U, 7967U, 
+    3907U, 10153U, 13253U, 6348U, 1094U, 7087U, 3285U, 11448U, 
+    7711U, 3711U, 8940U, 12054U, 6156U, 1167U, 1258U, 3416U, 
+    11593U, 1395U, 3809U, 9560U, 12661U, 6252U, 3214U, 7529U, 
+    11892U, 8111U, 10517U, 13613U, 6444U, 4572U, 5704U, 5875U, 
+    6549U, 970U, 2130U, 6994U, 6981U, 6954U, 7022U, 7010U, 
+    5759U, 6968U, 6849U, 8732U, 11233U, 8711U, 11221U, 8739U, 
+    11240U, 11005U, 10669U, 10862U, 11186U, 8717U, 11227U, 8767U, 
+    11268U, 8746U, 11247U, 8773U, 11274U, 8753U, 11254U, 8779U, 
+    11280U, 8760U, 11261U, 8785U, 11286U, 8807U, 11308U, 8845U, 
+    11332U, 8792U, 11293U, 8814U, 11315U, 8852U, 11339U, 8572U, 
+    8336U, 8457U, 294U, 5115U, 7431U, 542U, 5395U, 8023U, 
+    166U, 4971U, 7169U, 414U, 5251U, 7778U, 230U, 5043U, 
+    7303U, 478U, 5323U, 7902U, 356U, 5185U, 7593U, 604U, 
+    5465U, 8160U, 5557U, 4081U, 5623U, 4169U, 5513U, 4037U, 
+    5579U, 4103U, 5535U, 4059U, 5601U, 4136U, 9505U, 12606U, 
+    10098U, 13198U, 326U, 5151U, 7471U, 574U, 5431U, 8070U, 
+    198U, 5007U, 7209U, 446U, 5287U, 7825U, 262U, 5079U, 
+    7335U, 510U, 5359U, 7934U, 384U, 5217U, 7628U, 632U, 
+    5497U, 8201U, 5568U, 4092U, 5634U, 4180U, 5524U, 4048U, 
+    5590U, 4114U, 5546U, 4070U, 5612U, 4147U, 9541U, 12642U, 
+    10134U, 13234U, 8588U, 8352U, 8473U, 6088U, 8604U, 11095U, 
+    10760U, 8481U, 10953U, 8635U, 11126U, 10783U, 10976U, 4618U, 
+    4672U, 4710U, 4936U, 4949U, 4589U, 5721U, 5887U, 6566U, 
+    11080U, 10753U, 10946U, 8840U, 2889U, 7373U, 3561U, 11751U, 
+    7975U, 3916U, 10161U, 13261U, 6360U, 1103U, 7100U, 3299U, 
+    11461U, 7719U, 3720U, 8948U, 12062U, 6168U, 1176U, 1273U, 
+    3430U, 11606U, 1405U, 3818U, 9568U, 12669U, 6264U, 3221U, 
+    7541U, 11904U, 8118U, 4005U, 10524U, 13620U, 6455U, 6033U, 
+    5685U, 5965U, 6095U, 2100U, 6067U, 2091U, 8994U, 12108U, 
+    9614U, 12715U, 6898U, 2289U, 8798U, 2349U, 11299U, 2405U, 
+    7076U, 2300U, 7680U, 2313U, 8237U, 2336U, 2943U, 7512U, 
+    13817U, 8094U, 13867U, 10492U, 13588U, 1157U, 7250U, 13804U, 
+    7849U, 13842U, 9462U, 12563U, 1240U, 1362U, 13774U, 1465U, 
+    13789U, 10055U, 13163U, 3277U, 7665U, 13830U, 8222U, 6596U, 
+    13892U, 10578U, 13680U, 1083U, 2278U, 1591U, 2427U, 1602U, 
+    2438U, 5842U, 10482U, 13578U, 9452U, 12553U, 10045U, 13153U, 
+    9189U, 12311U, 9809U, 12918U, 4410U, 2196U, 6011U, 8829U, 
+    11321U, 8867U, 11345U, 5678U, 8820U, 4659U, 4922U, 671U, 
+    1739U, 5670U, 8723U, 8858U, 9005U, 12127U, 9625U, 12734U, 
+    4750U, 785U, 1967U, 716U, 1836U, 9088U, 12210U, 9708U, 
+    12817U, 4855U, 5808U, 4389U, 4714U, 4399U, 1036U, 2205U, 
+    4793U, 1795U, 4811U, 1817U, 5987U, 10187U, 13295U, 9016U, 
+    12138U, 9636U, 12745U, 10538U, 13634U, 11369U, 10280U, 13388U, 
+    11351U, 2416U, 9121U, 12243U, 11360U, 9741U, 12850U, 10556U, 
+    13652U, 4623U, 4552U, 5993U, 4438U, 4562U, 6142U, 6062U, 
+    2934U, 7492U, 3630U, 11833U, 8086U, 3970U, 10384U, 13508U, 
+    6420U, 1148U, 7230U, 3368U, 11534U, 7841U, 3774U, 9326U, 
+    12455U, 6228U, 1231U, 1338U, 3499U, 11679U, 1455U, 3872U, 
+    9946U, 13055U, 6324U, 3270U, 7647U, 11954U, 8215U, 4029U, 
+    10571U, 13673U, 6510U, 5974U, 2041U, 4836U, 1845U, 6118U, 
+    2119U, 6149U, 45U, 3065U, 4777U, 
 };
 
 #endif // GET_INSTRINFO_MC_DESC
-
diff --git a/arch/X86/X86Mapping.c b/arch/X86/X86Mapping.c
index ae7b9f2..d861a19 100644
--- a/arch/X86/X86Mapping.c
+++ b/arch/X86/X86Mapping.c
@@ -928,7 +928,6 @@
 	{ X86_INS_CPUID, "cpuid" },
 	{ X86_INS_CQO, "cqo" },
 	{ X86_INS_CRC32, "crc32" },
-	{ X86_INS_CS, "cs" },
 	{ X86_INS_CVTDQ2PD, "cvtdq2pd" },
 	{ X86_INS_CVTDQ2PS, "cvtdq2ps" },
 	{ X86_INS_CVTPD2DQ, "cvtpd2dq" },
@@ -964,12 +963,10 @@
 	{ X86_INS_FDIVP, "fdivp" },
 	{ X86_INS_DPPD, "dppd" },
 	{ X86_INS_DPPS, "dpps" },
-	{ X86_INS_DS, "ds" },
 	{ X86_INS_RET, "ret" },
 	{ X86_INS_ENCLS, "encls" },
 	{ X86_INS_ENCLU, "enclu" },
 	{ X86_INS_ENTER, "enter" },
-	{ X86_INS_ES, "es" },
 	{ X86_INS_EXTRACTPS, "extractps" },
 	{ X86_INS_EXTRQ, "extrq" },
 	{ X86_INS_F2XM1, "f2xm1" },
@@ -1007,7 +1004,6 @@
 	{ X86_INS_FSETPM, "fsetpm" },
 	{ X86_INS_FSINCOS, "fsincos" },
 	{ X86_INS_FNSTENV, "fnstenv" },
-	{ X86_INS_FS, "fs" },
 	{ X86_INS_FXAM, "fxam" },
 	{ X86_INS_FXRSTOR, "fxrstor" },
 	{ X86_INS_FXRSTOR64, "fxrstor64" },
@@ -1025,7 +1021,6 @@
 	{ X86_INS_XORPD, "xorpd" },
 	{ X86_INS_XORPS, "xorps" },
 	{ X86_INS_GETSEC, "getsec" },
-	{ X86_INS_GS, "gs" },
 	{ X86_INS_HADDPD, "haddpd" },
 	{ X86_INS_HADDPS, "haddps" },
 	{ X86_INS_HLT, "hlt" },
@@ -1483,7 +1478,6 @@
 	{ X86_INS_SQRTSD, "sqrtsd" },
 	{ X86_INS_SQRTSS, "sqrtss" },
 	{ X86_INS_FSQRT, "fsqrt" },
-	{ X86_INS_SS, "ss" },
 	{ X86_INS_STAC, "stac" },
 	{ X86_INS_STC, "stc" },
 	{ X86_INS_STD, "std" },
@@ -2174,6 +2168,8 @@
 	{ X86_GRP_BWI,	"bwi" },
 	{ X86_GRP_PFI,	"pfi" },
 	{ X86_GRP_VLX,	"vlx" },
+	{ X86_GRP_SMAP,	"smap" },
+	{ X86_GRP_NOVLX, "novlx" },
 
 	{ X86_GRP_JUMP,	"jump" },
 	{ X86_GRP_VM, "vm" },
@@ -4034,7 +4030,7 @@
 	{
 		X86_CLAC, X86_INS_CLAC,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_SMAP, 0 }, 0, 0
+		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_SMAP, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5220,12 +5216,6 @@
 #endif
 	},
 	{
-		X86_CS_PREFIX, X86_INS_CS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_CVTDQ2PDrm, X86_INS_CVTDQ2PD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE2, 0 }, 0, 0
@@ -5832,12 +5822,6 @@
 #endif
 	},
 	{
-		X86_DS_PREFIX, X86_INS_DS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_ENTER, X86_INS_ENTER,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -6168,12 +6152,6 @@
 #endif
 	},
 	{
-		X86_FS_PREFIX, X86_INS_FS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_FXAM, X86_INS_FXAM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -6348,12 +6326,6 @@
 #endif
 	},
 	{
-		X86_GS_PREFIX, X86_INS_GS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_HADDPDrm, X86_INS_HADDPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE3, 0 }, 0, 0
@@ -6752,7 +6724,7 @@
 	{
 		X86_INSB, X86_INS_INSB,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_DX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, 0 }, { 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EDI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -17130,15 +17102,9 @@
 #endif
 	},
 	{
-		X86_SS_PREFIX, X86_INS_SS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_STAC, X86_INS_STAC,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_SMAP, 0 }, 0, 0
+		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_SMAP, 0 }, 0, 0
 #endif
 	},
 	{
@@ -20442,6 +20408,18 @@
 #endif
 	},
 	{
+		X86_VFMADD213PDZrk, X86_INS_VFMADD213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFMADD213PDZrkz, X86_INS_VFMADD213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFMADD213PSZm, X86_INS_VFMADD213PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -20460,6 +20438,18 @@
 #endif
 	},
 	{
+		X86_VFMADD213PSZrk, X86_INS_VFMADD213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFMADD213PSZrkz, X86_INS_VFMADD213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFMADDPD4mr, X86_INS_VFMADDPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_FMA4, 0 }, 0, 0
@@ -20922,6 +20912,18 @@
 #endif
 	},
 	{
+		X86_VFMADDSUB213PDZrk, X86_INS_VFMADDSUB213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFMADDSUB213PDZrkz, X86_INS_VFMADDSUB213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFMADDSUB213PSZm, X86_INS_VFMADDSUB213PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -20940,6 +20942,18 @@
 #endif
 	},
 	{
+		X86_VFMADDSUB213PSZrk, X86_INS_VFMADDSUB213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFMADDSUB213PSZrkz, X86_INS_VFMADDSUB213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFMADDSUBPD4mr, X86_INS_VFMADDSUBPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_FMA4, 0 }, 0, 0
@@ -21222,6 +21236,18 @@
 #endif
 	},
 	{
+		X86_VFMSUB213PDZrk, X86_INS_VFMSUB213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFMSUB213PDZrkz, X86_INS_VFMSUB213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFMSUB213PSZm, X86_INS_VFMSUB213PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -21240,6 +21266,18 @@
 #endif
 	},
 	{
+		X86_VFMSUB213PSZrk, X86_INS_VFMSUB213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFMSUB213PSZrkz, X86_INS_VFMSUB213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFMSUBADD132PDZm, X86_INS_VFMSUBADD132PD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -21282,6 +21320,18 @@
 #endif
 	},
 	{
+		X86_VFMSUBADD213PDZrk, X86_INS_VFMSUBADD213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFMSUBADD213PDZrkz, X86_INS_VFMSUBADD213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFMSUBADD213PSZm, X86_INS_VFMSUBADD213PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -21300,6 +21350,18 @@
 #endif
 	},
 	{
+		X86_VFMSUBADD213PSZrk, X86_INS_VFMSUBADD213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFMSUBADD213PSZrkz, X86_INS_VFMSUBADD213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFMSUBADDPD4mr, X86_INS_VFMSUBADDPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_FMA4, 0 }, 0, 0
@@ -22002,6 +22064,18 @@
 #endif
 	},
 	{
+		X86_VFNMADD213PDZrk, X86_INS_VFNMADD213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFNMADD213PDZrkz, X86_INS_VFNMADD213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFNMADD213PSZm, X86_INS_VFNMADD213PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -22020,6 +22094,18 @@
 #endif
 	},
 	{
+		X86_VFNMADD213PSZrk, X86_INS_VFNMADD213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFNMADD213PSZrkz, X86_INS_VFNMADD213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFNMADDPD4mr, X86_INS_VFNMADDPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_FMA4, 0 }, 0, 0
@@ -22482,6 +22568,18 @@
 #endif
 	},
 	{
+		X86_VFNMSUB213PDZrk, X86_INS_VFNMSUB213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFNMSUB213PDZrkz, X86_INS_VFNMSUB213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFNMSUB213PSZm, X86_INS_VFNMSUB213PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -22500,6 +22598,18 @@
 #endif
 	},
 	{
+		X86_VFNMSUB213PSZrk, X86_INS_VFNMSUB213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFNMSUB213PSZrkz, X86_INS_VFNMSUB213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFNMSUBPD4mr, X86_INS_VFNMSUBPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_FMA4, 0 }, 0, 0
@@ -26112,6 +26222,18 @@
 #endif
 	},
 	{
+		X86_VMOVNTDQAZ128rm, X86_INS_VMOVNTDQA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTDQAZ256rm, X86_INS_VMOVNTDQA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVNTDQAZrm, X86_INS_VMOVNTDQA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -26126,7 +26248,19 @@
 	{
 		X86_VMOVNTDQYmr, X86_INS_VMOVNTDQ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_AVX, X86_GRP_NOVLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTDQZ128mr, X86_INS_VMOVNTDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTDQZ256mr, X86_INS_VMOVNTDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
 #endif
 	},
 	{
@@ -26138,13 +26272,25 @@
 	{
 		X86_VMOVNTDQmr, X86_INS_VMOVNTDQ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_AVX, X86_GRP_NOVLX, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_VMOVNTPDYmr, X86_INS_VMOVNTPD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_AVX, X86_GRP_NOVLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTPDZ128mr, X86_INS_VMOVNTPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTPDZ256mr, X86_INS_VMOVNTPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
 #endif
 	},
 	{
@@ -26156,13 +26302,25 @@
 	{
 		X86_VMOVNTPDmr, X86_INS_VMOVNTPD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_AVX, X86_GRP_NOVLX, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_VMOVNTPSYmr, X86_INS_VMOVNTPS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_AVX, X86_GRP_NOVLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTPSZ128mr, X86_INS_VMOVNTPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTPSZ256mr, X86_INS_VMOVNTPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
 #endif
 	},
 	{
@@ -26174,7 +26332,7 @@
 	{
 		X86_VMOVNTPSmr, X86_INS_VMOVNTPS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_AVX, X86_GRP_NOVLX, 0 }, 0, 0
 #endif
 	},
 	{
@@ -28686,6 +28844,78 @@
 #endif
 	},
 	{
+		X86_VPCMPEQBZ128rm, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZ128rmk, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZ128rr, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZ128rrk, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZ256rm, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZ256rmk, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZ256rr, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZ256rrk, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZrm, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZrmk, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZrr, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZrrk, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQBrm, X86_INS_VPCMPEQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28710,18 +28940,114 @@
 #endif
 	},
 	{
+		X86_VPCMPEQDZ128rm, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ128rmb, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ128rmbk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ128rmk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ128rr, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ128rrk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ256rm, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ256rmb, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ256rmbk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ256rmk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ256rr, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ256rrk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQDZrm, X86_INS_VPCMPEQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPCMPEQDZrmb, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZrmbk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZrmk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQDZrr, X86_INS_VPCMPEQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPCMPEQDZrrk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQDrm, X86_INS_VPCMPEQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28746,18 +29072,114 @@
 #endif
 	},
 	{
+		X86_VPCMPEQQZ128rm, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ128rmb, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ128rmbk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ128rmk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ128rr, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ128rrk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ256rm, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ256rmb, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ256rmbk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ256rmk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ256rr, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ256rrk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQQZrm, X86_INS_VPCMPEQQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPCMPEQQZrmb, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZrmbk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZrmk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQQZrr, X86_INS_VPCMPEQQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPCMPEQQZrrk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQQrm, X86_INS_VPCMPEQQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28782,6 +29204,78 @@
 #endif
 	},
 	{
+		X86_VPCMPEQWZ128rm, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZ128rmk, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZ128rr, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZ128rrk, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZ256rm, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZ256rmk, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZ256rr, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZ256rrk, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZrm, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZrmk, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZrr, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZrrk, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQWrm, X86_INS_VPCMPEQW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28830,6 +29324,78 @@
 #endif
 	},
 	{
+		X86_VPCMPGTBZ128rm, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZ128rmk, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZ128rr, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZ128rrk, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZ256rm, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZ256rmk, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZ256rr, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZ256rrk, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZrm, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZrmk, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZrr, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZrrk, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPGTBrm, X86_INS_VPCMPGTB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28854,18 +29420,114 @@
 #endif
 	},
 	{
+		X86_VPCMPGTDZ128rm, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ128rmb, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ128rmbk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ128rmk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ128rr, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ128rrk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ256rm, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ256rmb, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ256rmbk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ256rmk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ256rr, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ256rrk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPGTDZrm, X86_INS_VPCMPGTD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPCMPGTDZrmb, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZrmbk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZrmk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPGTDZrr, X86_INS_VPCMPGTD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPCMPGTDZrrk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPGTDrm, X86_INS_VPCMPGTD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28890,18 +29552,114 @@
 #endif
 	},
 	{
+		X86_VPCMPGTQZ128rm, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ128rmb, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ128rmbk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ128rmk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ128rr, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ128rrk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ256rm, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ256rmb, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ256rmbk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ256rmk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ256rr, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ256rrk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPGTQZrm, X86_INS_VPCMPGTQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPCMPGTQZrmb, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZrmbk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZrmk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPGTQZrr, X86_INS_VPCMPGTQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPCMPGTQZrrk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPGTQrm, X86_INS_VPCMPGTQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28926,6 +29684,78 @@
 #endif
 	},
 	{
+		X86_VPCMPGTWZ128rm, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZ128rmk, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZ128rr, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZ128rrk, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZ256rm, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZ256rmk, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZ256rr, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZ256rrk, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZrm, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZrmk, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZrr, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZrrk, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPGTWrm, X86_INS_VPCMPGTW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -36542,7 +37372,7 @@
 	{
 		X86_XCHG16ar, X86_INS_XCHG,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_AX, 0 }, { X86_REG_AX, 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -36560,13 +37390,13 @@
 	{
 		X86_XCHG32ar, X86_INS_XCHG,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_EAX, 0 }, { X86_REG_EAX, 0 }, { X86_GRP_NOT64BITMODE, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_NOT64BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_XCHG32ar64, X86_INS_XCHG,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_EAX, 0 }, { X86_REG_EAX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
@@ -36584,7 +37414,7 @@
 	{
 		X86_XCHG64ar, X86_INS_XCHG,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_RAX, 0 }, { X86_REG_RAX, 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -37213,25 +38043,25 @@
 	{
 		X86_ADCX32rm, X86_INS_ADCX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_ADCX32rr, X86_INS_ADCX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_ADCX64rm, X86_INS_ADCX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_ADCX64rr, X86_INS_ADCX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
 #endif
 	},
 	{
@@ -37447,25 +38277,25 @@
 	{
 		X86_ADOX32rm, X86_INS_ADOX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_ADOX32rr, X86_INS_ADOX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_ADOX64rm, X86_INS_ADOX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_ADOX64rr, X86_INS_ADOX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
 #endif
 	},
 	{
@@ -38485,7 +39315,7 @@
 	{
 		X86_CLAC, X86_INS_CLAC,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_SMAP, 0 }, 0, 0
+		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_SMAP, 0 }, 0, 0
 #endif
 	},
 	{
@@ -39407,12 +40237,6 @@
 #endif
 	},
 	{
-		X86_CS_PREFIX, X86_INS_CS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_CWD, X86_INS_CWD,
 #ifndef CAPSTONE_DIET
 		{ X86_REG_AX, 0 }, { X86_REG_AX, X86_REG_DX, 0 }, { 0 }, 0, 0
@@ -39575,12 +40399,6 @@
 #endif
 	},
 	{
-		X86_DS_PREFIX, X86_INS_DS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_ENTER, X86_INS_ENTER,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -39653,24 +40471,12 @@
 #endif
 	},
 	{
-		X86_FS_PREFIX, X86_INS_FS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_GETSEC, X86_INS_GETSEC,
 #ifndef CAPSTONE_DIET
 		{ X86_REG_EAX, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_GS_PREFIX, X86_INS_GS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_HLT, X86_INS_HLT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -40003,7 +40809,7 @@
 	{
 		X86_INSB, X86_INS_INSB,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_DX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, 0 }, { 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EDI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -45125,15 +45931,9 @@
 #endif
 	},
 	{
-		X86_SS_PREFIX, X86_INS_SS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_STAC, X86_INS_STAC,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_SMAP, 0 }, 0, 0
+		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_SMAP, 0 }, 0, 0
 #endif
 	},
 	{
@@ -45979,7 +46779,7 @@
 	{
 		X86_XCHG16ar, X86_INS_XCHG,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_AX, 0 }, { X86_REG_AX, 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -45997,13 +46797,13 @@
 	{
 		X86_XCHG32ar, X86_INS_XCHG,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_EAX, 0 }, { X86_REG_EAX, 0 }, { X86_GRP_NOT64BITMODE, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_NOT64BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_XCHG32ar64, X86_INS_XCHG,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_EAX, 0 }, { X86_REG_EAX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
@@ -46021,7 +46821,7 @@
 	{
 		X86_XCHG64ar, X86_INS_XCHG,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_RAX, 0 }, { X86_REG_RAX, 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
diff --git a/bindings/java/capstone/X86_const.java b/bindings/java/capstone/X86_const.java
index 4452578..4ecaa8c 100644
--- a/bindings/java/capstone/X86_const.java
+++ b/bindings/java/capstone/X86_const.java
@@ -252,8 +252,10 @@
 	// AVX broadcast type
 
 	public static final int X86_AVX_BCAST_INVALID = 0;
-	public static final int X86_AVX_BCAST_8 = 1;
-	public static final int X86_AVX_BCAST_16 = 2;
+	public static final int X86_AVX_BCAST_2 = 1;
+	public static final int X86_AVX_BCAST_4 = 2;
+	public static final int X86_AVX_BCAST_8 = 3;
+	public static final int X86_AVX_BCAST_16 = 4;
 
 	// SSE Code Condition type
 
@@ -435,1197 +437,1191 @@
 	public static final int X86_INS_CPUID = 111;
 	public static final int X86_INS_CQO = 112;
 	public static final int X86_INS_CRC32 = 113;
-	public static final int X86_INS_CS = 114;
-	public static final int X86_INS_CVTDQ2PD = 115;
-	public static final int X86_INS_CVTDQ2PS = 116;
-	public static final int X86_INS_CVTPD2DQ = 117;
-	public static final int X86_INS_CVTPD2PS = 118;
-	public static final int X86_INS_CVTPS2DQ = 119;
-	public static final int X86_INS_CVTPS2PD = 120;
-	public static final int X86_INS_CVTSD2SI = 121;
-	public static final int X86_INS_CVTSD2SS = 122;
-	public static final int X86_INS_CVTSI2SD = 123;
-	public static final int X86_INS_CVTSI2SS = 124;
-	public static final int X86_INS_CVTSS2SD = 125;
-	public static final int X86_INS_CVTSS2SI = 126;
-	public static final int X86_INS_CVTTPD2DQ = 127;
-	public static final int X86_INS_CVTTPS2DQ = 128;
-	public static final int X86_INS_CVTTSD2SI = 129;
-	public static final int X86_INS_CVTTSS2SI = 130;
-	public static final int X86_INS_CWD = 131;
-	public static final int X86_INS_CWDE = 132;
-	public static final int X86_INS_DAA = 133;
-	public static final int X86_INS_DAS = 134;
-	public static final int X86_INS_DATA16 = 135;
-	public static final int X86_INS_DEC = 136;
-	public static final int X86_INS_DIV = 137;
-	public static final int X86_INS_DIVPD = 138;
-	public static final int X86_INS_DIVPS = 139;
-	public static final int X86_INS_FDIVR = 140;
-	public static final int X86_INS_FIDIVR = 141;
-	public static final int X86_INS_FDIVRP = 142;
-	public static final int X86_INS_DIVSD = 143;
-	public static final int X86_INS_DIVSS = 144;
-	public static final int X86_INS_FDIV = 145;
-	public static final int X86_INS_FIDIV = 146;
-	public static final int X86_INS_FDIVP = 147;
-	public static final int X86_INS_DPPD = 148;
-	public static final int X86_INS_DPPS = 149;
-	public static final int X86_INS_DS = 150;
-	public static final int X86_INS_RET = 151;
-	public static final int X86_INS_ENCLS = 152;
-	public static final int X86_INS_ENCLU = 153;
-	public static final int X86_INS_ENTER = 154;
-	public static final int X86_INS_ES = 155;
-	public static final int X86_INS_EXTRACTPS = 156;
-	public static final int X86_INS_EXTRQ = 157;
-	public static final int X86_INS_F2XM1 = 158;
-	public static final int X86_INS_LCALL = 159;
-	public static final int X86_INS_LJMP = 160;
-	public static final int X86_INS_FBLD = 161;
-	public static final int X86_INS_FBSTP = 162;
-	public static final int X86_INS_FCOMPP = 163;
-	public static final int X86_INS_FDECSTP = 164;
-	public static final int X86_INS_FEMMS = 165;
-	public static final int X86_INS_FFREE = 166;
-	public static final int X86_INS_FICOM = 167;
-	public static final int X86_INS_FICOMP = 168;
-	public static final int X86_INS_FINCSTP = 169;
-	public static final int X86_INS_FLDCW = 170;
-	public static final int X86_INS_FLDENV = 171;
-	public static final int X86_INS_FLDL2E = 172;
-	public static final int X86_INS_FLDL2T = 173;
-	public static final int X86_INS_FLDLG2 = 174;
-	public static final int X86_INS_FLDLN2 = 175;
-	public static final int X86_INS_FLDPI = 176;
-	public static final int X86_INS_FNCLEX = 177;
-	public static final int X86_INS_FNINIT = 178;
-	public static final int X86_INS_FNOP = 179;
-	public static final int X86_INS_FNSTCW = 180;
-	public static final int X86_INS_FNSTSW = 181;
-	public static final int X86_INS_FPATAN = 182;
-	public static final int X86_INS_FPREM = 183;
-	public static final int X86_INS_FPREM1 = 184;
-	public static final int X86_INS_FPTAN = 185;
-	public static final int X86_INS_FRNDINT = 186;
-	public static final int X86_INS_FRSTOR = 187;
-	public static final int X86_INS_FNSAVE = 188;
-	public static final int X86_INS_FSCALE = 189;
-	public static final int X86_INS_FSETPM = 190;
-	public static final int X86_INS_FSINCOS = 191;
-	public static final int X86_INS_FNSTENV = 192;
-	public static final int X86_INS_FS = 193;
-	public static final int X86_INS_FXAM = 194;
-	public static final int X86_INS_FXRSTOR = 195;
-	public static final int X86_INS_FXRSTOR64 = 196;
-	public static final int X86_INS_FXSAVE = 197;
-	public static final int X86_INS_FXSAVE64 = 198;
-	public static final int X86_INS_FXTRACT = 199;
-	public static final int X86_INS_FYL2X = 200;
-	public static final int X86_INS_FYL2XP1 = 201;
-	public static final int X86_INS_MOVAPD = 202;
-	public static final int X86_INS_MOVAPS = 203;
-	public static final int X86_INS_ORPD = 204;
-	public static final int X86_INS_ORPS = 205;
-	public static final int X86_INS_VMOVAPD = 206;
-	public static final int X86_INS_VMOVAPS = 207;
-	public static final int X86_INS_XORPD = 208;
-	public static final int X86_INS_XORPS = 209;
-	public static final int X86_INS_GETSEC = 210;
-	public static final int X86_INS_GS = 211;
-	public static final int X86_INS_HADDPD = 212;
-	public static final int X86_INS_HADDPS = 213;
-	public static final int X86_INS_HLT = 214;
-	public static final int X86_INS_HSUBPD = 215;
-	public static final int X86_INS_HSUBPS = 216;
-	public static final int X86_INS_IDIV = 217;
-	public static final int X86_INS_FILD = 218;
-	public static final int X86_INS_IMUL = 219;
-	public static final int X86_INS_IN = 220;
-	public static final int X86_INS_INC = 221;
-	public static final int X86_INS_INSB = 222;
-	public static final int X86_INS_INSERTPS = 223;
-	public static final int X86_INS_INSERTQ = 224;
-	public static final int X86_INS_INSD = 225;
-	public static final int X86_INS_INSW = 226;
-	public static final int X86_INS_INT = 227;
-	public static final int X86_INS_INT1 = 228;
-	public static final int X86_INS_INT3 = 229;
-	public static final int X86_INS_INTO = 230;
-	public static final int X86_INS_INVD = 231;
-	public static final int X86_INS_INVEPT = 232;
-	public static final int X86_INS_INVLPG = 233;
-	public static final int X86_INS_INVLPGA = 234;
-	public static final int X86_INS_INVPCID = 235;
-	public static final int X86_INS_INVVPID = 236;
-	public static final int X86_INS_IRET = 237;
-	public static final int X86_INS_IRETD = 238;
-	public static final int X86_INS_IRETQ = 239;
-	public static final int X86_INS_FISTTP = 240;
-	public static final int X86_INS_FIST = 241;
-	public static final int X86_INS_FISTP = 242;
-	public static final int X86_INS_UCOMISD = 243;
-	public static final int X86_INS_UCOMISS = 244;
-	public static final int X86_INS_VCMP = 245;
-	public static final int X86_INS_VCOMISD = 246;
-	public static final int X86_INS_VCOMISS = 247;
-	public static final int X86_INS_VCVTSD2SS = 248;
-	public static final int X86_INS_VCVTSI2SD = 249;
-	public static final int X86_INS_VCVTSI2SS = 250;
-	public static final int X86_INS_VCVTSS2SD = 251;
-	public static final int X86_INS_VCVTTSD2SI = 252;
-	public static final int X86_INS_VCVTTSD2USI = 253;
-	public static final int X86_INS_VCVTTSS2SI = 254;
-	public static final int X86_INS_VCVTTSS2USI = 255;
-	public static final int X86_INS_VCVTUSI2SD = 256;
-	public static final int X86_INS_VCVTUSI2SS = 257;
-	public static final int X86_INS_VUCOMISD = 258;
-	public static final int X86_INS_VUCOMISS = 259;
-	public static final int X86_INS_JAE = 260;
-	public static final int X86_INS_JA = 261;
-	public static final int X86_INS_JBE = 262;
-	public static final int X86_INS_JB = 263;
-	public static final int X86_INS_JCXZ = 264;
-	public static final int X86_INS_JECXZ = 265;
-	public static final int X86_INS_JE = 266;
-	public static final int X86_INS_JGE = 267;
-	public static final int X86_INS_JG = 268;
-	public static final int X86_INS_JLE = 269;
-	public static final int X86_INS_JL = 270;
-	public static final int X86_INS_JMP = 271;
-	public static final int X86_INS_JNE = 272;
-	public static final int X86_INS_JNO = 273;
-	public static final int X86_INS_JNP = 274;
-	public static final int X86_INS_JNS = 275;
-	public static final int X86_INS_JO = 276;
-	public static final int X86_INS_JP = 277;
-	public static final int X86_INS_JRCXZ = 278;
-	public static final int X86_INS_JS = 279;
-	public static final int X86_INS_KANDB = 280;
-	public static final int X86_INS_KANDD = 281;
-	public static final int X86_INS_KANDNB = 282;
-	public static final int X86_INS_KANDND = 283;
-	public static final int X86_INS_KANDNQ = 284;
-	public static final int X86_INS_KANDNW = 285;
-	public static final int X86_INS_KANDQ = 286;
-	public static final int X86_INS_KANDW = 287;
-	public static final int X86_INS_KMOVB = 288;
-	public static final int X86_INS_KMOVD = 289;
-	public static final int X86_INS_KMOVQ = 290;
-	public static final int X86_INS_KMOVW = 291;
-	public static final int X86_INS_KNOTB = 292;
-	public static final int X86_INS_KNOTD = 293;
-	public static final int X86_INS_KNOTQ = 294;
-	public static final int X86_INS_KNOTW = 295;
-	public static final int X86_INS_KORB = 296;
-	public static final int X86_INS_KORD = 297;
-	public static final int X86_INS_KORQ = 298;
-	public static final int X86_INS_KORTESTW = 299;
-	public static final int X86_INS_KORW = 300;
-	public static final int X86_INS_KSHIFTLW = 301;
-	public static final int X86_INS_KSHIFTRW = 302;
-	public static final int X86_INS_KUNPCKBW = 303;
-	public static final int X86_INS_KXNORB = 304;
-	public static final int X86_INS_KXNORD = 305;
-	public static final int X86_INS_KXNORQ = 306;
-	public static final int X86_INS_KXNORW = 307;
-	public static final int X86_INS_KXORB = 308;
-	public static final int X86_INS_KXORD = 309;
-	public static final int X86_INS_KXORQ = 310;
-	public static final int X86_INS_KXORW = 311;
-	public static final int X86_INS_LAHF = 312;
-	public static final int X86_INS_LAR = 313;
-	public static final int X86_INS_LDDQU = 314;
-	public static final int X86_INS_LDMXCSR = 315;
-	public static final int X86_INS_LDS = 316;
-	public static final int X86_INS_FLDZ = 317;
-	public static final int X86_INS_FLD1 = 318;
-	public static final int X86_INS_FLD = 319;
-	public static final int X86_INS_LEA = 320;
-	public static final int X86_INS_LEAVE = 321;
-	public static final int X86_INS_LES = 322;
-	public static final int X86_INS_LFENCE = 323;
-	public static final int X86_INS_LFS = 324;
-	public static final int X86_INS_LGDT = 325;
-	public static final int X86_INS_LGS = 326;
-	public static final int X86_INS_LIDT = 327;
-	public static final int X86_INS_LLDT = 328;
-	public static final int X86_INS_LMSW = 329;
-	public static final int X86_INS_OR = 330;
-	public static final int X86_INS_LOCK = 331;
-	public static final int X86_INS_SUB = 332;
-	public static final int X86_INS_XOR = 333;
-	public static final int X86_INS_LODSB = 334;
-	public static final int X86_INS_LODSD = 335;
-	public static final int X86_INS_LODSQ = 336;
-	public static final int X86_INS_LODSW = 337;
-	public static final int X86_INS_LOOP = 338;
-	public static final int X86_INS_LOOPE = 339;
-	public static final int X86_INS_LOOPNE = 340;
-	public static final int X86_INS_RETF = 341;
-	public static final int X86_INS_RETFQ = 342;
-	public static final int X86_INS_LSL = 343;
-	public static final int X86_INS_LSS = 344;
-	public static final int X86_INS_LTR = 345;
-	public static final int X86_INS_XADD = 346;
-	public static final int X86_INS_LZCNT = 347;
-	public static final int X86_INS_MASKMOVDQU = 348;
-	public static final int X86_INS_MAXPD = 349;
-	public static final int X86_INS_MAXPS = 350;
-	public static final int X86_INS_MAXSD = 351;
-	public static final int X86_INS_MAXSS = 352;
-	public static final int X86_INS_MFENCE = 353;
-	public static final int X86_INS_MINPD = 354;
-	public static final int X86_INS_MINPS = 355;
-	public static final int X86_INS_MINSD = 356;
-	public static final int X86_INS_MINSS = 357;
-	public static final int X86_INS_CVTPD2PI = 358;
-	public static final int X86_INS_CVTPI2PD = 359;
-	public static final int X86_INS_CVTPI2PS = 360;
-	public static final int X86_INS_CVTPS2PI = 361;
-	public static final int X86_INS_CVTTPD2PI = 362;
-	public static final int X86_INS_CVTTPS2PI = 363;
-	public static final int X86_INS_EMMS = 364;
-	public static final int X86_INS_MASKMOVQ = 365;
-	public static final int X86_INS_MOVD = 366;
-	public static final int X86_INS_MOVDQ2Q = 367;
-	public static final int X86_INS_MOVNTQ = 368;
-	public static final int X86_INS_MOVQ2DQ = 369;
-	public static final int X86_INS_MOVQ = 370;
-	public static final int X86_INS_PABSB = 371;
-	public static final int X86_INS_PABSD = 372;
-	public static final int X86_INS_PABSW = 373;
-	public static final int X86_INS_PACKSSDW = 374;
-	public static final int X86_INS_PACKSSWB = 375;
-	public static final int X86_INS_PACKUSWB = 376;
-	public static final int X86_INS_PADDB = 377;
-	public static final int X86_INS_PADDD = 378;
-	public static final int X86_INS_PADDQ = 379;
-	public static final int X86_INS_PADDSB = 380;
-	public static final int X86_INS_PADDSW = 381;
-	public static final int X86_INS_PADDUSB = 382;
-	public static final int X86_INS_PADDUSW = 383;
-	public static final int X86_INS_PADDW = 384;
-	public static final int X86_INS_PALIGNR = 385;
-	public static final int X86_INS_PANDN = 386;
-	public static final int X86_INS_PAND = 387;
-	public static final int X86_INS_PAVGB = 388;
-	public static final int X86_INS_PAVGW = 389;
-	public static final int X86_INS_PCMPEQB = 390;
-	public static final int X86_INS_PCMPEQD = 391;
-	public static final int X86_INS_PCMPEQW = 392;
-	public static final int X86_INS_PCMPGTB = 393;
-	public static final int X86_INS_PCMPGTD = 394;
-	public static final int X86_INS_PCMPGTW = 395;
-	public static final int X86_INS_PEXTRW = 396;
-	public static final int X86_INS_PHADDSW = 397;
-	public static final int X86_INS_PHADDW = 398;
-	public static final int X86_INS_PHADDD = 399;
-	public static final int X86_INS_PHSUBD = 400;
-	public static final int X86_INS_PHSUBSW = 401;
-	public static final int X86_INS_PHSUBW = 402;
-	public static final int X86_INS_PINSRW = 403;
-	public static final int X86_INS_PMADDUBSW = 404;
-	public static final int X86_INS_PMADDWD = 405;
-	public static final int X86_INS_PMAXSW = 406;
-	public static final int X86_INS_PMAXUB = 407;
-	public static final int X86_INS_PMINSW = 408;
-	public static final int X86_INS_PMINUB = 409;
-	public static final int X86_INS_PMOVMSKB = 410;
-	public static final int X86_INS_PMULHRSW = 411;
-	public static final int X86_INS_PMULHUW = 412;
-	public static final int X86_INS_PMULHW = 413;
-	public static final int X86_INS_PMULLW = 414;
-	public static final int X86_INS_PMULUDQ = 415;
-	public static final int X86_INS_POR = 416;
-	public static final int X86_INS_PSADBW = 417;
-	public static final int X86_INS_PSHUFB = 418;
-	public static final int X86_INS_PSHUFW = 419;
-	public static final int X86_INS_PSIGNB = 420;
-	public static final int X86_INS_PSIGND = 421;
-	public static final int X86_INS_PSIGNW = 422;
-	public static final int X86_INS_PSLLD = 423;
-	public static final int X86_INS_PSLLQ = 424;
-	public static final int X86_INS_PSLLW = 425;
-	public static final int X86_INS_PSRAD = 426;
-	public static final int X86_INS_PSRAW = 427;
-	public static final int X86_INS_PSRLD = 428;
-	public static final int X86_INS_PSRLQ = 429;
-	public static final int X86_INS_PSRLW = 430;
-	public static final int X86_INS_PSUBB = 431;
-	public static final int X86_INS_PSUBD = 432;
-	public static final int X86_INS_PSUBQ = 433;
-	public static final int X86_INS_PSUBSB = 434;
-	public static final int X86_INS_PSUBSW = 435;
-	public static final int X86_INS_PSUBUSB = 436;
-	public static final int X86_INS_PSUBUSW = 437;
-	public static final int X86_INS_PSUBW = 438;
-	public static final int X86_INS_PUNPCKHBW = 439;
-	public static final int X86_INS_PUNPCKHDQ = 440;
-	public static final int X86_INS_PUNPCKHWD = 441;
-	public static final int X86_INS_PUNPCKLBW = 442;
-	public static final int X86_INS_PUNPCKLDQ = 443;
-	public static final int X86_INS_PUNPCKLWD = 444;
-	public static final int X86_INS_PXOR = 445;
-	public static final int X86_INS_MONITOR = 446;
-	public static final int X86_INS_MONTMUL = 447;
-	public static final int X86_INS_MOV = 448;
-	public static final int X86_INS_MOVABS = 449;
-	public static final int X86_INS_MOVBE = 450;
-	public static final int X86_INS_MOVDDUP = 451;
-	public static final int X86_INS_MOVDQA = 452;
-	public static final int X86_INS_MOVDQU = 453;
-	public static final int X86_INS_MOVHLPS = 454;
-	public static final int X86_INS_MOVHPD = 455;
-	public static final int X86_INS_MOVHPS = 456;
-	public static final int X86_INS_MOVLHPS = 457;
-	public static final int X86_INS_MOVLPD = 458;
-	public static final int X86_INS_MOVLPS = 459;
-	public static final int X86_INS_MOVMSKPD = 460;
-	public static final int X86_INS_MOVMSKPS = 461;
-	public static final int X86_INS_MOVNTDQA = 462;
-	public static final int X86_INS_MOVNTDQ = 463;
-	public static final int X86_INS_MOVNTI = 464;
-	public static final int X86_INS_MOVNTPD = 465;
-	public static final int X86_INS_MOVNTPS = 466;
-	public static final int X86_INS_MOVNTSD = 467;
-	public static final int X86_INS_MOVNTSS = 468;
-	public static final int X86_INS_MOVSB = 469;
-	public static final int X86_INS_MOVSD = 470;
-	public static final int X86_INS_MOVSHDUP = 471;
-	public static final int X86_INS_MOVSLDUP = 472;
-	public static final int X86_INS_MOVSQ = 473;
-	public static final int X86_INS_MOVSS = 474;
-	public static final int X86_INS_MOVSW = 475;
-	public static final int X86_INS_MOVSX = 476;
-	public static final int X86_INS_MOVSXD = 477;
-	public static final int X86_INS_MOVUPD = 478;
-	public static final int X86_INS_MOVUPS = 479;
-	public static final int X86_INS_MOVZX = 480;
-	public static final int X86_INS_MPSADBW = 481;
-	public static final int X86_INS_MUL = 482;
-	public static final int X86_INS_MULPD = 483;
-	public static final int X86_INS_MULPS = 484;
-	public static final int X86_INS_MULSD = 485;
-	public static final int X86_INS_MULSS = 486;
-	public static final int X86_INS_MULX = 487;
-	public static final int X86_INS_FMUL = 488;
-	public static final int X86_INS_FIMUL = 489;
-	public static final int X86_INS_FMULP = 490;
-	public static final int X86_INS_MWAIT = 491;
-	public static final int X86_INS_NEG = 492;
-	public static final int X86_INS_NOP = 493;
-	public static final int X86_INS_NOT = 494;
-	public static final int X86_INS_OUT = 495;
-	public static final int X86_INS_OUTSB = 496;
-	public static final int X86_INS_OUTSD = 497;
-	public static final int X86_INS_OUTSW = 498;
-	public static final int X86_INS_PACKUSDW = 499;
-	public static final int X86_INS_PAUSE = 500;
-	public static final int X86_INS_PAVGUSB = 501;
-	public static final int X86_INS_PBLENDVB = 502;
-	public static final int X86_INS_PBLENDW = 503;
-	public static final int X86_INS_PCLMULQDQ = 504;
-	public static final int X86_INS_PCMPEQQ = 505;
-	public static final int X86_INS_PCMPESTRI = 506;
-	public static final int X86_INS_PCMPESTRM = 507;
-	public static final int X86_INS_PCMPGTQ = 508;
-	public static final int X86_INS_PCMPISTRI = 509;
-	public static final int X86_INS_PCMPISTRM = 510;
-	public static final int X86_INS_PDEP = 511;
-	public static final int X86_INS_PEXT = 512;
-	public static final int X86_INS_PEXTRB = 513;
-	public static final int X86_INS_PEXTRD = 514;
-	public static final int X86_INS_PEXTRQ = 515;
-	public static final int X86_INS_PF2ID = 516;
-	public static final int X86_INS_PF2IW = 517;
-	public static final int X86_INS_PFACC = 518;
-	public static final int X86_INS_PFADD = 519;
-	public static final int X86_INS_PFCMPEQ = 520;
-	public static final int X86_INS_PFCMPGE = 521;
-	public static final int X86_INS_PFCMPGT = 522;
-	public static final int X86_INS_PFMAX = 523;
-	public static final int X86_INS_PFMIN = 524;
-	public static final int X86_INS_PFMUL = 525;
-	public static final int X86_INS_PFNACC = 526;
-	public static final int X86_INS_PFPNACC = 527;
-	public static final int X86_INS_PFRCPIT1 = 528;
-	public static final int X86_INS_PFRCPIT2 = 529;
-	public static final int X86_INS_PFRCP = 530;
-	public static final int X86_INS_PFRSQIT1 = 531;
-	public static final int X86_INS_PFRSQRT = 532;
-	public static final int X86_INS_PFSUBR = 533;
-	public static final int X86_INS_PFSUB = 534;
-	public static final int X86_INS_PHMINPOSUW = 535;
-	public static final int X86_INS_PI2FD = 536;
-	public static final int X86_INS_PI2FW = 537;
-	public static final int X86_INS_PINSRB = 538;
-	public static final int X86_INS_PINSRD = 539;
-	public static final int X86_INS_PINSRQ = 540;
-	public static final int X86_INS_PMAXSB = 541;
-	public static final int X86_INS_PMAXSD = 542;
-	public static final int X86_INS_PMAXUD = 543;
-	public static final int X86_INS_PMAXUW = 544;
-	public static final int X86_INS_PMINSB = 545;
-	public static final int X86_INS_PMINSD = 546;
-	public static final int X86_INS_PMINUD = 547;
-	public static final int X86_INS_PMINUW = 548;
-	public static final int X86_INS_PMOVSXBD = 549;
-	public static final int X86_INS_PMOVSXBQ = 550;
-	public static final int X86_INS_PMOVSXBW = 551;
-	public static final int X86_INS_PMOVSXDQ = 552;
-	public static final int X86_INS_PMOVSXWD = 553;
-	public static final int X86_INS_PMOVSXWQ = 554;
-	public static final int X86_INS_PMOVZXBD = 555;
-	public static final int X86_INS_PMOVZXBQ = 556;
-	public static final int X86_INS_PMOVZXBW = 557;
-	public static final int X86_INS_PMOVZXDQ = 558;
-	public static final int X86_INS_PMOVZXWD = 559;
-	public static final int X86_INS_PMOVZXWQ = 560;
-	public static final int X86_INS_PMULDQ = 561;
-	public static final int X86_INS_PMULHRW = 562;
-	public static final int X86_INS_PMULLD = 563;
-	public static final int X86_INS_POP = 564;
-	public static final int X86_INS_POPAW = 565;
-	public static final int X86_INS_POPAL = 566;
-	public static final int X86_INS_POPCNT = 567;
-	public static final int X86_INS_POPF = 568;
-	public static final int X86_INS_POPFD = 569;
-	public static final int X86_INS_POPFQ = 570;
-	public static final int X86_INS_PREFETCH = 571;
-	public static final int X86_INS_PREFETCHNTA = 572;
-	public static final int X86_INS_PREFETCHT0 = 573;
-	public static final int X86_INS_PREFETCHT1 = 574;
-	public static final int X86_INS_PREFETCHT2 = 575;
-	public static final int X86_INS_PREFETCHW = 576;
-	public static final int X86_INS_PSHUFD = 577;
-	public static final int X86_INS_PSHUFHW = 578;
-	public static final int X86_INS_PSHUFLW = 579;
-	public static final int X86_INS_PSLLDQ = 580;
-	public static final int X86_INS_PSRLDQ = 581;
-	public static final int X86_INS_PSWAPD = 582;
-	public static final int X86_INS_PTEST = 583;
-	public static final int X86_INS_PUNPCKHQDQ = 584;
-	public static final int X86_INS_PUNPCKLQDQ = 585;
-	public static final int X86_INS_PUSH = 586;
-	public static final int X86_INS_PUSHAW = 587;
-	public static final int X86_INS_PUSHAL = 588;
-	public static final int X86_INS_PUSHF = 589;
-	public static final int X86_INS_PUSHFD = 590;
-	public static final int X86_INS_PUSHFQ = 591;
-	public static final int X86_INS_RCL = 592;
-	public static final int X86_INS_RCPPS = 593;
-	public static final int X86_INS_RCPSS = 594;
-	public static final int X86_INS_RCR = 595;
-	public static final int X86_INS_RDFSBASE = 596;
-	public static final int X86_INS_RDGSBASE = 597;
-	public static final int X86_INS_RDMSR = 598;
-	public static final int X86_INS_RDPMC = 599;
-	public static final int X86_INS_RDRAND = 600;
-	public static final int X86_INS_RDSEED = 601;
-	public static final int X86_INS_RDTSC = 602;
-	public static final int X86_INS_RDTSCP = 603;
-	public static final int X86_INS_REPNE = 604;
-	public static final int X86_INS_REP = 605;
-	public static final int X86_INS_ROL = 606;
-	public static final int X86_INS_ROR = 607;
-	public static final int X86_INS_RORX = 608;
-	public static final int X86_INS_ROUNDPD = 609;
-	public static final int X86_INS_ROUNDPS = 610;
-	public static final int X86_INS_ROUNDSD = 611;
-	public static final int X86_INS_ROUNDSS = 612;
-	public static final int X86_INS_RSM = 613;
-	public static final int X86_INS_RSQRTPS = 614;
-	public static final int X86_INS_RSQRTSS = 615;
-	public static final int X86_INS_SAHF = 616;
-	public static final int X86_INS_SAL = 617;
-	public static final int X86_INS_SALC = 618;
-	public static final int X86_INS_SAR = 619;
-	public static final int X86_INS_SARX = 620;
-	public static final int X86_INS_SBB = 621;
-	public static final int X86_INS_SCASB = 622;
-	public static final int X86_INS_SCASD = 623;
-	public static final int X86_INS_SCASQ = 624;
-	public static final int X86_INS_SCASW = 625;
-	public static final int X86_INS_SETAE = 626;
-	public static final int X86_INS_SETA = 627;
-	public static final int X86_INS_SETBE = 628;
-	public static final int X86_INS_SETB = 629;
-	public static final int X86_INS_SETE = 630;
-	public static final int X86_INS_SETGE = 631;
-	public static final int X86_INS_SETG = 632;
-	public static final int X86_INS_SETLE = 633;
-	public static final int X86_INS_SETL = 634;
-	public static final int X86_INS_SETNE = 635;
-	public static final int X86_INS_SETNO = 636;
-	public static final int X86_INS_SETNP = 637;
-	public static final int X86_INS_SETNS = 638;
-	public static final int X86_INS_SETO = 639;
-	public static final int X86_INS_SETP = 640;
-	public static final int X86_INS_SETS = 641;
-	public static final int X86_INS_SFENCE = 642;
-	public static final int X86_INS_SGDT = 643;
-	public static final int X86_INS_SHA1MSG1 = 644;
-	public static final int X86_INS_SHA1MSG2 = 645;
-	public static final int X86_INS_SHA1NEXTE = 646;
-	public static final int X86_INS_SHA1RNDS4 = 647;
-	public static final int X86_INS_SHA256MSG1 = 648;
-	public static final int X86_INS_SHA256MSG2 = 649;
-	public static final int X86_INS_SHA256RNDS2 = 650;
-	public static final int X86_INS_SHL = 651;
-	public static final int X86_INS_SHLD = 652;
-	public static final int X86_INS_SHLX = 653;
-	public static final int X86_INS_SHR = 654;
-	public static final int X86_INS_SHRD = 655;
-	public static final int X86_INS_SHRX = 656;
-	public static final int X86_INS_SHUFPD = 657;
-	public static final int X86_INS_SHUFPS = 658;
-	public static final int X86_INS_SIDT = 659;
-	public static final int X86_INS_FSIN = 660;
-	public static final int X86_INS_SKINIT = 661;
-	public static final int X86_INS_SLDT = 662;
-	public static final int X86_INS_SMSW = 663;
-	public static final int X86_INS_SQRTPD = 664;
-	public static final int X86_INS_SQRTPS = 665;
-	public static final int X86_INS_SQRTSD = 666;
-	public static final int X86_INS_SQRTSS = 667;
-	public static final int X86_INS_FSQRT = 668;
-	public static final int X86_INS_SS = 669;
-	public static final int X86_INS_STAC = 670;
-	public static final int X86_INS_STC = 671;
-	public static final int X86_INS_STD = 672;
-	public static final int X86_INS_STGI = 673;
-	public static final int X86_INS_STI = 674;
-	public static final int X86_INS_STMXCSR = 675;
-	public static final int X86_INS_STOSB = 676;
-	public static final int X86_INS_STOSD = 677;
-	public static final int X86_INS_STOSQ = 678;
-	public static final int X86_INS_STOSW = 679;
-	public static final int X86_INS_STR = 680;
-	public static final int X86_INS_FST = 681;
-	public static final int X86_INS_FSTP = 682;
-	public static final int X86_INS_FSTPNCE = 683;
-	public static final int X86_INS_SUBPD = 684;
-	public static final int X86_INS_SUBPS = 685;
-	public static final int X86_INS_FSUBR = 686;
-	public static final int X86_INS_FISUBR = 687;
-	public static final int X86_INS_FSUBRP = 688;
-	public static final int X86_INS_SUBSD = 689;
-	public static final int X86_INS_SUBSS = 690;
-	public static final int X86_INS_FSUB = 691;
-	public static final int X86_INS_FISUB = 692;
-	public static final int X86_INS_FSUBP = 693;
-	public static final int X86_INS_SWAPGS = 694;
-	public static final int X86_INS_SYSCALL = 695;
-	public static final int X86_INS_SYSENTER = 696;
-	public static final int X86_INS_SYSEXIT = 697;
-	public static final int X86_INS_SYSRET = 698;
-	public static final int X86_INS_T1MSKC = 699;
-	public static final int X86_INS_TEST = 700;
-	public static final int X86_INS_UD2 = 701;
-	public static final int X86_INS_FTST = 702;
-	public static final int X86_INS_TZCNT = 703;
-	public static final int X86_INS_TZMSK = 704;
-	public static final int X86_INS_FUCOMPI = 705;
-	public static final int X86_INS_FUCOMI = 706;
-	public static final int X86_INS_FUCOMPP = 707;
-	public static final int X86_INS_FUCOMP = 708;
-	public static final int X86_INS_FUCOM = 709;
-	public static final int X86_INS_UD2B = 710;
-	public static final int X86_INS_UNPCKHPD = 711;
-	public static final int X86_INS_UNPCKHPS = 712;
-	public static final int X86_INS_UNPCKLPD = 713;
-	public static final int X86_INS_UNPCKLPS = 714;
-	public static final int X86_INS_VADDPD = 715;
-	public static final int X86_INS_VADDPS = 716;
-	public static final int X86_INS_VADDSD = 717;
-	public static final int X86_INS_VADDSS = 718;
-	public static final int X86_INS_VADDSUBPD = 719;
-	public static final int X86_INS_VADDSUBPS = 720;
-	public static final int X86_INS_VAESDECLAST = 721;
-	public static final int X86_INS_VAESDEC = 722;
-	public static final int X86_INS_VAESENCLAST = 723;
-	public static final int X86_INS_VAESENC = 724;
-	public static final int X86_INS_VAESIMC = 725;
-	public static final int X86_INS_VAESKEYGENASSIST = 726;
-	public static final int X86_INS_VALIGND = 727;
-	public static final int X86_INS_VALIGNQ = 728;
-	public static final int X86_INS_VANDNPD = 729;
-	public static final int X86_INS_VANDNPS = 730;
-	public static final int X86_INS_VANDPD = 731;
-	public static final int X86_INS_VANDPS = 732;
-	public static final int X86_INS_VBLENDMPD = 733;
-	public static final int X86_INS_VBLENDMPS = 734;
-	public static final int X86_INS_VBLENDPD = 735;
-	public static final int X86_INS_VBLENDPS = 736;
-	public static final int X86_INS_VBLENDVPD = 737;
-	public static final int X86_INS_VBLENDVPS = 738;
-	public static final int X86_INS_VBROADCASTF128 = 739;
-	public static final int X86_INS_VBROADCASTI128 = 740;
-	public static final int X86_INS_VBROADCASTI32X4 = 741;
-	public static final int X86_INS_VBROADCASTI64X4 = 742;
-	public static final int X86_INS_VBROADCASTSD = 743;
-	public static final int X86_INS_VBROADCASTSS = 744;
-	public static final int X86_INS_VCMPPD = 745;
-	public static final int X86_INS_VCMPPS = 746;
-	public static final int X86_INS_VCMPSD = 747;
-	public static final int X86_INS_VCMPSS = 748;
-	public static final int X86_INS_VCVTDQ2PD = 749;
-	public static final int X86_INS_VCVTDQ2PS = 750;
-	public static final int X86_INS_VCVTPD2DQX = 751;
-	public static final int X86_INS_VCVTPD2DQ = 752;
-	public static final int X86_INS_VCVTPD2PSX = 753;
-	public static final int X86_INS_VCVTPD2PS = 754;
-	public static final int X86_INS_VCVTPD2UDQ = 755;
-	public static final int X86_INS_VCVTPH2PS = 756;
-	public static final int X86_INS_VCVTPS2DQ = 757;
-	public static final int X86_INS_VCVTPS2PD = 758;
-	public static final int X86_INS_VCVTPS2PH = 759;
-	public static final int X86_INS_VCVTPS2UDQ = 760;
-	public static final int X86_INS_VCVTSD2SI = 761;
-	public static final int X86_INS_VCVTSD2USI = 762;
-	public static final int X86_INS_VCVTSS2SI = 763;
-	public static final int X86_INS_VCVTSS2USI = 764;
-	public static final int X86_INS_VCVTTPD2DQX = 765;
-	public static final int X86_INS_VCVTTPD2DQ = 766;
-	public static final int X86_INS_VCVTTPD2UDQ = 767;
-	public static final int X86_INS_VCVTTPS2DQ = 768;
-	public static final int X86_INS_VCVTTPS2UDQ = 769;
-	public static final int X86_INS_VCVTUDQ2PD = 770;
-	public static final int X86_INS_VCVTUDQ2PS = 771;
-	public static final int X86_INS_VDIVPD = 772;
-	public static final int X86_INS_VDIVPS = 773;
-	public static final int X86_INS_VDIVSD = 774;
-	public static final int X86_INS_VDIVSS = 775;
-	public static final int X86_INS_VDPPD = 776;
-	public static final int X86_INS_VDPPS = 777;
-	public static final int X86_INS_VERR = 778;
-	public static final int X86_INS_VERW = 779;
-	public static final int X86_INS_VEXTRACTF128 = 780;
-	public static final int X86_INS_VEXTRACTF32X4 = 781;
-	public static final int X86_INS_VEXTRACTF64X4 = 782;
-	public static final int X86_INS_VEXTRACTI128 = 783;
-	public static final int X86_INS_VEXTRACTI32X4 = 784;
-	public static final int X86_INS_VEXTRACTI64X4 = 785;
-	public static final int X86_INS_VEXTRACTPS = 786;
-	public static final int X86_INS_VFMADD132PD = 787;
-	public static final int X86_INS_VFMADD132PS = 788;
-	public static final int X86_INS_VFMADD213PD = 789;
-	public static final int X86_INS_VFMADD213PS = 790;
-	public static final int X86_INS_VFMADDPD = 791;
-	public static final int X86_INS_VFMADD231PD = 792;
-	public static final int X86_INS_VFMADDPS = 793;
-	public static final int X86_INS_VFMADD231PS = 794;
-	public static final int X86_INS_VFMADDSD = 795;
-	public static final int X86_INS_VFMADD213SD = 796;
-	public static final int X86_INS_VFMADD132SD = 797;
-	public static final int X86_INS_VFMADD231SD = 798;
-	public static final int X86_INS_VFMADDSS = 799;
-	public static final int X86_INS_VFMADD213SS = 800;
-	public static final int X86_INS_VFMADD132SS = 801;
-	public static final int X86_INS_VFMADD231SS = 802;
-	public static final int X86_INS_VFMADDSUB132PD = 803;
-	public static final int X86_INS_VFMADDSUB132PS = 804;
-	public static final int X86_INS_VFMADDSUB213PD = 805;
-	public static final int X86_INS_VFMADDSUB213PS = 806;
-	public static final int X86_INS_VFMADDSUBPD = 807;
-	public static final int X86_INS_VFMADDSUB231PD = 808;
-	public static final int X86_INS_VFMADDSUBPS = 809;
-	public static final int X86_INS_VFMADDSUB231PS = 810;
-	public static final int X86_INS_VFMSUB132PD = 811;
-	public static final int X86_INS_VFMSUB132PS = 812;
-	public static final int X86_INS_VFMSUB213PD = 813;
-	public static final int X86_INS_VFMSUB213PS = 814;
-	public static final int X86_INS_VFMSUBADD132PD = 815;
-	public static final int X86_INS_VFMSUBADD132PS = 816;
-	public static final int X86_INS_VFMSUBADD213PD = 817;
-	public static final int X86_INS_VFMSUBADD213PS = 818;
-	public static final int X86_INS_VFMSUBADDPD = 819;
-	public static final int X86_INS_VFMSUBADD231PD = 820;
-	public static final int X86_INS_VFMSUBADDPS = 821;
-	public static final int X86_INS_VFMSUBADD231PS = 822;
-	public static final int X86_INS_VFMSUBPD = 823;
-	public static final int X86_INS_VFMSUB231PD = 824;
-	public static final int X86_INS_VFMSUBPS = 825;
-	public static final int X86_INS_VFMSUB231PS = 826;
-	public static final int X86_INS_VFMSUBSD = 827;
-	public static final int X86_INS_VFMSUB213SD = 828;
-	public static final int X86_INS_VFMSUB132SD = 829;
-	public static final int X86_INS_VFMSUB231SD = 830;
-	public static final int X86_INS_VFMSUBSS = 831;
-	public static final int X86_INS_VFMSUB213SS = 832;
-	public static final int X86_INS_VFMSUB132SS = 833;
-	public static final int X86_INS_VFMSUB231SS = 834;
-	public static final int X86_INS_VFNMADD132PD = 835;
-	public static final int X86_INS_VFNMADD132PS = 836;
-	public static final int X86_INS_VFNMADD213PD = 837;
-	public static final int X86_INS_VFNMADD213PS = 838;
-	public static final int X86_INS_VFNMADDPD = 839;
-	public static final int X86_INS_VFNMADD231PD = 840;
-	public static final int X86_INS_VFNMADDPS = 841;
-	public static final int X86_INS_VFNMADD231PS = 842;
-	public static final int X86_INS_VFNMADDSD = 843;
-	public static final int X86_INS_VFNMADD213SD = 844;
-	public static final int X86_INS_VFNMADD132SD = 845;
-	public static final int X86_INS_VFNMADD231SD = 846;
-	public static final int X86_INS_VFNMADDSS = 847;
-	public static final int X86_INS_VFNMADD213SS = 848;
-	public static final int X86_INS_VFNMADD132SS = 849;
-	public static final int X86_INS_VFNMADD231SS = 850;
-	public static final int X86_INS_VFNMSUB132PD = 851;
-	public static final int X86_INS_VFNMSUB132PS = 852;
-	public static final int X86_INS_VFNMSUB213PD = 853;
-	public static final int X86_INS_VFNMSUB213PS = 854;
-	public static final int X86_INS_VFNMSUBPD = 855;
-	public static final int X86_INS_VFNMSUB231PD = 856;
-	public static final int X86_INS_VFNMSUBPS = 857;
-	public static final int X86_INS_VFNMSUB231PS = 858;
-	public static final int X86_INS_VFNMSUBSD = 859;
-	public static final int X86_INS_VFNMSUB213SD = 860;
-	public static final int X86_INS_VFNMSUB132SD = 861;
-	public static final int X86_INS_VFNMSUB231SD = 862;
-	public static final int X86_INS_VFNMSUBSS = 863;
-	public static final int X86_INS_VFNMSUB213SS = 864;
-	public static final int X86_INS_VFNMSUB132SS = 865;
-	public static final int X86_INS_VFNMSUB231SS = 866;
-	public static final int X86_INS_VFRCZPD = 867;
-	public static final int X86_INS_VFRCZPS = 868;
-	public static final int X86_INS_VFRCZSD = 869;
-	public static final int X86_INS_VFRCZSS = 870;
-	public static final int X86_INS_VORPD = 871;
-	public static final int X86_INS_VORPS = 872;
-	public static final int X86_INS_VXORPD = 873;
-	public static final int X86_INS_VXORPS = 874;
-	public static final int X86_INS_VGATHERDPD = 875;
-	public static final int X86_INS_VGATHERDPS = 876;
-	public static final int X86_INS_VGATHERPF0DPD = 877;
-	public static final int X86_INS_VGATHERPF0DPS = 878;
-	public static final int X86_INS_VGATHERPF0QPD = 879;
-	public static final int X86_INS_VGATHERPF0QPS = 880;
-	public static final int X86_INS_VGATHERPF1DPD = 881;
-	public static final int X86_INS_VGATHERPF1DPS = 882;
-	public static final int X86_INS_VGATHERPF1QPD = 883;
-	public static final int X86_INS_VGATHERPF1QPS = 884;
-	public static final int X86_INS_VGATHERQPD = 885;
-	public static final int X86_INS_VGATHERQPS = 886;
-	public static final int X86_INS_VHADDPD = 887;
-	public static final int X86_INS_VHADDPS = 888;
-	public static final int X86_INS_VHSUBPD = 889;
-	public static final int X86_INS_VHSUBPS = 890;
-	public static final int X86_INS_VINSERTF128 = 891;
-	public static final int X86_INS_VINSERTF32X4 = 892;
-	public static final int X86_INS_VINSERTF64X4 = 893;
-	public static final int X86_INS_VINSERTI128 = 894;
-	public static final int X86_INS_VINSERTI32X4 = 895;
-	public static final int X86_INS_VINSERTI64X4 = 896;
-	public static final int X86_INS_VINSERTPS = 897;
-	public static final int X86_INS_VLDDQU = 898;
-	public static final int X86_INS_VLDMXCSR = 899;
-	public static final int X86_INS_VMASKMOVDQU = 900;
-	public static final int X86_INS_VMASKMOVPD = 901;
-	public static final int X86_INS_VMASKMOVPS = 902;
-	public static final int X86_INS_VMAXPD = 903;
-	public static final int X86_INS_VMAXPS = 904;
-	public static final int X86_INS_VMAXSD = 905;
-	public static final int X86_INS_VMAXSS = 906;
-	public static final int X86_INS_VMCALL = 907;
-	public static final int X86_INS_VMCLEAR = 908;
-	public static final int X86_INS_VMFUNC = 909;
-	public static final int X86_INS_VMINPD = 910;
-	public static final int X86_INS_VMINPS = 911;
-	public static final int X86_INS_VMINSD = 912;
-	public static final int X86_INS_VMINSS = 913;
-	public static final int X86_INS_VMLAUNCH = 914;
-	public static final int X86_INS_VMLOAD = 915;
-	public static final int X86_INS_VMMCALL = 916;
-	public static final int X86_INS_VMOVQ = 917;
-	public static final int X86_INS_VMOVDDUP = 918;
-	public static final int X86_INS_VMOVD = 919;
-	public static final int X86_INS_VMOVDQA32 = 920;
-	public static final int X86_INS_VMOVDQA64 = 921;
-	public static final int X86_INS_VMOVDQA = 922;
-	public static final int X86_INS_VMOVDQU16 = 923;
-	public static final int X86_INS_VMOVDQU32 = 924;
-	public static final int X86_INS_VMOVDQU64 = 925;
-	public static final int X86_INS_VMOVDQU8 = 926;
-	public static final int X86_INS_VMOVDQU = 927;
-	public static final int X86_INS_VMOVHLPS = 928;
-	public static final int X86_INS_VMOVHPD = 929;
-	public static final int X86_INS_VMOVHPS = 930;
-	public static final int X86_INS_VMOVLHPS = 931;
-	public static final int X86_INS_VMOVLPD = 932;
-	public static final int X86_INS_VMOVLPS = 933;
-	public static final int X86_INS_VMOVMSKPD = 934;
-	public static final int X86_INS_VMOVMSKPS = 935;
-	public static final int X86_INS_VMOVNTDQA = 936;
-	public static final int X86_INS_VMOVNTDQ = 937;
-	public static final int X86_INS_VMOVNTPD = 938;
-	public static final int X86_INS_VMOVNTPS = 939;
-	public static final int X86_INS_VMOVSD = 940;
-	public static final int X86_INS_VMOVSHDUP = 941;
-	public static final int X86_INS_VMOVSLDUP = 942;
-	public static final int X86_INS_VMOVSS = 943;
-	public static final int X86_INS_VMOVUPD = 944;
-	public static final int X86_INS_VMOVUPS = 945;
-	public static final int X86_INS_VMPSADBW = 946;
-	public static final int X86_INS_VMPTRLD = 947;
-	public static final int X86_INS_VMPTRST = 948;
-	public static final int X86_INS_VMREAD = 949;
-	public static final int X86_INS_VMRESUME = 950;
-	public static final int X86_INS_VMRUN = 951;
-	public static final int X86_INS_VMSAVE = 952;
-	public static final int X86_INS_VMULPD = 953;
-	public static final int X86_INS_VMULPS = 954;
-	public static final int X86_INS_VMULSD = 955;
-	public static final int X86_INS_VMULSS = 956;
-	public static final int X86_INS_VMWRITE = 957;
-	public static final int X86_INS_VMXOFF = 958;
-	public static final int X86_INS_VMXON = 959;
-	public static final int X86_INS_VPABSB = 960;
-	public static final int X86_INS_VPABSD = 961;
-	public static final int X86_INS_VPABSQ = 962;
-	public static final int X86_INS_VPABSW = 963;
-	public static final int X86_INS_VPACKSSDW = 964;
-	public static final int X86_INS_VPACKSSWB = 965;
-	public static final int X86_INS_VPACKUSDW = 966;
-	public static final int X86_INS_VPACKUSWB = 967;
-	public static final int X86_INS_VPADDB = 968;
-	public static final int X86_INS_VPADDD = 969;
-	public static final int X86_INS_VPADDQ = 970;
-	public static final int X86_INS_VPADDSB = 971;
-	public static final int X86_INS_VPADDSW = 972;
-	public static final int X86_INS_VPADDUSB = 973;
-	public static final int X86_INS_VPADDUSW = 974;
-	public static final int X86_INS_VPADDW = 975;
-	public static final int X86_INS_VPALIGNR = 976;
-	public static final int X86_INS_VPANDD = 977;
-	public static final int X86_INS_VPANDND = 978;
-	public static final int X86_INS_VPANDNQ = 979;
-	public static final int X86_INS_VPANDN = 980;
-	public static final int X86_INS_VPANDQ = 981;
-	public static final int X86_INS_VPAND = 982;
-	public static final int X86_INS_VPAVGB = 983;
-	public static final int X86_INS_VPAVGW = 984;
-	public static final int X86_INS_VPBLENDD = 985;
-	public static final int X86_INS_VPBLENDMD = 986;
-	public static final int X86_INS_VPBLENDMQ = 987;
-	public static final int X86_INS_VPBLENDVB = 988;
-	public static final int X86_INS_VPBLENDW = 989;
-	public static final int X86_INS_VPBROADCASTB = 990;
-	public static final int X86_INS_VPBROADCASTD = 991;
-	public static final int X86_INS_VPBROADCASTMB2Q = 992;
-	public static final int X86_INS_VPBROADCASTMW2D = 993;
-	public static final int X86_INS_VPBROADCASTQ = 994;
-	public static final int X86_INS_VPBROADCASTW = 995;
-	public static final int X86_INS_VPCLMULQDQ = 996;
-	public static final int X86_INS_VPCMOV = 997;
-	public static final int X86_INS_VPCMP = 998;
-	public static final int X86_INS_VPCMPD = 999;
-	public static final int X86_INS_VPCMPEQB = 1000;
-	public static final int X86_INS_VPCMPEQD = 1001;
-	public static final int X86_INS_VPCMPEQQ = 1002;
-	public static final int X86_INS_VPCMPEQW = 1003;
-	public static final int X86_INS_VPCMPESTRI = 1004;
-	public static final int X86_INS_VPCMPESTRM = 1005;
-	public static final int X86_INS_VPCMPGTB = 1006;
-	public static final int X86_INS_VPCMPGTD = 1007;
-	public static final int X86_INS_VPCMPGTQ = 1008;
-	public static final int X86_INS_VPCMPGTW = 1009;
-	public static final int X86_INS_VPCMPISTRI = 1010;
-	public static final int X86_INS_VPCMPISTRM = 1011;
-	public static final int X86_INS_VPCMPQ = 1012;
-	public static final int X86_INS_VPCMPUD = 1013;
-	public static final int X86_INS_VPCMPUQ = 1014;
-	public static final int X86_INS_VPCOMB = 1015;
-	public static final int X86_INS_VPCOMD = 1016;
-	public static final int X86_INS_VPCOMQ = 1017;
-	public static final int X86_INS_VPCOMUB = 1018;
-	public static final int X86_INS_VPCOMUD = 1019;
-	public static final int X86_INS_VPCOMUQ = 1020;
-	public static final int X86_INS_VPCOMUW = 1021;
-	public static final int X86_INS_VPCOMW = 1022;
-	public static final int X86_INS_VPCONFLICTD = 1023;
-	public static final int X86_INS_VPCONFLICTQ = 1024;
-	public static final int X86_INS_VPERM2F128 = 1025;
-	public static final int X86_INS_VPERM2I128 = 1026;
-	public static final int X86_INS_VPERMD = 1027;
-	public static final int X86_INS_VPERMI2D = 1028;
-	public static final int X86_INS_VPERMI2PD = 1029;
-	public static final int X86_INS_VPERMI2PS = 1030;
-	public static final int X86_INS_VPERMI2Q = 1031;
-	public static final int X86_INS_VPERMIL2PD = 1032;
-	public static final int X86_INS_VPERMIL2PS = 1033;
-	public static final int X86_INS_VPERMILPD = 1034;
-	public static final int X86_INS_VPERMILPS = 1035;
-	public static final int X86_INS_VPERMPD = 1036;
-	public static final int X86_INS_VPERMPS = 1037;
-	public static final int X86_INS_VPERMQ = 1038;
-	public static final int X86_INS_VPERMT2D = 1039;
-	public static final int X86_INS_VPERMT2PD = 1040;
-	public static final int X86_INS_VPERMT2PS = 1041;
-	public static final int X86_INS_VPERMT2Q = 1042;
-	public static final int X86_INS_VPEXTRB = 1043;
-	public static final int X86_INS_VPEXTRD = 1044;
-	public static final int X86_INS_VPEXTRQ = 1045;
-	public static final int X86_INS_VPEXTRW = 1046;
-	public static final int X86_INS_VPGATHERDD = 1047;
-	public static final int X86_INS_VPGATHERDQ = 1048;
-	public static final int X86_INS_VPGATHERQD = 1049;
-	public static final int X86_INS_VPGATHERQQ = 1050;
-	public static final int X86_INS_VPHADDBD = 1051;
-	public static final int X86_INS_VPHADDBQ = 1052;
-	public static final int X86_INS_VPHADDBW = 1053;
-	public static final int X86_INS_VPHADDDQ = 1054;
-	public static final int X86_INS_VPHADDD = 1055;
-	public static final int X86_INS_VPHADDSW = 1056;
-	public static final int X86_INS_VPHADDUBD = 1057;
-	public static final int X86_INS_VPHADDUBQ = 1058;
-	public static final int X86_INS_VPHADDUBW = 1059;
-	public static final int X86_INS_VPHADDUDQ = 1060;
-	public static final int X86_INS_VPHADDUWD = 1061;
-	public static final int X86_INS_VPHADDUWQ = 1062;
-	public static final int X86_INS_VPHADDWD = 1063;
-	public static final int X86_INS_VPHADDWQ = 1064;
-	public static final int X86_INS_VPHADDW = 1065;
-	public static final int X86_INS_VPHMINPOSUW = 1066;
-	public static final int X86_INS_VPHSUBBW = 1067;
-	public static final int X86_INS_VPHSUBDQ = 1068;
-	public static final int X86_INS_VPHSUBD = 1069;
-	public static final int X86_INS_VPHSUBSW = 1070;
-	public static final int X86_INS_VPHSUBWD = 1071;
-	public static final int X86_INS_VPHSUBW = 1072;
-	public static final int X86_INS_VPINSRB = 1073;
-	public static final int X86_INS_VPINSRD = 1074;
-	public static final int X86_INS_VPINSRQ = 1075;
-	public static final int X86_INS_VPINSRW = 1076;
-	public static final int X86_INS_VPLZCNTD = 1077;
-	public static final int X86_INS_VPLZCNTQ = 1078;
-	public static final int X86_INS_VPMACSDD = 1079;
-	public static final int X86_INS_VPMACSDQH = 1080;
-	public static final int X86_INS_VPMACSDQL = 1081;
-	public static final int X86_INS_VPMACSSDD = 1082;
-	public static final int X86_INS_VPMACSSDQH = 1083;
-	public static final int X86_INS_VPMACSSDQL = 1084;
-	public static final int X86_INS_VPMACSSWD = 1085;
-	public static final int X86_INS_VPMACSSWW = 1086;
-	public static final int X86_INS_VPMACSWD = 1087;
-	public static final int X86_INS_VPMACSWW = 1088;
-	public static final int X86_INS_VPMADCSSWD = 1089;
-	public static final int X86_INS_VPMADCSWD = 1090;
-	public static final int X86_INS_VPMADDUBSW = 1091;
-	public static final int X86_INS_VPMADDWD = 1092;
-	public static final int X86_INS_VPMASKMOVD = 1093;
-	public static final int X86_INS_VPMASKMOVQ = 1094;
-	public static final int X86_INS_VPMAXSB = 1095;
-	public static final int X86_INS_VPMAXSD = 1096;
-	public static final int X86_INS_VPMAXSQ = 1097;
-	public static final int X86_INS_VPMAXSW = 1098;
-	public static final int X86_INS_VPMAXUB = 1099;
-	public static final int X86_INS_VPMAXUD = 1100;
-	public static final int X86_INS_VPMAXUQ = 1101;
-	public static final int X86_INS_VPMAXUW = 1102;
-	public static final int X86_INS_VPMINSB = 1103;
-	public static final int X86_INS_VPMINSD = 1104;
-	public static final int X86_INS_VPMINSQ = 1105;
-	public static final int X86_INS_VPMINSW = 1106;
-	public static final int X86_INS_VPMINUB = 1107;
-	public static final int X86_INS_VPMINUD = 1108;
-	public static final int X86_INS_VPMINUQ = 1109;
-	public static final int X86_INS_VPMINUW = 1110;
-	public static final int X86_INS_VPMOVDB = 1111;
-	public static final int X86_INS_VPMOVDW = 1112;
-	public static final int X86_INS_VPMOVMSKB = 1113;
-	public static final int X86_INS_VPMOVQB = 1114;
-	public static final int X86_INS_VPMOVQD = 1115;
-	public static final int X86_INS_VPMOVQW = 1116;
-	public static final int X86_INS_VPMOVSDB = 1117;
-	public static final int X86_INS_VPMOVSDW = 1118;
-	public static final int X86_INS_VPMOVSQB = 1119;
-	public static final int X86_INS_VPMOVSQD = 1120;
-	public static final int X86_INS_VPMOVSQW = 1121;
-	public static final int X86_INS_VPMOVSXBD = 1122;
-	public static final int X86_INS_VPMOVSXBQ = 1123;
-	public static final int X86_INS_VPMOVSXBW = 1124;
-	public static final int X86_INS_VPMOVSXDQ = 1125;
-	public static final int X86_INS_VPMOVSXWD = 1126;
-	public static final int X86_INS_VPMOVSXWQ = 1127;
-	public static final int X86_INS_VPMOVUSDB = 1128;
-	public static final int X86_INS_VPMOVUSDW = 1129;
-	public static final int X86_INS_VPMOVUSQB = 1130;
-	public static final int X86_INS_VPMOVUSQD = 1131;
-	public static final int X86_INS_VPMOVUSQW = 1132;
-	public static final int X86_INS_VPMOVZXBD = 1133;
-	public static final int X86_INS_VPMOVZXBQ = 1134;
-	public static final int X86_INS_VPMOVZXBW = 1135;
-	public static final int X86_INS_VPMOVZXDQ = 1136;
-	public static final int X86_INS_VPMOVZXWD = 1137;
-	public static final int X86_INS_VPMOVZXWQ = 1138;
-	public static final int X86_INS_VPMULDQ = 1139;
-	public static final int X86_INS_VPMULHRSW = 1140;
-	public static final int X86_INS_VPMULHUW = 1141;
-	public static final int X86_INS_VPMULHW = 1142;
-	public static final int X86_INS_VPMULLD = 1143;
-	public static final int X86_INS_VPMULLW = 1144;
-	public static final int X86_INS_VPMULUDQ = 1145;
-	public static final int X86_INS_VPORD = 1146;
-	public static final int X86_INS_VPORQ = 1147;
-	public static final int X86_INS_VPOR = 1148;
-	public static final int X86_INS_VPPERM = 1149;
-	public static final int X86_INS_VPROTB = 1150;
-	public static final int X86_INS_VPROTD = 1151;
-	public static final int X86_INS_VPROTQ = 1152;
-	public static final int X86_INS_VPROTW = 1153;
-	public static final int X86_INS_VPSADBW = 1154;
-	public static final int X86_INS_VPSCATTERDD = 1155;
-	public static final int X86_INS_VPSCATTERDQ = 1156;
-	public static final int X86_INS_VPSCATTERQD = 1157;
-	public static final int X86_INS_VPSCATTERQQ = 1158;
-	public static final int X86_INS_VPSHAB = 1159;
-	public static final int X86_INS_VPSHAD = 1160;
-	public static final int X86_INS_VPSHAQ = 1161;
-	public static final int X86_INS_VPSHAW = 1162;
-	public static final int X86_INS_VPSHLB = 1163;
-	public static final int X86_INS_VPSHLD = 1164;
-	public static final int X86_INS_VPSHLQ = 1165;
-	public static final int X86_INS_VPSHLW = 1166;
-	public static final int X86_INS_VPSHUFB = 1167;
-	public static final int X86_INS_VPSHUFD = 1168;
-	public static final int X86_INS_VPSHUFHW = 1169;
-	public static final int X86_INS_VPSHUFLW = 1170;
-	public static final int X86_INS_VPSIGNB = 1171;
-	public static final int X86_INS_VPSIGND = 1172;
-	public static final int X86_INS_VPSIGNW = 1173;
-	public static final int X86_INS_VPSLLDQ = 1174;
-	public static final int X86_INS_VPSLLD = 1175;
-	public static final int X86_INS_VPSLLQ = 1176;
-	public static final int X86_INS_VPSLLVD = 1177;
-	public static final int X86_INS_VPSLLVQ = 1178;
-	public static final int X86_INS_VPSLLW = 1179;
-	public static final int X86_INS_VPSRAD = 1180;
-	public static final int X86_INS_VPSRAQ = 1181;
-	public static final int X86_INS_VPSRAVD = 1182;
-	public static final int X86_INS_VPSRAVQ = 1183;
-	public static final int X86_INS_VPSRAW = 1184;
-	public static final int X86_INS_VPSRLDQ = 1185;
-	public static final int X86_INS_VPSRLD = 1186;
-	public static final int X86_INS_VPSRLQ = 1187;
-	public static final int X86_INS_VPSRLVD = 1188;
-	public static final int X86_INS_VPSRLVQ = 1189;
-	public static final int X86_INS_VPSRLW = 1190;
-	public static final int X86_INS_VPSUBB = 1191;
-	public static final int X86_INS_VPSUBD = 1192;
-	public static final int X86_INS_VPSUBQ = 1193;
-	public static final int X86_INS_VPSUBSB = 1194;
-	public static final int X86_INS_VPSUBSW = 1195;
-	public static final int X86_INS_VPSUBUSB = 1196;
-	public static final int X86_INS_VPSUBUSW = 1197;
-	public static final int X86_INS_VPSUBW = 1198;
-	public static final int X86_INS_VPTESTMD = 1199;
-	public static final int X86_INS_VPTESTMQ = 1200;
-	public static final int X86_INS_VPTESTNMD = 1201;
-	public static final int X86_INS_VPTESTNMQ = 1202;
-	public static final int X86_INS_VPTEST = 1203;
-	public static final int X86_INS_VPUNPCKHBW = 1204;
-	public static final int X86_INS_VPUNPCKHDQ = 1205;
-	public static final int X86_INS_VPUNPCKHQDQ = 1206;
-	public static final int X86_INS_VPUNPCKHWD = 1207;
-	public static final int X86_INS_VPUNPCKLBW = 1208;
-	public static final int X86_INS_VPUNPCKLDQ = 1209;
-	public static final int X86_INS_VPUNPCKLQDQ = 1210;
-	public static final int X86_INS_VPUNPCKLWD = 1211;
-	public static final int X86_INS_VPXORD = 1212;
-	public static final int X86_INS_VPXORQ = 1213;
-	public static final int X86_INS_VPXOR = 1214;
-	public static final int X86_INS_VRCP14PD = 1215;
-	public static final int X86_INS_VRCP14PS = 1216;
-	public static final int X86_INS_VRCP14SD = 1217;
-	public static final int X86_INS_VRCP14SS = 1218;
-	public static final int X86_INS_VRCP28PD = 1219;
-	public static final int X86_INS_VRCP28PS = 1220;
-	public static final int X86_INS_VRCP28SD = 1221;
-	public static final int X86_INS_VRCP28SS = 1222;
-	public static final int X86_INS_VRCPPS = 1223;
-	public static final int X86_INS_VRCPSS = 1224;
-	public static final int X86_INS_VRNDSCALEPD = 1225;
-	public static final int X86_INS_VRNDSCALEPS = 1226;
-	public static final int X86_INS_VRNDSCALESD = 1227;
-	public static final int X86_INS_VRNDSCALESS = 1228;
-	public static final int X86_INS_VROUNDPD = 1229;
-	public static final int X86_INS_VROUNDPS = 1230;
-	public static final int X86_INS_VROUNDSD = 1231;
-	public static final int X86_INS_VROUNDSS = 1232;
-	public static final int X86_INS_VRSQRT14PD = 1233;
-	public static final int X86_INS_VRSQRT14PS = 1234;
-	public static final int X86_INS_VRSQRT14SD = 1235;
-	public static final int X86_INS_VRSQRT14SS = 1236;
-	public static final int X86_INS_VRSQRT28PD = 1237;
-	public static final int X86_INS_VRSQRT28PS = 1238;
-	public static final int X86_INS_VRSQRT28SD = 1239;
-	public static final int X86_INS_VRSQRT28SS = 1240;
-	public static final int X86_INS_VRSQRTPS = 1241;
-	public static final int X86_INS_VRSQRTSS = 1242;
-	public static final int X86_INS_VSCATTERDPD = 1243;
-	public static final int X86_INS_VSCATTERDPS = 1244;
-	public static final int X86_INS_VSCATTERPF0DPD = 1245;
-	public static final int X86_INS_VSCATTERPF0DPS = 1246;
-	public static final int X86_INS_VSCATTERPF0QPD = 1247;
-	public static final int X86_INS_VSCATTERPF0QPS = 1248;
-	public static final int X86_INS_VSCATTERPF1DPD = 1249;
-	public static final int X86_INS_VSCATTERPF1DPS = 1250;
-	public static final int X86_INS_VSCATTERPF1QPD = 1251;
-	public static final int X86_INS_VSCATTERPF1QPS = 1252;
-	public static final int X86_INS_VSCATTERQPD = 1253;
-	public static final int X86_INS_VSCATTERQPS = 1254;
-	public static final int X86_INS_VSHUFPD = 1255;
-	public static final int X86_INS_VSHUFPS = 1256;
-	public static final int X86_INS_VSQRTPD = 1257;
-	public static final int X86_INS_VSQRTPS = 1258;
-	public static final int X86_INS_VSQRTSD = 1259;
-	public static final int X86_INS_VSQRTSS = 1260;
-	public static final int X86_INS_VSTMXCSR = 1261;
-	public static final int X86_INS_VSUBPD = 1262;
-	public static final int X86_INS_VSUBPS = 1263;
-	public static final int X86_INS_VSUBSD = 1264;
-	public static final int X86_INS_VSUBSS = 1265;
-	public static final int X86_INS_VTESTPD = 1266;
-	public static final int X86_INS_VTESTPS = 1267;
-	public static final int X86_INS_VUNPCKHPD = 1268;
-	public static final int X86_INS_VUNPCKHPS = 1269;
-	public static final int X86_INS_VUNPCKLPD = 1270;
-	public static final int X86_INS_VUNPCKLPS = 1271;
-	public static final int X86_INS_VZEROALL = 1272;
-	public static final int X86_INS_VZEROUPPER = 1273;
-	public static final int X86_INS_WAIT = 1274;
-	public static final int X86_INS_WBINVD = 1275;
-	public static final int X86_INS_WRFSBASE = 1276;
-	public static final int X86_INS_WRGSBASE = 1277;
-	public static final int X86_INS_WRMSR = 1278;
-	public static final int X86_INS_XABORT = 1279;
-	public static final int X86_INS_XACQUIRE = 1280;
-	public static final int X86_INS_XBEGIN = 1281;
-	public static final int X86_INS_XCHG = 1282;
-	public static final int X86_INS_FXCH = 1283;
-	public static final int X86_INS_XCRYPTCBC = 1284;
-	public static final int X86_INS_XCRYPTCFB = 1285;
-	public static final int X86_INS_XCRYPTCTR = 1286;
-	public static final int X86_INS_XCRYPTECB = 1287;
-	public static final int X86_INS_XCRYPTOFB = 1288;
-	public static final int X86_INS_XEND = 1289;
-	public static final int X86_INS_XGETBV = 1290;
-	public static final int X86_INS_XLATB = 1291;
-	public static final int X86_INS_XRELEASE = 1292;
-	public static final int X86_INS_XRSTOR = 1293;
-	public static final int X86_INS_XRSTOR64 = 1294;
-	public static final int X86_INS_XSAVE = 1295;
-	public static final int X86_INS_XSAVE64 = 1296;
-	public static final int X86_INS_XSAVEOPT = 1297;
-	public static final int X86_INS_XSAVEOPT64 = 1298;
-	public static final int X86_INS_XSETBV = 1299;
-	public static final int X86_INS_XSHA1 = 1300;
-	public static final int X86_INS_XSHA256 = 1301;
-	public static final int X86_INS_XSTORE = 1302;
-	public static final int X86_INS_XTEST = 1303;
-	public static final int X86_INS_MAX = 1304;
+	public static final int X86_INS_CVTDQ2PD = 114;
+	public static final int X86_INS_CVTDQ2PS = 115;
+	public static final int X86_INS_CVTPD2DQ = 116;
+	public static final int X86_INS_CVTPD2PS = 117;
+	public static final int X86_INS_CVTPS2DQ = 118;
+	public static final int X86_INS_CVTPS2PD = 119;
+	public static final int X86_INS_CVTSD2SI = 120;
+	public static final int X86_INS_CVTSD2SS = 121;
+	public static final int X86_INS_CVTSI2SD = 122;
+	public static final int X86_INS_CVTSI2SS = 123;
+	public static final int X86_INS_CVTSS2SD = 124;
+	public static final int X86_INS_CVTSS2SI = 125;
+	public static final int X86_INS_CVTTPD2DQ = 126;
+	public static final int X86_INS_CVTTPS2DQ = 127;
+	public static final int X86_INS_CVTTSD2SI = 128;
+	public static final int X86_INS_CVTTSS2SI = 129;
+	public static final int X86_INS_CWD = 130;
+	public static final int X86_INS_CWDE = 131;
+	public static final int X86_INS_DAA = 132;
+	public static final int X86_INS_DAS = 133;
+	public static final int X86_INS_DATA16 = 134;
+	public static final int X86_INS_DEC = 135;
+	public static final int X86_INS_DIV = 136;
+	public static final int X86_INS_DIVPD = 137;
+	public static final int X86_INS_DIVPS = 138;
+	public static final int X86_INS_FDIVR = 139;
+	public static final int X86_INS_FIDIVR = 140;
+	public static final int X86_INS_FDIVRP = 141;
+	public static final int X86_INS_DIVSD = 142;
+	public static final int X86_INS_DIVSS = 143;
+	public static final int X86_INS_FDIV = 144;
+	public static final int X86_INS_FIDIV = 145;
+	public static final int X86_INS_FDIVP = 146;
+	public static final int X86_INS_DPPD = 147;
+	public static final int X86_INS_DPPS = 148;
+	public static final int X86_INS_RET = 149;
+	public static final int X86_INS_ENCLS = 150;
+	public static final int X86_INS_ENCLU = 151;
+	public static final int X86_INS_ENTER = 152;
+	public static final int X86_INS_EXTRACTPS = 153;
+	public static final int X86_INS_EXTRQ = 154;
+	public static final int X86_INS_F2XM1 = 155;
+	public static final int X86_INS_LCALL = 156;
+	public static final int X86_INS_LJMP = 157;
+	public static final int X86_INS_FBLD = 158;
+	public static final int X86_INS_FBSTP = 159;
+	public static final int X86_INS_FCOMPP = 160;
+	public static final int X86_INS_FDECSTP = 161;
+	public static final int X86_INS_FEMMS = 162;
+	public static final int X86_INS_FFREE = 163;
+	public static final int X86_INS_FICOM = 164;
+	public static final int X86_INS_FICOMP = 165;
+	public static final int X86_INS_FINCSTP = 166;
+	public static final int X86_INS_FLDCW = 167;
+	public static final int X86_INS_FLDENV = 168;
+	public static final int X86_INS_FLDL2E = 169;
+	public static final int X86_INS_FLDL2T = 170;
+	public static final int X86_INS_FLDLG2 = 171;
+	public static final int X86_INS_FLDLN2 = 172;
+	public static final int X86_INS_FLDPI = 173;
+	public static final int X86_INS_FNCLEX = 174;
+	public static final int X86_INS_FNINIT = 175;
+	public static final int X86_INS_FNOP = 176;
+	public static final int X86_INS_FNSTCW = 177;
+	public static final int X86_INS_FNSTSW = 178;
+	public static final int X86_INS_FPATAN = 179;
+	public static final int X86_INS_FPREM = 180;
+	public static final int X86_INS_FPREM1 = 181;
+	public static final int X86_INS_FPTAN = 182;
+	public static final int X86_INS_FRNDINT = 183;
+	public static final int X86_INS_FRSTOR = 184;
+	public static final int X86_INS_FNSAVE = 185;
+	public static final int X86_INS_FSCALE = 186;
+	public static final int X86_INS_FSETPM = 187;
+	public static final int X86_INS_FSINCOS = 188;
+	public static final int X86_INS_FNSTENV = 189;
+	public static final int X86_INS_FXAM = 190;
+	public static final int X86_INS_FXRSTOR = 191;
+	public static final int X86_INS_FXRSTOR64 = 192;
+	public static final int X86_INS_FXSAVE = 193;
+	public static final int X86_INS_FXSAVE64 = 194;
+	public static final int X86_INS_FXTRACT = 195;
+	public static final int X86_INS_FYL2X = 196;
+	public static final int X86_INS_FYL2XP1 = 197;
+	public static final int X86_INS_MOVAPD = 198;
+	public static final int X86_INS_MOVAPS = 199;
+	public static final int X86_INS_ORPD = 200;
+	public static final int X86_INS_ORPS = 201;
+	public static final int X86_INS_VMOVAPD = 202;
+	public static final int X86_INS_VMOVAPS = 203;
+	public static final int X86_INS_XORPD = 204;
+	public static final int X86_INS_XORPS = 205;
+	public static final int X86_INS_GETSEC = 206;
+	public static final int X86_INS_HADDPD = 207;
+	public static final int X86_INS_HADDPS = 208;
+	public static final int X86_INS_HLT = 209;
+	public static final int X86_INS_HSUBPD = 210;
+	public static final int X86_INS_HSUBPS = 211;
+	public static final int X86_INS_IDIV = 212;
+	public static final int X86_INS_FILD = 213;
+	public static final int X86_INS_IMUL = 214;
+	public static final int X86_INS_IN = 215;
+	public static final int X86_INS_INC = 216;
+	public static final int X86_INS_INSB = 217;
+	public static final int X86_INS_INSERTPS = 218;
+	public static final int X86_INS_INSERTQ = 219;
+	public static final int X86_INS_INSD = 220;
+	public static final int X86_INS_INSW = 221;
+	public static final int X86_INS_INT = 222;
+	public static final int X86_INS_INT1 = 223;
+	public static final int X86_INS_INT3 = 224;
+	public static final int X86_INS_INTO = 225;
+	public static final int X86_INS_INVD = 226;
+	public static final int X86_INS_INVEPT = 227;
+	public static final int X86_INS_INVLPG = 228;
+	public static final int X86_INS_INVLPGA = 229;
+	public static final int X86_INS_INVPCID = 230;
+	public static final int X86_INS_INVVPID = 231;
+	public static final int X86_INS_IRET = 232;
+	public static final int X86_INS_IRETD = 233;
+	public static final int X86_INS_IRETQ = 234;
+	public static final int X86_INS_FISTTP = 235;
+	public static final int X86_INS_FIST = 236;
+	public static final int X86_INS_FISTP = 237;
+	public static final int X86_INS_UCOMISD = 238;
+	public static final int X86_INS_UCOMISS = 239;
+	public static final int X86_INS_VCMP = 240;
+	public static final int X86_INS_VCOMISD = 241;
+	public static final int X86_INS_VCOMISS = 242;
+	public static final int X86_INS_VCVTSD2SS = 243;
+	public static final int X86_INS_VCVTSI2SD = 244;
+	public static final int X86_INS_VCVTSI2SS = 245;
+	public static final int X86_INS_VCVTSS2SD = 246;
+	public static final int X86_INS_VCVTTSD2SI = 247;
+	public static final int X86_INS_VCVTTSD2USI = 248;
+	public static final int X86_INS_VCVTTSS2SI = 249;
+	public static final int X86_INS_VCVTTSS2USI = 250;
+	public static final int X86_INS_VCVTUSI2SD = 251;
+	public static final int X86_INS_VCVTUSI2SS = 252;
+	public static final int X86_INS_VUCOMISD = 253;
+	public static final int X86_INS_VUCOMISS = 254;
+	public static final int X86_INS_JAE = 255;
+	public static final int X86_INS_JA = 256;
+	public static final int X86_INS_JBE = 257;
+	public static final int X86_INS_JB = 258;
+	public static final int X86_INS_JCXZ = 259;
+	public static final int X86_INS_JECXZ = 260;
+	public static final int X86_INS_JE = 261;
+	public static final int X86_INS_JGE = 262;
+	public static final int X86_INS_JG = 263;
+	public static final int X86_INS_JLE = 264;
+	public static final int X86_INS_JL = 265;
+	public static final int X86_INS_JMP = 266;
+	public static final int X86_INS_JNE = 267;
+	public static final int X86_INS_JNO = 268;
+	public static final int X86_INS_JNP = 269;
+	public static final int X86_INS_JNS = 270;
+	public static final int X86_INS_JO = 271;
+	public static final int X86_INS_JP = 272;
+	public static final int X86_INS_JRCXZ = 273;
+	public static final int X86_INS_JS = 274;
+	public static final int X86_INS_KANDB = 275;
+	public static final int X86_INS_KANDD = 276;
+	public static final int X86_INS_KANDNB = 277;
+	public static final int X86_INS_KANDND = 278;
+	public static final int X86_INS_KANDNQ = 279;
+	public static final int X86_INS_KANDNW = 280;
+	public static final int X86_INS_KANDQ = 281;
+	public static final int X86_INS_KANDW = 282;
+	public static final int X86_INS_KMOVB = 283;
+	public static final int X86_INS_KMOVD = 284;
+	public static final int X86_INS_KMOVQ = 285;
+	public static final int X86_INS_KMOVW = 286;
+	public static final int X86_INS_KNOTB = 287;
+	public static final int X86_INS_KNOTD = 288;
+	public static final int X86_INS_KNOTQ = 289;
+	public static final int X86_INS_KNOTW = 290;
+	public static final int X86_INS_KORB = 291;
+	public static final int X86_INS_KORD = 292;
+	public static final int X86_INS_KORQ = 293;
+	public static final int X86_INS_KORTESTW = 294;
+	public static final int X86_INS_KORW = 295;
+	public static final int X86_INS_KSHIFTLW = 296;
+	public static final int X86_INS_KSHIFTRW = 297;
+	public static final int X86_INS_KUNPCKBW = 298;
+	public static final int X86_INS_KXNORB = 299;
+	public static final int X86_INS_KXNORD = 300;
+	public static final int X86_INS_KXNORQ = 301;
+	public static final int X86_INS_KXNORW = 302;
+	public static final int X86_INS_KXORB = 303;
+	public static final int X86_INS_KXORD = 304;
+	public static final int X86_INS_KXORQ = 305;
+	public static final int X86_INS_KXORW = 306;
+	public static final int X86_INS_LAHF = 307;
+	public static final int X86_INS_LAR = 308;
+	public static final int X86_INS_LDDQU = 309;
+	public static final int X86_INS_LDMXCSR = 310;
+	public static final int X86_INS_LDS = 311;
+	public static final int X86_INS_FLDZ = 312;
+	public static final int X86_INS_FLD1 = 313;
+	public static final int X86_INS_FLD = 314;
+	public static final int X86_INS_LEA = 315;
+	public static final int X86_INS_LEAVE = 316;
+	public static final int X86_INS_LES = 317;
+	public static final int X86_INS_LFENCE = 318;
+	public static final int X86_INS_LFS = 319;
+	public static final int X86_INS_LGDT = 320;
+	public static final int X86_INS_LGS = 321;
+	public static final int X86_INS_LIDT = 322;
+	public static final int X86_INS_LLDT = 323;
+	public static final int X86_INS_LMSW = 324;
+	public static final int X86_INS_OR = 325;
+	public static final int X86_INS_LOCK = 326;
+	public static final int X86_INS_SUB = 327;
+	public static final int X86_INS_XOR = 328;
+	public static final int X86_INS_LODSB = 329;
+	public static final int X86_INS_LODSD = 330;
+	public static final int X86_INS_LODSQ = 331;
+	public static final int X86_INS_LODSW = 332;
+	public static final int X86_INS_LOOP = 333;
+	public static final int X86_INS_LOOPE = 334;
+	public static final int X86_INS_LOOPNE = 335;
+	public static final int X86_INS_RETF = 336;
+	public static final int X86_INS_RETFQ = 337;
+	public static final int X86_INS_LSL = 338;
+	public static final int X86_INS_LSS = 339;
+	public static final int X86_INS_LTR = 340;
+	public static final int X86_INS_XADD = 341;
+	public static final int X86_INS_LZCNT = 342;
+	public static final int X86_INS_MASKMOVDQU = 343;
+	public static final int X86_INS_MAXPD = 344;
+	public static final int X86_INS_MAXPS = 345;
+	public static final int X86_INS_MAXSD = 346;
+	public static final int X86_INS_MAXSS = 347;
+	public static final int X86_INS_MFENCE = 348;
+	public static final int X86_INS_MINPD = 349;
+	public static final int X86_INS_MINPS = 350;
+	public static final int X86_INS_MINSD = 351;
+	public static final int X86_INS_MINSS = 352;
+	public static final int X86_INS_CVTPD2PI = 353;
+	public static final int X86_INS_CVTPI2PD = 354;
+	public static final int X86_INS_CVTPI2PS = 355;
+	public static final int X86_INS_CVTPS2PI = 356;
+	public static final int X86_INS_CVTTPD2PI = 357;
+	public static final int X86_INS_CVTTPS2PI = 358;
+	public static final int X86_INS_EMMS = 359;
+	public static final int X86_INS_MASKMOVQ = 360;
+	public static final int X86_INS_MOVD = 361;
+	public static final int X86_INS_MOVDQ2Q = 362;
+	public static final int X86_INS_MOVNTQ = 363;
+	public static final int X86_INS_MOVQ2DQ = 364;
+	public static final int X86_INS_MOVQ = 365;
+	public static final int X86_INS_PABSB = 366;
+	public static final int X86_INS_PABSD = 367;
+	public static final int X86_INS_PABSW = 368;
+	public static final int X86_INS_PACKSSDW = 369;
+	public static final int X86_INS_PACKSSWB = 370;
+	public static final int X86_INS_PACKUSWB = 371;
+	public static final int X86_INS_PADDB = 372;
+	public static final int X86_INS_PADDD = 373;
+	public static final int X86_INS_PADDQ = 374;
+	public static final int X86_INS_PADDSB = 375;
+	public static final int X86_INS_PADDSW = 376;
+	public static final int X86_INS_PADDUSB = 377;
+	public static final int X86_INS_PADDUSW = 378;
+	public static final int X86_INS_PADDW = 379;
+	public static final int X86_INS_PALIGNR = 380;
+	public static final int X86_INS_PANDN = 381;
+	public static final int X86_INS_PAND = 382;
+	public static final int X86_INS_PAVGB = 383;
+	public static final int X86_INS_PAVGW = 384;
+	public static final int X86_INS_PCMPEQB = 385;
+	public static final int X86_INS_PCMPEQD = 386;
+	public static final int X86_INS_PCMPEQW = 387;
+	public static final int X86_INS_PCMPGTB = 388;
+	public static final int X86_INS_PCMPGTD = 389;
+	public static final int X86_INS_PCMPGTW = 390;
+	public static final int X86_INS_PEXTRW = 391;
+	public static final int X86_INS_PHADDSW = 392;
+	public static final int X86_INS_PHADDW = 393;
+	public static final int X86_INS_PHADDD = 394;
+	public static final int X86_INS_PHSUBD = 395;
+	public static final int X86_INS_PHSUBSW = 396;
+	public static final int X86_INS_PHSUBW = 397;
+	public static final int X86_INS_PINSRW = 398;
+	public static final int X86_INS_PMADDUBSW = 399;
+	public static final int X86_INS_PMADDWD = 400;
+	public static final int X86_INS_PMAXSW = 401;
+	public static final int X86_INS_PMAXUB = 402;
+	public static final int X86_INS_PMINSW = 403;
+	public static final int X86_INS_PMINUB = 404;
+	public static final int X86_INS_PMOVMSKB = 405;
+	public static final int X86_INS_PMULHRSW = 406;
+	public static final int X86_INS_PMULHUW = 407;
+	public static final int X86_INS_PMULHW = 408;
+	public static final int X86_INS_PMULLW = 409;
+	public static final int X86_INS_PMULUDQ = 410;
+	public static final int X86_INS_POR = 411;
+	public static final int X86_INS_PSADBW = 412;
+	public static final int X86_INS_PSHUFB = 413;
+	public static final int X86_INS_PSHUFW = 414;
+	public static final int X86_INS_PSIGNB = 415;
+	public static final int X86_INS_PSIGND = 416;
+	public static final int X86_INS_PSIGNW = 417;
+	public static final int X86_INS_PSLLD = 418;
+	public static final int X86_INS_PSLLQ = 419;
+	public static final int X86_INS_PSLLW = 420;
+	public static final int X86_INS_PSRAD = 421;
+	public static final int X86_INS_PSRAW = 422;
+	public static final int X86_INS_PSRLD = 423;
+	public static final int X86_INS_PSRLQ = 424;
+	public static final int X86_INS_PSRLW = 425;
+	public static final int X86_INS_PSUBB = 426;
+	public static final int X86_INS_PSUBD = 427;
+	public static final int X86_INS_PSUBQ = 428;
+	public static final int X86_INS_PSUBSB = 429;
+	public static final int X86_INS_PSUBSW = 430;
+	public static final int X86_INS_PSUBUSB = 431;
+	public static final int X86_INS_PSUBUSW = 432;
+	public static final int X86_INS_PSUBW = 433;
+	public static final int X86_INS_PUNPCKHBW = 434;
+	public static final int X86_INS_PUNPCKHDQ = 435;
+	public static final int X86_INS_PUNPCKHWD = 436;
+	public static final int X86_INS_PUNPCKLBW = 437;
+	public static final int X86_INS_PUNPCKLDQ = 438;
+	public static final int X86_INS_PUNPCKLWD = 439;
+	public static final int X86_INS_PXOR = 440;
+	public static final int X86_INS_MONITOR = 441;
+	public static final int X86_INS_MONTMUL = 442;
+	public static final int X86_INS_MOV = 443;
+	public static final int X86_INS_MOVABS = 444;
+	public static final int X86_INS_MOVBE = 445;
+	public static final int X86_INS_MOVDDUP = 446;
+	public static final int X86_INS_MOVDQA = 447;
+	public static final int X86_INS_MOVDQU = 448;
+	public static final int X86_INS_MOVHLPS = 449;
+	public static final int X86_INS_MOVHPD = 450;
+	public static final int X86_INS_MOVHPS = 451;
+	public static final int X86_INS_MOVLHPS = 452;
+	public static final int X86_INS_MOVLPD = 453;
+	public static final int X86_INS_MOVLPS = 454;
+	public static final int X86_INS_MOVMSKPD = 455;
+	public static final int X86_INS_MOVMSKPS = 456;
+	public static final int X86_INS_MOVNTDQA = 457;
+	public static final int X86_INS_MOVNTDQ = 458;
+	public static final int X86_INS_MOVNTI = 459;
+	public static final int X86_INS_MOVNTPD = 460;
+	public static final int X86_INS_MOVNTPS = 461;
+	public static final int X86_INS_MOVNTSD = 462;
+	public static final int X86_INS_MOVNTSS = 463;
+	public static final int X86_INS_MOVSB = 464;
+	public static final int X86_INS_MOVSD = 465;
+	public static final int X86_INS_MOVSHDUP = 466;
+	public static final int X86_INS_MOVSLDUP = 467;
+	public static final int X86_INS_MOVSQ = 468;
+	public static final int X86_INS_MOVSS = 469;
+	public static final int X86_INS_MOVSW = 470;
+	public static final int X86_INS_MOVSX = 471;
+	public static final int X86_INS_MOVSXD = 472;
+	public static final int X86_INS_MOVUPD = 473;
+	public static final int X86_INS_MOVUPS = 474;
+	public static final int X86_INS_MOVZX = 475;
+	public static final int X86_INS_MPSADBW = 476;
+	public static final int X86_INS_MUL = 477;
+	public static final int X86_INS_MULPD = 478;
+	public static final int X86_INS_MULPS = 479;
+	public static final int X86_INS_MULSD = 480;
+	public static final int X86_INS_MULSS = 481;
+	public static final int X86_INS_MULX = 482;
+	public static final int X86_INS_FMUL = 483;
+	public static final int X86_INS_FIMUL = 484;
+	public static final int X86_INS_FMULP = 485;
+	public static final int X86_INS_MWAIT = 486;
+	public static final int X86_INS_NEG = 487;
+	public static final int X86_INS_NOP = 488;
+	public static final int X86_INS_NOT = 489;
+	public static final int X86_INS_OUT = 490;
+	public static final int X86_INS_OUTSB = 491;
+	public static final int X86_INS_OUTSD = 492;
+	public static final int X86_INS_OUTSW = 493;
+	public static final int X86_INS_PACKUSDW = 494;
+	public static final int X86_INS_PAUSE = 495;
+	public static final int X86_INS_PAVGUSB = 496;
+	public static final int X86_INS_PBLENDVB = 497;
+	public static final int X86_INS_PBLENDW = 498;
+	public static final int X86_INS_PCLMULQDQ = 499;
+	public static final int X86_INS_PCMPEQQ = 500;
+	public static final int X86_INS_PCMPESTRI = 501;
+	public static final int X86_INS_PCMPESTRM = 502;
+	public static final int X86_INS_PCMPGTQ = 503;
+	public static final int X86_INS_PCMPISTRI = 504;
+	public static final int X86_INS_PCMPISTRM = 505;
+	public static final int X86_INS_PDEP = 506;
+	public static final int X86_INS_PEXT = 507;
+	public static final int X86_INS_PEXTRB = 508;
+	public static final int X86_INS_PEXTRD = 509;
+	public static final int X86_INS_PEXTRQ = 510;
+	public static final int X86_INS_PF2ID = 511;
+	public static final int X86_INS_PF2IW = 512;
+	public static final int X86_INS_PFACC = 513;
+	public static final int X86_INS_PFADD = 514;
+	public static final int X86_INS_PFCMPEQ = 515;
+	public static final int X86_INS_PFCMPGE = 516;
+	public static final int X86_INS_PFCMPGT = 517;
+	public static final int X86_INS_PFMAX = 518;
+	public static final int X86_INS_PFMIN = 519;
+	public static final int X86_INS_PFMUL = 520;
+	public static final int X86_INS_PFNACC = 521;
+	public static final int X86_INS_PFPNACC = 522;
+	public static final int X86_INS_PFRCPIT1 = 523;
+	public static final int X86_INS_PFRCPIT2 = 524;
+	public static final int X86_INS_PFRCP = 525;
+	public static final int X86_INS_PFRSQIT1 = 526;
+	public static final int X86_INS_PFRSQRT = 527;
+	public static final int X86_INS_PFSUBR = 528;
+	public static final int X86_INS_PFSUB = 529;
+	public static final int X86_INS_PHMINPOSUW = 530;
+	public static final int X86_INS_PI2FD = 531;
+	public static final int X86_INS_PI2FW = 532;
+	public static final int X86_INS_PINSRB = 533;
+	public static final int X86_INS_PINSRD = 534;
+	public static final int X86_INS_PINSRQ = 535;
+	public static final int X86_INS_PMAXSB = 536;
+	public static final int X86_INS_PMAXSD = 537;
+	public static final int X86_INS_PMAXUD = 538;
+	public static final int X86_INS_PMAXUW = 539;
+	public static final int X86_INS_PMINSB = 540;
+	public static final int X86_INS_PMINSD = 541;
+	public static final int X86_INS_PMINUD = 542;
+	public static final int X86_INS_PMINUW = 543;
+	public static final int X86_INS_PMOVSXBD = 544;
+	public static final int X86_INS_PMOVSXBQ = 545;
+	public static final int X86_INS_PMOVSXBW = 546;
+	public static final int X86_INS_PMOVSXDQ = 547;
+	public static final int X86_INS_PMOVSXWD = 548;
+	public static final int X86_INS_PMOVSXWQ = 549;
+	public static final int X86_INS_PMOVZXBD = 550;
+	public static final int X86_INS_PMOVZXBQ = 551;
+	public static final int X86_INS_PMOVZXBW = 552;
+	public static final int X86_INS_PMOVZXDQ = 553;
+	public static final int X86_INS_PMOVZXWD = 554;
+	public static final int X86_INS_PMOVZXWQ = 555;
+	public static final int X86_INS_PMULDQ = 556;
+	public static final int X86_INS_PMULHRW = 557;
+	public static final int X86_INS_PMULLD = 558;
+	public static final int X86_INS_POP = 559;
+	public static final int X86_INS_POPAW = 560;
+	public static final int X86_INS_POPAL = 561;
+	public static final int X86_INS_POPCNT = 562;
+	public static final int X86_INS_POPF = 563;
+	public static final int X86_INS_POPFD = 564;
+	public static final int X86_INS_POPFQ = 565;
+	public static final int X86_INS_PREFETCH = 566;
+	public static final int X86_INS_PREFETCHNTA = 567;
+	public static final int X86_INS_PREFETCHT0 = 568;
+	public static final int X86_INS_PREFETCHT1 = 569;
+	public static final int X86_INS_PREFETCHT2 = 570;
+	public static final int X86_INS_PREFETCHW = 571;
+	public static final int X86_INS_PSHUFD = 572;
+	public static final int X86_INS_PSHUFHW = 573;
+	public static final int X86_INS_PSHUFLW = 574;
+	public static final int X86_INS_PSLLDQ = 575;
+	public static final int X86_INS_PSRLDQ = 576;
+	public static final int X86_INS_PSWAPD = 577;
+	public static final int X86_INS_PTEST = 578;
+	public static final int X86_INS_PUNPCKHQDQ = 579;
+	public static final int X86_INS_PUNPCKLQDQ = 580;
+	public static final int X86_INS_PUSH = 581;
+	public static final int X86_INS_PUSHAW = 582;
+	public static final int X86_INS_PUSHAL = 583;
+	public static final int X86_INS_PUSHF = 584;
+	public static final int X86_INS_PUSHFD = 585;
+	public static final int X86_INS_PUSHFQ = 586;
+	public static final int X86_INS_RCL = 587;
+	public static final int X86_INS_RCPPS = 588;
+	public static final int X86_INS_RCPSS = 589;
+	public static final int X86_INS_RCR = 590;
+	public static final int X86_INS_RDFSBASE = 591;
+	public static final int X86_INS_RDGSBASE = 592;
+	public static final int X86_INS_RDMSR = 593;
+	public static final int X86_INS_RDPMC = 594;
+	public static final int X86_INS_RDRAND = 595;
+	public static final int X86_INS_RDSEED = 596;
+	public static final int X86_INS_RDTSC = 597;
+	public static final int X86_INS_RDTSCP = 598;
+	public static final int X86_INS_REPNE = 599;
+	public static final int X86_INS_REP = 600;
+	public static final int X86_INS_ROL = 601;
+	public static final int X86_INS_ROR = 602;
+	public static final int X86_INS_RORX = 603;
+	public static final int X86_INS_ROUNDPD = 604;
+	public static final int X86_INS_ROUNDPS = 605;
+	public static final int X86_INS_ROUNDSD = 606;
+	public static final int X86_INS_ROUNDSS = 607;
+	public static final int X86_INS_RSM = 608;
+	public static final int X86_INS_RSQRTPS = 609;
+	public static final int X86_INS_RSQRTSS = 610;
+	public static final int X86_INS_SAHF = 611;
+	public static final int X86_INS_SAL = 612;
+	public static final int X86_INS_SALC = 613;
+	public static final int X86_INS_SAR = 614;
+	public static final int X86_INS_SARX = 615;
+	public static final int X86_INS_SBB = 616;
+	public static final int X86_INS_SCASB = 617;
+	public static final int X86_INS_SCASD = 618;
+	public static final int X86_INS_SCASQ = 619;
+	public static final int X86_INS_SCASW = 620;
+	public static final int X86_INS_SETAE = 621;
+	public static final int X86_INS_SETA = 622;
+	public static final int X86_INS_SETBE = 623;
+	public static final int X86_INS_SETB = 624;
+	public static final int X86_INS_SETE = 625;
+	public static final int X86_INS_SETGE = 626;
+	public static final int X86_INS_SETG = 627;
+	public static final int X86_INS_SETLE = 628;
+	public static final int X86_INS_SETL = 629;
+	public static final int X86_INS_SETNE = 630;
+	public static final int X86_INS_SETNO = 631;
+	public static final int X86_INS_SETNP = 632;
+	public static final int X86_INS_SETNS = 633;
+	public static final int X86_INS_SETO = 634;
+	public static final int X86_INS_SETP = 635;
+	public static final int X86_INS_SETS = 636;
+	public static final int X86_INS_SFENCE = 637;
+	public static final int X86_INS_SGDT = 638;
+	public static final int X86_INS_SHA1MSG1 = 639;
+	public static final int X86_INS_SHA1MSG2 = 640;
+	public static final int X86_INS_SHA1NEXTE = 641;
+	public static final int X86_INS_SHA1RNDS4 = 642;
+	public static final int X86_INS_SHA256MSG1 = 643;
+	public static final int X86_INS_SHA256MSG2 = 644;
+	public static final int X86_INS_SHA256RNDS2 = 645;
+	public static final int X86_INS_SHL = 646;
+	public static final int X86_INS_SHLD = 647;
+	public static final int X86_INS_SHLX = 648;
+	public static final int X86_INS_SHR = 649;
+	public static final int X86_INS_SHRD = 650;
+	public static final int X86_INS_SHRX = 651;
+	public static final int X86_INS_SHUFPD = 652;
+	public static final int X86_INS_SHUFPS = 653;
+	public static final int X86_INS_SIDT = 654;
+	public static final int X86_INS_FSIN = 655;
+	public static final int X86_INS_SKINIT = 656;
+	public static final int X86_INS_SLDT = 657;
+	public static final int X86_INS_SMSW = 658;
+	public static final int X86_INS_SQRTPD = 659;
+	public static final int X86_INS_SQRTPS = 660;
+	public static final int X86_INS_SQRTSD = 661;
+	public static final int X86_INS_SQRTSS = 662;
+	public static final int X86_INS_FSQRT = 663;
+	public static final int X86_INS_STAC = 664;
+	public static final int X86_INS_STC = 665;
+	public static final int X86_INS_STD = 666;
+	public static final int X86_INS_STGI = 667;
+	public static final int X86_INS_STI = 668;
+	public static final int X86_INS_STMXCSR = 669;
+	public static final int X86_INS_STOSB = 670;
+	public static final int X86_INS_STOSD = 671;
+	public static final int X86_INS_STOSQ = 672;
+	public static final int X86_INS_STOSW = 673;
+	public static final int X86_INS_STR = 674;
+	public static final int X86_INS_FST = 675;
+	public static final int X86_INS_FSTP = 676;
+	public static final int X86_INS_FSTPNCE = 677;
+	public static final int X86_INS_SUBPD = 678;
+	public static final int X86_INS_SUBPS = 679;
+	public static final int X86_INS_FSUBR = 680;
+	public static final int X86_INS_FISUBR = 681;
+	public static final int X86_INS_FSUBRP = 682;
+	public static final int X86_INS_SUBSD = 683;
+	public static final int X86_INS_SUBSS = 684;
+	public static final int X86_INS_FSUB = 685;
+	public static final int X86_INS_FISUB = 686;
+	public static final int X86_INS_FSUBP = 687;
+	public static final int X86_INS_SWAPGS = 688;
+	public static final int X86_INS_SYSCALL = 689;
+	public static final int X86_INS_SYSENTER = 690;
+	public static final int X86_INS_SYSEXIT = 691;
+	public static final int X86_INS_SYSRET = 692;
+	public static final int X86_INS_T1MSKC = 693;
+	public static final int X86_INS_TEST = 694;
+	public static final int X86_INS_UD2 = 695;
+	public static final int X86_INS_FTST = 696;
+	public static final int X86_INS_TZCNT = 697;
+	public static final int X86_INS_TZMSK = 698;
+	public static final int X86_INS_FUCOMPI = 699;
+	public static final int X86_INS_FUCOMI = 700;
+	public static final int X86_INS_FUCOMPP = 701;
+	public static final int X86_INS_FUCOMP = 702;
+	public static final int X86_INS_FUCOM = 703;
+	public static final int X86_INS_UD2B = 704;
+	public static final int X86_INS_UNPCKHPD = 705;
+	public static final int X86_INS_UNPCKHPS = 706;
+	public static final int X86_INS_UNPCKLPD = 707;
+	public static final int X86_INS_UNPCKLPS = 708;
+	public static final int X86_INS_VADDPD = 709;
+	public static final int X86_INS_VADDPS = 710;
+	public static final int X86_INS_VADDSD = 711;
+	public static final int X86_INS_VADDSS = 712;
+	public static final int X86_INS_VADDSUBPD = 713;
+	public static final int X86_INS_VADDSUBPS = 714;
+	public static final int X86_INS_VAESDECLAST = 715;
+	public static final int X86_INS_VAESDEC = 716;
+	public static final int X86_INS_VAESENCLAST = 717;
+	public static final int X86_INS_VAESENC = 718;
+	public static final int X86_INS_VAESIMC = 719;
+	public static final int X86_INS_VAESKEYGENASSIST = 720;
+	public static final int X86_INS_VALIGND = 721;
+	public static final int X86_INS_VALIGNQ = 722;
+	public static final int X86_INS_VANDNPD = 723;
+	public static final int X86_INS_VANDNPS = 724;
+	public static final int X86_INS_VANDPD = 725;
+	public static final int X86_INS_VANDPS = 726;
+	public static final int X86_INS_VBLENDMPD = 727;
+	public static final int X86_INS_VBLENDMPS = 728;
+	public static final int X86_INS_VBLENDPD = 729;
+	public static final int X86_INS_VBLENDPS = 730;
+	public static final int X86_INS_VBLENDVPD = 731;
+	public static final int X86_INS_VBLENDVPS = 732;
+	public static final int X86_INS_VBROADCASTF128 = 733;
+	public static final int X86_INS_VBROADCASTI128 = 734;
+	public static final int X86_INS_VBROADCASTI32X4 = 735;
+	public static final int X86_INS_VBROADCASTI64X4 = 736;
+	public static final int X86_INS_VBROADCASTSD = 737;
+	public static final int X86_INS_VBROADCASTSS = 738;
+	public static final int X86_INS_VCMPPD = 739;
+	public static final int X86_INS_VCMPPS = 740;
+	public static final int X86_INS_VCMPSD = 741;
+	public static final int X86_INS_VCMPSS = 742;
+	public static final int X86_INS_VCVTDQ2PD = 743;
+	public static final int X86_INS_VCVTDQ2PS = 744;
+	public static final int X86_INS_VCVTPD2DQX = 745;
+	public static final int X86_INS_VCVTPD2DQ = 746;
+	public static final int X86_INS_VCVTPD2PSX = 747;
+	public static final int X86_INS_VCVTPD2PS = 748;
+	public static final int X86_INS_VCVTPD2UDQ = 749;
+	public static final int X86_INS_VCVTPH2PS = 750;
+	public static final int X86_INS_VCVTPS2DQ = 751;
+	public static final int X86_INS_VCVTPS2PD = 752;
+	public static final int X86_INS_VCVTPS2PH = 753;
+	public static final int X86_INS_VCVTPS2UDQ = 754;
+	public static final int X86_INS_VCVTSD2SI = 755;
+	public static final int X86_INS_VCVTSD2USI = 756;
+	public static final int X86_INS_VCVTSS2SI = 757;
+	public static final int X86_INS_VCVTSS2USI = 758;
+	public static final int X86_INS_VCVTTPD2DQX = 759;
+	public static final int X86_INS_VCVTTPD2DQ = 760;
+	public static final int X86_INS_VCVTTPD2UDQ = 761;
+	public static final int X86_INS_VCVTTPS2DQ = 762;
+	public static final int X86_INS_VCVTTPS2UDQ = 763;
+	public static final int X86_INS_VCVTUDQ2PD = 764;
+	public static final int X86_INS_VCVTUDQ2PS = 765;
+	public static final int X86_INS_VDIVPD = 766;
+	public static final int X86_INS_VDIVPS = 767;
+	public static final int X86_INS_VDIVSD = 768;
+	public static final int X86_INS_VDIVSS = 769;
+	public static final int X86_INS_VDPPD = 770;
+	public static final int X86_INS_VDPPS = 771;
+	public static final int X86_INS_VERR = 772;
+	public static final int X86_INS_VERW = 773;
+	public static final int X86_INS_VEXTRACTF128 = 774;
+	public static final int X86_INS_VEXTRACTF32X4 = 775;
+	public static final int X86_INS_VEXTRACTF64X4 = 776;
+	public static final int X86_INS_VEXTRACTI128 = 777;
+	public static final int X86_INS_VEXTRACTI32X4 = 778;
+	public static final int X86_INS_VEXTRACTI64X4 = 779;
+	public static final int X86_INS_VEXTRACTPS = 780;
+	public static final int X86_INS_VFMADD132PD = 781;
+	public static final int X86_INS_VFMADD132PS = 782;
+	public static final int X86_INS_VFMADD213PD = 783;
+	public static final int X86_INS_VFMADD213PS = 784;
+	public static final int X86_INS_VFMADDPD = 785;
+	public static final int X86_INS_VFMADD231PD = 786;
+	public static final int X86_INS_VFMADDPS = 787;
+	public static final int X86_INS_VFMADD231PS = 788;
+	public static final int X86_INS_VFMADDSD = 789;
+	public static final int X86_INS_VFMADD213SD = 790;
+	public static final int X86_INS_VFMADD132SD = 791;
+	public static final int X86_INS_VFMADD231SD = 792;
+	public static final int X86_INS_VFMADDSS = 793;
+	public static final int X86_INS_VFMADD213SS = 794;
+	public static final int X86_INS_VFMADD132SS = 795;
+	public static final int X86_INS_VFMADD231SS = 796;
+	public static final int X86_INS_VFMADDSUB132PD = 797;
+	public static final int X86_INS_VFMADDSUB132PS = 798;
+	public static final int X86_INS_VFMADDSUB213PD = 799;
+	public static final int X86_INS_VFMADDSUB213PS = 800;
+	public static final int X86_INS_VFMADDSUBPD = 801;
+	public static final int X86_INS_VFMADDSUB231PD = 802;
+	public static final int X86_INS_VFMADDSUBPS = 803;
+	public static final int X86_INS_VFMADDSUB231PS = 804;
+	public static final int X86_INS_VFMSUB132PD = 805;
+	public static final int X86_INS_VFMSUB132PS = 806;
+	public static final int X86_INS_VFMSUB213PD = 807;
+	public static final int X86_INS_VFMSUB213PS = 808;
+	public static final int X86_INS_VFMSUBADD132PD = 809;
+	public static final int X86_INS_VFMSUBADD132PS = 810;
+	public static final int X86_INS_VFMSUBADD213PD = 811;
+	public static final int X86_INS_VFMSUBADD213PS = 812;
+	public static final int X86_INS_VFMSUBADDPD = 813;
+	public static final int X86_INS_VFMSUBADD231PD = 814;
+	public static final int X86_INS_VFMSUBADDPS = 815;
+	public static final int X86_INS_VFMSUBADD231PS = 816;
+	public static final int X86_INS_VFMSUBPD = 817;
+	public static final int X86_INS_VFMSUB231PD = 818;
+	public static final int X86_INS_VFMSUBPS = 819;
+	public static final int X86_INS_VFMSUB231PS = 820;
+	public static final int X86_INS_VFMSUBSD = 821;
+	public static final int X86_INS_VFMSUB213SD = 822;
+	public static final int X86_INS_VFMSUB132SD = 823;
+	public static final int X86_INS_VFMSUB231SD = 824;
+	public static final int X86_INS_VFMSUBSS = 825;
+	public static final int X86_INS_VFMSUB213SS = 826;
+	public static final int X86_INS_VFMSUB132SS = 827;
+	public static final int X86_INS_VFMSUB231SS = 828;
+	public static final int X86_INS_VFNMADD132PD = 829;
+	public static final int X86_INS_VFNMADD132PS = 830;
+	public static final int X86_INS_VFNMADD213PD = 831;
+	public static final int X86_INS_VFNMADD213PS = 832;
+	public static final int X86_INS_VFNMADDPD = 833;
+	public static final int X86_INS_VFNMADD231PD = 834;
+	public static final int X86_INS_VFNMADDPS = 835;
+	public static final int X86_INS_VFNMADD231PS = 836;
+	public static final int X86_INS_VFNMADDSD = 837;
+	public static final int X86_INS_VFNMADD213SD = 838;
+	public static final int X86_INS_VFNMADD132SD = 839;
+	public static final int X86_INS_VFNMADD231SD = 840;
+	public static final int X86_INS_VFNMADDSS = 841;
+	public static final int X86_INS_VFNMADD213SS = 842;
+	public static final int X86_INS_VFNMADD132SS = 843;
+	public static final int X86_INS_VFNMADD231SS = 844;
+	public static final int X86_INS_VFNMSUB132PD = 845;
+	public static final int X86_INS_VFNMSUB132PS = 846;
+	public static final int X86_INS_VFNMSUB213PD = 847;
+	public static final int X86_INS_VFNMSUB213PS = 848;
+	public static final int X86_INS_VFNMSUBPD = 849;
+	public static final int X86_INS_VFNMSUB231PD = 850;
+	public static final int X86_INS_VFNMSUBPS = 851;
+	public static final int X86_INS_VFNMSUB231PS = 852;
+	public static final int X86_INS_VFNMSUBSD = 853;
+	public static final int X86_INS_VFNMSUB213SD = 854;
+	public static final int X86_INS_VFNMSUB132SD = 855;
+	public static final int X86_INS_VFNMSUB231SD = 856;
+	public static final int X86_INS_VFNMSUBSS = 857;
+	public static final int X86_INS_VFNMSUB213SS = 858;
+	public static final int X86_INS_VFNMSUB132SS = 859;
+	public static final int X86_INS_VFNMSUB231SS = 860;
+	public static final int X86_INS_VFRCZPD = 861;
+	public static final int X86_INS_VFRCZPS = 862;
+	public static final int X86_INS_VFRCZSD = 863;
+	public static final int X86_INS_VFRCZSS = 864;
+	public static final int X86_INS_VORPD = 865;
+	public static final int X86_INS_VORPS = 866;
+	public static final int X86_INS_VXORPD = 867;
+	public static final int X86_INS_VXORPS = 868;
+	public static final int X86_INS_VGATHERDPD = 869;
+	public static final int X86_INS_VGATHERDPS = 870;
+	public static final int X86_INS_VGATHERPF0DPD = 871;
+	public static final int X86_INS_VGATHERPF0DPS = 872;
+	public static final int X86_INS_VGATHERPF0QPD = 873;
+	public static final int X86_INS_VGATHERPF0QPS = 874;
+	public static final int X86_INS_VGATHERPF1DPD = 875;
+	public static final int X86_INS_VGATHERPF1DPS = 876;
+	public static final int X86_INS_VGATHERPF1QPD = 877;
+	public static final int X86_INS_VGATHERPF1QPS = 878;
+	public static final int X86_INS_VGATHERQPD = 879;
+	public static final int X86_INS_VGATHERQPS = 880;
+	public static final int X86_INS_VHADDPD = 881;
+	public static final int X86_INS_VHADDPS = 882;
+	public static final int X86_INS_VHSUBPD = 883;
+	public static final int X86_INS_VHSUBPS = 884;
+	public static final int X86_INS_VINSERTF128 = 885;
+	public static final int X86_INS_VINSERTF32X4 = 886;
+	public static final int X86_INS_VINSERTF64X4 = 887;
+	public static final int X86_INS_VINSERTI128 = 888;
+	public static final int X86_INS_VINSERTI32X4 = 889;
+	public static final int X86_INS_VINSERTI64X4 = 890;
+	public static final int X86_INS_VINSERTPS = 891;
+	public static final int X86_INS_VLDDQU = 892;
+	public static final int X86_INS_VLDMXCSR = 893;
+	public static final int X86_INS_VMASKMOVDQU = 894;
+	public static final int X86_INS_VMASKMOVPD = 895;
+	public static final int X86_INS_VMASKMOVPS = 896;
+	public static final int X86_INS_VMAXPD = 897;
+	public static final int X86_INS_VMAXPS = 898;
+	public static final int X86_INS_VMAXSD = 899;
+	public static final int X86_INS_VMAXSS = 900;
+	public static final int X86_INS_VMCALL = 901;
+	public static final int X86_INS_VMCLEAR = 902;
+	public static final int X86_INS_VMFUNC = 903;
+	public static final int X86_INS_VMINPD = 904;
+	public static final int X86_INS_VMINPS = 905;
+	public static final int X86_INS_VMINSD = 906;
+	public static final int X86_INS_VMINSS = 907;
+	public static final int X86_INS_VMLAUNCH = 908;
+	public static final int X86_INS_VMLOAD = 909;
+	public static final int X86_INS_VMMCALL = 910;
+	public static final int X86_INS_VMOVQ = 911;
+	public static final int X86_INS_VMOVDDUP = 912;
+	public static final int X86_INS_VMOVD = 913;
+	public static final int X86_INS_VMOVDQA32 = 914;
+	public static final int X86_INS_VMOVDQA64 = 915;
+	public static final int X86_INS_VMOVDQA = 916;
+	public static final int X86_INS_VMOVDQU16 = 917;
+	public static final int X86_INS_VMOVDQU32 = 918;
+	public static final int X86_INS_VMOVDQU64 = 919;
+	public static final int X86_INS_VMOVDQU8 = 920;
+	public static final int X86_INS_VMOVDQU = 921;
+	public static final int X86_INS_VMOVHLPS = 922;
+	public static final int X86_INS_VMOVHPD = 923;
+	public static final int X86_INS_VMOVHPS = 924;
+	public static final int X86_INS_VMOVLHPS = 925;
+	public static final int X86_INS_VMOVLPD = 926;
+	public static final int X86_INS_VMOVLPS = 927;
+	public static final int X86_INS_VMOVMSKPD = 928;
+	public static final int X86_INS_VMOVMSKPS = 929;
+	public static final int X86_INS_VMOVNTDQA = 930;
+	public static final int X86_INS_VMOVNTDQ = 931;
+	public static final int X86_INS_VMOVNTPD = 932;
+	public static final int X86_INS_VMOVNTPS = 933;
+	public static final int X86_INS_VMOVSD = 934;
+	public static final int X86_INS_VMOVSHDUP = 935;
+	public static final int X86_INS_VMOVSLDUP = 936;
+	public static final int X86_INS_VMOVSS = 937;
+	public static final int X86_INS_VMOVUPD = 938;
+	public static final int X86_INS_VMOVUPS = 939;
+	public static final int X86_INS_VMPSADBW = 940;
+	public static final int X86_INS_VMPTRLD = 941;
+	public static final int X86_INS_VMPTRST = 942;
+	public static final int X86_INS_VMREAD = 943;
+	public static final int X86_INS_VMRESUME = 944;
+	public static final int X86_INS_VMRUN = 945;
+	public static final int X86_INS_VMSAVE = 946;
+	public static final int X86_INS_VMULPD = 947;
+	public static final int X86_INS_VMULPS = 948;
+	public static final int X86_INS_VMULSD = 949;
+	public static final int X86_INS_VMULSS = 950;
+	public static final int X86_INS_VMWRITE = 951;
+	public static final int X86_INS_VMXOFF = 952;
+	public static final int X86_INS_VMXON = 953;
+	public static final int X86_INS_VPABSB = 954;
+	public static final int X86_INS_VPABSD = 955;
+	public static final int X86_INS_VPABSQ = 956;
+	public static final int X86_INS_VPABSW = 957;
+	public static final int X86_INS_VPACKSSDW = 958;
+	public static final int X86_INS_VPACKSSWB = 959;
+	public static final int X86_INS_VPACKUSDW = 960;
+	public static final int X86_INS_VPACKUSWB = 961;
+	public static final int X86_INS_VPADDB = 962;
+	public static final int X86_INS_VPADDD = 963;
+	public static final int X86_INS_VPADDQ = 964;
+	public static final int X86_INS_VPADDSB = 965;
+	public static final int X86_INS_VPADDSW = 966;
+	public static final int X86_INS_VPADDUSB = 967;
+	public static final int X86_INS_VPADDUSW = 968;
+	public static final int X86_INS_VPADDW = 969;
+	public static final int X86_INS_VPALIGNR = 970;
+	public static final int X86_INS_VPANDD = 971;
+	public static final int X86_INS_VPANDND = 972;
+	public static final int X86_INS_VPANDNQ = 973;
+	public static final int X86_INS_VPANDN = 974;
+	public static final int X86_INS_VPANDQ = 975;
+	public static final int X86_INS_VPAND = 976;
+	public static final int X86_INS_VPAVGB = 977;
+	public static final int X86_INS_VPAVGW = 978;
+	public static final int X86_INS_VPBLENDD = 979;
+	public static final int X86_INS_VPBLENDMD = 980;
+	public static final int X86_INS_VPBLENDMQ = 981;
+	public static final int X86_INS_VPBLENDVB = 982;
+	public static final int X86_INS_VPBLENDW = 983;
+	public static final int X86_INS_VPBROADCASTB = 984;
+	public static final int X86_INS_VPBROADCASTD = 985;
+	public static final int X86_INS_VPBROADCASTMB2Q = 986;
+	public static final int X86_INS_VPBROADCASTMW2D = 987;
+	public static final int X86_INS_VPBROADCASTQ = 988;
+	public static final int X86_INS_VPBROADCASTW = 989;
+	public static final int X86_INS_VPCLMULQDQ = 990;
+	public static final int X86_INS_VPCMOV = 991;
+	public static final int X86_INS_VPCMP = 992;
+	public static final int X86_INS_VPCMPD = 993;
+	public static final int X86_INS_VPCMPEQB = 994;
+	public static final int X86_INS_VPCMPEQD = 995;
+	public static final int X86_INS_VPCMPEQQ = 996;
+	public static final int X86_INS_VPCMPEQW = 997;
+	public static final int X86_INS_VPCMPESTRI = 998;
+	public static final int X86_INS_VPCMPESTRM = 999;
+	public static final int X86_INS_VPCMPGTB = 1000;
+	public static final int X86_INS_VPCMPGTD = 1001;
+	public static final int X86_INS_VPCMPGTQ = 1002;
+	public static final int X86_INS_VPCMPGTW = 1003;
+	public static final int X86_INS_VPCMPISTRI = 1004;
+	public static final int X86_INS_VPCMPISTRM = 1005;
+	public static final int X86_INS_VPCMPQ = 1006;
+	public static final int X86_INS_VPCMPUD = 1007;
+	public static final int X86_INS_VPCMPUQ = 1008;
+	public static final int X86_INS_VPCOMB = 1009;
+	public static final int X86_INS_VPCOMD = 1010;
+	public static final int X86_INS_VPCOMQ = 1011;
+	public static final int X86_INS_VPCOMUB = 1012;
+	public static final int X86_INS_VPCOMUD = 1013;
+	public static final int X86_INS_VPCOMUQ = 1014;
+	public static final int X86_INS_VPCOMUW = 1015;
+	public static final int X86_INS_VPCOMW = 1016;
+	public static final int X86_INS_VPCONFLICTD = 1017;
+	public static final int X86_INS_VPCONFLICTQ = 1018;
+	public static final int X86_INS_VPERM2F128 = 1019;
+	public static final int X86_INS_VPERM2I128 = 1020;
+	public static final int X86_INS_VPERMD = 1021;
+	public static final int X86_INS_VPERMI2D = 1022;
+	public static final int X86_INS_VPERMI2PD = 1023;
+	public static final int X86_INS_VPERMI2PS = 1024;
+	public static final int X86_INS_VPERMI2Q = 1025;
+	public static final int X86_INS_VPERMIL2PD = 1026;
+	public static final int X86_INS_VPERMIL2PS = 1027;
+	public static final int X86_INS_VPERMILPD = 1028;
+	public static final int X86_INS_VPERMILPS = 1029;
+	public static final int X86_INS_VPERMPD = 1030;
+	public static final int X86_INS_VPERMPS = 1031;
+	public static final int X86_INS_VPERMQ = 1032;
+	public static final int X86_INS_VPERMT2D = 1033;
+	public static final int X86_INS_VPERMT2PD = 1034;
+	public static final int X86_INS_VPERMT2PS = 1035;
+	public static final int X86_INS_VPERMT2Q = 1036;
+	public static final int X86_INS_VPEXTRB = 1037;
+	public static final int X86_INS_VPEXTRD = 1038;
+	public static final int X86_INS_VPEXTRQ = 1039;
+	public static final int X86_INS_VPEXTRW = 1040;
+	public static final int X86_INS_VPGATHERDD = 1041;
+	public static final int X86_INS_VPGATHERDQ = 1042;
+	public static final int X86_INS_VPGATHERQD = 1043;
+	public static final int X86_INS_VPGATHERQQ = 1044;
+	public static final int X86_INS_VPHADDBD = 1045;
+	public static final int X86_INS_VPHADDBQ = 1046;
+	public static final int X86_INS_VPHADDBW = 1047;
+	public static final int X86_INS_VPHADDDQ = 1048;
+	public static final int X86_INS_VPHADDD = 1049;
+	public static final int X86_INS_VPHADDSW = 1050;
+	public static final int X86_INS_VPHADDUBD = 1051;
+	public static final int X86_INS_VPHADDUBQ = 1052;
+	public static final int X86_INS_VPHADDUBW = 1053;
+	public static final int X86_INS_VPHADDUDQ = 1054;
+	public static final int X86_INS_VPHADDUWD = 1055;
+	public static final int X86_INS_VPHADDUWQ = 1056;
+	public static final int X86_INS_VPHADDWD = 1057;
+	public static final int X86_INS_VPHADDWQ = 1058;
+	public static final int X86_INS_VPHADDW = 1059;
+	public static final int X86_INS_VPHMINPOSUW = 1060;
+	public static final int X86_INS_VPHSUBBW = 1061;
+	public static final int X86_INS_VPHSUBDQ = 1062;
+	public static final int X86_INS_VPHSUBD = 1063;
+	public static final int X86_INS_VPHSUBSW = 1064;
+	public static final int X86_INS_VPHSUBWD = 1065;
+	public static final int X86_INS_VPHSUBW = 1066;
+	public static final int X86_INS_VPINSRB = 1067;
+	public static final int X86_INS_VPINSRD = 1068;
+	public static final int X86_INS_VPINSRQ = 1069;
+	public static final int X86_INS_VPINSRW = 1070;
+	public static final int X86_INS_VPLZCNTD = 1071;
+	public static final int X86_INS_VPLZCNTQ = 1072;
+	public static final int X86_INS_VPMACSDD = 1073;
+	public static final int X86_INS_VPMACSDQH = 1074;
+	public static final int X86_INS_VPMACSDQL = 1075;
+	public static final int X86_INS_VPMACSSDD = 1076;
+	public static final int X86_INS_VPMACSSDQH = 1077;
+	public static final int X86_INS_VPMACSSDQL = 1078;
+	public static final int X86_INS_VPMACSSWD = 1079;
+	public static final int X86_INS_VPMACSSWW = 1080;
+	public static final int X86_INS_VPMACSWD = 1081;
+	public static final int X86_INS_VPMACSWW = 1082;
+	public static final int X86_INS_VPMADCSSWD = 1083;
+	public static final int X86_INS_VPMADCSWD = 1084;
+	public static final int X86_INS_VPMADDUBSW = 1085;
+	public static final int X86_INS_VPMADDWD = 1086;
+	public static final int X86_INS_VPMASKMOVD = 1087;
+	public static final int X86_INS_VPMASKMOVQ = 1088;
+	public static final int X86_INS_VPMAXSB = 1089;
+	public static final int X86_INS_VPMAXSD = 1090;
+	public static final int X86_INS_VPMAXSQ = 1091;
+	public static final int X86_INS_VPMAXSW = 1092;
+	public static final int X86_INS_VPMAXUB = 1093;
+	public static final int X86_INS_VPMAXUD = 1094;
+	public static final int X86_INS_VPMAXUQ = 1095;
+	public static final int X86_INS_VPMAXUW = 1096;
+	public static final int X86_INS_VPMINSB = 1097;
+	public static final int X86_INS_VPMINSD = 1098;
+	public static final int X86_INS_VPMINSQ = 1099;
+	public static final int X86_INS_VPMINSW = 1100;
+	public static final int X86_INS_VPMINUB = 1101;
+	public static final int X86_INS_VPMINUD = 1102;
+	public static final int X86_INS_VPMINUQ = 1103;
+	public static final int X86_INS_VPMINUW = 1104;
+	public static final int X86_INS_VPMOVDB = 1105;
+	public static final int X86_INS_VPMOVDW = 1106;
+	public static final int X86_INS_VPMOVMSKB = 1107;
+	public static final int X86_INS_VPMOVQB = 1108;
+	public static final int X86_INS_VPMOVQD = 1109;
+	public static final int X86_INS_VPMOVQW = 1110;
+	public static final int X86_INS_VPMOVSDB = 1111;
+	public static final int X86_INS_VPMOVSDW = 1112;
+	public static final int X86_INS_VPMOVSQB = 1113;
+	public static final int X86_INS_VPMOVSQD = 1114;
+	public static final int X86_INS_VPMOVSQW = 1115;
+	public static final int X86_INS_VPMOVSXBD = 1116;
+	public static final int X86_INS_VPMOVSXBQ = 1117;
+	public static final int X86_INS_VPMOVSXBW = 1118;
+	public static final int X86_INS_VPMOVSXDQ = 1119;
+	public static final int X86_INS_VPMOVSXWD = 1120;
+	public static final int X86_INS_VPMOVSXWQ = 1121;
+	public static final int X86_INS_VPMOVUSDB = 1122;
+	public static final int X86_INS_VPMOVUSDW = 1123;
+	public static final int X86_INS_VPMOVUSQB = 1124;
+	public static final int X86_INS_VPMOVUSQD = 1125;
+	public static final int X86_INS_VPMOVUSQW = 1126;
+	public static final int X86_INS_VPMOVZXBD = 1127;
+	public static final int X86_INS_VPMOVZXBQ = 1128;
+	public static final int X86_INS_VPMOVZXBW = 1129;
+	public static final int X86_INS_VPMOVZXDQ = 1130;
+	public static final int X86_INS_VPMOVZXWD = 1131;
+	public static final int X86_INS_VPMOVZXWQ = 1132;
+	public static final int X86_INS_VPMULDQ = 1133;
+	public static final int X86_INS_VPMULHRSW = 1134;
+	public static final int X86_INS_VPMULHUW = 1135;
+	public static final int X86_INS_VPMULHW = 1136;
+	public static final int X86_INS_VPMULLD = 1137;
+	public static final int X86_INS_VPMULLW = 1138;
+	public static final int X86_INS_VPMULUDQ = 1139;
+	public static final int X86_INS_VPORD = 1140;
+	public static final int X86_INS_VPORQ = 1141;
+	public static final int X86_INS_VPOR = 1142;
+	public static final int X86_INS_VPPERM = 1143;
+	public static final int X86_INS_VPROTB = 1144;
+	public static final int X86_INS_VPROTD = 1145;
+	public static final int X86_INS_VPROTQ = 1146;
+	public static final int X86_INS_VPROTW = 1147;
+	public static final int X86_INS_VPSADBW = 1148;
+	public static final int X86_INS_VPSCATTERDD = 1149;
+	public static final int X86_INS_VPSCATTERDQ = 1150;
+	public static final int X86_INS_VPSCATTERQD = 1151;
+	public static final int X86_INS_VPSCATTERQQ = 1152;
+	public static final int X86_INS_VPSHAB = 1153;
+	public static final int X86_INS_VPSHAD = 1154;
+	public static final int X86_INS_VPSHAQ = 1155;
+	public static final int X86_INS_VPSHAW = 1156;
+	public static final int X86_INS_VPSHLB = 1157;
+	public static final int X86_INS_VPSHLD = 1158;
+	public static final int X86_INS_VPSHLQ = 1159;
+	public static final int X86_INS_VPSHLW = 1160;
+	public static final int X86_INS_VPSHUFB = 1161;
+	public static final int X86_INS_VPSHUFD = 1162;
+	public static final int X86_INS_VPSHUFHW = 1163;
+	public static final int X86_INS_VPSHUFLW = 1164;
+	public static final int X86_INS_VPSIGNB = 1165;
+	public static final int X86_INS_VPSIGND = 1166;
+	public static final int X86_INS_VPSIGNW = 1167;
+	public static final int X86_INS_VPSLLDQ = 1168;
+	public static final int X86_INS_VPSLLD = 1169;
+	public static final int X86_INS_VPSLLQ = 1170;
+	public static final int X86_INS_VPSLLVD = 1171;
+	public static final int X86_INS_VPSLLVQ = 1172;
+	public static final int X86_INS_VPSLLW = 1173;
+	public static final int X86_INS_VPSRAD = 1174;
+	public static final int X86_INS_VPSRAQ = 1175;
+	public static final int X86_INS_VPSRAVD = 1176;
+	public static final int X86_INS_VPSRAVQ = 1177;
+	public static final int X86_INS_VPSRAW = 1178;
+	public static final int X86_INS_VPSRLDQ = 1179;
+	public static final int X86_INS_VPSRLD = 1180;
+	public static final int X86_INS_VPSRLQ = 1181;
+	public static final int X86_INS_VPSRLVD = 1182;
+	public static final int X86_INS_VPSRLVQ = 1183;
+	public static final int X86_INS_VPSRLW = 1184;
+	public static final int X86_INS_VPSUBB = 1185;
+	public static final int X86_INS_VPSUBD = 1186;
+	public static final int X86_INS_VPSUBQ = 1187;
+	public static final int X86_INS_VPSUBSB = 1188;
+	public static final int X86_INS_VPSUBSW = 1189;
+	public static final int X86_INS_VPSUBUSB = 1190;
+	public static final int X86_INS_VPSUBUSW = 1191;
+	public static final int X86_INS_VPSUBW = 1192;
+	public static final int X86_INS_VPTESTMD = 1193;
+	public static final int X86_INS_VPTESTMQ = 1194;
+	public static final int X86_INS_VPTESTNMD = 1195;
+	public static final int X86_INS_VPTESTNMQ = 1196;
+	public static final int X86_INS_VPTEST = 1197;
+	public static final int X86_INS_VPUNPCKHBW = 1198;
+	public static final int X86_INS_VPUNPCKHDQ = 1199;
+	public static final int X86_INS_VPUNPCKHQDQ = 1200;
+	public static final int X86_INS_VPUNPCKHWD = 1201;
+	public static final int X86_INS_VPUNPCKLBW = 1202;
+	public static final int X86_INS_VPUNPCKLDQ = 1203;
+	public static final int X86_INS_VPUNPCKLQDQ = 1204;
+	public static final int X86_INS_VPUNPCKLWD = 1205;
+	public static final int X86_INS_VPXORD = 1206;
+	public static final int X86_INS_VPXORQ = 1207;
+	public static final int X86_INS_VPXOR = 1208;
+	public static final int X86_INS_VRCP14PD = 1209;
+	public static final int X86_INS_VRCP14PS = 1210;
+	public static final int X86_INS_VRCP14SD = 1211;
+	public static final int X86_INS_VRCP14SS = 1212;
+	public static final int X86_INS_VRCP28PD = 1213;
+	public static final int X86_INS_VRCP28PS = 1214;
+	public static final int X86_INS_VRCP28SD = 1215;
+	public static final int X86_INS_VRCP28SS = 1216;
+	public static final int X86_INS_VRCPPS = 1217;
+	public static final int X86_INS_VRCPSS = 1218;
+	public static final int X86_INS_VRNDSCALEPD = 1219;
+	public static final int X86_INS_VRNDSCALEPS = 1220;
+	public static final int X86_INS_VRNDSCALESD = 1221;
+	public static final int X86_INS_VRNDSCALESS = 1222;
+	public static final int X86_INS_VROUNDPD = 1223;
+	public static final int X86_INS_VROUNDPS = 1224;
+	public static final int X86_INS_VROUNDSD = 1225;
+	public static final int X86_INS_VROUNDSS = 1226;
+	public static final int X86_INS_VRSQRT14PD = 1227;
+	public static final int X86_INS_VRSQRT14PS = 1228;
+	public static final int X86_INS_VRSQRT14SD = 1229;
+	public static final int X86_INS_VRSQRT14SS = 1230;
+	public static final int X86_INS_VRSQRT28PD = 1231;
+	public static final int X86_INS_VRSQRT28PS = 1232;
+	public static final int X86_INS_VRSQRT28SD = 1233;
+	public static final int X86_INS_VRSQRT28SS = 1234;
+	public static final int X86_INS_VRSQRTPS = 1235;
+	public static final int X86_INS_VRSQRTSS = 1236;
+	public static final int X86_INS_VSCATTERDPD = 1237;
+	public static final int X86_INS_VSCATTERDPS = 1238;
+	public static final int X86_INS_VSCATTERPF0DPD = 1239;
+	public static final int X86_INS_VSCATTERPF0DPS = 1240;
+	public static final int X86_INS_VSCATTERPF0QPD = 1241;
+	public static final int X86_INS_VSCATTERPF0QPS = 1242;
+	public static final int X86_INS_VSCATTERPF1DPD = 1243;
+	public static final int X86_INS_VSCATTERPF1DPS = 1244;
+	public static final int X86_INS_VSCATTERPF1QPD = 1245;
+	public static final int X86_INS_VSCATTERPF1QPS = 1246;
+	public static final int X86_INS_VSCATTERQPD = 1247;
+	public static final int X86_INS_VSCATTERQPS = 1248;
+	public static final int X86_INS_VSHUFPD = 1249;
+	public static final int X86_INS_VSHUFPS = 1250;
+	public static final int X86_INS_VSQRTPD = 1251;
+	public static final int X86_INS_VSQRTPS = 1252;
+	public static final int X86_INS_VSQRTSD = 1253;
+	public static final int X86_INS_VSQRTSS = 1254;
+	public static final int X86_INS_VSTMXCSR = 1255;
+	public static final int X86_INS_VSUBPD = 1256;
+	public static final int X86_INS_VSUBPS = 1257;
+	public static final int X86_INS_VSUBSD = 1258;
+	public static final int X86_INS_VSUBSS = 1259;
+	public static final int X86_INS_VTESTPD = 1260;
+	public static final int X86_INS_VTESTPS = 1261;
+	public static final int X86_INS_VUNPCKHPD = 1262;
+	public static final int X86_INS_VUNPCKHPS = 1263;
+	public static final int X86_INS_VUNPCKLPD = 1264;
+	public static final int X86_INS_VUNPCKLPS = 1265;
+	public static final int X86_INS_VZEROALL = 1266;
+	public static final int X86_INS_VZEROUPPER = 1267;
+	public static final int X86_INS_WAIT = 1268;
+	public static final int X86_INS_WBINVD = 1269;
+	public static final int X86_INS_WRFSBASE = 1270;
+	public static final int X86_INS_WRGSBASE = 1271;
+	public static final int X86_INS_WRMSR = 1272;
+	public static final int X86_INS_XABORT = 1273;
+	public static final int X86_INS_XACQUIRE = 1274;
+	public static final int X86_INS_XBEGIN = 1275;
+	public static final int X86_INS_XCHG = 1276;
+	public static final int X86_INS_FXCH = 1277;
+	public static final int X86_INS_XCRYPTCBC = 1278;
+	public static final int X86_INS_XCRYPTCFB = 1279;
+	public static final int X86_INS_XCRYPTCTR = 1280;
+	public static final int X86_INS_XCRYPTECB = 1281;
+	public static final int X86_INS_XCRYPTOFB = 1282;
+	public static final int X86_INS_XEND = 1283;
+	public static final int X86_INS_XGETBV = 1284;
+	public static final int X86_INS_XLATB = 1285;
+	public static final int X86_INS_XRELEASE = 1286;
+	public static final int X86_INS_XRSTOR = 1287;
+	public static final int X86_INS_XRSTOR64 = 1288;
+	public static final int X86_INS_XSAVE = 1289;
+	public static final int X86_INS_XSAVE64 = 1290;
+	public static final int X86_INS_XSAVEOPT = 1291;
+	public static final int X86_INS_XSAVEOPT64 = 1292;
+	public static final int X86_INS_XSETBV = 1293;
+	public static final int X86_INS_XSHA1 = 1294;
+	public static final int X86_INS_XSHA256 = 1295;
+	public static final int X86_INS_XSTORE = 1296;
+	public static final int X86_INS_XTEST = 1297;
+	public static final int X86_INS_MAX = 1298;
 
 	// Group of X86 instructions
 
@@ -1669,11 +1665,12 @@
 	public static final int X86_GRP_PFI = 37;
 	public static final int X86_GRP_VLX = 38;
 	public static final int X86_GRP_SMAP = 39;
-	public static final int X86_GRP_JUMP = 40;
-	public static final int X86_GRP_VM = 41;
-	public static final int X86_GRP_INT = 42;
-	public static final int X86_GRP_IRET = 43;
-	public static final int X86_GRP_CALL = 44;
-	public static final int X86_GRP_RET = 45;
-	public static final int X86_GRP_MAX = 46;
+	public static final int X86_GRP_NOVLX = 40;
+	public static final int X86_GRP_JUMP = 41;
+	public static final int X86_GRP_VM = 42;
+	public static final int X86_GRP_INT = 43;
+	public static final int X86_GRP_IRET = 44;
+	public static final int X86_GRP_CALL = 45;
+	public static final int X86_GRP_RET = 46;
+	public static final int X86_GRP_MAX = 47;
 }
\ No newline at end of file
diff --git a/bindings/python/capstone/x86_const.py b/bindings/python/capstone/x86_const.py
index 5d27f4f..fd9fe94 100644
--- a/bindings/python/capstone/x86_const.py
+++ b/bindings/python/capstone/x86_const.py
@@ -249,8 +249,10 @@
 # AVX broadcast type
 
 X86_AVX_BCAST_INVALID = 0
-X86_AVX_BCAST_8 = 1
-X86_AVX_BCAST_16 = 2
+X86_AVX_BCAST_2 = 1
+X86_AVX_BCAST_4 = 2
+X86_AVX_BCAST_8 = 3
+X86_AVX_BCAST_16 = 4
 
 # SSE Code Condition type
 
@@ -432,1197 +434,1191 @@
 X86_INS_CPUID = 111
 X86_INS_CQO = 112
 X86_INS_CRC32 = 113
-X86_INS_CS = 114
-X86_INS_CVTDQ2PD = 115
-X86_INS_CVTDQ2PS = 116
-X86_INS_CVTPD2DQ = 117
-X86_INS_CVTPD2PS = 118
-X86_INS_CVTPS2DQ = 119
-X86_INS_CVTPS2PD = 120
-X86_INS_CVTSD2SI = 121
-X86_INS_CVTSD2SS = 122
-X86_INS_CVTSI2SD = 123
-X86_INS_CVTSI2SS = 124
-X86_INS_CVTSS2SD = 125
-X86_INS_CVTSS2SI = 126
-X86_INS_CVTTPD2DQ = 127
-X86_INS_CVTTPS2DQ = 128
-X86_INS_CVTTSD2SI = 129
-X86_INS_CVTTSS2SI = 130
-X86_INS_CWD = 131
-X86_INS_CWDE = 132
-X86_INS_DAA = 133
-X86_INS_DAS = 134
-X86_INS_DATA16 = 135
-X86_INS_DEC = 136
-X86_INS_DIV = 137
-X86_INS_DIVPD = 138
-X86_INS_DIVPS = 139
-X86_INS_FDIVR = 140
-X86_INS_FIDIVR = 141
-X86_INS_FDIVRP = 142
-X86_INS_DIVSD = 143
-X86_INS_DIVSS = 144
-X86_INS_FDIV = 145
-X86_INS_FIDIV = 146
-X86_INS_FDIVP = 147
-X86_INS_DPPD = 148
-X86_INS_DPPS = 149
-X86_INS_DS = 150
-X86_INS_RET = 151
-X86_INS_ENCLS = 152
-X86_INS_ENCLU = 153
-X86_INS_ENTER = 154
-X86_INS_ES = 155
-X86_INS_EXTRACTPS = 156
-X86_INS_EXTRQ = 157
-X86_INS_F2XM1 = 158
-X86_INS_LCALL = 159
-X86_INS_LJMP = 160
-X86_INS_FBLD = 161
-X86_INS_FBSTP = 162
-X86_INS_FCOMPP = 163
-X86_INS_FDECSTP = 164
-X86_INS_FEMMS = 165
-X86_INS_FFREE = 166
-X86_INS_FICOM = 167
-X86_INS_FICOMP = 168
-X86_INS_FINCSTP = 169
-X86_INS_FLDCW = 170
-X86_INS_FLDENV = 171
-X86_INS_FLDL2E = 172
-X86_INS_FLDL2T = 173
-X86_INS_FLDLG2 = 174
-X86_INS_FLDLN2 = 175
-X86_INS_FLDPI = 176
-X86_INS_FNCLEX = 177
-X86_INS_FNINIT = 178
-X86_INS_FNOP = 179
-X86_INS_FNSTCW = 180
-X86_INS_FNSTSW = 181
-X86_INS_FPATAN = 182
-X86_INS_FPREM = 183
-X86_INS_FPREM1 = 184
-X86_INS_FPTAN = 185
-X86_INS_FRNDINT = 186
-X86_INS_FRSTOR = 187
-X86_INS_FNSAVE = 188
-X86_INS_FSCALE = 189
-X86_INS_FSETPM = 190
-X86_INS_FSINCOS = 191
-X86_INS_FNSTENV = 192
-X86_INS_FS = 193
-X86_INS_FXAM = 194
-X86_INS_FXRSTOR = 195
-X86_INS_FXRSTOR64 = 196
-X86_INS_FXSAVE = 197
-X86_INS_FXSAVE64 = 198
-X86_INS_FXTRACT = 199
-X86_INS_FYL2X = 200
-X86_INS_FYL2XP1 = 201
-X86_INS_MOVAPD = 202
-X86_INS_MOVAPS = 203
-X86_INS_ORPD = 204
-X86_INS_ORPS = 205
-X86_INS_VMOVAPD = 206
-X86_INS_VMOVAPS = 207
-X86_INS_XORPD = 208
-X86_INS_XORPS = 209
-X86_INS_GETSEC = 210
-X86_INS_GS = 211
-X86_INS_HADDPD = 212
-X86_INS_HADDPS = 213
-X86_INS_HLT = 214
-X86_INS_HSUBPD = 215
-X86_INS_HSUBPS = 216
-X86_INS_IDIV = 217
-X86_INS_FILD = 218
-X86_INS_IMUL = 219
-X86_INS_IN = 220
-X86_INS_INC = 221
-X86_INS_INSB = 222
-X86_INS_INSERTPS = 223
-X86_INS_INSERTQ = 224
-X86_INS_INSD = 225
-X86_INS_INSW = 226
-X86_INS_INT = 227
-X86_INS_INT1 = 228
-X86_INS_INT3 = 229
-X86_INS_INTO = 230
-X86_INS_INVD = 231
-X86_INS_INVEPT = 232
-X86_INS_INVLPG = 233
-X86_INS_INVLPGA = 234
-X86_INS_INVPCID = 235
-X86_INS_INVVPID = 236
-X86_INS_IRET = 237
-X86_INS_IRETD = 238
-X86_INS_IRETQ = 239
-X86_INS_FISTTP = 240
-X86_INS_FIST = 241
-X86_INS_FISTP = 242
-X86_INS_UCOMISD = 243
-X86_INS_UCOMISS = 244
-X86_INS_VCMP = 245
-X86_INS_VCOMISD = 246
-X86_INS_VCOMISS = 247
-X86_INS_VCVTSD2SS = 248
-X86_INS_VCVTSI2SD = 249
-X86_INS_VCVTSI2SS = 250
-X86_INS_VCVTSS2SD = 251
-X86_INS_VCVTTSD2SI = 252
-X86_INS_VCVTTSD2USI = 253
-X86_INS_VCVTTSS2SI = 254
-X86_INS_VCVTTSS2USI = 255
-X86_INS_VCVTUSI2SD = 256
-X86_INS_VCVTUSI2SS = 257
-X86_INS_VUCOMISD = 258
-X86_INS_VUCOMISS = 259
-X86_INS_JAE = 260
-X86_INS_JA = 261
-X86_INS_JBE = 262
-X86_INS_JB = 263
-X86_INS_JCXZ = 264
-X86_INS_JECXZ = 265
-X86_INS_JE = 266
-X86_INS_JGE = 267
-X86_INS_JG = 268
-X86_INS_JLE = 269
-X86_INS_JL = 270
-X86_INS_JMP = 271
-X86_INS_JNE = 272
-X86_INS_JNO = 273
-X86_INS_JNP = 274
-X86_INS_JNS = 275
-X86_INS_JO = 276
-X86_INS_JP = 277
-X86_INS_JRCXZ = 278
-X86_INS_JS = 279
-X86_INS_KANDB = 280
-X86_INS_KANDD = 281
-X86_INS_KANDNB = 282
-X86_INS_KANDND = 283
-X86_INS_KANDNQ = 284
-X86_INS_KANDNW = 285
-X86_INS_KANDQ = 286
-X86_INS_KANDW = 287
-X86_INS_KMOVB = 288
-X86_INS_KMOVD = 289
-X86_INS_KMOVQ = 290
-X86_INS_KMOVW = 291
-X86_INS_KNOTB = 292
-X86_INS_KNOTD = 293
-X86_INS_KNOTQ = 294
-X86_INS_KNOTW = 295
-X86_INS_KORB = 296
-X86_INS_KORD = 297
-X86_INS_KORQ = 298
-X86_INS_KORTESTW = 299
-X86_INS_KORW = 300
-X86_INS_KSHIFTLW = 301
-X86_INS_KSHIFTRW = 302
-X86_INS_KUNPCKBW = 303
-X86_INS_KXNORB = 304
-X86_INS_KXNORD = 305
-X86_INS_KXNORQ = 306
-X86_INS_KXNORW = 307
-X86_INS_KXORB = 308
-X86_INS_KXORD = 309
-X86_INS_KXORQ = 310
-X86_INS_KXORW = 311
-X86_INS_LAHF = 312
-X86_INS_LAR = 313
-X86_INS_LDDQU = 314
-X86_INS_LDMXCSR = 315
-X86_INS_LDS = 316
-X86_INS_FLDZ = 317
-X86_INS_FLD1 = 318
-X86_INS_FLD = 319
-X86_INS_LEA = 320
-X86_INS_LEAVE = 321
-X86_INS_LES = 322
-X86_INS_LFENCE = 323
-X86_INS_LFS = 324
-X86_INS_LGDT = 325
-X86_INS_LGS = 326
-X86_INS_LIDT = 327
-X86_INS_LLDT = 328
-X86_INS_LMSW = 329
-X86_INS_OR = 330
-X86_INS_LOCK = 331
-X86_INS_SUB = 332
-X86_INS_XOR = 333
-X86_INS_LODSB = 334
-X86_INS_LODSD = 335
-X86_INS_LODSQ = 336
-X86_INS_LODSW = 337
-X86_INS_LOOP = 338
-X86_INS_LOOPE = 339
-X86_INS_LOOPNE = 340
-X86_INS_RETF = 341
-X86_INS_RETFQ = 342
-X86_INS_LSL = 343
-X86_INS_LSS = 344
-X86_INS_LTR = 345
-X86_INS_XADD = 346
-X86_INS_LZCNT = 347
-X86_INS_MASKMOVDQU = 348
-X86_INS_MAXPD = 349
-X86_INS_MAXPS = 350
-X86_INS_MAXSD = 351
-X86_INS_MAXSS = 352
-X86_INS_MFENCE = 353
-X86_INS_MINPD = 354
-X86_INS_MINPS = 355
-X86_INS_MINSD = 356
-X86_INS_MINSS = 357
-X86_INS_CVTPD2PI = 358
-X86_INS_CVTPI2PD = 359
-X86_INS_CVTPI2PS = 360
-X86_INS_CVTPS2PI = 361
-X86_INS_CVTTPD2PI = 362
-X86_INS_CVTTPS2PI = 363
-X86_INS_EMMS = 364
-X86_INS_MASKMOVQ = 365
-X86_INS_MOVD = 366
-X86_INS_MOVDQ2Q = 367
-X86_INS_MOVNTQ = 368
-X86_INS_MOVQ2DQ = 369
-X86_INS_MOVQ = 370
-X86_INS_PABSB = 371
-X86_INS_PABSD = 372
-X86_INS_PABSW = 373
-X86_INS_PACKSSDW = 374
-X86_INS_PACKSSWB = 375
-X86_INS_PACKUSWB = 376
-X86_INS_PADDB = 377
-X86_INS_PADDD = 378
-X86_INS_PADDQ = 379
-X86_INS_PADDSB = 380
-X86_INS_PADDSW = 381
-X86_INS_PADDUSB = 382
-X86_INS_PADDUSW = 383
-X86_INS_PADDW = 384
-X86_INS_PALIGNR = 385
-X86_INS_PANDN = 386
-X86_INS_PAND = 387
-X86_INS_PAVGB = 388
-X86_INS_PAVGW = 389
-X86_INS_PCMPEQB = 390
-X86_INS_PCMPEQD = 391
-X86_INS_PCMPEQW = 392
-X86_INS_PCMPGTB = 393
-X86_INS_PCMPGTD = 394
-X86_INS_PCMPGTW = 395
-X86_INS_PEXTRW = 396
-X86_INS_PHADDSW = 397
-X86_INS_PHADDW = 398
-X86_INS_PHADDD = 399
-X86_INS_PHSUBD = 400
-X86_INS_PHSUBSW = 401
-X86_INS_PHSUBW = 402
-X86_INS_PINSRW = 403
-X86_INS_PMADDUBSW = 404
-X86_INS_PMADDWD = 405
-X86_INS_PMAXSW = 406
-X86_INS_PMAXUB = 407
-X86_INS_PMINSW = 408
-X86_INS_PMINUB = 409
-X86_INS_PMOVMSKB = 410
-X86_INS_PMULHRSW = 411
-X86_INS_PMULHUW = 412
-X86_INS_PMULHW = 413
-X86_INS_PMULLW = 414
-X86_INS_PMULUDQ = 415
-X86_INS_POR = 416
-X86_INS_PSADBW = 417
-X86_INS_PSHUFB = 418
-X86_INS_PSHUFW = 419
-X86_INS_PSIGNB = 420
-X86_INS_PSIGND = 421
-X86_INS_PSIGNW = 422
-X86_INS_PSLLD = 423
-X86_INS_PSLLQ = 424
-X86_INS_PSLLW = 425
-X86_INS_PSRAD = 426
-X86_INS_PSRAW = 427
-X86_INS_PSRLD = 428
-X86_INS_PSRLQ = 429
-X86_INS_PSRLW = 430
-X86_INS_PSUBB = 431
-X86_INS_PSUBD = 432
-X86_INS_PSUBQ = 433
-X86_INS_PSUBSB = 434
-X86_INS_PSUBSW = 435
-X86_INS_PSUBUSB = 436
-X86_INS_PSUBUSW = 437
-X86_INS_PSUBW = 438
-X86_INS_PUNPCKHBW = 439
-X86_INS_PUNPCKHDQ = 440
-X86_INS_PUNPCKHWD = 441
-X86_INS_PUNPCKLBW = 442
-X86_INS_PUNPCKLDQ = 443
-X86_INS_PUNPCKLWD = 444
-X86_INS_PXOR = 445
-X86_INS_MONITOR = 446
-X86_INS_MONTMUL = 447
-X86_INS_MOV = 448
-X86_INS_MOVABS = 449
-X86_INS_MOVBE = 450
-X86_INS_MOVDDUP = 451
-X86_INS_MOVDQA = 452
-X86_INS_MOVDQU = 453
-X86_INS_MOVHLPS = 454
-X86_INS_MOVHPD = 455
-X86_INS_MOVHPS = 456
-X86_INS_MOVLHPS = 457
-X86_INS_MOVLPD = 458
-X86_INS_MOVLPS = 459
-X86_INS_MOVMSKPD = 460
-X86_INS_MOVMSKPS = 461
-X86_INS_MOVNTDQA = 462
-X86_INS_MOVNTDQ = 463
-X86_INS_MOVNTI = 464
-X86_INS_MOVNTPD = 465
-X86_INS_MOVNTPS = 466
-X86_INS_MOVNTSD = 467
-X86_INS_MOVNTSS = 468
-X86_INS_MOVSB = 469
-X86_INS_MOVSD = 470
-X86_INS_MOVSHDUP = 471
-X86_INS_MOVSLDUP = 472
-X86_INS_MOVSQ = 473
-X86_INS_MOVSS = 474
-X86_INS_MOVSW = 475
-X86_INS_MOVSX = 476
-X86_INS_MOVSXD = 477
-X86_INS_MOVUPD = 478
-X86_INS_MOVUPS = 479
-X86_INS_MOVZX = 480
-X86_INS_MPSADBW = 481
-X86_INS_MUL = 482
-X86_INS_MULPD = 483
-X86_INS_MULPS = 484
-X86_INS_MULSD = 485
-X86_INS_MULSS = 486
-X86_INS_MULX = 487
-X86_INS_FMUL = 488
-X86_INS_FIMUL = 489
-X86_INS_FMULP = 490
-X86_INS_MWAIT = 491
-X86_INS_NEG = 492
-X86_INS_NOP = 493
-X86_INS_NOT = 494
-X86_INS_OUT = 495
-X86_INS_OUTSB = 496
-X86_INS_OUTSD = 497
-X86_INS_OUTSW = 498
-X86_INS_PACKUSDW = 499
-X86_INS_PAUSE = 500
-X86_INS_PAVGUSB = 501
-X86_INS_PBLENDVB = 502
-X86_INS_PBLENDW = 503
-X86_INS_PCLMULQDQ = 504
-X86_INS_PCMPEQQ = 505
-X86_INS_PCMPESTRI = 506
-X86_INS_PCMPESTRM = 507
-X86_INS_PCMPGTQ = 508
-X86_INS_PCMPISTRI = 509
-X86_INS_PCMPISTRM = 510
-X86_INS_PDEP = 511
-X86_INS_PEXT = 512
-X86_INS_PEXTRB = 513
-X86_INS_PEXTRD = 514
-X86_INS_PEXTRQ = 515
-X86_INS_PF2ID = 516
-X86_INS_PF2IW = 517
-X86_INS_PFACC = 518
-X86_INS_PFADD = 519
-X86_INS_PFCMPEQ = 520
-X86_INS_PFCMPGE = 521
-X86_INS_PFCMPGT = 522
-X86_INS_PFMAX = 523
-X86_INS_PFMIN = 524
-X86_INS_PFMUL = 525
-X86_INS_PFNACC = 526
-X86_INS_PFPNACC = 527
-X86_INS_PFRCPIT1 = 528
-X86_INS_PFRCPIT2 = 529
-X86_INS_PFRCP = 530
-X86_INS_PFRSQIT1 = 531
-X86_INS_PFRSQRT = 532
-X86_INS_PFSUBR = 533
-X86_INS_PFSUB = 534
-X86_INS_PHMINPOSUW = 535
-X86_INS_PI2FD = 536
-X86_INS_PI2FW = 537
-X86_INS_PINSRB = 538
-X86_INS_PINSRD = 539
-X86_INS_PINSRQ = 540
-X86_INS_PMAXSB = 541
-X86_INS_PMAXSD = 542
-X86_INS_PMAXUD = 543
-X86_INS_PMAXUW = 544
-X86_INS_PMINSB = 545
-X86_INS_PMINSD = 546
-X86_INS_PMINUD = 547
-X86_INS_PMINUW = 548
-X86_INS_PMOVSXBD = 549
-X86_INS_PMOVSXBQ = 550
-X86_INS_PMOVSXBW = 551
-X86_INS_PMOVSXDQ = 552
-X86_INS_PMOVSXWD = 553
-X86_INS_PMOVSXWQ = 554
-X86_INS_PMOVZXBD = 555
-X86_INS_PMOVZXBQ = 556
-X86_INS_PMOVZXBW = 557
-X86_INS_PMOVZXDQ = 558
-X86_INS_PMOVZXWD = 559
-X86_INS_PMOVZXWQ = 560
-X86_INS_PMULDQ = 561
-X86_INS_PMULHRW = 562
-X86_INS_PMULLD = 563
-X86_INS_POP = 564
-X86_INS_POPAW = 565
-X86_INS_POPAL = 566
-X86_INS_POPCNT = 567
-X86_INS_POPF = 568
-X86_INS_POPFD = 569
-X86_INS_POPFQ = 570
-X86_INS_PREFETCH = 571
-X86_INS_PREFETCHNTA = 572
-X86_INS_PREFETCHT0 = 573
-X86_INS_PREFETCHT1 = 574
-X86_INS_PREFETCHT2 = 575
-X86_INS_PREFETCHW = 576
-X86_INS_PSHUFD = 577
-X86_INS_PSHUFHW = 578
-X86_INS_PSHUFLW = 579
-X86_INS_PSLLDQ = 580
-X86_INS_PSRLDQ = 581
-X86_INS_PSWAPD = 582
-X86_INS_PTEST = 583
-X86_INS_PUNPCKHQDQ = 584
-X86_INS_PUNPCKLQDQ = 585
-X86_INS_PUSH = 586
-X86_INS_PUSHAW = 587
-X86_INS_PUSHAL = 588
-X86_INS_PUSHF = 589
-X86_INS_PUSHFD = 590
-X86_INS_PUSHFQ = 591
-X86_INS_RCL = 592
-X86_INS_RCPPS = 593
-X86_INS_RCPSS = 594
-X86_INS_RCR = 595
-X86_INS_RDFSBASE = 596
-X86_INS_RDGSBASE = 597
-X86_INS_RDMSR = 598
-X86_INS_RDPMC = 599
-X86_INS_RDRAND = 600
-X86_INS_RDSEED = 601
-X86_INS_RDTSC = 602
-X86_INS_RDTSCP = 603
-X86_INS_REPNE = 604
-X86_INS_REP = 605
-X86_INS_ROL = 606
-X86_INS_ROR = 607
-X86_INS_RORX = 608
-X86_INS_ROUNDPD = 609
-X86_INS_ROUNDPS = 610
-X86_INS_ROUNDSD = 611
-X86_INS_ROUNDSS = 612
-X86_INS_RSM = 613
-X86_INS_RSQRTPS = 614
-X86_INS_RSQRTSS = 615
-X86_INS_SAHF = 616
-X86_INS_SAL = 617
-X86_INS_SALC = 618
-X86_INS_SAR = 619
-X86_INS_SARX = 620
-X86_INS_SBB = 621
-X86_INS_SCASB = 622
-X86_INS_SCASD = 623
-X86_INS_SCASQ = 624
-X86_INS_SCASW = 625
-X86_INS_SETAE = 626
-X86_INS_SETA = 627
-X86_INS_SETBE = 628
-X86_INS_SETB = 629
-X86_INS_SETE = 630
-X86_INS_SETGE = 631
-X86_INS_SETG = 632
-X86_INS_SETLE = 633
-X86_INS_SETL = 634
-X86_INS_SETNE = 635
-X86_INS_SETNO = 636
-X86_INS_SETNP = 637
-X86_INS_SETNS = 638
-X86_INS_SETO = 639
-X86_INS_SETP = 640
-X86_INS_SETS = 641
-X86_INS_SFENCE = 642
-X86_INS_SGDT = 643
-X86_INS_SHA1MSG1 = 644
-X86_INS_SHA1MSG2 = 645
-X86_INS_SHA1NEXTE = 646
-X86_INS_SHA1RNDS4 = 647
-X86_INS_SHA256MSG1 = 648
-X86_INS_SHA256MSG2 = 649
-X86_INS_SHA256RNDS2 = 650
-X86_INS_SHL = 651
-X86_INS_SHLD = 652
-X86_INS_SHLX = 653
-X86_INS_SHR = 654
-X86_INS_SHRD = 655
-X86_INS_SHRX = 656
-X86_INS_SHUFPD = 657
-X86_INS_SHUFPS = 658
-X86_INS_SIDT = 659
-X86_INS_FSIN = 660
-X86_INS_SKINIT = 661
-X86_INS_SLDT = 662
-X86_INS_SMSW = 663
-X86_INS_SQRTPD = 664
-X86_INS_SQRTPS = 665
-X86_INS_SQRTSD = 666
-X86_INS_SQRTSS = 667
-X86_INS_FSQRT = 668
-X86_INS_SS = 669
-X86_INS_STAC = 670
-X86_INS_STC = 671
-X86_INS_STD = 672
-X86_INS_STGI = 673
-X86_INS_STI = 674
-X86_INS_STMXCSR = 675
-X86_INS_STOSB = 676
-X86_INS_STOSD = 677
-X86_INS_STOSQ = 678
-X86_INS_STOSW = 679
-X86_INS_STR = 680
-X86_INS_FST = 681
-X86_INS_FSTP = 682
-X86_INS_FSTPNCE = 683
-X86_INS_SUBPD = 684
-X86_INS_SUBPS = 685
-X86_INS_FSUBR = 686
-X86_INS_FISUBR = 687
-X86_INS_FSUBRP = 688
-X86_INS_SUBSD = 689
-X86_INS_SUBSS = 690
-X86_INS_FSUB = 691
-X86_INS_FISUB = 692
-X86_INS_FSUBP = 693
-X86_INS_SWAPGS = 694
-X86_INS_SYSCALL = 695
-X86_INS_SYSENTER = 696
-X86_INS_SYSEXIT = 697
-X86_INS_SYSRET = 698
-X86_INS_T1MSKC = 699
-X86_INS_TEST = 700
-X86_INS_UD2 = 701
-X86_INS_FTST = 702
-X86_INS_TZCNT = 703
-X86_INS_TZMSK = 704
-X86_INS_FUCOMPI = 705
-X86_INS_FUCOMI = 706
-X86_INS_FUCOMPP = 707
-X86_INS_FUCOMP = 708
-X86_INS_FUCOM = 709
-X86_INS_UD2B = 710
-X86_INS_UNPCKHPD = 711
-X86_INS_UNPCKHPS = 712
-X86_INS_UNPCKLPD = 713
-X86_INS_UNPCKLPS = 714
-X86_INS_VADDPD = 715
-X86_INS_VADDPS = 716
-X86_INS_VADDSD = 717
-X86_INS_VADDSS = 718
-X86_INS_VADDSUBPD = 719
-X86_INS_VADDSUBPS = 720
-X86_INS_VAESDECLAST = 721
-X86_INS_VAESDEC = 722
-X86_INS_VAESENCLAST = 723
-X86_INS_VAESENC = 724
-X86_INS_VAESIMC = 725
-X86_INS_VAESKEYGENASSIST = 726
-X86_INS_VALIGND = 727
-X86_INS_VALIGNQ = 728
-X86_INS_VANDNPD = 729
-X86_INS_VANDNPS = 730
-X86_INS_VANDPD = 731
-X86_INS_VANDPS = 732
-X86_INS_VBLENDMPD = 733
-X86_INS_VBLENDMPS = 734
-X86_INS_VBLENDPD = 735
-X86_INS_VBLENDPS = 736
-X86_INS_VBLENDVPD = 737
-X86_INS_VBLENDVPS = 738
-X86_INS_VBROADCASTF128 = 739
-X86_INS_VBROADCASTI128 = 740
-X86_INS_VBROADCASTI32X4 = 741
-X86_INS_VBROADCASTI64X4 = 742
-X86_INS_VBROADCASTSD = 743
-X86_INS_VBROADCASTSS = 744
-X86_INS_VCMPPD = 745
-X86_INS_VCMPPS = 746
-X86_INS_VCMPSD = 747
-X86_INS_VCMPSS = 748
-X86_INS_VCVTDQ2PD = 749
-X86_INS_VCVTDQ2PS = 750
-X86_INS_VCVTPD2DQX = 751
-X86_INS_VCVTPD2DQ = 752
-X86_INS_VCVTPD2PSX = 753
-X86_INS_VCVTPD2PS = 754
-X86_INS_VCVTPD2UDQ = 755
-X86_INS_VCVTPH2PS = 756
-X86_INS_VCVTPS2DQ = 757
-X86_INS_VCVTPS2PD = 758
-X86_INS_VCVTPS2PH = 759
-X86_INS_VCVTPS2UDQ = 760
-X86_INS_VCVTSD2SI = 761
-X86_INS_VCVTSD2USI = 762
-X86_INS_VCVTSS2SI = 763
-X86_INS_VCVTSS2USI = 764
-X86_INS_VCVTTPD2DQX = 765
-X86_INS_VCVTTPD2DQ = 766
-X86_INS_VCVTTPD2UDQ = 767
-X86_INS_VCVTTPS2DQ = 768
-X86_INS_VCVTTPS2UDQ = 769
-X86_INS_VCVTUDQ2PD = 770
-X86_INS_VCVTUDQ2PS = 771
-X86_INS_VDIVPD = 772
-X86_INS_VDIVPS = 773
-X86_INS_VDIVSD = 774
-X86_INS_VDIVSS = 775
-X86_INS_VDPPD = 776
-X86_INS_VDPPS = 777
-X86_INS_VERR = 778
-X86_INS_VERW = 779
-X86_INS_VEXTRACTF128 = 780
-X86_INS_VEXTRACTF32X4 = 781
-X86_INS_VEXTRACTF64X4 = 782
-X86_INS_VEXTRACTI128 = 783
-X86_INS_VEXTRACTI32X4 = 784
-X86_INS_VEXTRACTI64X4 = 785
-X86_INS_VEXTRACTPS = 786
-X86_INS_VFMADD132PD = 787
-X86_INS_VFMADD132PS = 788
-X86_INS_VFMADD213PD = 789
-X86_INS_VFMADD213PS = 790
-X86_INS_VFMADDPD = 791
-X86_INS_VFMADD231PD = 792
-X86_INS_VFMADDPS = 793
-X86_INS_VFMADD231PS = 794
-X86_INS_VFMADDSD = 795
-X86_INS_VFMADD213SD = 796
-X86_INS_VFMADD132SD = 797
-X86_INS_VFMADD231SD = 798
-X86_INS_VFMADDSS = 799
-X86_INS_VFMADD213SS = 800
-X86_INS_VFMADD132SS = 801
-X86_INS_VFMADD231SS = 802
-X86_INS_VFMADDSUB132PD = 803
-X86_INS_VFMADDSUB132PS = 804
-X86_INS_VFMADDSUB213PD = 805
-X86_INS_VFMADDSUB213PS = 806
-X86_INS_VFMADDSUBPD = 807
-X86_INS_VFMADDSUB231PD = 808
-X86_INS_VFMADDSUBPS = 809
-X86_INS_VFMADDSUB231PS = 810
-X86_INS_VFMSUB132PD = 811
-X86_INS_VFMSUB132PS = 812
-X86_INS_VFMSUB213PD = 813
-X86_INS_VFMSUB213PS = 814
-X86_INS_VFMSUBADD132PD = 815
-X86_INS_VFMSUBADD132PS = 816
-X86_INS_VFMSUBADD213PD = 817
-X86_INS_VFMSUBADD213PS = 818
-X86_INS_VFMSUBADDPD = 819
-X86_INS_VFMSUBADD231PD = 820
-X86_INS_VFMSUBADDPS = 821
-X86_INS_VFMSUBADD231PS = 822
-X86_INS_VFMSUBPD = 823
-X86_INS_VFMSUB231PD = 824
-X86_INS_VFMSUBPS = 825
-X86_INS_VFMSUB231PS = 826
-X86_INS_VFMSUBSD = 827
-X86_INS_VFMSUB213SD = 828
-X86_INS_VFMSUB132SD = 829
-X86_INS_VFMSUB231SD = 830
-X86_INS_VFMSUBSS = 831
-X86_INS_VFMSUB213SS = 832
-X86_INS_VFMSUB132SS = 833
-X86_INS_VFMSUB231SS = 834
-X86_INS_VFNMADD132PD = 835
-X86_INS_VFNMADD132PS = 836
-X86_INS_VFNMADD213PD = 837
-X86_INS_VFNMADD213PS = 838
-X86_INS_VFNMADDPD = 839
-X86_INS_VFNMADD231PD = 840
-X86_INS_VFNMADDPS = 841
-X86_INS_VFNMADD231PS = 842
-X86_INS_VFNMADDSD = 843
-X86_INS_VFNMADD213SD = 844
-X86_INS_VFNMADD132SD = 845
-X86_INS_VFNMADD231SD = 846
-X86_INS_VFNMADDSS = 847
-X86_INS_VFNMADD213SS = 848
-X86_INS_VFNMADD132SS = 849
-X86_INS_VFNMADD231SS = 850
-X86_INS_VFNMSUB132PD = 851
-X86_INS_VFNMSUB132PS = 852
-X86_INS_VFNMSUB213PD = 853
-X86_INS_VFNMSUB213PS = 854
-X86_INS_VFNMSUBPD = 855
-X86_INS_VFNMSUB231PD = 856
-X86_INS_VFNMSUBPS = 857
-X86_INS_VFNMSUB231PS = 858
-X86_INS_VFNMSUBSD = 859
-X86_INS_VFNMSUB213SD = 860
-X86_INS_VFNMSUB132SD = 861
-X86_INS_VFNMSUB231SD = 862
-X86_INS_VFNMSUBSS = 863
-X86_INS_VFNMSUB213SS = 864
-X86_INS_VFNMSUB132SS = 865
-X86_INS_VFNMSUB231SS = 866
-X86_INS_VFRCZPD = 867
-X86_INS_VFRCZPS = 868
-X86_INS_VFRCZSD = 869
-X86_INS_VFRCZSS = 870
-X86_INS_VORPD = 871
-X86_INS_VORPS = 872
-X86_INS_VXORPD = 873
-X86_INS_VXORPS = 874
-X86_INS_VGATHERDPD = 875
-X86_INS_VGATHERDPS = 876
-X86_INS_VGATHERPF0DPD = 877
-X86_INS_VGATHERPF0DPS = 878
-X86_INS_VGATHERPF0QPD = 879
-X86_INS_VGATHERPF0QPS = 880
-X86_INS_VGATHERPF1DPD = 881
-X86_INS_VGATHERPF1DPS = 882
-X86_INS_VGATHERPF1QPD = 883
-X86_INS_VGATHERPF1QPS = 884
-X86_INS_VGATHERQPD = 885
-X86_INS_VGATHERQPS = 886
-X86_INS_VHADDPD = 887
-X86_INS_VHADDPS = 888
-X86_INS_VHSUBPD = 889
-X86_INS_VHSUBPS = 890
-X86_INS_VINSERTF128 = 891
-X86_INS_VINSERTF32X4 = 892
-X86_INS_VINSERTF64X4 = 893
-X86_INS_VINSERTI128 = 894
-X86_INS_VINSERTI32X4 = 895
-X86_INS_VINSERTI64X4 = 896
-X86_INS_VINSERTPS = 897
-X86_INS_VLDDQU = 898
-X86_INS_VLDMXCSR = 899
-X86_INS_VMASKMOVDQU = 900
-X86_INS_VMASKMOVPD = 901
-X86_INS_VMASKMOVPS = 902
-X86_INS_VMAXPD = 903
-X86_INS_VMAXPS = 904
-X86_INS_VMAXSD = 905
-X86_INS_VMAXSS = 906
-X86_INS_VMCALL = 907
-X86_INS_VMCLEAR = 908
-X86_INS_VMFUNC = 909
-X86_INS_VMINPD = 910
-X86_INS_VMINPS = 911
-X86_INS_VMINSD = 912
-X86_INS_VMINSS = 913
-X86_INS_VMLAUNCH = 914
-X86_INS_VMLOAD = 915
-X86_INS_VMMCALL = 916
-X86_INS_VMOVQ = 917
-X86_INS_VMOVDDUP = 918
-X86_INS_VMOVD = 919
-X86_INS_VMOVDQA32 = 920
-X86_INS_VMOVDQA64 = 921
-X86_INS_VMOVDQA = 922
-X86_INS_VMOVDQU16 = 923
-X86_INS_VMOVDQU32 = 924
-X86_INS_VMOVDQU64 = 925
-X86_INS_VMOVDQU8 = 926
-X86_INS_VMOVDQU = 927
-X86_INS_VMOVHLPS = 928
-X86_INS_VMOVHPD = 929
-X86_INS_VMOVHPS = 930
-X86_INS_VMOVLHPS = 931
-X86_INS_VMOVLPD = 932
-X86_INS_VMOVLPS = 933
-X86_INS_VMOVMSKPD = 934
-X86_INS_VMOVMSKPS = 935
-X86_INS_VMOVNTDQA = 936
-X86_INS_VMOVNTDQ = 937
-X86_INS_VMOVNTPD = 938
-X86_INS_VMOVNTPS = 939
-X86_INS_VMOVSD = 940
-X86_INS_VMOVSHDUP = 941
-X86_INS_VMOVSLDUP = 942
-X86_INS_VMOVSS = 943
-X86_INS_VMOVUPD = 944
-X86_INS_VMOVUPS = 945
-X86_INS_VMPSADBW = 946
-X86_INS_VMPTRLD = 947
-X86_INS_VMPTRST = 948
-X86_INS_VMREAD = 949
-X86_INS_VMRESUME = 950
-X86_INS_VMRUN = 951
-X86_INS_VMSAVE = 952
-X86_INS_VMULPD = 953
-X86_INS_VMULPS = 954
-X86_INS_VMULSD = 955
-X86_INS_VMULSS = 956
-X86_INS_VMWRITE = 957
-X86_INS_VMXOFF = 958
-X86_INS_VMXON = 959
-X86_INS_VPABSB = 960
-X86_INS_VPABSD = 961
-X86_INS_VPABSQ = 962
-X86_INS_VPABSW = 963
-X86_INS_VPACKSSDW = 964
-X86_INS_VPACKSSWB = 965
-X86_INS_VPACKUSDW = 966
-X86_INS_VPACKUSWB = 967
-X86_INS_VPADDB = 968
-X86_INS_VPADDD = 969
-X86_INS_VPADDQ = 970
-X86_INS_VPADDSB = 971
-X86_INS_VPADDSW = 972
-X86_INS_VPADDUSB = 973
-X86_INS_VPADDUSW = 974
-X86_INS_VPADDW = 975
-X86_INS_VPALIGNR = 976
-X86_INS_VPANDD = 977
-X86_INS_VPANDND = 978
-X86_INS_VPANDNQ = 979
-X86_INS_VPANDN = 980
-X86_INS_VPANDQ = 981
-X86_INS_VPAND = 982
-X86_INS_VPAVGB = 983
-X86_INS_VPAVGW = 984
-X86_INS_VPBLENDD = 985
-X86_INS_VPBLENDMD = 986
-X86_INS_VPBLENDMQ = 987
-X86_INS_VPBLENDVB = 988
-X86_INS_VPBLENDW = 989
-X86_INS_VPBROADCASTB = 990
-X86_INS_VPBROADCASTD = 991
-X86_INS_VPBROADCASTMB2Q = 992
-X86_INS_VPBROADCASTMW2D = 993
-X86_INS_VPBROADCASTQ = 994
-X86_INS_VPBROADCASTW = 995
-X86_INS_VPCLMULQDQ = 996
-X86_INS_VPCMOV = 997
-X86_INS_VPCMP = 998
-X86_INS_VPCMPD = 999
-X86_INS_VPCMPEQB = 1000
-X86_INS_VPCMPEQD = 1001
-X86_INS_VPCMPEQQ = 1002
-X86_INS_VPCMPEQW = 1003
-X86_INS_VPCMPESTRI = 1004
-X86_INS_VPCMPESTRM = 1005
-X86_INS_VPCMPGTB = 1006
-X86_INS_VPCMPGTD = 1007
-X86_INS_VPCMPGTQ = 1008
-X86_INS_VPCMPGTW = 1009
-X86_INS_VPCMPISTRI = 1010
-X86_INS_VPCMPISTRM = 1011
-X86_INS_VPCMPQ = 1012
-X86_INS_VPCMPUD = 1013
-X86_INS_VPCMPUQ = 1014
-X86_INS_VPCOMB = 1015
-X86_INS_VPCOMD = 1016
-X86_INS_VPCOMQ = 1017
-X86_INS_VPCOMUB = 1018
-X86_INS_VPCOMUD = 1019
-X86_INS_VPCOMUQ = 1020
-X86_INS_VPCOMUW = 1021
-X86_INS_VPCOMW = 1022
-X86_INS_VPCONFLICTD = 1023
-X86_INS_VPCONFLICTQ = 1024
-X86_INS_VPERM2F128 = 1025
-X86_INS_VPERM2I128 = 1026
-X86_INS_VPERMD = 1027
-X86_INS_VPERMI2D = 1028
-X86_INS_VPERMI2PD = 1029
-X86_INS_VPERMI2PS = 1030
-X86_INS_VPERMI2Q = 1031
-X86_INS_VPERMIL2PD = 1032
-X86_INS_VPERMIL2PS = 1033
-X86_INS_VPERMILPD = 1034
-X86_INS_VPERMILPS = 1035
-X86_INS_VPERMPD = 1036
-X86_INS_VPERMPS = 1037
-X86_INS_VPERMQ = 1038
-X86_INS_VPERMT2D = 1039
-X86_INS_VPERMT2PD = 1040
-X86_INS_VPERMT2PS = 1041
-X86_INS_VPERMT2Q = 1042
-X86_INS_VPEXTRB = 1043
-X86_INS_VPEXTRD = 1044
-X86_INS_VPEXTRQ = 1045
-X86_INS_VPEXTRW = 1046
-X86_INS_VPGATHERDD = 1047
-X86_INS_VPGATHERDQ = 1048
-X86_INS_VPGATHERQD = 1049
-X86_INS_VPGATHERQQ = 1050
-X86_INS_VPHADDBD = 1051
-X86_INS_VPHADDBQ = 1052
-X86_INS_VPHADDBW = 1053
-X86_INS_VPHADDDQ = 1054
-X86_INS_VPHADDD = 1055
-X86_INS_VPHADDSW = 1056
-X86_INS_VPHADDUBD = 1057
-X86_INS_VPHADDUBQ = 1058
-X86_INS_VPHADDUBW = 1059
-X86_INS_VPHADDUDQ = 1060
-X86_INS_VPHADDUWD = 1061
-X86_INS_VPHADDUWQ = 1062
-X86_INS_VPHADDWD = 1063
-X86_INS_VPHADDWQ = 1064
-X86_INS_VPHADDW = 1065
-X86_INS_VPHMINPOSUW = 1066
-X86_INS_VPHSUBBW = 1067
-X86_INS_VPHSUBDQ = 1068
-X86_INS_VPHSUBD = 1069
-X86_INS_VPHSUBSW = 1070
-X86_INS_VPHSUBWD = 1071
-X86_INS_VPHSUBW = 1072
-X86_INS_VPINSRB = 1073
-X86_INS_VPINSRD = 1074
-X86_INS_VPINSRQ = 1075
-X86_INS_VPINSRW = 1076
-X86_INS_VPLZCNTD = 1077
-X86_INS_VPLZCNTQ = 1078
-X86_INS_VPMACSDD = 1079
-X86_INS_VPMACSDQH = 1080
-X86_INS_VPMACSDQL = 1081
-X86_INS_VPMACSSDD = 1082
-X86_INS_VPMACSSDQH = 1083
-X86_INS_VPMACSSDQL = 1084
-X86_INS_VPMACSSWD = 1085
-X86_INS_VPMACSSWW = 1086
-X86_INS_VPMACSWD = 1087
-X86_INS_VPMACSWW = 1088
-X86_INS_VPMADCSSWD = 1089
-X86_INS_VPMADCSWD = 1090
-X86_INS_VPMADDUBSW = 1091
-X86_INS_VPMADDWD = 1092
-X86_INS_VPMASKMOVD = 1093
-X86_INS_VPMASKMOVQ = 1094
-X86_INS_VPMAXSB = 1095
-X86_INS_VPMAXSD = 1096
-X86_INS_VPMAXSQ = 1097
-X86_INS_VPMAXSW = 1098
-X86_INS_VPMAXUB = 1099
-X86_INS_VPMAXUD = 1100
-X86_INS_VPMAXUQ = 1101
-X86_INS_VPMAXUW = 1102
-X86_INS_VPMINSB = 1103
-X86_INS_VPMINSD = 1104
-X86_INS_VPMINSQ = 1105
-X86_INS_VPMINSW = 1106
-X86_INS_VPMINUB = 1107
-X86_INS_VPMINUD = 1108
-X86_INS_VPMINUQ = 1109
-X86_INS_VPMINUW = 1110
-X86_INS_VPMOVDB = 1111
-X86_INS_VPMOVDW = 1112
-X86_INS_VPMOVMSKB = 1113
-X86_INS_VPMOVQB = 1114
-X86_INS_VPMOVQD = 1115
-X86_INS_VPMOVQW = 1116
-X86_INS_VPMOVSDB = 1117
-X86_INS_VPMOVSDW = 1118
-X86_INS_VPMOVSQB = 1119
-X86_INS_VPMOVSQD = 1120
-X86_INS_VPMOVSQW = 1121
-X86_INS_VPMOVSXBD = 1122
-X86_INS_VPMOVSXBQ = 1123
-X86_INS_VPMOVSXBW = 1124
-X86_INS_VPMOVSXDQ = 1125
-X86_INS_VPMOVSXWD = 1126
-X86_INS_VPMOVSXWQ = 1127
-X86_INS_VPMOVUSDB = 1128
-X86_INS_VPMOVUSDW = 1129
-X86_INS_VPMOVUSQB = 1130
-X86_INS_VPMOVUSQD = 1131
-X86_INS_VPMOVUSQW = 1132
-X86_INS_VPMOVZXBD = 1133
-X86_INS_VPMOVZXBQ = 1134
-X86_INS_VPMOVZXBW = 1135
-X86_INS_VPMOVZXDQ = 1136
-X86_INS_VPMOVZXWD = 1137
-X86_INS_VPMOVZXWQ = 1138
-X86_INS_VPMULDQ = 1139
-X86_INS_VPMULHRSW = 1140
-X86_INS_VPMULHUW = 1141
-X86_INS_VPMULHW = 1142
-X86_INS_VPMULLD = 1143
-X86_INS_VPMULLW = 1144
-X86_INS_VPMULUDQ = 1145
-X86_INS_VPORD = 1146
-X86_INS_VPORQ = 1147
-X86_INS_VPOR = 1148
-X86_INS_VPPERM = 1149
-X86_INS_VPROTB = 1150
-X86_INS_VPROTD = 1151
-X86_INS_VPROTQ = 1152
-X86_INS_VPROTW = 1153
-X86_INS_VPSADBW = 1154
-X86_INS_VPSCATTERDD = 1155
-X86_INS_VPSCATTERDQ = 1156
-X86_INS_VPSCATTERQD = 1157
-X86_INS_VPSCATTERQQ = 1158
-X86_INS_VPSHAB = 1159
-X86_INS_VPSHAD = 1160
-X86_INS_VPSHAQ = 1161
-X86_INS_VPSHAW = 1162
-X86_INS_VPSHLB = 1163
-X86_INS_VPSHLD = 1164
-X86_INS_VPSHLQ = 1165
-X86_INS_VPSHLW = 1166
-X86_INS_VPSHUFB = 1167
-X86_INS_VPSHUFD = 1168
-X86_INS_VPSHUFHW = 1169
-X86_INS_VPSHUFLW = 1170
-X86_INS_VPSIGNB = 1171
-X86_INS_VPSIGND = 1172
-X86_INS_VPSIGNW = 1173
-X86_INS_VPSLLDQ = 1174
-X86_INS_VPSLLD = 1175
-X86_INS_VPSLLQ = 1176
-X86_INS_VPSLLVD = 1177
-X86_INS_VPSLLVQ = 1178
-X86_INS_VPSLLW = 1179
-X86_INS_VPSRAD = 1180
-X86_INS_VPSRAQ = 1181
-X86_INS_VPSRAVD = 1182
-X86_INS_VPSRAVQ = 1183
-X86_INS_VPSRAW = 1184
-X86_INS_VPSRLDQ = 1185
-X86_INS_VPSRLD = 1186
-X86_INS_VPSRLQ = 1187
-X86_INS_VPSRLVD = 1188
-X86_INS_VPSRLVQ = 1189
-X86_INS_VPSRLW = 1190
-X86_INS_VPSUBB = 1191
-X86_INS_VPSUBD = 1192
-X86_INS_VPSUBQ = 1193
-X86_INS_VPSUBSB = 1194
-X86_INS_VPSUBSW = 1195
-X86_INS_VPSUBUSB = 1196
-X86_INS_VPSUBUSW = 1197
-X86_INS_VPSUBW = 1198
-X86_INS_VPTESTMD = 1199
-X86_INS_VPTESTMQ = 1200
-X86_INS_VPTESTNMD = 1201
-X86_INS_VPTESTNMQ = 1202
-X86_INS_VPTEST = 1203
-X86_INS_VPUNPCKHBW = 1204
-X86_INS_VPUNPCKHDQ = 1205
-X86_INS_VPUNPCKHQDQ = 1206
-X86_INS_VPUNPCKHWD = 1207
-X86_INS_VPUNPCKLBW = 1208
-X86_INS_VPUNPCKLDQ = 1209
-X86_INS_VPUNPCKLQDQ = 1210
-X86_INS_VPUNPCKLWD = 1211
-X86_INS_VPXORD = 1212
-X86_INS_VPXORQ = 1213
-X86_INS_VPXOR = 1214
-X86_INS_VRCP14PD = 1215
-X86_INS_VRCP14PS = 1216
-X86_INS_VRCP14SD = 1217
-X86_INS_VRCP14SS = 1218
-X86_INS_VRCP28PD = 1219
-X86_INS_VRCP28PS = 1220
-X86_INS_VRCP28SD = 1221
-X86_INS_VRCP28SS = 1222
-X86_INS_VRCPPS = 1223
-X86_INS_VRCPSS = 1224
-X86_INS_VRNDSCALEPD = 1225
-X86_INS_VRNDSCALEPS = 1226
-X86_INS_VRNDSCALESD = 1227
-X86_INS_VRNDSCALESS = 1228
-X86_INS_VROUNDPD = 1229
-X86_INS_VROUNDPS = 1230
-X86_INS_VROUNDSD = 1231
-X86_INS_VROUNDSS = 1232
-X86_INS_VRSQRT14PD = 1233
-X86_INS_VRSQRT14PS = 1234
-X86_INS_VRSQRT14SD = 1235
-X86_INS_VRSQRT14SS = 1236
-X86_INS_VRSQRT28PD = 1237
-X86_INS_VRSQRT28PS = 1238
-X86_INS_VRSQRT28SD = 1239
-X86_INS_VRSQRT28SS = 1240
-X86_INS_VRSQRTPS = 1241
-X86_INS_VRSQRTSS = 1242
-X86_INS_VSCATTERDPD = 1243
-X86_INS_VSCATTERDPS = 1244
-X86_INS_VSCATTERPF0DPD = 1245
-X86_INS_VSCATTERPF0DPS = 1246
-X86_INS_VSCATTERPF0QPD = 1247
-X86_INS_VSCATTERPF0QPS = 1248
-X86_INS_VSCATTERPF1DPD = 1249
-X86_INS_VSCATTERPF1DPS = 1250
-X86_INS_VSCATTERPF1QPD = 1251
-X86_INS_VSCATTERPF1QPS = 1252
-X86_INS_VSCATTERQPD = 1253
-X86_INS_VSCATTERQPS = 1254
-X86_INS_VSHUFPD = 1255
-X86_INS_VSHUFPS = 1256
-X86_INS_VSQRTPD = 1257
-X86_INS_VSQRTPS = 1258
-X86_INS_VSQRTSD = 1259
-X86_INS_VSQRTSS = 1260
-X86_INS_VSTMXCSR = 1261
-X86_INS_VSUBPD = 1262
-X86_INS_VSUBPS = 1263
-X86_INS_VSUBSD = 1264
-X86_INS_VSUBSS = 1265
-X86_INS_VTESTPD = 1266
-X86_INS_VTESTPS = 1267
-X86_INS_VUNPCKHPD = 1268
-X86_INS_VUNPCKHPS = 1269
-X86_INS_VUNPCKLPD = 1270
-X86_INS_VUNPCKLPS = 1271
-X86_INS_VZEROALL = 1272
-X86_INS_VZEROUPPER = 1273
-X86_INS_WAIT = 1274
-X86_INS_WBINVD = 1275
-X86_INS_WRFSBASE = 1276
-X86_INS_WRGSBASE = 1277
-X86_INS_WRMSR = 1278
-X86_INS_XABORT = 1279
-X86_INS_XACQUIRE = 1280
-X86_INS_XBEGIN = 1281
-X86_INS_XCHG = 1282
-X86_INS_FXCH = 1283
-X86_INS_XCRYPTCBC = 1284
-X86_INS_XCRYPTCFB = 1285
-X86_INS_XCRYPTCTR = 1286
-X86_INS_XCRYPTECB = 1287
-X86_INS_XCRYPTOFB = 1288
-X86_INS_XEND = 1289
-X86_INS_XGETBV = 1290
-X86_INS_XLATB = 1291
-X86_INS_XRELEASE = 1292
-X86_INS_XRSTOR = 1293
-X86_INS_XRSTOR64 = 1294
-X86_INS_XSAVE = 1295
-X86_INS_XSAVE64 = 1296
-X86_INS_XSAVEOPT = 1297
-X86_INS_XSAVEOPT64 = 1298
-X86_INS_XSETBV = 1299
-X86_INS_XSHA1 = 1300
-X86_INS_XSHA256 = 1301
-X86_INS_XSTORE = 1302
-X86_INS_XTEST = 1303
-X86_INS_MAX = 1304
+X86_INS_CVTDQ2PD = 114
+X86_INS_CVTDQ2PS = 115
+X86_INS_CVTPD2DQ = 116
+X86_INS_CVTPD2PS = 117
+X86_INS_CVTPS2DQ = 118
+X86_INS_CVTPS2PD = 119
+X86_INS_CVTSD2SI = 120
+X86_INS_CVTSD2SS = 121
+X86_INS_CVTSI2SD = 122
+X86_INS_CVTSI2SS = 123
+X86_INS_CVTSS2SD = 124
+X86_INS_CVTSS2SI = 125
+X86_INS_CVTTPD2DQ = 126
+X86_INS_CVTTPS2DQ = 127
+X86_INS_CVTTSD2SI = 128
+X86_INS_CVTTSS2SI = 129
+X86_INS_CWD = 130
+X86_INS_CWDE = 131
+X86_INS_DAA = 132
+X86_INS_DAS = 133
+X86_INS_DATA16 = 134
+X86_INS_DEC = 135
+X86_INS_DIV = 136
+X86_INS_DIVPD = 137
+X86_INS_DIVPS = 138
+X86_INS_FDIVR = 139
+X86_INS_FIDIVR = 140
+X86_INS_FDIVRP = 141
+X86_INS_DIVSD = 142
+X86_INS_DIVSS = 143
+X86_INS_FDIV = 144
+X86_INS_FIDIV = 145
+X86_INS_FDIVP = 146
+X86_INS_DPPD = 147
+X86_INS_DPPS = 148
+X86_INS_RET = 149
+X86_INS_ENCLS = 150
+X86_INS_ENCLU = 151
+X86_INS_ENTER = 152
+X86_INS_EXTRACTPS = 153
+X86_INS_EXTRQ = 154
+X86_INS_F2XM1 = 155
+X86_INS_LCALL = 156
+X86_INS_LJMP = 157
+X86_INS_FBLD = 158
+X86_INS_FBSTP = 159
+X86_INS_FCOMPP = 160
+X86_INS_FDECSTP = 161
+X86_INS_FEMMS = 162
+X86_INS_FFREE = 163
+X86_INS_FICOM = 164
+X86_INS_FICOMP = 165
+X86_INS_FINCSTP = 166
+X86_INS_FLDCW = 167
+X86_INS_FLDENV = 168
+X86_INS_FLDL2E = 169
+X86_INS_FLDL2T = 170
+X86_INS_FLDLG2 = 171
+X86_INS_FLDLN2 = 172
+X86_INS_FLDPI = 173
+X86_INS_FNCLEX = 174
+X86_INS_FNINIT = 175
+X86_INS_FNOP = 176
+X86_INS_FNSTCW = 177
+X86_INS_FNSTSW = 178
+X86_INS_FPATAN = 179
+X86_INS_FPREM = 180
+X86_INS_FPREM1 = 181
+X86_INS_FPTAN = 182
+X86_INS_FRNDINT = 183
+X86_INS_FRSTOR = 184
+X86_INS_FNSAVE = 185
+X86_INS_FSCALE = 186
+X86_INS_FSETPM = 187
+X86_INS_FSINCOS = 188
+X86_INS_FNSTENV = 189
+X86_INS_FXAM = 190
+X86_INS_FXRSTOR = 191
+X86_INS_FXRSTOR64 = 192
+X86_INS_FXSAVE = 193
+X86_INS_FXSAVE64 = 194
+X86_INS_FXTRACT = 195
+X86_INS_FYL2X = 196
+X86_INS_FYL2XP1 = 197
+X86_INS_MOVAPD = 198
+X86_INS_MOVAPS = 199
+X86_INS_ORPD = 200
+X86_INS_ORPS = 201
+X86_INS_VMOVAPD = 202
+X86_INS_VMOVAPS = 203
+X86_INS_XORPD = 204
+X86_INS_XORPS = 205
+X86_INS_GETSEC = 206
+X86_INS_HADDPD = 207
+X86_INS_HADDPS = 208
+X86_INS_HLT = 209
+X86_INS_HSUBPD = 210
+X86_INS_HSUBPS = 211
+X86_INS_IDIV = 212
+X86_INS_FILD = 213
+X86_INS_IMUL = 214
+X86_INS_IN = 215
+X86_INS_INC = 216
+X86_INS_INSB = 217
+X86_INS_INSERTPS = 218
+X86_INS_INSERTQ = 219
+X86_INS_INSD = 220
+X86_INS_INSW = 221
+X86_INS_INT = 222
+X86_INS_INT1 = 223
+X86_INS_INT3 = 224
+X86_INS_INTO = 225
+X86_INS_INVD = 226
+X86_INS_INVEPT = 227
+X86_INS_INVLPG = 228
+X86_INS_INVLPGA = 229
+X86_INS_INVPCID = 230
+X86_INS_INVVPID = 231
+X86_INS_IRET = 232
+X86_INS_IRETD = 233
+X86_INS_IRETQ = 234
+X86_INS_FISTTP = 235
+X86_INS_FIST = 236
+X86_INS_FISTP = 237
+X86_INS_UCOMISD = 238
+X86_INS_UCOMISS = 239
+X86_INS_VCMP = 240
+X86_INS_VCOMISD = 241
+X86_INS_VCOMISS = 242
+X86_INS_VCVTSD2SS = 243
+X86_INS_VCVTSI2SD = 244
+X86_INS_VCVTSI2SS = 245
+X86_INS_VCVTSS2SD = 246
+X86_INS_VCVTTSD2SI = 247
+X86_INS_VCVTTSD2USI = 248
+X86_INS_VCVTTSS2SI = 249
+X86_INS_VCVTTSS2USI = 250
+X86_INS_VCVTUSI2SD = 251
+X86_INS_VCVTUSI2SS = 252
+X86_INS_VUCOMISD = 253
+X86_INS_VUCOMISS = 254
+X86_INS_JAE = 255
+X86_INS_JA = 256
+X86_INS_JBE = 257
+X86_INS_JB = 258
+X86_INS_JCXZ = 259
+X86_INS_JECXZ = 260
+X86_INS_JE = 261
+X86_INS_JGE = 262
+X86_INS_JG = 263
+X86_INS_JLE = 264
+X86_INS_JL = 265
+X86_INS_JMP = 266
+X86_INS_JNE = 267
+X86_INS_JNO = 268
+X86_INS_JNP = 269
+X86_INS_JNS = 270
+X86_INS_JO = 271
+X86_INS_JP = 272
+X86_INS_JRCXZ = 273
+X86_INS_JS = 274
+X86_INS_KANDB = 275
+X86_INS_KANDD = 276
+X86_INS_KANDNB = 277
+X86_INS_KANDND = 278
+X86_INS_KANDNQ = 279
+X86_INS_KANDNW = 280
+X86_INS_KANDQ = 281
+X86_INS_KANDW = 282
+X86_INS_KMOVB = 283
+X86_INS_KMOVD = 284
+X86_INS_KMOVQ = 285
+X86_INS_KMOVW = 286
+X86_INS_KNOTB = 287
+X86_INS_KNOTD = 288
+X86_INS_KNOTQ = 289
+X86_INS_KNOTW = 290
+X86_INS_KORB = 291
+X86_INS_KORD = 292
+X86_INS_KORQ = 293
+X86_INS_KORTESTW = 294
+X86_INS_KORW = 295
+X86_INS_KSHIFTLW = 296
+X86_INS_KSHIFTRW = 297
+X86_INS_KUNPCKBW = 298
+X86_INS_KXNORB = 299
+X86_INS_KXNORD = 300
+X86_INS_KXNORQ = 301
+X86_INS_KXNORW = 302
+X86_INS_KXORB = 303
+X86_INS_KXORD = 304
+X86_INS_KXORQ = 305
+X86_INS_KXORW = 306
+X86_INS_LAHF = 307
+X86_INS_LAR = 308
+X86_INS_LDDQU = 309
+X86_INS_LDMXCSR = 310
+X86_INS_LDS = 311
+X86_INS_FLDZ = 312
+X86_INS_FLD1 = 313
+X86_INS_FLD = 314
+X86_INS_LEA = 315
+X86_INS_LEAVE = 316
+X86_INS_LES = 317
+X86_INS_LFENCE = 318
+X86_INS_LFS = 319
+X86_INS_LGDT = 320
+X86_INS_LGS = 321
+X86_INS_LIDT = 322
+X86_INS_LLDT = 323
+X86_INS_LMSW = 324
+X86_INS_OR = 325
+X86_INS_LOCK = 326
+X86_INS_SUB = 327
+X86_INS_XOR = 328
+X86_INS_LODSB = 329
+X86_INS_LODSD = 330
+X86_INS_LODSQ = 331
+X86_INS_LODSW = 332
+X86_INS_LOOP = 333
+X86_INS_LOOPE = 334
+X86_INS_LOOPNE = 335
+X86_INS_RETF = 336
+X86_INS_RETFQ = 337
+X86_INS_LSL = 338
+X86_INS_LSS = 339
+X86_INS_LTR = 340
+X86_INS_XADD = 341
+X86_INS_LZCNT = 342
+X86_INS_MASKMOVDQU = 343
+X86_INS_MAXPD = 344
+X86_INS_MAXPS = 345
+X86_INS_MAXSD = 346
+X86_INS_MAXSS = 347
+X86_INS_MFENCE = 348
+X86_INS_MINPD = 349
+X86_INS_MINPS = 350
+X86_INS_MINSD = 351
+X86_INS_MINSS = 352
+X86_INS_CVTPD2PI = 353
+X86_INS_CVTPI2PD = 354
+X86_INS_CVTPI2PS = 355
+X86_INS_CVTPS2PI = 356
+X86_INS_CVTTPD2PI = 357
+X86_INS_CVTTPS2PI = 358
+X86_INS_EMMS = 359
+X86_INS_MASKMOVQ = 360
+X86_INS_MOVD = 361
+X86_INS_MOVDQ2Q = 362
+X86_INS_MOVNTQ = 363
+X86_INS_MOVQ2DQ = 364
+X86_INS_MOVQ = 365
+X86_INS_PABSB = 366
+X86_INS_PABSD = 367
+X86_INS_PABSW = 368
+X86_INS_PACKSSDW = 369
+X86_INS_PACKSSWB = 370
+X86_INS_PACKUSWB = 371
+X86_INS_PADDB = 372
+X86_INS_PADDD = 373
+X86_INS_PADDQ = 374
+X86_INS_PADDSB = 375
+X86_INS_PADDSW = 376
+X86_INS_PADDUSB = 377
+X86_INS_PADDUSW = 378
+X86_INS_PADDW = 379
+X86_INS_PALIGNR = 380
+X86_INS_PANDN = 381
+X86_INS_PAND = 382
+X86_INS_PAVGB = 383
+X86_INS_PAVGW = 384
+X86_INS_PCMPEQB = 385
+X86_INS_PCMPEQD = 386
+X86_INS_PCMPEQW = 387
+X86_INS_PCMPGTB = 388
+X86_INS_PCMPGTD = 389
+X86_INS_PCMPGTW = 390
+X86_INS_PEXTRW = 391
+X86_INS_PHADDSW = 392
+X86_INS_PHADDW = 393
+X86_INS_PHADDD = 394
+X86_INS_PHSUBD = 395
+X86_INS_PHSUBSW = 396
+X86_INS_PHSUBW = 397
+X86_INS_PINSRW = 398
+X86_INS_PMADDUBSW = 399
+X86_INS_PMADDWD = 400
+X86_INS_PMAXSW = 401
+X86_INS_PMAXUB = 402
+X86_INS_PMINSW = 403
+X86_INS_PMINUB = 404
+X86_INS_PMOVMSKB = 405
+X86_INS_PMULHRSW = 406
+X86_INS_PMULHUW = 407
+X86_INS_PMULHW = 408
+X86_INS_PMULLW = 409
+X86_INS_PMULUDQ = 410
+X86_INS_POR = 411
+X86_INS_PSADBW = 412
+X86_INS_PSHUFB = 413
+X86_INS_PSHUFW = 414
+X86_INS_PSIGNB = 415
+X86_INS_PSIGND = 416
+X86_INS_PSIGNW = 417
+X86_INS_PSLLD = 418
+X86_INS_PSLLQ = 419
+X86_INS_PSLLW = 420
+X86_INS_PSRAD = 421
+X86_INS_PSRAW = 422
+X86_INS_PSRLD = 423
+X86_INS_PSRLQ = 424
+X86_INS_PSRLW = 425
+X86_INS_PSUBB = 426
+X86_INS_PSUBD = 427
+X86_INS_PSUBQ = 428
+X86_INS_PSUBSB = 429
+X86_INS_PSUBSW = 430
+X86_INS_PSUBUSB = 431
+X86_INS_PSUBUSW = 432
+X86_INS_PSUBW = 433
+X86_INS_PUNPCKHBW = 434
+X86_INS_PUNPCKHDQ = 435
+X86_INS_PUNPCKHWD = 436
+X86_INS_PUNPCKLBW = 437
+X86_INS_PUNPCKLDQ = 438
+X86_INS_PUNPCKLWD = 439
+X86_INS_PXOR = 440
+X86_INS_MONITOR = 441
+X86_INS_MONTMUL = 442
+X86_INS_MOV = 443
+X86_INS_MOVABS = 444
+X86_INS_MOVBE = 445
+X86_INS_MOVDDUP = 446
+X86_INS_MOVDQA = 447
+X86_INS_MOVDQU = 448
+X86_INS_MOVHLPS = 449
+X86_INS_MOVHPD = 450
+X86_INS_MOVHPS = 451
+X86_INS_MOVLHPS = 452
+X86_INS_MOVLPD = 453
+X86_INS_MOVLPS = 454
+X86_INS_MOVMSKPD = 455
+X86_INS_MOVMSKPS = 456
+X86_INS_MOVNTDQA = 457
+X86_INS_MOVNTDQ = 458
+X86_INS_MOVNTI = 459
+X86_INS_MOVNTPD = 460
+X86_INS_MOVNTPS = 461
+X86_INS_MOVNTSD = 462
+X86_INS_MOVNTSS = 463
+X86_INS_MOVSB = 464
+X86_INS_MOVSD = 465
+X86_INS_MOVSHDUP = 466
+X86_INS_MOVSLDUP = 467
+X86_INS_MOVSQ = 468
+X86_INS_MOVSS = 469
+X86_INS_MOVSW = 470
+X86_INS_MOVSX = 471
+X86_INS_MOVSXD = 472
+X86_INS_MOVUPD = 473
+X86_INS_MOVUPS = 474
+X86_INS_MOVZX = 475
+X86_INS_MPSADBW = 476
+X86_INS_MUL = 477
+X86_INS_MULPD = 478
+X86_INS_MULPS = 479
+X86_INS_MULSD = 480
+X86_INS_MULSS = 481
+X86_INS_MULX = 482
+X86_INS_FMUL = 483
+X86_INS_FIMUL = 484
+X86_INS_FMULP = 485
+X86_INS_MWAIT = 486
+X86_INS_NEG = 487
+X86_INS_NOP = 488
+X86_INS_NOT = 489
+X86_INS_OUT = 490
+X86_INS_OUTSB = 491
+X86_INS_OUTSD = 492
+X86_INS_OUTSW = 493
+X86_INS_PACKUSDW = 494
+X86_INS_PAUSE = 495
+X86_INS_PAVGUSB = 496
+X86_INS_PBLENDVB = 497
+X86_INS_PBLENDW = 498
+X86_INS_PCLMULQDQ = 499
+X86_INS_PCMPEQQ = 500
+X86_INS_PCMPESTRI = 501
+X86_INS_PCMPESTRM = 502
+X86_INS_PCMPGTQ = 503
+X86_INS_PCMPISTRI = 504
+X86_INS_PCMPISTRM = 505
+X86_INS_PDEP = 506
+X86_INS_PEXT = 507
+X86_INS_PEXTRB = 508
+X86_INS_PEXTRD = 509
+X86_INS_PEXTRQ = 510
+X86_INS_PF2ID = 511
+X86_INS_PF2IW = 512
+X86_INS_PFACC = 513
+X86_INS_PFADD = 514
+X86_INS_PFCMPEQ = 515
+X86_INS_PFCMPGE = 516
+X86_INS_PFCMPGT = 517
+X86_INS_PFMAX = 518
+X86_INS_PFMIN = 519
+X86_INS_PFMUL = 520
+X86_INS_PFNACC = 521
+X86_INS_PFPNACC = 522
+X86_INS_PFRCPIT1 = 523
+X86_INS_PFRCPIT2 = 524
+X86_INS_PFRCP = 525
+X86_INS_PFRSQIT1 = 526
+X86_INS_PFRSQRT = 527
+X86_INS_PFSUBR = 528
+X86_INS_PFSUB = 529
+X86_INS_PHMINPOSUW = 530
+X86_INS_PI2FD = 531
+X86_INS_PI2FW = 532
+X86_INS_PINSRB = 533
+X86_INS_PINSRD = 534
+X86_INS_PINSRQ = 535
+X86_INS_PMAXSB = 536
+X86_INS_PMAXSD = 537
+X86_INS_PMAXUD = 538
+X86_INS_PMAXUW = 539
+X86_INS_PMINSB = 540
+X86_INS_PMINSD = 541
+X86_INS_PMINUD = 542
+X86_INS_PMINUW = 543
+X86_INS_PMOVSXBD = 544
+X86_INS_PMOVSXBQ = 545
+X86_INS_PMOVSXBW = 546
+X86_INS_PMOVSXDQ = 547
+X86_INS_PMOVSXWD = 548
+X86_INS_PMOVSXWQ = 549
+X86_INS_PMOVZXBD = 550
+X86_INS_PMOVZXBQ = 551
+X86_INS_PMOVZXBW = 552
+X86_INS_PMOVZXDQ = 553
+X86_INS_PMOVZXWD = 554
+X86_INS_PMOVZXWQ = 555
+X86_INS_PMULDQ = 556
+X86_INS_PMULHRW = 557
+X86_INS_PMULLD = 558
+X86_INS_POP = 559
+X86_INS_POPAW = 560
+X86_INS_POPAL = 561
+X86_INS_POPCNT = 562
+X86_INS_POPF = 563
+X86_INS_POPFD = 564
+X86_INS_POPFQ = 565
+X86_INS_PREFETCH = 566
+X86_INS_PREFETCHNTA = 567
+X86_INS_PREFETCHT0 = 568
+X86_INS_PREFETCHT1 = 569
+X86_INS_PREFETCHT2 = 570
+X86_INS_PREFETCHW = 571
+X86_INS_PSHUFD = 572
+X86_INS_PSHUFHW = 573
+X86_INS_PSHUFLW = 574
+X86_INS_PSLLDQ = 575
+X86_INS_PSRLDQ = 576
+X86_INS_PSWAPD = 577
+X86_INS_PTEST = 578
+X86_INS_PUNPCKHQDQ = 579
+X86_INS_PUNPCKLQDQ = 580
+X86_INS_PUSH = 581
+X86_INS_PUSHAW = 582
+X86_INS_PUSHAL = 583
+X86_INS_PUSHF = 584
+X86_INS_PUSHFD = 585
+X86_INS_PUSHFQ = 586
+X86_INS_RCL = 587
+X86_INS_RCPPS = 588
+X86_INS_RCPSS = 589
+X86_INS_RCR = 590
+X86_INS_RDFSBASE = 591
+X86_INS_RDGSBASE = 592
+X86_INS_RDMSR = 593
+X86_INS_RDPMC = 594
+X86_INS_RDRAND = 595
+X86_INS_RDSEED = 596
+X86_INS_RDTSC = 597
+X86_INS_RDTSCP = 598
+X86_INS_REPNE = 599
+X86_INS_REP = 600
+X86_INS_ROL = 601
+X86_INS_ROR = 602
+X86_INS_RORX = 603
+X86_INS_ROUNDPD = 604
+X86_INS_ROUNDPS = 605
+X86_INS_ROUNDSD = 606
+X86_INS_ROUNDSS = 607
+X86_INS_RSM = 608
+X86_INS_RSQRTPS = 609
+X86_INS_RSQRTSS = 610
+X86_INS_SAHF = 611
+X86_INS_SAL = 612
+X86_INS_SALC = 613
+X86_INS_SAR = 614
+X86_INS_SARX = 615
+X86_INS_SBB = 616
+X86_INS_SCASB = 617
+X86_INS_SCASD = 618
+X86_INS_SCASQ = 619
+X86_INS_SCASW = 620
+X86_INS_SETAE = 621
+X86_INS_SETA = 622
+X86_INS_SETBE = 623
+X86_INS_SETB = 624
+X86_INS_SETE = 625
+X86_INS_SETGE = 626
+X86_INS_SETG = 627
+X86_INS_SETLE = 628
+X86_INS_SETL = 629
+X86_INS_SETNE = 630
+X86_INS_SETNO = 631
+X86_INS_SETNP = 632
+X86_INS_SETNS = 633
+X86_INS_SETO = 634
+X86_INS_SETP = 635
+X86_INS_SETS = 636
+X86_INS_SFENCE = 637
+X86_INS_SGDT = 638
+X86_INS_SHA1MSG1 = 639
+X86_INS_SHA1MSG2 = 640
+X86_INS_SHA1NEXTE = 641
+X86_INS_SHA1RNDS4 = 642
+X86_INS_SHA256MSG1 = 643
+X86_INS_SHA256MSG2 = 644
+X86_INS_SHA256RNDS2 = 645
+X86_INS_SHL = 646
+X86_INS_SHLD = 647
+X86_INS_SHLX = 648
+X86_INS_SHR = 649
+X86_INS_SHRD = 650
+X86_INS_SHRX = 651
+X86_INS_SHUFPD = 652
+X86_INS_SHUFPS = 653
+X86_INS_SIDT = 654
+X86_INS_FSIN = 655
+X86_INS_SKINIT = 656
+X86_INS_SLDT = 657
+X86_INS_SMSW = 658
+X86_INS_SQRTPD = 659
+X86_INS_SQRTPS = 660
+X86_INS_SQRTSD = 661
+X86_INS_SQRTSS = 662
+X86_INS_FSQRT = 663
+X86_INS_STAC = 664
+X86_INS_STC = 665
+X86_INS_STD = 666
+X86_INS_STGI = 667
+X86_INS_STI = 668
+X86_INS_STMXCSR = 669
+X86_INS_STOSB = 670
+X86_INS_STOSD = 671
+X86_INS_STOSQ = 672
+X86_INS_STOSW = 673
+X86_INS_STR = 674
+X86_INS_FST = 675
+X86_INS_FSTP = 676
+X86_INS_FSTPNCE = 677
+X86_INS_SUBPD = 678
+X86_INS_SUBPS = 679
+X86_INS_FSUBR = 680
+X86_INS_FISUBR = 681
+X86_INS_FSUBRP = 682
+X86_INS_SUBSD = 683
+X86_INS_SUBSS = 684
+X86_INS_FSUB = 685
+X86_INS_FISUB = 686
+X86_INS_FSUBP = 687
+X86_INS_SWAPGS = 688
+X86_INS_SYSCALL = 689
+X86_INS_SYSENTER = 690
+X86_INS_SYSEXIT = 691
+X86_INS_SYSRET = 692
+X86_INS_T1MSKC = 693
+X86_INS_TEST = 694
+X86_INS_UD2 = 695
+X86_INS_FTST = 696
+X86_INS_TZCNT = 697
+X86_INS_TZMSK = 698
+X86_INS_FUCOMPI = 699
+X86_INS_FUCOMI = 700
+X86_INS_FUCOMPP = 701
+X86_INS_FUCOMP = 702
+X86_INS_FUCOM = 703
+X86_INS_UD2B = 704
+X86_INS_UNPCKHPD = 705
+X86_INS_UNPCKHPS = 706
+X86_INS_UNPCKLPD = 707
+X86_INS_UNPCKLPS = 708
+X86_INS_VADDPD = 709
+X86_INS_VADDPS = 710
+X86_INS_VADDSD = 711
+X86_INS_VADDSS = 712
+X86_INS_VADDSUBPD = 713
+X86_INS_VADDSUBPS = 714
+X86_INS_VAESDECLAST = 715
+X86_INS_VAESDEC = 716
+X86_INS_VAESENCLAST = 717
+X86_INS_VAESENC = 718
+X86_INS_VAESIMC = 719
+X86_INS_VAESKEYGENASSIST = 720
+X86_INS_VALIGND = 721
+X86_INS_VALIGNQ = 722
+X86_INS_VANDNPD = 723
+X86_INS_VANDNPS = 724
+X86_INS_VANDPD = 725
+X86_INS_VANDPS = 726
+X86_INS_VBLENDMPD = 727
+X86_INS_VBLENDMPS = 728
+X86_INS_VBLENDPD = 729
+X86_INS_VBLENDPS = 730
+X86_INS_VBLENDVPD = 731
+X86_INS_VBLENDVPS = 732
+X86_INS_VBROADCASTF128 = 733
+X86_INS_VBROADCASTI128 = 734
+X86_INS_VBROADCASTI32X4 = 735
+X86_INS_VBROADCASTI64X4 = 736
+X86_INS_VBROADCASTSD = 737
+X86_INS_VBROADCASTSS = 738
+X86_INS_VCMPPD = 739
+X86_INS_VCMPPS = 740
+X86_INS_VCMPSD = 741
+X86_INS_VCMPSS = 742
+X86_INS_VCVTDQ2PD = 743
+X86_INS_VCVTDQ2PS = 744
+X86_INS_VCVTPD2DQX = 745
+X86_INS_VCVTPD2DQ = 746
+X86_INS_VCVTPD2PSX = 747
+X86_INS_VCVTPD2PS = 748
+X86_INS_VCVTPD2UDQ = 749
+X86_INS_VCVTPH2PS = 750
+X86_INS_VCVTPS2DQ = 751
+X86_INS_VCVTPS2PD = 752
+X86_INS_VCVTPS2PH = 753
+X86_INS_VCVTPS2UDQ = 754
+X86_INS_VCVTSD2SI = 755
+X86_INS_VCVTSD2USI = 756
+X86_INS_VCVTSS2SI = 757
+X86_INS_VCVTSS2USI = 758
+X86_INS_VCVTTPD2DQX = 759
+X86_INS_VCVTTPD2DQ = 760
+X86_INS_VCVTTPD2UDQ = 761
+X86_INS_VCVTTPS2DQ = 762
+X86_INS_VCVTTPS2UDQ = 763
+X86_INS_VCVTUDQ2PD = 764
+X86_INS_VCVTUDQ2PS = 765
+X86_INS_VDIVPD = 766
+X86_INS_VDIVPS = 767
+X86_INS_VDIVSD = 768
+X86_INS_VDIVSS = 769
+X86_INS_VDPPD = 770
+X86_INS_VDPPS = 771
+X86_INS_VERR = 772
+X86_INS_VERW = 773
+X86_INS_VEXTRACTF128 = 774
+X86_INS_VEXTRACTF32X4 = 775
+X86_INS_VEXTRACTF64X4 = 776
+X86_INS_VEXTRACTI128 = 777
+X86_INS_VEXTRACTI32X4 = 778
+X86_INS_VEXTRACTI64X4 = 779
+X86_INS_VEXTRACTPS = 780
+X86_INS_VFMADD132PD = 781
+X86_INS_VFMADD132PS = 782
+X86_INS_VFMADD213PD = 783
+X86_INS_VFMADD213PS = 784
+X86_INS_VFMADDPD = 785
+X86_INS_VFMADD231PD = 786
+X86_INS_VFMADDPS = 787
+X86_INS_VFMADD231PS = 788
+X86_INS_VFMADDSD = 789
+X86_INS_VFMADD213SD = 790
+X86_INS_VFMADD132SD = 791
+X86_INS_VFMADD231SD = 792
+X86_INS_VFMADDSS = 793
+X86_INS_VFMADD213SS = 794
+X86_INS_VFMADD132SS = 795
+X86_INS_VFMADD231SS = 796
+X86_INS_VFMADDSUB132PD = 797
+X86_INS_VFMADDSUB132PS = 798
+X86_INS_VFMADDSUB213PD = 799
+X86_INS_VFMADDSUB213PS = 800
+X86_INS_VFMADDSUBPD = 801
+X86_INS_VFMADDSUB231PD = 802
+X86_INS_VFMADDSUBPS = 803
+X86_INS_VFMADDSUB231PS = 804
+X86_INS_VFMSUB132PD = 805
+X86_INS_VFMSUB132PS = 806
+X86_INS_VFMSUB213PD = 807
+X86_INS_VFMSUB213PS = 808
+X86_INS_VFMSUBADD132PD = 809
+X86_INS_VFMSUBADD132PS = 810
+X86_INS_VFMSUBADD213PD = 811
+X86_INS_VFMSUBADD213PS = 812
+X86_INS_VFMSUBADDPD = 813
+X86_INS_VFMSUBADD231PD = 814
+X86_INS_VFMSUBADDPS = 815
+X86_INS_VFMSUBADD231PS = 816
+X86_INS_VFMSUBPD = 817
+X86_INS_VFMSUB231PD = 818
+X86_INS_VFMSUBPS = 819
+X86_INS_VFMSUB231PS = 820
+X86_INS_VFMSUBSD = 821
+X86_INS_VFMSUB213SD = 822
+X86_INS_VFMSUB132SD = 823
+X86_INS_VFMSUB231SD = 824
+X86_INS_VFMSUBSS = 825
+X86_INS_VFMSUB213SS = 826
+X86_INS_VFMSUB132SS = 827
+X86_INS_VFMSUB231SS = 828
+X86_INS_VFNMADD132PD = 829
+X86_INS_VFNMADD132PS = 830
+X86_INS_VFNMADD213PD = 831
+X86_INS_VFNMADD213PS = 832
+X86_INS_VFNMADDPD = 833
+X86_INS_VFNMADD231PD = 834
+X86_INS_VFNMADDPS = 835
+X86_INS_VFNMADD231PS = 836
+X86_INS_VFNMADDSD = 837
+X86_INS_VFNMADD213SD = 838
+X86_INS_VFNMADD132SD = 839
+X86_INS_VFNMADD231SD = 840
+X86_INS_VFNMADDSS = 841
+X86_INS_VFNMADD213SS = 842
+X86_INS_VFNMADD132SS = 843
+X86_INS_VFNMADD231SS = 844
+X86_INS_VFNMSUB132PD = 845
+X86_INS_VFNMSUB132PS = 846
+X86_INS_VFNMSUB213PD = 847
+X86_INS_VFNMSUB213PS = 848
+X86_INS_VFNMSUBPD = 849
+X86_INS_VFNMSUB231PD = 850
+X86_INS_VFNMSUBPS = 851
+X86_INS_VFNMSUB231PS = 852
+X86_INS_VFNMSUBSD = 853
+X86_INS_VFNMSUB213SD = 854
+X86_INS_VFNMSUB132SD = 855
+X86_INS_VFNMSUB231SD = 856
+X86_INS_VFNMSUBSS = 857
+X86_INS_VFNMSUB213SS = 858
+X86_INS_VFNMSUB132SS = 859
+X86_INS_VFNMSUB231SS = 860
+X86_INS_VFRCZPD = 861
+X86_INS_VFRCZPS = 862
+X86_INS_VFRCZSD = 863
+X86_INS_VFRCZSS = 864
+X86_INS_VORPD = 865
+X86_INS_VORPS = 866
+X86_INS_VXORPD = 867
+X86_INS_VXORPS = 868
+X86_INS_VGATHERDPD = 869
+X86_INS_VGATHERDPS = 870
+X86_INS_VGATHERPF0DPD = 871
+X86_INS_VGATHERPF0DPS = 872
+X86_INS_VGATHERPF0QPD = 873
+X86_INS_VGATHERPF0QPS = 874
+X86_INS_VGATHERPF1DPD = 875
+X86_INS_VGATHERPF1DPS = 876
+X86_INS_VGATHERPF1QPD = 877
+X86_INS_VGATHERPF1QPS = 878
+X86_INS_VGATHERQPD = 879
+X86_INS_VGATHERQPS = 880
+X86_INS_VHADDPD = 881
+X86_INS_VHADDPS = 882
+X86_INS_VHSUBPD = 883
+X86_INS_VHSUBPS = 884
+X86_INS_VINSERTF128 = 885
+X86_INS_VINSERTF32X4 = 886
+X86_INS_VINSERTF64X4 = 887
+X86_INS_VINSERTI128 = 888
+X86_INS_VINSERTI32X4 = 889
+X86_INS_VINSERTI64X4 = 890
+X86_INS_VINSERTPS = 891
+X86_INS_VLDDQU = 892
+X86_INS_VLDMXCSR = 893
+X86_INS_VMASKMOVDQU = 894
+X86_INS_VMASKMOVPD = 895
+X86_INS_VMASKMOVPS = 896
+X86_INS_VMAXPD = 897
+X86_INS_VMAXPS = 898
+X86_INS_VMAXSD = 899
+X86_INS_VMAXSS = 900
+X86_INS_VMCALL = 901
+X86_INS_VMCLEAR = 902
+X86_INS_VMFUNC = 903
+X86_INS_VMINPD = 904
+X86_INS_VMINPS = 905
+X86_INS_VMINSD = 906
+X86_INS_VMINSS = 907
+X86_INS_VMLAUNCH = 908
+X86_INS_VMLOAD = 909
+X86_INS_VMMCALL = 910
+X86_INS_VMOVQ = 911
+X86_INS_VMOVDDUP = 912
+X86_INS_VMOVD = 913
+X86_INS_VMOVDQA32 = 914
+X86_INS_VMOVDQA64 = 915
+X86_INS_VMOVDQA = 916
+X86_INS_VMOVDQU16 = 917
+X86_INS_VMOVDQU32 = 918
+X86_INS_VMOVDQU64 = 919
+X86_INS_VMOVDQU8 = 920
+X86_INS_VMOVDQU = 921
+X86_INS_VMOVHLPS = 922
+X86_INS_VMOVHPD = 923
+X86_INS_VMOVHPS = 924
+X86_INS_VMOVLHPS = 925
+X86_INS_VMOVLPD = 926
+X86_INS_VMOVLPS = 927
+X86_INS_VMOVMSKPD = 928
+X86_INS_VMOVMSKPS = 929
+X86_INS_VMOVNTDQA = 930
+X86_INS_VMOVNTDQ = 931
+X86_INS_VMOVNTPD = 932
+X86_INS_VMOVNTPS = 933
+X86_INS_VMOVSD = 934
+X86_INS_VMOVSHDUP = 935
+X86_INS_VMOVSLDUP = 936
+X86_INS_VMOVSS = 937
+X86_INS_VMOVUPD = 938
+X86_INS_VMOVUPS = 939
+X86_INS_VMPSADBW = 940
+X86_INS_VMPTRLD = 941
+X86_INS_VMPTRST = 942
+X86_INS_VMREAD = 943
+X86_INS_VMRESUME = 944
+X86_INS_VMRUN = 945
+X86_INS_VMSAVE = 946
+X86_INS_VMULPD = 947
+X86_INS_VMULPS = 948
+X86_INS_VMULSD = 949
+X86_INS_VMULSS = 950
+X86_INS_VMWRITE = 951
+X86_INS_VMXOFF = 952
+X86_INS_VMXON = 953
+X86_INS_VPABSB = 954
+X86_INS_VPABSD = 955
+X86_INS_VPABSQ = 956
+X86_INS_VPABSW = 957
+X86_INS_VPACKSSDW = 958
+X86_INS_VPACKSSWB = 959
+X86_INS_VPACKUSDW = 960
+X86_INS_VPACKUSWB = 961
+X86_INS_VPADDB = 962
+X86_INS_VPADDD = 963
+X86_INS_VPADDQ = 964
+X86_INS_VPADDSB = 965
+X86_INS_VPADDSW = 966
+X86_INS_VPADDUSB = 967
+X86_INS_VPADDUSW = 968
+X86_INS_VPADDW = 969
+X86_INS_VPALIGNR = 970
+X86_INS_VPANDD = 971
+X86_INS_VPANDND = 972
+X86_INS_VPANDNQ = 973
+X86_INS_VPANDN = 974
+X86_INS_VPANDQ = 975
+X86_INS_VPAND = 976
+X86_INS_VPAVGB = 977
+X86_INS_VPAVGW = 978
+X86_INS_VPBLENDD = 979
+X86_INS_VPBLENDMD = 980
+X86_INS_VPBLENDMQ = 981
+X86_INS_VPBLENDVB = 982
+X86_INS_VPBLENDW = 983
+X86_INS_VPBROADCASTB = 984
+X86_INS_VPBROADCASTD = 985
+X86_INS_VPBROADCASTMB2Q = 986
+X86_INS_VPBROADCASTMW2D = 987
+X86_INS_VPBROADCASTQ = 988
+X86_INS_VPBROADCASTW = 989
+X86_INS_VPCLMULQDQ = 990
+X86_INS_VPCMOV = 991
+X86_INS_VPCMP = 992
+X86_INS_VPCMPD = 993
+X86_INS_VPCMPEQB = 994
+X86_INS_VPCMPEQD = 995
+X86_INS_VPCMPEQQ = 996
+X86_INS_VPCMPEQW = 997
+X86_INS_VPCMPESTRI = 998
+X86_INS_VPCMPESTRM = 999
+X86_INS_VPCMPGTB = 1000
+X86_INS_VPCMPGTD = 1001
+X86_INS_VPCMPGTQ = 1002
+X86_INS_VPCMPGTW = 1003
+X86_INS_VPCMPISTRI = 1004
+X86_INS_VPCMPISTRM = 1005
+X86_INS_VPCMPQ = 1006
+X86_INS_VPCMPUD = 1007
+X86_INS_VPCMPUQ = 1008
+X86_INS_VPCOMB = 1009
+X86_INS_VPCOMD = 1010
+X86_INS_VPCOMQ = 1011
+X86_INS_VPCOMUB = 1012
+X86_INS_VPCOMUD = 1013
+X86_INS_VPCOMUQ = 1014
+X86_INS_VPCOMUW = 1015
+X86_INS_VPCOMW = 1016
+X86_INS_VPCONFLICTD = 1017
+X86_INS_VPCONFLICTQ = 1018
+X86_INS_VPERM2F128 = 1019
+X86_INS_VPERM2I128 = 1020
+X86_INS_VPERMD = 1021
+X86_INS_VPERMI2D = 1022
+X86_INS_VPERMI2PD = 1023
+X86_INS_VPERMI2PS = 1024
+X86_INS_VPERMI2Q = 1025
+X86_INS_VPERMIL2PD = 1026
+X86_INS_VPERMIL2PS = 1027
+X86_INS_VPERMILPD = 1028
+X86_INS_VPERMILPS = 1029
+X86_INS_VPERMPD = 1030
+X86_INS_VPERMPS = 1031
+X86_INS_VPERMQ = 1032
+X86_INS_VPERMT2D = 1033
+X86_INS_VPERMT2PD = 1034
+X86_INS_VPERMT2PS = 1035
+X86_INS_VPERMT2Q = 1036
+X86_INS_VPEXTRB = 1037
+X86_INS_VPEXTRD = 1038
+X86_INS_VPEXTRQ = 1039
+X86_INS_VPEXTRW = 1040
+X86_INS_VPGATHERDD = 1041
+X86_INS_VPGATHERDQ = 1042
+X86_INS_VPGATHERQD = 1043
+X86_INS_VPGATHERQQ = 1044
+X86_INS_VPHADDBD = 1045
+X86_INS_VPHADDBQ = 1046
+X86_INS_VPHADDBW = 1047
+X86_INS_VPHADDDQ = 1048
+X86_INS_VPHADDD = 1049
+X86_INS_VPHADDSW = 1050
+X86_INS_VPHADDUBD = 1051
+X86_INS_VPHADDUBQ = 1052
+X86_INS_VPHADDUBW = 1053
+X86_INS_VPHADDUDQ = 1054
+X86_INS_VPHADDUWD = 1055
+X86_INS_VPHADDUWQ = 1056
+X86_INS_VPHADDWD = 1057
+X86_INS_VPHADDWQ = 1058
+X86_INS_VPHADDW = 1059
+X86_INS_VPHMINPOSUW = 1060
+X86_INS_VPHSUBBW = 1061
+X86_INS_VPHSUBDQ = 1062
+X86_INS_VPHSUBD = 1063
+X86_INS_VPHSUBSW = 1064
+X86_INS_VPHSUBWD = 1065
+X86_INS_VPHSUBW = 1066
+X86_INS_VPINSRB = 1067
+X86_INS_VPINSRD = 1068
+X86_INS_VPINSRQ = 1069
+X86_INS_VPINSRW = 1070
+X86_INS_VPLZCNTD = 1071
+X86_INS_VPLZCNTQ = 1072
+X86_INS_VPMACSDD = 1073
+X86_INS_VPMACSDQH = 1074
+X86_INS_VPMACSDQL = 1075
+X86_INS_VPMACSSDD = 1076
+X86_INS_VPMACSSDQH = 1077
+X86_INS_VPMACSSDQL = 1078
+X86_INS_VPMACSSWD = 1079
+X86_INS_VPMACSSWW = 1080
+X86_INS_VPMACSWD = 1081
+X86_INS_VPMACSWW = 1082
+X86_INS_VPMADCSSWD = 1083
+X86_INS_VPMADCSWD = 1084
+X86_INS_VPMADDUBSW = 1085
+X86_INS_VPMADDWD = 1086
+X86_INS_VPMASKMOVD = 1087
+X86_INS_VPMASKMOVQ = 1088
+X86_INS_VPMAXSB = 1089
+X86_INS_VPMAXSD = 1090
+X86_INS_VPMAXSQ = 1091
+X86_INS_VPMAXSW = 1092
+X86_INS_VPMAXUB = 1093
+X86_INS_VPMAXUD = 1094
+X86_INS_VPMAXUQ = 1095
+X86_INS_VPMAXUW = 1096
+X86_INS_VPMINSB = 1097
+X86_INS_VPMINSD = 1098
+X86_INS_VPMINSQ = 1099
+X86_INS_VPMINSW = 1100
+X86_INS_VPMINUB = 1101
+X86_INS_VPMINUD = 1102
+X86_INS_VPMINUQ = 1103
+X86_INS_VPMINUW = 1104
+X86_INS_VPMOVDB = 1105
+X86_INS_VPMOVDW = 1106
+X86_INS_VPMOVMSKB = 1107
+X86_INS_VPMOVQB = 1108
+X86_INS_VPMOVQD = 1109
+X86_INS_VPMOVQW = 1110
+X86_INS_VPMOVSDB = 1111
+X86_INS_VPMOVSDW = 1112
+X86_INS_VPMOVSQB = 1113
+X86_INS_VPMOVSQD = 1114
+X86_INS_VPMOVSQW = 1115
+X86_INS_VPMOVSXBD = 1116
+X86_INS_VPMOVSXBQ = 1117
+X86_INS_VPMOVSXBW = 1118
+X86_INS_VPMOVSXDQ = 1119
+X86_INS_VPMOVSXWD = 1120
+X86_INS_VPMOVSXWQ = 1121
+X86_INS_VPMOVUSDB = 1122
+X86_INS_VPMOVUSDW = 1123
+X86_INS_VPMOVUSQB = 1124
+X86_INS_VPMOVUSQD = 1125
+X86_INS_VPMOVUSQW = 1126
+X86_INS_VPMOVZXBD = 1127
+X86_INS_VPMOVZXBQ = 1128
+X86_INS_VPMOVZXBW = 1129
+X86_INS_VPMOVZXDQ = 1130
+X86_INS_VPMOVZXWD = 1131
+X86_INS_VPMOVZXWQ = 1132
+X86_INS_VPMULDQ = 1133
+X86_INS_VPMULHRSW = 1134
+X86_INS_VPMULHUW = 1135
+X86_INS_VPMULHW = 1136
+X86_INS_VPMULLD = 1137
+X86_INS_VPMULLW = 1138
+X86_INS_VPMULUDQ = 1139
+X86_INS_VPORD = 1140
+X86_INS_VPORQ = 1141
+X86_INS_VPOR = 1142
+X86_INS_VPPERM = 1143
+X86_INS_VPROTB = 1144
+X86_INS_VPROTD = 1145
+X86_INS_VPROTQ = 1146
+X86_INS_VPROTW = 1147
+X86_INS_VPSADBW = 1148
+X86_INS_VPSCATTERDD = 1149
+X86_INS_VPSCATTERDQ = 1150
+X86_INS_VPSCATTERQD = 1151
+X86_INS_VPSCATTERQQ = 1152
+X86_INS_VPSHAB = 1153
+X86_INS_VPSHAD = 1154
+X86_INS_VPSHAQ = 1155
+X86_INS_VPSHAW = 1156
+X86_INS_VPSHLB = 1157
+X86_INS_VPSHLD = 1158
+X86_INS_VPSHLQ = 1159
+X86_INS_VPSHLW = 1160
+X86_INS_VPSHUFB = 1161
+X86_INS_VPSHUFD = 1162
+X86_INS_VPSHUFHW = 1163
+X86_INS_VPSHUFLW = 1164
+X86_INS_VPSIGNB = 1165
+X86_INS_VPSIGND = 1166
+X86_INS_VPSIGNW = 1167
+X86_INS_VPSLLDQ = 1168
+X86_INS_VPSLLD = 1169
+X86_INS_VPSLLQ = 1170
+X86_INS_VPSLLVD = 1171
+X86_INS_VPSLLVQ = 1172
+X86_INS_VPSLLW = 1173
+X86_INS_VPSRAD = 1174
+X86_INS_VPSRAQ = 1175
+X86_INS_VPSRAVD = 1176
+X86_INS_VPSRAVQ = 1177
+X86_INS_VPSRAW = 1178
+X86_INS_VPSRLDQ = 1179
+X86_INS_VPSRLD = 1180
+X86_INS_VPSRLQ = 1181
+X86_INS_VPSRLVD = 1182
+X86_INS_VPSRLVQ = 1183
+X86_INS_VPSRLW = 1184
+X86_INS_VPSUBB = 1185
+X86_INS_VPSUBD = 1186
+X86_INS_VPSUBQ = 1187
+X86_INS_VPSUBSB = 1188
+X86_INS_VPSUBSW = 1189
+X86_INS_VPSUBUSB = 1190
+X86_INS_VPSUBUSW = 1191
+X86_INS_VPSUBW = 1192
+X86_INS_VPTESTMD = 1193
+X86_INS_VPTESTMQ = 1194
+X86_INS_VPTESTNMD = 1195
+X86_INS_VPTESTNMQ = 1196
+X86_INS_VPTEST = 1197
+X86_INS_VPUNPCKHBW = 1198
+X86_INS_VPUNPCKHDQ = 1199
+X86_INS_VPUNPCKHQDQ = 1200
+X86_INS_VPUNPCKHWD = 1201
+X86_INS_VPUNPCKLBW = 1202
+X86_INS_VPUNPCKLDQ = 1203
+X86_INS_VPUNPCKLQDQ = 1204
+X86_INS_VPUNPCKLWD = 1205
+X86_INS_VPXORD = 1206
+X86_INS_VPXORQ = 1207
+X86_INS_VPXOR = 1208
+X86_INS_VRCP14PD = 1209
+X86_INS_VRCP14PS = 1210
+X86_INS_VRCP14SD = 1211
+X86_INS_VRCP14SS = 1212
+X86_INS_VRCP28PD = 1213
+X86_INS_VRCP28PS = 1214
+X86_INS_VRCP28SD = 1215
+X86_INS_VRCP28SS = 1216
+X86_INS_VRCPPS = 1217
+X86_INS_VRCPSS = 1218
+X86_INS_VRNDSCALEPD = 1219
+X86_INS_VRNDSCALEPS = 1220
+X86_INS_VRNDSCALESD = 1221
+X86_INS_VRNDSCALESS = 1222
+X86_INS_VROUNDPD = 1223
+X86_INS_VROUNDPS = 1224
+X86_INS_VROUNDSD = 1225
+X86_INS_VROUNDSS = 1226
+X86_INS_VRSQRT14PD = 1227
+X86_INS_VRSQRT14PS = 1228
+X86_INS_VRSQRT14SD = 1229
+X86_INS_VRSQRT14SS = 1230
+X86_INS_VRSQRT28PD = 1231
+X86_INS_VRSQRT28PS = 1232
+X86_INS_VRSQRT28SD = 1233
+X86_INS_VRSQRT28SS = 1234
+X86_INS_VRSQRTPS = 1235
+X86_INS_VRSQRTSS = 1236
+X86_INS_VSCATTERDPD = 1237
+X86_INS_VSCATTERDPS = 1238
+X86_INS_VSCATTERPF0DPD = 1239
+X86_INS_VSCATTERPF0DPS = 1240
+X86_INS_VSCATTERPF0QPD = 1241
+X86_INS_VSCATTERPF0QPS = 1242
+X86_INS_VSCATTERPF1DPD = 1243
+X86_INS_VSCATTERPF1DPS = 1244
+X86_INS_VSCATTERPF1QPD = 1245
+X86_INS_VSCATTERPF1QPS = 1246
+X86_INS_VSCATTERQPD = 1247
+X86_INS_VSCATTERQPS = 1248
+X86_INS_VSHUFPD = 1249
+X86_INS_VSHUFPS = 1250
+X86_INS_VSQRTPD = 1251
+X86_INS_VSQRTPS = 1252
+X86_INS_VSQRTSD = 1253
+X86_INS_VSQRTSS = 1254
+X86_INS_VSTMXCSR = 1255
+X86_INS_VSUBPD = 1256
+X86_INS_VSUBPS = 1257
+X86_INS_VSUBSD = 1258
+X86_INS_VSUBSS = 1259
+X86_INS_VTESTPD = 1260
+X86_INS_VTESTPS = 1261
+X86_INS_VUNPCKHPD = 1262
+X86_INS_VUNPCKHPS = 1263
+X86_INS_VUNPCKLPD = 1264
+X86_INS_VUNPCKLPS = 1265
+X86_INS_VZEROALL = 1266
+X86_INS_VZEROUPPER = 1267
+X86_INS_WAIT = 1268
+X86_INS_WBINVD = 1269
+X86_INS_WRFSBASE = 1270
+X86_INS_WRGSBASE = 1271
+X86_INS_WRMSR = 1272
+X86_INS_XABORT = 1273
+X86_INS_XACQUIRE = 1274
+X86_INS_XBEGIN = 1275
+X86_INS_XCHG = 1276
+X86_INS_FXCH = 1277
+X86_INS_XCRYPTCBC = 1278
+X86_INS_XCRYPTCFB = 1279
+X86_INS_XCRYPTCTR = 1280
+X86_INS_XCRYPTECB = 1281
+X86_INS_XCRYPTOFB = 1282
+X86_INS_XEND = 1283
+X86_INS_XGETBV = 1284
+X86_INS_XLATB = 1285
+X86_INS_XRELEASE = 1286
+X86_INS_XRSTOR = 1287
+X86_INS_XRSTOR64 = 1288
+X86_INS_XSAVE = 1289
+X86_INS_XSAVE64 = 1290
+X86_INS_XSAVEOPT = 1291
+X86_INS_XSAVEOPT64 = 1292
+X86_INS_XSETBV = 1293
+X86_INS_XSHA1 = 1294
+X86_INS_XSHA256 = 1295
+X86_INS_XSTORE = 1296
+X86_INS_XTEST = 1297
+X86_INS_MAX = 1298
 
 # Group of X86 instructions
 
@@ -1666,10 +1662,11 @@
 X86_GRP_PFI = 37
 X86_GRP_VLX = 38
 X86_GRP_SMAP = 39
-X86_GRP_JUMP = 40
-X86_GRP_VM = 41
-X86_GRP_INT = 42
-X86_GRP_IRET = 43
-X86_GRP_CALL = 44
-X86_GRP_RET = 45
-X86_GRP_MAX = 46
+X86_GRP_NOVLX = 40
+X86_GRP_JUMP = 41
+X86_GRP_VM = 42
+X86_GRP_INT = 43
+X86_GRP_IRET = 44
+X86_GRP_CALL = 45
+X86_GRP_RET = 46
+X86_GRP_MAX = 47
diff --git a/include/x86.h b/include/x86.h
index 5f48dfb..b0dd375 100644
--- a/include/x86.h
+++ b/include/x86.h
@@ -79,6 +79,8 @@
 //> AVX broadcast type
 typedef enum x86_avx_bcast {
 	X86_AVX_BCAST_INVALID = 0,	// Uninitialized.
+	X86_AVX_BCAST_2,	// AVX512 broadcast type {1to2}
+	X86_AVX_BCAST_4,	// AVX512 broadcast type {1to4}
 	X86_AVX_BCAST_8,	// AVX512 broadcast type {1to8}
 	X86_AVX_BCAST_16,	// AVX512 broadcast type {1to16}
 } x86_avx_bcast;
@@ -355,7 +357,6 @@
 	X86_INS_CPUID,
 	X86_INS_CQO,
 	X86_INS_CRC32,
-	X86_INS_CS,
 	X86_INS_CVTDQ2PD,
 	X86_INS_CVTDQ2PS,
 	X86_INS_CVTPD2DQ,
@@ -391,12 +392,10 @@
 	X86_INS_FDIVP,
 	X86_INS_DPPD,
 	X86_INS_DPPS,
-	X86_INS_DS,
 	X86_INS_RET,
 	X86_INS_ENCLS,
 	X86_INS_ENCLU,
 	X86_INS_ENTER,
-	X86_INS_ES,
 	X86_INS_EXTRACTPS,
 	X86_INS_EXTRQ,
 	X86_INS_F2XM1,
@@ -434,7 +433,6 @@
 	X86_INS_FSETPM,
 	X86_INS_FSINCOS,
 	X86_INS_FNSTENV,
-	X86_INS_FS,
 	X86_INS_FXAM,
 	X86_INS_FXRSTOR,
 	X86_INS_FXRSTOR64,
@@ -452,7 +450,6 @@
 	X86_INS_XORPD,
 	X86_INS_XORPS,
 	X86_INS_GETSEC,
-	X86_INS_GS,
 	X86_INS_HADDPD,
 	X86_INS_HADDPS,
 	X86_INS_HLT,
@@ -910,7 +907,6 @@
 	X86_INS_SQRTSD,
 	X86_INS_SQRTSS,
 	X86_INS_FSQRT,
-	X86_INS_SS,
 	X86_INS_STAC,
 	X86_INS_STC,
 	X86_INS_STD,
@@ -1592,6 +1588,7 @@
 	X86_GRP_PFI,
 	X86_GRP_VLX,
 	X86_GRP_SMAP,
+	X86_GRP_NOVLX,
 
 	X86_GRP_JUMP,	// all jump instructions (conditional+direct+indirect jumps)
 	X86_GRP_VM,	// all virtualization instructions (VT-x + AMD-V)