1. 1b3904b Module to buffer stdout/stderr until stdin is read. Useful for by Jack Jansen ยท 27 years ago