blob: 5741fffc436ffa4f09a558a2770ccb8d37b30b19 [file] [log] [blame]
obj-$(CONFIG_IP_DCCP) += dccp.o
dccp-y := ccid.o input.o ipv4.o minisocks.o options.o output.o proto.o \
timer.o packet_history.o
obj-$(CONFIG_IP_DCCP_DIAG) += dccp_diag.o
obj-y += ccids/
dccp_diag-y := diag.o