blob: f8f13952cfeb1efabca2dab71cc9152fcf1e29a0 [file] [log] [blame]
Nishanth Menon4b791972013-03-19 12:53:07 -05001/*
2 * Copyright (C) 2011-2013 Texas Instruments Incorporated - http://www.ti.com/
3 *
4 * This program is free software; you can redistribute it and/or modify
5 * it under the terms of the GNU General Public License version 2 as
6 * published by the Free Software Foundation.
7 */
Florian Vaussard98ef79572013-05-31 14:32:55 +02008#include "elpida_ecb240abacn.dtsi"
Nishanth Menon4b791972013-03-19 12:53:07 -05009
10/ {
Nishanth Menon4b791972013-03-19 12:53:07 -050011 memory {
12 device_type = "memory";
13 reg = <0x80000000 0x40000000>; /* 1 GB */
14 };
15
Tomi Valkeinen661637c2012-08-20 17:07:23 +030016 aliases {
17 display0 = &dvi0;
18 display1 = &hdmi0;
19 };
20
Dan Murphy3818d7c2013-05-31 10:44:55 -050021 leds: leds {
Nishanth Menon4b791972013-03-19 12:53:07 -050022 compatible = "gpio-leds";
Dan Murphy3818d7c2013-05-31 10:44:55 -050023 pinctrl-names = "default";
24 pinctrl-0 = <
25 &led_wkgpio_pins
26 >;
27
Nishanth Menon4b791972013-03-19 12:53:07 -050028 heartbeat {
29 label = "pandaboard::status1";
Florian Vaussard6d624ea2013-05-31 14:32:56 +020030 gpios = <&gpio1 7 GPIO_ACTIVE_HIGH>;
Nishanth Menon4b791972013-03-19 12:53:07 -050031 linux,default-trigger = "heartbeat";
32 };
33
34 mmc {
35 label = "pandaboard::status2";
Florian Vaussard6d624ea2013-05-31 14:32:56 +020036 gpios = <&gpio1 8 GPIO_ACTIVE_HIGH>;
Nishanth Menon4b791972013-03-19 12:53:07 -050037 linux,default-trigger = "mmc0";
38 };
39 };
40
41 sound: sound {
42 compatible = "ti,abe-twl6040";
43 ti,model = "PandaBoard";
44
45 ti,mclk-freq = <38400000>;
46
47 ti,mcpdm = <&mcpdm>;
48
49 ti,twl6040 = <&twl6040>;
50
51 /* Audio routing */
52 ti,audio-routing =
53 "Headset Stereophone", "HSOL",
54 "Headset Stereophone", "HSOR",
55 "Ext Spk", "HFL",
56 "Ext Spk", "HFR",
57 "Line Out", "AUXL",
58 "Line Out", "AUXR",
59 "HSMIC", "Headset Mic",
60 "Headset Mic", "Headset Mic Bias",
61 "AFML", "Line In",
62 "AFMR", "Line In";
63 };
Roger Quadros5bd2100e2013-06-18 19:04:44 +030064
Roger Quadros5bd2100e2013-06-18 19:04:44 +030065 /* HS USB Port 1 Power */
66 hsusb1_power: hsusb1_power_reg {
67 compatible = "regulator-fixed";
68 regulator-name = "hsusb1_vbus";
69 regulator-min-microvolt = <3300000>;
70 regulator-max-microvolt = <3300000>;
Javier Martinez Canillas3a637e02015-10-06 11:03:39 +020071 gpio = <&gpio1 1 GPIO_ACTIVE_HIGH>; /* gpio_1 */
Roger Quadros5bd2100e2013-06-18 19:04:44 +030072 startup-delay-us = <70000>;
73 enable-active-high;
Roger Quadros6f569292013-06-18 19:04:45 +030074 /*
75 * boot-on is required along with always-on as the
76 * regulator framework doesn't enable the regulator
77 * if boot-on is not there.
78 */
79 regulator-always-on;
80 regulator-boot-on;
Roger Quadros5bd2100e2013-06-18 19:04:44 +030081 };
82
83 /* HS USB Host PHY on PORT 1 */
84 hsusb1_phy: hsusb1_phy {
85 compatible = "usb-nop-xceiv";
Roger Quadros4cbdc862013-09-24 11:53:52 +030086 reset-gpios = <&gpio2 30 GPIO_ACTIVE_LOW>; /* gpio_62 */
Roger Quadros5bd2100e2013-06-18 19:04:44 +030087 vcc-supply = <&hsusb1_power>;
Roger Quadros2ecf8aa2014-02-27 16:18:29 +020088 clocks = <&auxclk3_ck>;
89 clock-names = "main_clk";
Roger Quadros5bd2100e2013-06-18 19:04:44 +030090 clock-frequency = <19200000>;
91 };
Tony Lindgren851320e2013-09-13 12:09:53 -070092
93 /* regulator for wl12xx on sdio5 */
94 wl12xx_vmmc: wl12xx_vmmc {
95 pinctrl-names = "default";
96 pinctrl-0 = <&wl12xx_gpio>;
97 compatible = "regulator-fixed";
98 regulator-name = "vwl1271";
99 regulator-min-microvolt = <1800000>;
100 regulator-max-microvolt = <1800000>;
Javier Martinez Canillas3a637e02015-10-06 11:03:39 +0200101 gpio = <&gpio2 11 GPIO_ACTIVE_HIGH>;
Tony Lindgren851320e2013-09-13 12:09:53 -0700102 startup-delay-us = <70000>;
103 enable-active-high;
104 };
Tomi Valkeinen661637c2012-08-20 17:07:23 +0300105
Javier Martinez Canillas9e19d0d2016-06-27 15:21:00 -0400106 tfp410: encoder0 {
Tomi Valkeinen661637c2012-08-20 17:07:23 +0300107 compatible = "ti,tfp410";
108 powerdown-gpios = <&gpio1 0 GPIO_ACTIVE_LOW>; /* gpio_0 */
109
110 ports {
111 #address-cells = <1>;
112 #size-cells = <0>;
113
114 port@0 {
115 reg = <0>;
116
Javier Martinez Canillas9e19d0d2016-06-27 15:21:00 -0400117 tfp410_in: endpoint {
Tomi Valkeinen661637c2012-08-20 17:07:23 +0300118 remote-endpoint = <&dpi_out>;
119 };
120 };
121
122 port@1 {
123 reg = <1>;
124
Javier Martinez Canillas9e19d0d2016-06-27 15:21:00 -0400125 tfp410_out: endpoint {
Tomi Valkeinen661637c2012-08-20 17:07:23 +0300126 remote-endpoint = <&dvi_connector_in>;
127 };
128 };
129 };
130 };
131
Javier Martinez Canillas9e19d0d2016-06-27 15:21:00 -0400132 dvi0: connector0 {
Tomi Valkeinen661637c2012-08-20 17:07:23 +0300133 compatible = "dvi-connector";
134 label = "dvi";
135
136 digital;
137
138 ddc-i2c-bus = <&i2c3>;
139
140 port {
141 dvi_connector_in: endpoint {
142 remote-endpoint = <&tfp410_out>;
143 };
144 };
145 };
146
Javier Martinez Canillas9e19d0d2016-06-27 15:21:00 -0400147 tpd12s015: encoder1 {
Tomi Valkeinen661637c2012-08-20 17:07:23 +0300148 compatible = "ti,tpd12s015";
149
150 gpios = <&gpio2 28 GPIO_ACTIVE_HIGH>, /* 60, CT CP HPD */
151 <&gpio2 9 GPIO_ACTIVE_HIGH>, /* 41, LS OE */
152 <&gpio2 31 GPIO_ACTIVE_HIGH>; /* 63, HPD */
153
154 ports {
155 #address-cells = <1>;
156 #size-cells = <0>;
157
158 port@0 {
159 reg = <0>;
160
Javier Martinez Canillas9e19d0d2016-06-27 15:21:00 -0400161 tpd12s015_in: endpoint {
Tomi Valkeinen661637c2012-08-20 17:07:23 +0300162 remote-endpoint = <&hdmi_out>;
163 };
164 };
165
166 port@1 {
167 reg = <1>;
168
Javier Martinez Canillas9e19d0d2016-06-27 15:21:00 -0400169 tpd12s015_out: endpoint {
Tomi Valkeinen661637c2012-08-20 17:07:23 +0300170 remote-endpoint = <&hdmi_connector_in>;
171 };
172 };
173 };
174 };
175
Javier Martinez Canillas9e19d0d2016-06-27 15:21:00 -0400176 hdmi0: connector1 {
Tomi Valkeinen661637c2012-08-20 17:07:23 +0300177 compatible = "hdmi-connector";
178 label = "hdmi";
179
180 type = "a";
181
182 port {
183 hdmi_connector_in: endpoint {
184 remote-endpoint = <&tpd12s015_out>;
185 };
186 };
187 };
Nishanth Menon4b791972013-03-19 12:53:07 -0500188};
189
190&omap4_pmx_core {
191 pinctrl-names = "default";
192 pinctrl-0 = <
Tomi Valkeinen0352bd12013-10-25 13:07:36 +0300193 &dss_dpi_pins
194 &tfp410_pins
Nishanth Menon4b791972013-03-19 12:53:07 -0500195 &dss_hdmi_pins
196 &tpd12s015_pins
Roger Quadros5bd2100e2013-06-18 19:04:44 +0300197 &hsusbb1_pins
Nishanth Menon4b791972013-03-19 12:53:07 -0500198 >;
199
Nishanth Menon4b791972013-03-19 12:53:07 -0500200 twl6040_pins: pinmux_twl6040_pins {
201 pinctrl-single,pins = <
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300202 OMAP4_IOPAD(0x120, PIN_OUTPUT | MUX_MODE3) /* hdq_sio.gpio_127 */
203 OMAP4_IOPAD(0x1a0, PIN_INPUT | MUX_MODE0) /* sys_nirq2.sys_nirq2 */
Nishanth Menon4b791972013-03-19 12:53:07 -0500204 >;
205 };
206
207 mcpdm_pins: pinmux_mcpdm_pins {
208 pinctrl-single,pins = <
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300209 OMAP4_IOPAD(0x106, PIN_INPUT_PULLDOWN | MUX_MODE0) /* abe_pdm_ul_data.abe_pdm_ul_data */
210 OMAP4_IOPAD(0x108, PIN_INPUT_PULLDOWN | MUX_MODE0) /* abe_pdm_dl_data.abe_pdm_dl_data */
211 OMAP4_IOPAD(0x10a, PIN_INPUT_PULLUP | MUX_MODE0) /* abe_pdm_frame.abe_pdm_frame */
212 OMAP4_IOPAD(0x10c, PIN_INPUT_PULLDOWN | MUX_MODE0) /* abe_pdm_lb_clk.abe_pdm_lb_clk */
213 OMAP4_IOPAD(0x10e, PIN_INPUT_PULLDOWN | MUX_MODE0) /* abe_clks.abe_clks */
Nishanth Menon4b791972013-03-19 12:53:07 -0500214 >;
215 };
216
217 mcbsp1_pins: pinmux_mcbsp1_pins {
218 pinctrl-single,pins = <
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300219 OMAP4_IOPAD(0x0fe, PIN_INPUT | MUX_MODE0) /* abe_mcbsp1_clkx.abe_mcbsp1_clkx */
220 OMAP4_IOPAD(0x100, PIN_INPUT_PULLDOWN | MUX_MODE0) /* abe_mcbsp1_dr.abe_mcbsp1_dr */
221 OMAP4_IOPAD(0x102, PIN_OUTPUT_PULLDOWN | MUX_MODE0) /* abe_mcbsp1_dx.abe_mcbsp1_dx */
222 OMAP4_IOPAD(0x104, PIN_INPUT | MUX_MODE0) /* abe_mcbsp1_fsx.abe_mcbsp1_fsx */
Nishanth Menon4b791972013-03-19 12:53:07 -0500223 >;
224 };
225
Tomi Valkeinen0352bd12013-10-25 13:07:36 +0300226 dss_dpi_pins: pinmux_dss_dpi_pins {
227 pinctrl-single,pins = <
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300228 OMAP4_IOPAD(0x162, PIN_OUTPUT | MUX_MODE5) /* dispc2_data23 */
229 OMAP4_IOPAD(0x164, PIN_OUTPUT | MUX_MODE5) /* dispc2_data22 */
230 OMAP4_IOPAD(0x166, PIN_OUTPUT | MUX_MODE5) /* dispc2_data21 */
231 OMAP4_IOPAD(0x168, PIN_OUTPUT | MUX_MODE5) /* dispc2_data20 */
232 OMAP4_IOPAD(0x16a, PIN_OUTPUT | MUX_MODE5) /* dispc2_data19 */
233 OMAP4_IOPAD(0x16c, PIN_OUTPUT | MUX_MODE5) /* dispc2_data18 */
234 OMAP4_IOPAD(0x16e, PIN_OUTPUT | MUX_MODE5) /* dispc2_data15 */
235 OMAP4_IOPAD(0x170, PIN_OUTPUT | MUX_MODE5) /* dispc2_data14 */
236 OMAP4_IOPAD(0x172, PIN_OUTPUT | MUX_MODE5) /* dispc2_data13 */
237 OMAP4_IOPAD(0x174, PIN_OUTPUT | MUX_MODE5) /* dispc2_data12 */
238 OMAP4_IOPAD(0x176, PIN_OUTPUT | MUX_MODE5) /* dispc2_data11 */
Tomi Valkeinen0352bd12013-10-25 13:07:36 +0300239
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300240 OMAP4_IOPAD(0x1b4, PIN_OUTPUT | MUX_MODE5) /* dispc2_data10 */
241 OMAP4_IOPAD(0x1b6, PIN_OUTPUT | MUX_MODE5) /* dispc2_data9 */
242 OMAP4_IOPAD(0x1b8, PIN_OUTPUT | MUX_MODE5) /* dispc2_data16 */
243 OMAP4_IOPAD(0x1ba, PIN_OUTPUT | MUX_MODE5) /* dispc2_data17 */
244 OMAP4_IOPAD(0x1bc, PIN_OUTPUT | MUX_MODE5) /* dispc2_hsync */
245 OMAP4_IOPAD(0x1be, PIN_OUTPUT | MUX_MODE5) /* dispc2_pclk */
246 OMAP4_IOPAD(0x1c0, PIN_OUTPUT | MUX_MODE5) /* dispc2_vsync */
247 OMAP4_IOPAD(0x1c2, PIN_OUTPUT | MUX_MODE5) /* dispc2_de */
248 OMAP4_IOPAD(0x1c4, PIN_OUTPUT | MUX_MODE5) /* dispc2_data8 */
249 OMAP4_IOPAD(0x1c6, PIN_OUTPUT | MUX_MODE5) /* dispc2_data7 */
250 OMAP4_IOPAD(0x1c8, PIN_OUTPUT | MUX_MODE5) /* dispc2_data6 */
251 OMAP4_IOPAD(0x1ca, PIN_OUTPUT | MUX_MODE5) /* dispc2_data5 */
252 OMAP4_IOPAD(0x1cc, PIN_OUTPUT | MUX_MODE5) /* dispc2_data4 */
253 OMAP4_IOPAD(0x1ce, PIN_OUTPUT | MUX_MODE5) /* dispc2_data3 */
Tomi Valkeinen0352bd12013-10-25 13:07:36 +0300254
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300255 OMAP4_IOPAD(0x1d0, PIN_OUTPUT | MUX_MODE5) /* dispc2_data2 */
256 OMAP4_IOPAD(0x1d2, PIN_OUTPUT | MUX_MODE5) /* dispc2_data1 */
257 OMAP4_IOPAD(0x1d4, PIN_OUTPUT | MUX_MODE5) /* dispc2_data0 */
Tomi Valkeinen0352bd12013-10-25 13:07:36 +0300258 >;
259 };
260
261 tfp410_pins: pinmux_tfp410_pins {
262 pinctrl-single,pins = <
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300263 OMAP4_IOPAD(0x184, PIN_OUTPUT | MUX_MODE3) /* gpio_0 */
Tomi Valkeinen0352bd12013-10-25 13:07:36 +0300264 >;
265 };
266
Nishanth Menon4b791972013-03-19 12:53:07 -0500267 dss_hdmi_pins: pinmux_dss_hdmi_pins {
268 pinctrl-single,pins = <
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300269 OMAP4_IOPAD(0x09a, PIN_INPUT_PULLUP | MUX_MODE0) /* hdmi_cec.hdmi_cec */
270 OMAP4_IOPAD(0x09c, PIN_INPUT_PULLUP | MUX_MODE0) /* hdmi_scl.hdmi_scl */
271 OMAP4_IOPAD(0x09e, PIN_INPUT_PULLUP | MUX_MODE0) /* hdmi_sda.hdmi_sda */
Nishanth Menon4b791972013-03-19 12:53:07 -0500272 >;
273 };
274
275 tpd12s015_pins: pinmux_tpd12s015_pins {
276 pinctrl-single,pins = <
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300277 OMAP4_IOPAD(0x062, PIN_OUTPUT | MUX_MODE3) /* gpmc_a17.gpio_41 */
278 OMAP4_IOPAD(0x088, PIN_OUTPUT | MUX_MODE3) /* gpmc_nbe1.gpio_60 */
279 OMAP4_IOPAD(0x098, PIN_INPUT_PULLDOWN | MUX_MODE3) /* hdmi_hpd.gpio_63 */
Nishanth Menon4b791972013-03-19 12:53:07 -0500280 >;
281 };
282
Roger Quadros5bd2100e2013-06-18 19:04:44 +0300283 hsusbb1_pins: pinmux_hsusbb1_pins {
284 pinctrl-single,pins = <
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300285 OMAP4_IOPAD(0x0c2, PIN_INPUT_PULLDOWN | MUX_MODE4) /* usbb1_ulpitll_clk.usbb1_ulpiphy_clk */
286 OMAP4_IOPAD(0x0c4, PIN_OUTPUT | MUX_MODE4) /* usbb1_ulpitll_stp.usbb1_ulpiphy_stp */
287 OMAP4_IOPAD(0x0c6, PIN_INPUT_PULLDOWN | MUX_MODE4) /* usbb1_ulpitll_dir.usbb1_ulpiphy_dir */
288 OMAP4_IOPAD(0x0c8, PIN_INPUT_PULLDOWN | MUX_MODE4) /* usbb1_ulpitll_nxt.usbb1_ulpiphy_nxt */
289 OMAP4_IOPAD(0x0ca, PIN_INPUT_PULLDOWN | MUX_MODE4) /* usbb1_ulpitll_dat0.usbb1_ulpiphy_dat0 */
290 OMAP4_IOPAD(0x0cc, PIN_INPUT_PULLDOWN | MUX_MODE4) /* usbb1_ulpitll_dat1.usbb1_ulpiphy_dat1 */
291 OMAP4_IOPAD(0x0ce, PIN_INPUT_PULLDOWN | MUX_MODE4) /* usbb1_ulpitll_dat2.usbb1_ulpiphy_dat2 */
292 OMAP4_IOPAD(0x0d0, PIN_INPUT_PULLDOWN | MUX_MODE4) /* usbb1_ulpitll_dat3.usbb1_ulpiphy_dat3 */
293 OMAP4_IOPAD(0x0d2, PIN_INPUT_PULLDOWN | MUX_MODE4) /* usbb1_ulpitll_dat4.usbb1_ulpiphy_dat4 */
294 OMAP4_IOPAD(0x0d4, PIN_INPUT_PULLDOWN | MUX_MODE4) /* usbb1_ulpitll_dat5.usbb1_ulpiphy_dat5 */
295 OMAP4_IOPAD(0x0d6, PIN_INPUT_PULLDOWN | MUX_MODE4) /* usbb1_ulpitll_dat6.usbb1_ulpiphy_dat6 */
296 OMAP4_IOPAD(0x0d8, PIN_INPUT_PULLDOWN | MUX_MODE4) /* usbb1_ulpitll_dat7.usbb1_ulpiphy_dat7 */
Roger Quadros5bd2100e2013-06-18 19:04:44 +0300297 >;
298 };
299
Nishanth Menon4b791972013-03-19 12:53:07 -0500300 i2c1_pins: pinmux_i2c1_pins {
301 pinctrl-single,pins = <
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300302 OMAP4_IOPAD(0x122, PIN_INPUT_PULLUP | MUX_MODE0) /* i2c1_scl */
303 OMAP4_IOPAD(0x124, PIN_INPUT_PULLUP | MUX_MODE0) /* i2c1_sda */
Nishanth Menon4b791972013-03-19 12:53:07 -0500304 >;
305 };
306
307 i2c2_pins: pinmux_i2c2_pins {
308 pinctrl-single,pins = <
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300309 OMAP4_IOPAD(0x126, PIN_INPUT_PULLUP | MUX_MODE0) /* i2c2_scl */
310 OMAP4_IOPAD(0x128, PIN_INPUT_PULLUP | MUX_MODE0) /* i2c2_sda */
Nishanth Menon4b791972013-03-19 12:53:07 -0500311 >;
312 };
313
314 i2c3_pins: pinmux_i2c3_pins {
315 pinctrl-single,pins = <
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300316 OMAP4_IOPAD(0x12a, PIN_INPUT_PULLUP | MUX_MODE0) /* i2c3_scl */
317 OMAP4_IOPAD(0x12c, PIN_INPUT_PULLUP | MUX_MODE0) /* i2c3_sda */
Nishanth Menon4b791972013-03-19 12:53:07 -0500318 >;
319 };
320
321 i2c4_pins: pinmux_i2c4_pins {
322 pinctrl-single,pins = <
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300323 OMAP4_IOPAD(0x12e, PIN_INPUT_PULLUP | MUX_MODE0) /* i2c4_scl */
324 OMAP4_IOPAD(0x130, PIN_INPUT_PULLUP | MUX_MODE0) /* i2c4_sda */
Nishanth Menon4b791972013-03-19 12:53:07 -0500325 >;
326 };
Tony Lindgren851320e2013-09-13 12:09:53 -0700327
328 /*
329 * wl12xx GPIO outputs for WLAN_EN, BT_EN, FM_EN, BT_WAKEUP
330 * REVISIT: Are the pull-ups needed for GPIO 48 and 49?
331 */
332 wl12xx_gpio: pinmux_wl12xx_gpio {
333 pinctrl-single,pins = <
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300334 OMAP4_IOPAD(0x066, PIN_OUTPUT | MUX_MODE3) /* gpmc_a19.gpio_43 */
335 OMAP4_IOPAD(0x06c, PIN_OUTPUT | MUX_MODE3) /* gpmc_a22.gpio_46 */
336 OMAP4_IOPAD(0x070, PIN_OUTPUT_PULLUP | MUX_MODE3) /* gpmc_a24.gpio_48 */
337 OMAP4_IOPAD(0x072, PIN_OUTPUT_PULLUP | MUX_MODE3) /* gpmc_a25.gpio_49 */
Tony Lindgren851320e2013-09-13 12:09:53 -0700338 >;
339 };
340
341 /* wl12xx GPIO inputs and SDIO pins */
342 wl12xx_pins: pinmux_wl12xx_pins {
343 pinctrl-single,pins = <
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300344 OMAP4_IOPAD(0x078, PIN_INPUT | MUX_MODE3) /* gpmc_ncs2.gpio_52 */
345 OMAP4_IOPAD(0x07a, PIN_INPUT | MUX_MODE3) /* gpmc_ncs3.gpio_53 */
346 OMAP4_IOPAD(0x148, PIN_INPUT_PULLUP | MUX_MODE0) /* sdmmc5_clk.sdmmc5_clk */
347 OMAP4_IOPAD(0x14a, PIN_INPUT_PULLUP | MUX_MODE0) /* sdmmc5_cmd.sdmmc5_cmd */
348 OMAP4_IOPAD(0x14c, PIN_INPUT_PULLUP | MUX_MODE0) /* sdmmc5_dat0.sdmmc5_dat0 */
349 OMAP4_IOPAD(0x14e, PIN_INPUT_PULLUP | MUX_MODE0) /* sdmmc5_dat1.sdmmc5_dat1 */
350 OMAP4_IOPAD(0x150, PIN_INPUT_PULLUP | MUX_MODE0) /* sdmmc5_dat2.sdmmc5_dat2 */
351 OMAP4_IOPAD(0x152, PIN_INPUT_PULLUP | MUX_MODE0) /* sdmmc5_dat3.sdmmc5_dat3 */
Tony Lindgren851320e2013-09-13 12:09:53 -0700352 >;
353 };
Dan Murphy3818d7c2013-05-31 10:44:55 -0500354};
355
Balaji T K2ba28662013-12-02 11:38:13 -0800356&omap4_pmx_wkup {
357 led_wkgpio_pins: pinmux_leds_wkpins {
358 pinctrl-single,pins = <
Javier Martinez Canillas0e3ae322015-11-13 01:54:10 -0300359 OMAP4_IOPAD(0x05a, PIN_OUTPUT | MUX_MODE3) /* gpio_wk7 */
360 OMAP4_IOPAD(0x05c, PIN_OUTPUT | MUX_MODE3) /* gpio_wk8 */
Balaji T K2ba28662013-12-02 11:38:13 -0800361 >;
362 };
363};
364
Nishanth Menon4b791972013-03-19 12:53:07 -0500365&i2c1 {
366 pinctrl-names = "default";
367 pinctrl-0 = <&i2c1_pins>;
368
369 clock-frequency = <400000>;
370
371 twl: twl@48 {
372 reg = <0x48>;
Florian Vaussard8fea7d52013-05-31 14:32:57 +0200373 /* IRQ# = 7 */
374 interrupts = <GIC_SPI 7 IRQ_TYPE_LEVEL_HIGH>; /* IRQ_SYS_1N cascaded to gic */
Nishanth Menon4b791972013-03-19 12:53:07 -0500375 };
376
377 twl6040: twl@4b {
378 compatible = "ti,twl6040";
Peter Ujfalusi2ab60a32016-05-30 11:55:15 +0300379 #clock-cells = <0>;
Nishanth Menon4b791972013-03-19 12:53:07 -0500380 reg = <0x4b>;
Peter Ujfalusiaa986452014-01-24 10:18:59 +0200381
382 pinctrl-names = "default";
383 pinctrl-0 = <&twl6040_pins>;
384
Florian Vaussard8fea7d52013-05-31 14:32:57 +0200385 /* IRQ# = 119 */
386 interrupts = <GIC_SPI 119 IRQ_TYPE_LEVEL_HIGH>; /* IRQ_SYS_2N cascaded to gic */
Dan Murphy78eb9382013-05-31 10:45:22 -0500387 ti,audpwron-gpio = <&gpio4 31 GPIO_ACTIVE_HIGH>; /* gpio line 127 */
Nishanth Menon4b791972013-03-19 12:53:07 -0500388
389 vio-supply = <&v1v8>;
390 v2v1-supply = <&v2v1>;
391 enable-active-high;
392 };
393};
394
Florian Vaussard98ef79572013-05-31 14:32:55 +0200395#include "twl6030.dtsi"
Ruslan Bilovol06a9ea52013-08-14 11:35:47 +0300396#include "twl6030_omap4.dtsi"
Nishanth Menon4b791972013-03-19 12:53:07 -0500397
398&i2c2 {
399 pinctrl-names = "default";
400 pinctrl-0 = <&i2c2_pins>;
401
402 clock-frequency = <400000>;
403};
404
405&i2c3 {
406 pinctrl-names = "default";
407 pinctrl-0 = <&i2c3_pins>;
408
409 clock-frequency = <100000>;
410
411 /*
412 * Display monitor features are burnt in their EEPROM as EDID data.
413 * The EEPROM is connected as I2C slave device.
414 */
415 eeprom@50 {
416 compatible = "ti,eeprom";
417 reg = <0x50>;
418 };
419};
420
421&i2c4 {
422 pinctrl-names = "default";
423 pinctrl-0 = <&i2c4_pins>;
424
425 clock-frequency = <400000>;
426};
427
428&mmc1 {
429 vmmc-supply = <&vmmc>;
430 bus-width = <8>;
431};
432
433&mmc2 {
434 status = "disabled";
435};
436
437&mmc3 {
438 status = "disabled";
439};
440
441&mmc4 {
442 status = "disabled";
443};
444
445&mmc5 {
Tony Lindgren851320e2013-09-13 12:09:53 -0700446 pinctrl-names = "default";
447 pinctrl-0 = <&wl12xx_pins>;
448 vmmc-supply = <&wl12xx_vmmc>;
449 non-removable;
Nishanth Menon4b791972013-03-19 12:53:07 -0500450 bus-width = <4>;
Tony Lindgren851320e2013-09-13 12:09:53 -0700451 cap-power-off-card;
Eliad Peller99f84ca2015-03-18 18:38:29 +0200452
453 #address-cells = <1>;
454 #size-cells = <0>;
455 wlcore: wlcore@2 {
456 compatible = "ti,wl1271";
457 reg = <2>;
458 interrupt-parent = <&gpio2>;
459 interrupts = <21 IRQ_TYPE_LEVEL_HIGH>; /* gpio 53 */
460 ref-clock-frequency = <38400000>;
461 };
Nishanth Menon4b791972013-03-19 12:53:07 -0500462};
463
464&emif1 {
465 cs1-used;
466 device-handle = <&elpida_ECB240ABACN>;
467};
468
469&emif2 {
470 cs1-used;
471 device-handle = <&elpida_ECB240ABACN>;
472};
473
Peter Ujfalusiaa986452014-01-24 10:18:59 +0200474&mcbsp1 {
475 pinctrl-names = "default";
476 pinctrl-0 = <&mcbsp1_pins>;
477 status = "okay";
478};
479
Peter Ujfalusiaa986452014-01-24 10:18:59 +0200480&mcpdm {
481 pinctrl-names = "default";
482 pinctrl-0 = <&mcpdm_pins>;
Peter Ujfalusi2ab60a32016-05-30 11:55:15 +0300483
484 clocks = <&twl6040>;
485 clock-names = "pdmclk";
486
Peter Ujfalusiaa986452014-01-24 10:18:59 +0200487 status = "okay";
488};
489
Nishanth Menon4b791972013-03-19 12:53:07 -0500490&twl_usb_comparator {
491 usb-supply = <&vusb>;
492};
493
Tony Lindgren31f08202014-05-05 17:27:39 -0700494&uart2 {
Marc Zyngier7136d452015-03-11 15:43:49 +0000495 interrupts-extended = <&wakeupgen GIC_SPI 73 IRQ_TYPE_LEVEL_HIGH
Tony Lindgren31f08202014-05-05 17:27:39 -0700496 &omap4_pmx_core OMAP4_UART2_RX>;
497};
498
499&uart3 {
Marc Zyngier7136d452015-03-11 15:43:49 +0000500 interrupts-extended = <&wakeupgen GIC_SPI 74 IRQ_TYPE_LEVEL_HIGH
Tony Lindgren31f08202014-05-05 17:27:39 -0700501 &omap4_pmx_core OMAP4_UART3_RX>;
502};
503
504&uart4 {
Marc Zyngier7136d452015-03-11 15:43:49 +0000505 interrupts-extended = <&wakeupgen GIC_SPI 70 IRQ_TYPE_LEVEL_HIGH
Tony Lindgren31f08202014-05-05 17:27:39 -0700506 &omap4_pmx_core OMAP4_UART4_RX>;
507};
508
Nishanth Menon4b791972013-03-19 12:53:07 -0500509&usb_otg_hs {
510 interface-type = <1>;
511 mode = <3>;
512 power = <50>;
513};
Roger Quadros5bd2100e2013-06-18 19:04:44 +0300514
515&usbhshost {
516 port1-mode = "ehci-phy";
517};
518
519&usbhsehci {
520 phys = <&hsusb1_phy>;
521};
Tomi Valkeinen661637c2012-08-20 17:07:23 +0300522
523&dss {
524 status = "ok";
525
526 port {
527 dpi_out: endpoint {
528 remote-endpoint = <&tfp410_in>;
529 data-lines = <24>;
530 };
531 };
532};
533
534&dsi2 {
535 status = "ok";
536 vdd-supply = <&vcxio>;
537};
538
539&hdmi {
540 status = "ok";
541 vdda-supply = <&vdac>;
542
543 port {
544 hdmi_out: endpoint {
545 remote-endpoint = <&tpd12s015_in>;
546 };
547 };
548};