blob: 378a3a4df3c8774e3b2e83b771516bfdc92702cc [file] [log] [blame]
Rafał Miłecki1f70cb42016-08-26 16:19:36 +02001What: /sys/class/leds/<led>/delay_on
2Date: Jun 2012
3KernelVersion: 3.6
4Contact: linux-leds@vger.kernel.org
5Description:
6 Specifies for how many milliseconds the LED has to stay at
7 LED_FULL brightness after it has been armed.
8 Defaults to 100 ms.
9
10What: /sys/class/leds/<led>/delay_off
11Date: Jun 2012
12KernelVersion: 3.6
13Contact: linux-leds@vger.kernel.org
14Description:
15 Specifies for how many milliseconds the LED has to stay at
16 LED_OFF brightness after it has been armed.
17 Defaults to 100 ms.
18
19What: /sys/class/leds/<led>/invert
20Date: Jun 2012
21KernelVersion: 3.6
22Contact: linux-leds@vger.kernel.org
23Description:
24 Reverse the blink logic. If set to 0 (default) blink on for
25 delay_on ms, then blink off for delay_off ms, leaving the LED
26 normally off. If set to 1, blink off for delay_off ms, then
27 blink on for delay_on ms, leaving the LED normally on.
28 Setting this value also immediately changes the LED state.
29
30What: /sys/class/leds/<led>/shot
31Date: Jun 2012
32KernelVersion: 3.6
33Contact: linux-leds@vger.kernel.org
34Description:
35 Write any non-empty string to signal an events, this starts a
36 blink sequence if not already running.