blob: a159676c5cea6e7bbe9acd50bbcb5e8ed749822b [file] [log] [blame]
/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
|* *|
|*Assembly Writer Source Fragment *|
|* *|
|* Automatically generated file, do not edit! *|
|* *|
\*===----------------------------------------------------------------------===*/
/* Capstone Disassembly Engine, http://www.capstone-engine.org */
/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
/// printInstruction - This method is automatically generated by tablegen
/// from the instruction set description.
static void printInstruction(MCInst *MI, SStream *O, MCRegisterInfo *MRI)
{
static const uint32_t OpInfo[] = {
0U, // PHI
0U, // INLINEASM
0U, // CFI_INSTRUCTION
0U, // EH_LABEL
0U, // GC_LABEL
0U, // KILL
0U, // EXTRACT_SUBREG
0U, // INSERT_SUBREG
0U, // IMPLICIT_DEF
0U, // SUBREG_TO_REG
0U, // COPY_TO_REGCLASS
8918U, // DBG_VALUE
0U, // REG_SEQUENCE
0U, // COPY
8911U, // BUNDLE
8928U, // LIFETIME_START
8898U, // LIFETIME_END
0U, // STACKMAP
0U, // PATCHPOINT
0U, // LOAD_STACK_GUARD
21407U, // ABSQ_S_PH
17795U, // ABSQ_S_QB
24372U, // ABSQ_S_W
33574461U, // ADD
18064U, // ADDIUPC
33575644U, // ADDQH_PH
33575761U, // ADDQH_R_PH
33578479U, // ADDQH_R_W
33578082U, // ADDQH_W
33575718U, // ADDQ_PH
33575817U, // ADDQ_S_PH
33578784U, // ADDQ_S_W
33572524U, // ADDSC
33571204U, // ADDS_A_B
33572649U, // ADDS_A_D
33574589U, // ADDS_A_H
33577790U, // ADDS_A_W
33571672U, // ADDS_S_B
33573738U, // ADDS_S_D
33575146U, // ADDS_S_H
33578834U, // ADDS_S_W
33571887U, // ADDS_U_B
33574205U, // ADDS_U_D
33575424U, // ADDS_U_H
33579252U, // ADDS_U_W
33572095U, // ADDUH_QB
33572203U, // ADDUH_R_QB
33575916U, // ADDU_PH
33572308U, // ADDU_QB
33575861U, // ADDU_S_PH
33572249U, // ADDU_S_QB
570442365U, // ADDVI_B
570444081U, // ADDVI_D
570445717U, // ADDVI_H
570449127U, // ADDVI_W
33571965U, // ADDV_B
33574305U, // ADDV_D
33575502U, // ADDV_H
33579352U, // ADDV_W
33572563U, // ADDWC
33571186U, // ADD_A_B
33572630U, // ADD_A_D
33574571U, // ADD_A_H
33577771U, // ADD_A_W
33574461U, // ADD_MM
33576129U, // ADDi
33576129U, // ADDi_MM
33577533U, // ADDiu
33577533U, // ADDiu_MM
33577487U, // ADDu
33577487U, // ADDu_MM
0U, // ADJCALLSTACKDOWN
0U, // ADJCALLSTACKUP
33576478U, // ALIGN
18056U, // ALUIPC
33574483U, // AND
33574483U, // AND64
570442224U, // ANDI_B
33574483U, // AND_MM
33577615U, // AND_V
0U, // AND_V_D_PSEUDO
0U, // AND_V_H_PSEUDO
0U, // AND_V_W_PSEUDO
1107317959U, // ANDi
1107317959U, // ANDi64
1107317959U, // ANDi_MM
1107316321U, // APPEND
33571566U, // ASUB_S_B
33573568U, // ASUB_S_D
33574978U, // ASUB_S_H
33578614U, // ASUB_S_W
33571781U, // ASUB_U_B
33574035U, // ASUB_U_D
33575266U, // ASUB_U_H
33579082U, // ASUB_U_W
0U, // ATOMIC_CMP_SWAP_I16
0U, // ATOMIC_CMP_SWAP_I32
0U, // ATOMIC_CMP_SWAP_I64
0U, // ATOMIC_CMP_SWAP_I8
0U, // ATOMIC_LOAD_ADD_I16
0U, // ATOMIC_LOAD_ADD_I32
0U, // ATOMIC_LOAD_ADD_I64
0U, // ATOMIC_LOAD_ADD_I8
0U, // ATOMIC_LOAD_AND_I16
0U, // ATOMIC_LOAD_AND_I32
0U, // ATOMIC_LOAD_AND_I64
0U, // ATOMIC_LOAD_AND_I8
0U, // ATOMIC_LOAD_NAND_I16
0U, // ATOMIC_LOAD_NAND_I32
0U, // ATOMIC_LOAD_NAND_I64
0U, // ATOMIC_LOAD_NAND_I8
0U, // ATOMIC_LOAD_OR_I16
0U, // ATOMIC_LOAD_OR_I32
0U, // ATOMIC_LOAD_OR_I64
0U, // ATOMIC_LOAD_OR_I8
0U, // ATOMIC_LOAD_SUB_I16
0U, // ATOMIC_LOAD_SUB_I32
0U, // ATOMIC_LOAD_SUB_I64
0U, // ATOMIC_LOAD_SUB_I8
0U, // ATOMIC_LOAD_XOR_I16
0U, // ATOMIC_LOAD_XOR_I32
0U, // ATOMIC_LOAD_XOR_I64
0U, // ATOMIC_LOAD_XOR_I8
0U, // ATOMIC_SWAP_I16
0U, // ATOMIC_SWAP_I32
0U, // ATOMIC_SWAP_I64
0U, // ATOMIC_SWAP_I8
33576239U, // AUI
18049U, // AUIPC
33571652U, // AVER_S_B
33573718U, // AVER_S_D
33575116U, // AVER_S_H
33578814U, // AVER_S_W
33571867U, // AVER_U_B
33574185U, // AVER_U_D
33575404U, // AVER_U_H
33579232U, // AVER_U_W
33571594U, // AVE_S_B
33573650U, // AVE_S_D
33575048U, // AVE_S_H
33578696U, // AVE_S_W
33571809U, // AVE_U_B
33574117U, // AVE_U_D
33575336U, // AVE_U_H
33579164U, // AVE_U_W
23101U, // AddiuRxImmX16
154173U, // AddiuRxPcImmX16
69229117U, // AddiuRxRxImm16
2120253U, // AddiuRxRxImmX16
4217405U, // AddiuRxRyOffMemX16
287289U, // AddiuSpImm16
418361U, // AddiuSpImmX16
33577487U, // AdduRxRyRz16
2117203U, // AndRxRxRy16
0U, // B
33577486U, // BADDu
415061U, // BAL
411192U, // BALC
1107318301U, // BALIGN
0U, // BAL_BR
411171U, // BC
25255U, // BC1EQZ
20116U, // BC1F
20116U, // BC1F_MM
25239U, // BC1NEZ
22995U, // BC1T
22995U, // BC1T_MM
25263U, // BC2EQZ
25247U, // BC2NEZ
570442293U, // BCLRI_B
570444025U, // BCLRI_D
570445661U, // BCLRI_H
570449071U, // BCLRI_W
33571533U, // BCLR_B
33573492U, // BCLR_D
33574945U, // BCLR_H
33578530U, // BCLR_W
33576621U, // BEQ
33576621U, // BEQ64
33572518U, // BEQC
18016U, // BEQZALC
18159U, // BEQZC
33576621U, // BEQ_MM
33572391U, // BGEC
33572537U, // BGEUC
25022U, // BGEZ
25022U, // BGEZ64
21855U, // BGEZAL
17989U, // BGEZALC
21855U, // BGEZAL_MM
18138U, // BGEZC
25022U, // BGEZ_MM
25082U, // BGTZ
25082U, // BGTZ64
18025U, // BGTZALC
18166U, // BGTZC
25082U, // BGTZ_MM
1646281242U, // BINSLI_B
1646282974U, // BINSLI_D
1646284610U, // BINSLI_H
1646288020U, // BINSLI_W
2183152301U, // BINSL_B
2183154086U, // BINSL_D
2183155636U, // BINSL_H
2183159090U, // BINSL_W
1646281303U, // BINSRI_B
1646283019U, // BINSRI_D
1646284655U, // BINSRI_H
1646288065U, // BINSRI_W
2183152349U, // BINSR_B
2183154342U, // BINSR_D
2183155761U, // BINSR_H
2183159380U, // BINSR_W
23255U, // BITREV
22093U, // BITSWAP
25028U, // BLEZ
25028U, // BLEZ64
17998U, // BLEZALC
18145U, // BLEZC
25028U, // BLEZ_MM
33572531U, // BLTC
33572544U, // BLTUC
25088U, // BLTZ
25088U, // BLTZ64
21863U, // BLTZAL
18034U, // BLTZALC
21863U, // BLTZAL_MM
18173U, // BLTZC
25088U, // BLTZ_MM
1646281358U, // BMNZI_B
2183158472U, // BMNZ_V
1646281350U, // BMZI_B
2183158458U, // BMZ_V
33574527U, // BNE
33574527U, // BNE64
33572397U, // BNEC
570442232U, // BNEGI_B
570443973U, // BNEGI_D
570445609U, // BNEGI_H
570449019U, // BNEGI_W
33571288U, // BNEG_B
33573037U, // BNEG_D
33574673U, // BNEG_H
33578002U, // BNEG_W
18007U, // BNEZALC
18152U, // BNEZC
33574527U, // BNE_MM
33572551U, // BNVC
17573U, // BNZ_B
19998U, // BNZ_D
21110U, // BNZ_H
23233U, // BNZ_V
24985U, // BNZ_W
33572557U, // BOVC
409767U, // BPOSGE32
0U, // BPOSGE32_PSEUDO
21820U, // BREAK
21820U, // BREAK_MM
1646281217U, // BSELI_B
0U, // BSEL_D_PSEUDO
0U, // BSEL_FD_PSEUDO
0U, // BSEL_FW_PSEUDO
0U, // BSEL_H_PSEUDO
2183158430U, // BSEL_V
0U, // BSEL_W_PSEUDO
570442347U, // BSETI_B
570444063U, // BSETI_D
570445699U, // BSETI_H
570449109U, // BSETI_W
33571749U, // BSET_B
33573854U, // BSET_D
33575234U, // BSET_H
33578988U, // BSET_W
17567U, // BZ_B
19982U, // BZ_D
21104U, // BZ_H
23220U, // BZ_V
24979U, // BZ_W
100688357U, // BeqzRxImm16
25061U, // BeqzRxImmX16
278904U, // Bimm16
409976U, // BimmX16
100688330U, // BnezRxImm16
25034U, // BnezRxImmX16
8890U, // Break16
549363U, // Bteqz16
134239853U, // BteqzT8CmpX16
134239492U, // BteqzT8CmpiX16
134240735U, // BteqzT8SltX16
134239522U, // BteqzT8SltiX16
134240843U, // BteqzT8SltiuX16
134240879U, // BteqzT8SltuX16
418291U, // BteqzX16
549336U, // Btnez16
167794285U, // BtnezT8CmpX16
167793924U, // BtnezT8CmpiX16
167795167U, // BtnezT8SltX16
167793954U, // BtnezT8SltiX16
167795275U, // BtnezT8SltiuX16
167795311U, // BtnezT8SltuX16
418264U, // BtnezX16
0U, // BuildPairF64
0U, // BuildPairF64_64
36472U, // CACHE
36472U, // CACHE_R6
18768U, // CEIL_L_D64
22608U, // CEIL_L_S
19944U, // CEIL_W_D32
19944U, // CEIL_W_D64
19944U, // CEIL_W_MM
22930U, // CEIL_W_S
22930U, // CEIL_W_S_MM
33571364U, // CEQI_B
33573096U, // CEQI_D
33574732U, // CEQI_H
33578142U, // CEQI_W
33571518U, // CEQ_B
33573399U, // CEQ_D
33574923U, // CEQ_H
33578418U, // CEQ_W
16437U, // CFC1
16437U, // CFC1_MM
16738U, // CFCMSA
1107321457U, // CINS
1107321413U, // CINS32
19404U, // CLASS_D
22782U, // CLASS_S
33571603U, // CLEI_S_B
33573659U, // CLEI_S_D
33575057U, // CLEI_S_H
33578705U, // CLEI_S_W
570442730U, // CLEI_U_B
570445038U, // CLEI_U_D
570446257U, // CLEI_U_H
570450085U, // CLEI_U_W
33571585U, // CLE_S_B
33573641U, // CLE_S_D
33575039U, // CLE_S_H
33578687U, // CLE_S_W
33571800U, // CLE_U_B
33574108U, // CLE_U_D
33575327U, // CLE_U_H
33579155U, // CLE_U_W
22068U, // CLO
22068U, // CLO_MM
22068U, // CLO_R6
33571623U, // CLTI_S_B
33573679U, // CLTI_S_D
33575077U, // CLTI_S_H
33578725U, // CLTI_S_W
570442750U, // CLTI_U_B
570445058U, // CLTI_U_D
570446277U, // CLTI_U_H
570450105U, // CLTI_U_W
33571691U, // CLT_S_B
33573757U, // CLT_S_D
33575165U, // CLT_S_H
33578853U, // CLT_S_W
33571918U, // CLT_U_B
33574236U, // CLT_U_D
33575455U, // CLT_U_H
33579283U, // CLT_U_W
25056U, // CLZ
25056U, // CLZ_MM
25056U, // CLZ_R6
33572141U, // CMPGDU_EQ_QB
33572046U, // CMPGDU_LE_QB
33572260U, // CMPGDU_LT_QB
33572155U, // CMPGU_EQ_QB
33572060U, // CMPGU_LE_QB
33572274U, // CMPGU_LT_QB
17736U, // CMPU_EQ_QB
17641U, // CMPU_LE_QB
17855U, // CMPU_LT_QB
33573388U, // CMP_EQ_D
21295U, // CMP_EQ_PH
33577145U, // CMP_EQ_S
33572958U, // CMP_F_D
33576956U, // CMP_F_S
33572802U, // CMP_LE_D
21191U, // CMP_LE_PH
33576877U, // CMP_LE_S
33573879U, // CMP_LT_D
21464U, // CMP_LT_PH
33577240U, // CMP_LT_S
33572976U, // CMP_SAF_D
33576966U, // CMP_SAF_S
33573415U, // CMP_SEQ_D
33577164U, // CMP_SEQ_S
33572839U, // CMP_SLE_D
33576906U, // CMP_SLE_S
33573906U, // CMP_SLT_D
33577259U, // CMP_SLT_S
33573463U, // CMP_SUEQ_D
33577195U, // CMP_SUEQ_S
33572887U, // CMP_SULE_D
33576937U, // CMP_SULE_S
33573954U, // CMP_SULT_D
33577290U, // CMP_SULT_S
33573345U, // CMP_SUN_D
33577118U, // CMP_SUN_S
33573443U, // CMP_UEQ_D
33577184U, // CMP_UEQ_S
33572867U, // CMP_ULE_D
33576926U, // CMP_ULE_S
33573934U, // CMP_ULT_D
33577279U, // CMP_ULT_S
33573327U, // CMP_UN_D
33577108U, // CMP_UN_S
8976U, // CONSTPOOL_ENTRY
0U, // COPY_FD_PSEUDO
0U, // COPY_FW_PSEUDO
738214802U, // COPY_S_B
738216890U, // COPY_S_D
738218287U, // COPY_S_H
738221997U, // COPY_S_W
738215017U, // COPY_U_B
738217357U, // COPY_U_D
738218554U, // COPY_U_H
738222404U, // COPY_U_W
704592U, // CTC1
704592U, // CTC1_MM
16746U, // CTCMSA
22410U, // CVT_D32_S
23418U, // CVT_D32_W
23418U, // CVT_D32_W_MM
21827U, // CVT_D64_L
22410U, // CVT_D64_S
23418U, // CVT_D64_W
22410U, // CVT_D_S_MM
18789U, // CVT_L_D64
18789U, // CVT_L_D64_MM
22629U, // CVT_L_S
22629U, // CVT_L_S_MM
19127U, // CVT_S_D32
19127U, // CVT_S_D32_MM
19127U, // CVT_S_D64
21836U, // CVT_S_L
24173U, // CVT_S_W
24173U, // CVT_S_W_MM
19965U, // CVT_W_D32
19965U, // CVT_W_D64
19965U, // CVT_W_MM
22951U, // CVT_W_S
22951U, // CVT_W_S_MM
18948U, // C_EQ_D32
18948U, // C_EQ_D64
22705U, // C_EQ_S
18519U, // C_F_D32
18519U, // C_F_D64
22517U, // C_F_S
18362U, // C_LE_D32
18362U, // C_LE_D64
22437U, // C_LE_S
19439U, // C_LT_D32
19439U, // C_LT_D64
22800U, // C_LT_S
18353U, // C_NGE_D32
18353U, // C_NGE_D64
22428U, // C_NGE_S
18388U, // C_NGLE_D32
18388U, // C_NGLE_D64
22455U, // C_NGLE_S
18805U, // C_NGL_D32
18805U, // C_NGL_D64
22645U, // C_NGL_S
19430U, // C_NGT_D32
19430U, // C_NGT_D64
22791U, // C_NGT_S
18398U, // C_OLE_D32
18398U, // C_OLE_D64
22465U, // C_OLE_S
19465U, // C_OLT_D32
19465U, // C_OLT_D64
22818U, // C_OLT_S
18974U, // C_SEQ_D32
18974U, // C_SEQ_D64
22723U, // C_SEQ_S
18589U, // C_SF_D32
18589U, // C_SF_D64
22563U, // C_SF_S
19002U, // C_UEQ_D32
19002U, // C_UEQ_D64
22743U, // C_UEQ_S
18426U, // C_ULE_D32
18426U, // C_ULE_D64
22485U, // C_ULE_S
19493U, // C_ULT_D32
19493U, // C_ULT_D64
22838U, // C_ULT_S
18887U, // C_UN_D32
18887U, // C_UN_D64
22668U, // C_UN_S
22125U, // CmpRxRy16
234902788U, // CmpiRxImm16
21764U, // CmpiRxImmX16
418395U, // Constant32
33574460U, // DADD
33576128U, // DADDi
33577532U, // DADDiu
33577493U, // DADDu
7132383U, // DAHI
33576485U, // DALIGN
7132444U, // DATI
33576238U, // DAUI
22092U, // DBITSWAP
22067U, // DCLO
22067U, // DCLO_R6
25055U, // DCLZ
25055U, // DCLZ_R6
33577695U, // DDIV
33577603U, // DDIVU
9002U, // DERET
9002U, // DERET_MM
1107321475U, // DEXT
1107321450U, // DEXTM
1107321488U, // DEXTU
414915U, // DI
1107321463U, // DINS
1107321443U, // DINSM
1107321481U, // DINSU
33577696U, // DIV
33577604U, // DIVU
33571712U, // DIV_S_B
33573800U, // DIV_S_D
33575186U, // DIV_S_H
33578896U, // DIV_S_W
33571927U, // DIV_U_B
33574267U, // DIV_U_D
33575464U, // DIV_U_H
33579314U, // DIV_U_W
414915U, // DI_MM
33571164U, // DLSA
33571164U, // DLSA_R6
1107312649U, // DMFC0
16443U, // DMFC1
1107312854U, // DMFC2
33574505U, // DMOD
33577507U, // DMODU
1107312656U, // DMTC0
704598U, // DMTC1
1107312861U, // DMTC2
33576122U, // DMUH
33577525U, // DMUHU
33576461U, // DMUL
23017U, // DMULT
23163U, // DMULTu
33577569U, // DMULU
33576461U, // DMUL_R6
33573708U, // DOTP_S_D
33575106U, // DOTP_S_H
33578764U, // DOTP_S_W
33574175U, // DOTP_U_D
33575394U, // DOTP_U_H
33579222U, // DOTP_U_W
2183154421U, // DPADD_S_D
2183155819U, // DPADD_S_H
2183159467U, // DPADD_S_W
2183154888U, // DPADD_U_D
2183156107U, // DPADD_U_H
2183159935U, // DPADD_U_W
33575975U, // DPAQX_SA_W_PH
33576058U, // DPAQX_S_W_PH
33578224U, // DPAQ_SA_L_W
33576017U, // DPAQ_S_W_PH
33576303U, // DPAU_H_QBL
33576636U, // DPAU_H_QBR
33576096U, // DPAX_W_PH
33575965U, // DPA_W_PH
22130U, // DPOP
33575990U, // DPSQX_SA_W_PH
33576072U, // DPSQX_S_W_PH
33578237U, // DPSQ_SA_L_W
33576045U, // DPSQ_S_W_PH
2183154388U, // DPSUB_S_D
2183155798U, // DPSUB_S_H
2183159434U, // DPSUB_S_W
2183154855U, // DPSUB_U_D
2183156086U, // DPSUB_U_H
2183159902U, // DPSUB_U_W
33576315U, // DPSU_H_QBL
33576648U, // DPSU_H_QBR
33576107U, // DPSX_W_PH
33576086U, // DPS_W_PH
1107318617U, // DROTR
1107312833U, // DROTR32
33577739U, // DROTRV
21117U, // DSBH
25132U, // DSDIV
20040U, // DSHD
1107318273U, // DSLL
1107312817U, // DSLL32
268457473U, // DSLL64_32
33577701U, // DSLLV
1107312982U, // DSRA
1107312799U, // DSRA32
33577680U, // DSRAV
1107318279U, // DSRL
1107312825U, // DSRL32
33577708U, // DSRLV
33572375U, // DSUB
33577472U, // DSUBu
25118U, // DUDIV
25133U, // DivRxRy16
25119U, // DivuRxRy16
8960U, // EHB
414927U, // EI
414927U, // EI_MM
9003U, // ERET
9003U, // ERET_MM
1107321476U, // EXT
1107318439U, // EXTP
1107318365U, // EXTPDP
33577723U, // EXTPDPV
33577732U, // EXTPV
33578957U, // EXTRV_RS_W
33578511U, // EXTRV_R_W
33575195U, // EXTRV_S_H
33579394U, // EXTRV_W
1107320770U, // EXTR_RS_W
1107320314U, // EXTR_R_W
1107316950U, // EXTR_S_H
1107320413U, // EXTR_W
1107321469U, // EXTS
1107321421U, // EXTS32
1107321476U, // EXT_MM
0U, // ExtractElementF64
0U, // ExtractElementF64_64
0U, // FABS_D
19396U, // FABS_D32
19396U, // FABS_D64
19396U, // FABS_MM
22775U, // FABS_S
22775U, // FABS_S_MM
0U, // FABS_W
33572734U, // FADD_D
33572735U, // FADD_D32
33572735U, // FADD_D64
33572735U, // FADD_MM
33576853U, // FADD_S
33576853U, // FADD_S_MM
33577859U, // FADD_W
33572968U, // FCAF_D
33577978U, // FCAF_W
33573398U, // FCEQ_D
33578417U, // FCEQ_W
19403U, // FCLASS_D
24537U, // FCLASS_W
33572812U, // FCLE_D
33577901U, // FCLE_W
33573889U, // FCLT_D
33578996U, // FCLT_W
991927U, // FCMP_D32
991927U, // FCMP_D32_MM
991927U, // FCMP_D64
1122999U, // FCMP_S32
1122999U, // FCMP_S32_MM
33572908U, // FCNE_D
33577935U, // FCNE_W
33573508U, // FCOR_D
33578546U, // FCOR_W
33573454U, // FCUEQ_D
33578433U, // FCUEQ_W
33572878U, // FCULE_D
33577917U, // FCULE_W
33573945U, // FCULT_D
33579012U, // FCULT_W
33572924U, // FCUNE_D
33577951U, // FCUNE_W
33573337U, // FCUN_D
33578323U, // FCUN_W
33574331U, // FDIV_D
33574332U, // FDIV_D32
33574332U, // FDIV_D64
33574332U, // FDIV_MM
33577326U, // FDIV_S
33577326U, // FDIV_S_MM
33579378U, // FDIV_W
33574853U, // FEXDO_H
33578339U, // FEXDO_W
33572621U, // FEXP2_D
0U, // FEXP2_D_1_PSEUDO
33577762U, // FEXP2_W
0U, // FEXP2_W_1_PSEUDO
18829U, // FEXUPL_D
23833U, // FEXUPL_W
19092U, // FEXUPR_D
24130U, // FEXUPR_W
19334U, // FFINT_S_D
24430U, // FFINT_S_W
19813U, // FFINT_U_D
24860U, // FFINT_U_W
18839U, // FFQL_D
23843U, // FFQL_W
19102U, // FFQR_D
24140U, // FFQR_W
17047U, // FILL_B
18814U, // FILL_D
0U, // FILL_FD_PSEUDO
0U, // FILL_FW_PSEUDO
20382U, // FILL_H
23818U, // FILL_W
18180U, // FLOG2_D
23321U, // FLOG2_W
18778U, // FLOOR_L_D64
22618U, // FLOOR_L_S
19954U, // FLOOR_W_D32
19954U, // FLOOR_W_D64
19954U, // FLOOR_W_MM
22940U, // FLOOR_W_S
22940U, // FLOOR_W_S_MM
2183153542U, // FMADD_D
2183158667U, // FMADD_W
33572659U, // FMAX_A_D
33577800U, // FMAX_A_W
33574406U, // FMAX_D
33579403U, // FMAX_W
33572639U, // FMIN_A_D
33577780U, // FMIN_A_W
33573311U, // FMIN_D
33578315U, // FMIN_W
19915U, // FMOV_D32
19915U, // FMOV_D32_MM
19915U, // FMOV_D64
22901U, // FMOV_S
22901U, // FMOV_S_MM
2183153500U, // FMSUB_D
2183158625U, // FMSUB_W
33573295U, // FMUL_D
33573296U, // FMUL_D32
33573296U, // FMUL_D64
33573296U, // FMUL_MM
33577086U, // FMUL_S
33577086U, // FMUL_S_MM
33578299U, // FMUL_W
18606U, // FNEG_D32
18606U, // FNEG_D64
18606U, // FNEG_MM
22579U, // FNEG_S
22579U, // FNEG_S_MM
18940U, // FRCP_D
23916U, // FRCP_W
19551U, // FRINT_D
24606U, // FRINT_W
19579U, // FRSQRT_D
24634U, // FRSQRT_W
33572987U, // FSAF_D
33577986U, // FSAF_W
33573426U, // FSEQ_D
33578425U, // FSEQ_W
33572850U, // FSLE_D
33577909U, // FSLE_W
33573917U, // FSLT_D
33579004U, // FSLT_W
33572916U, // FSNE_D
33577943U, // FSNE_W
33573516U, // FSOR_D
33578554U, // FSOR_W
19570U, // FSQRT_D
19571U, // FSQRT_D32
19571U, // FSQRT_D64
19571U, // FSQRT_MM
22878U, // FSQRT_S
22878U, // FSQRT_S_MM
24625U, // FSQRT_W
33572692U, // FSUB_D
33572693U, // FSUB_D32
33572693U, // FSUB_D64
33572693U, // FSUB_MM
33576835U, // FSUB_S
33576835U, // FSUB_S_MM
33577817U, // FSUB_W
33573475U, // FSUEQ_D
33578442U, // FSUEQ_W
33572899U, // FSULE_D
33577926U, // FSULE_W
33573966U, // FSULT_D
33579021U, // FSULT_W
33572933U, // FSUNE_D
33577960U, // FSUNE_W
33573356U, // FSUN_D
33578331U, // FSUN_W
19345U, // FTINT_S_D
24441U, // FTINT_S_W
19824U, // FTINT_U_D
24871U, // FTINT_U_W
33574930U, // FTQ_H
33578451U, // FTQ_W
19167U, // FTRUNC_S_D
24213U, // FTRUNC_S_W
19634U, // FTRUNC_U_D
24681U, // FTRUNC_U_W
304108795U, // GotPrologue16
33573611U, // HADD_S_D
33575009U, // HADD_S_H
33578657U, // HADD_S_W
33574078U, // HADD_U_D
33575297U, // HADD_U_H
33579125U, // HADD_U_W
33573578U, // HSUB_S_D
33574988U, // HSUB_S_H
33578624U, // HSUB_S_W
33574045U, // HSUB_U_D
33575276U, // HSUB_U_H
33579092U, // HSUB_U_W
33571982U, // ILVEV_B
33574322U, // ILVEV_D
33575519U, // ILVEV_H
33579369U, // ILVEV_W
33571510U, // ILVL_B
33573303U, // ILVL_D
33574845U, // ILVL_H
33578307U, // ILVL_W
33571262U, // ILVOD_B
33572776U, // ILVOD_D
33574647U, // ILVOD_H
33577892U, // ILVOD_W
33571558U, // ILVR_B
33573551U, // ILVR_D
33574970U, // ILVR_H
33578597U, // ILVR_W
1107321458U, // INS
9585589U, // INSERT_B
0U, // INSERT_B_VIDX_PSEUDO
9587816U, // INSERT_D
0U, // INSERT_D_VIDX_PSEUDO
0U, // INSERT_FD_PSEUDO
0U, // INSERT_FD_VIDX_PSEUDO
0U, // INSERT_FW_PSEUDO
0U, // INSERT_FW_VIDX_PSEUDO
9589074U, // INSERT_H
0U, // INSERT_H_VIDX_PSEUDO
9592871U, // INSERT_W
0U, // INSERT_W_VIDX_PSEUDO
2120467U, // INSV
11682247U, // INSVE_B
11683918U, // INSVE_D
11685632U, // INSVE_H
11688945U, // INSVE_W
1107321458U, // INS_MM
415033U, // J
415066U, // JAL
22345U, // JALR
415561U, // JALR16_MM
22345U, // JALR64
0U, // JALR64Pseudo
0U, // JALRPseudo
17592U, // JALR_HB
22345U, // JALR_MM
418221U, // JALX
415066U, // JAL_MM
17982U, // JIALC
17971U, // JIC
415557U, // JR
415557U, // JR64
410801U, // JR_HB
410801U, // JR_HB_R6
415557U, // JR_MM
415033U, // J_MM
1332570U, // Jal16
1463642U, // JalB16
8952U, // JrRa16
8943U, // JrcRa16
418322U, // JrcRx16
409601U, // JumpLinkReg16
12600513U, // LB
12600513U, // LB64
337666483U, // LBUX
12600513U, // LB_MM
12605947U, // LBu
12605947U, // LBu64
12605947U, // LBu_MM
12602959U, // LD
12599337U, // LDC1
12599337U, // LDC164
12599337U, // LDC1_MM
12599498U, // LDC2
12599498U, // LDC2_R6
12599548U, // LDC3
16873U, // LDI_B
18622U, // LDI_D
20258U, // LDI_H
23668U, // LDI_W
12604854U, // LDL
18043U, // LDPC
12605187U, // LDR
337657961U, // LDXC1
337657961U, // LDXC164
12599727U, // LD_B
12601241U, // LD_D
12603112U, // LD_H
12606357U, // LD_W
4217405U, // LEA_ADDiu
4217404U, // LEA_ADDiu64
4217405U, // LEA_ADDiu_MM
12604046U, // LH
12604046U, // LH64
337666472U, // LHX
12604046U, // LH_MM
12606000U, // LHu
12606000U, // LHu64
12606000U, // LHu_MM
12604925U, // LL
12602958U, // LLD
12602958U, // LLD_R6
12604925U, // LL_MM
12604925U, // LL_R6
12599303U, // LOAD_ACC128
12599303U, // LOAD_ACC64
12599303U, // LOAD_ACC64DSP
12605048U, // LOAD_CCOND_DSP
0U, // LONG_BRANCH_ADDiu
0U, // LONG_BRANCH_DADDiu
0U, // LONG_BRANCH_LUi
33571165U, // LSA
33571165U, // LSA_R6
337657975U, // LUXC1
337657975U, // LUXC164
337657975U, // LUXC1_MM
14701876U, // LUi
14701876U, // LUi64
14701876U, // LUi_MM
12607904U, // LW
12607904U, // LW64
12599389U, // LWC1
12599389U, // LWC1_MM
12599524U, // LWC2
12599524U, // LWC2_R6
12599560U, // LWC3
12604947U, // LWL
12604947U, // LWL64
12604947U, // LWL_MM
18080U, // LWPC
12605287U, // LWR
12605287U, // LWR64
12605287U, // LWR_MM
18073U, // LWUPC
12606090U, // LWU_MM
337666489U, // LWX
337657989U, // LWXC1
337657989U, // LWXC1_MM
12607904U, // LW_MM
12606090U, // LWu
12600513U, // LbRxRyOffMemX16
12605947U, // LbuRxRyOffMemX16
12604046U, // LhRxRyOffMemX16
12606000U, // LhuRxRyOffMemX16
234902779U, // LiRxImm16
21745U, // LiRxImmAlignX16
21755U, // LiRxImmX16
14696736U, // LoadAddr32Imm
12599584U, // LoadAddr32Reg
14701819U, // LoadImm32Reg
21759U, // LoadImm64Reg
1597856U, // LwConstant32
67133856U, // LwRxPcTcp16
24992U, // LwRxPcTcpX16
12607904U, // LwRxRyOffMemX16
371220896U, // LwRxSpImmX16
20034U, // MADD
2183153804U, // MADDF_D
2183157786U, // MADDF_S
2183155702U, // MADDR_Q_H
2183159196U, // MADDR_Q_W
23068U, // MADDU
33577500U, // MADDU_DSP
23068U, // MADDU_MM
2183152764U, // MADDV_B
2183155104U, // MADDV_D
2183156301U, // MADDV_H
2183160151U, // MADDV_W
33572743U, // MADD_D32
33572743U, // MADD_D32_MM
33572743U, // MADD_D64
33574466U, // MADD_DSP
20034U, // MADD_MM
2183155672U, // MADD_Q_H
2183159166U, // MADD_Q_W
33576852U, // MADD_S
33576852U, // MADD_S_MM
33576384U, // MAQ_SA_W_PHL
33576717U, // MAQ_SA_W_PHR
33576412U, // MAQ_S_W_PHL
33576745U, // MAQ_S_W_PHR
33572684U, // MAXA_D
33576825U, // MAXA_S
33571633U, // MAXI_S_B
33573689U, // MAXI_S_D
33575087U, // MAXI_S_H
33578735U, // MAXI_S_W
570442760U, // MAXI_U_B
570445068U, // MAXI_U_D
570446287U, // MAXI_U_H
570450115U, // MAXI_U_W
33571214U, // MAX_A_B
33572660U, // MAX_A_D
33574599U, // MAX_A_H
33577801U, // MAX_A_W
33574407U, // MAX_D
33577392U, // MAX_S
33571721U, // MAX_S_B
33573809U, // MAX_S_D
33575206U, // MAX_S_H
33578916U, // MAX_S_W
33571936U, // MAX_U_B
33574276U, // MAX_U_D
33575473U, // MAX_U_H
33579323U, // MAX_U_W
1107312650U, // MFC0
16444U, // MFC1
16444U, // MFC1_MM
1107312855U, // MFC2
16450U, // MFHC1_D32
16450U, // MFHC1_D64
16450U, // MFHC1_MM
414949U, // MFHI
414949U, // MFHI16_MM
414949U, // MFHI64
21733U, // MFHI_DSP
414949U, // MFHI_MM
415289U, // MFLO
415289U, // MFLO16_MM
415289U, // MFLO64
22073U, // MFLO_DSP
415289U, // MFLO_MM
33572669U, // MINA_D
33576817U, // MINA_S
33571613U, // MINI_S_B
33573669U, // MINI_S_D
33575067U, // MINI_S_H
33578715U, // MINI_S_W
570442740U, // MINI_U_B
570445048U, // MINI_U_D
570446267U, // MINI_U_H
570450095U, // MINI_U_W
33571195U, // MIN_A_B
33572640U, // MIN_A_D
33574580U, // MIN_A_H
33577781U, // MIN_A_W
33573312U, // MIN_D
33577093U, // MIN_S
33571643U, // MIN_S_B
33573699U, // MIN_S_D
33575097U, // MIN_S_H
33578755U, // MIN_S_W
33571858U, // MIN_U_B
33574166U, // MIN_U_D
33575385U, // MIN_U_H
33579213U, // MIN_U_W
0U, // MIPSeh_return32
0U, // MIPSeh_return64
33574506U, // MOD
33572373U, // MODSUB
33577508U, // MODU
33571576U, // MOD_S_B
33573632U, // MOD_S_D
33575030U, // MOD_S_H
33578678U, // MOD_S_W
33571791U, // MOD_U_B
33574099U, // MOD_U_D
33575318U, // MOD_U_H
33579146U, // MOD_U_W
20110U, // MOVE16_MM
23190U, // MOVE_V
33573029U, // MOVF_D32
33573029U, // MOVF_D32_MM
33573029U, // MOVF_D64
33574560U, // MOVF_I
33574560U, // MOVF_I64
33574560U, // MOVF_I_MM
33577003U, // MOVF_S
33577003U, // MOVF_S_MM
33573364U, // MOVN_I64_D64
33576493U, // MOVN_I64_I
33576493U, // MOVN_I64_I64
33577129U, // MOVN_I64_S
33573364U, // MOVN_I_D32
33573364U, // MOVN_I_D32_MM
33573364U, // MOVN_I_D64
33576493U, // MOVN_I_I
33576493U, // MOVN_I_I64
33576493U, // MOVN_I_MM
33577129U, // MOVN_I_S
33577129U, // MOVN_I_S_MM
33574027U, // MOVT_D32
33574027U, // MOVT_D32_MM
33574027U, // MOVT_D64
33577461U, // MOVT_I
33577461U, // MOVT_I64
33577461U, // MOVT_I_MM
33577318U, // MOVT_S
33577318U, // MOVT_S_MM
33574447U, // MOVZ_I64_D64
33579526U, // MOVZ_I64_I
33579526U, // MOVZ_I64_I64
33577419U, // MOVZ_I64_S
33574447U, // MOVZ_I_D32
33574447U, // MOVZ_I_D32_MM
33574447U, // MOVZ_I_D64
33579526U, // MOVZ_I_I
33579526U, // MOVZ_I_I64
33579526U, // MOVZ_I_MM
33577419U, // MOVZ_I_S
33577419U, // MOVZ_I_S_MM
17949U, // MSUB
2183153795U, // MSUBF_D
2183157777U, // MSUBF_S
2183155691U, // MSUBR_Q_H
2183159185U, // MSUBR_Q_W
23047U, // MSUBU
33577479U, // MSUBU_DSP
23047U, // MSUBU_MM
2183152755U, // MSUBV_B
2183155095U, // MSUBV_D
2183156292U, // MSUBV_H
2183160142U, // MSUBV_W
33572701U, // MSUB_D32
33572701U, // MSUB_D32_MM
33572701U, // MSUB_D64
33572381U, // MSUB_DSP
17949U, // MSUB_MM
2183155662U, // MSUB_Q_H
2183159156U, // MSUB_Q_W
33576834U, // MSUB_S
33576834U, // MSUB_S_MM
1107312657U, // MTC0
704599U, // MTC1
704599U, // MTC1_MM
1107312862U, // MTC2
81993U, // MTHC1_D32
81993U, // MTHC1_D64
704585U, // MTHC1_MM
414955U, // MTHI
414955U, // MTHI64
709867U, // MTHI_DSP
414955U, // MTHI_MM
710245U, // MTHLIP
415302U, // MTLO
415302U, // MTLO64
710214U, // MTLO_DSP
415302U, // MTLO_MM
409629U, // MTM0
409747U, // MTM1
409840U, // MTM2
409635U, // MTP0
409753U, // MTP1
409846U, // MTP2
33576123U, // MUH
33577526U, // MUHU
33576462U, // MUL
33576425U, // MULEQ_S_W_PHL
33576758U, // MULEQ_S_W_PHR
33576327U, // MULEU_S_PH_QBL
33576660U, // MULEU_S_PH_QBR
33575884U, // MULQ_RS_PH
33578935U, // MULQ_RS_W
33575828U, // MULQ_S_PH
33578794U, // MULQ_S_W
33574913U, // MULR_Q_H
33578407U, // MULR_Q_W
33576030U, // MULSAQ_S_W_PH
33576005U, // MULSA_W_PH
23018U, // MULT
33577596U, // MULTU_DSP
33577450U, // MULT_DSP
23018U, // MULT_MM
23164U, // MULTu
23164U, // MULTu_MM
33577563U, // MULU
33571991U, // MULV_B
33574339U, // MULV_D
33575528U, // MULV_H
33579386U, // MULV_W
33576462U, // MUL_MM
33575701U, // MUL_PH
33574882U, // MUL_Q_H
33578376U, // MUL_Q_W
33576462U, // MUL_R6
33575796U, // MUL_S_PH
414949U, // Mfhi16
415289U, // Mflo16
20110U, // Move32R16
20110U, // MoveR3216
23018U, // MultRxRy16
17619434U, // MultRxRyRz16
23164U, // MultuRxRy16
17619580U, // MultuRxRyRz16
16798U, // NLOC_B
18286U, // NLOC_D
20183U, // NLOC_H
23402U, // NLOC_W
16806U, // NLZC_B
18294U, // NLZC_D
20191U, // NLZC_H
23410U, // NLZC_W
33572751U, // NMADD_D32
33572751U, // NMADD_D32_MM
33572751U, // NMADD_D64
33576851U, // NMADD_S
33576851U, // NMADD_S_MM
33572709U, // NMSUB_D32
33572709U, // NMSUB_D32_MM
33572709U, // NMSUB_D64
33576833U, // NMSUB_S
33576833U, // NMSUB_S_MM
0U, // NOP
33576783U, // NOR
33576783U, // NOR64
570442311U, // NORI_B
33576783U, // NOR_MM
33577638U, // NOR_V
0U, // NOR_V_D_PSEUDO
0U, // NOR_V_H_PSEUDO
0U, // NOR_V_W_PSEUDO
20134U, // NegRxRy16
23024U, // NotRxRy16
33576784U, // OR
33576784U, // OR64
570442312U, // ORI_B
33576784U, // OR_MM
33577639U, // OR_V
0U, // OR_V_D_PSEUDO
0U, // OR_V_H_PSEUDO
0U, // OR_V_W_PSEUDO
1107318039U, // ORi
1107318039U, // ORi64
1107318039U, // ORi_MM
2119504U, // OrRxRxRy16
33575690U, // PACKRL_PH
8964U, // PAUSE
33571973U, // PCKEV_B
33574313U, // PCKEV_D
33575510U, // PCKEV_H
33579360U, // PCKEV_W
33571253U, // PCKOD_B
33572767U, // PCKOD_D
33574638U, // PCKOD_H
33577883U, // PCKOD_W
17325U, // PCNT_B
19543U, // PCNT_D
20810U, // PCNT_H
24598U, // PCNT_W
33575654U, // PICK_PH
33572105U, // PICK_QB
22131U, // POP
21926U, // PRECEQU_PH_QBL
16676U, // PRECEQU_PH_QBLA
22259U, // PRECEQU_PH_QBR
16709U, // PRECEQU_PH_QBRA
21966U, // PRECEQ_W_PHL
22299U, // PRECEQ_W_PHR
21911U, // PRECEU_PH_QBL
16660U, // PRECEU_PH_QBLA
22244U, // PRECEU_PH_QBR
16693U, // PRECEU_PH_QBRA
33575606U, // PRECRQU_S_QB_PH
33578026U, // PRECRQ_PH_W
33575579U, // PRECRQ_QB_PH
33578057U, // PRECRQ_RS_PH_W
33575593U, // PRECR_QB_PH
1107319834U, // PRECR_SRA_PH_W
1107319863U, // PRECR_SRA_R_PH_W
36506U, // PREF
36506U, // PREF_R6
1107316312U, // PREPEND
0U, // PseudoCMPU_EQ_QB
0U, // PseudoCMPU_LE_QB
0U, // PseudoCMPU_LT_QB
0U, // PseudoCMP_EQ_PH
0U, // PseudoCMP_LE_PH
0U, // PseudoCMP_LT_PH
16391U, // PseudoCVT_D32_W
16391U, // PseudoCVT_D64_L
16391U, // PseudoCVT_D64_W
16391U, // PseudoCVT_S_L
16391U, // PseudoCVT_S_W
0U, // PseudoDMULT
0U, // PseudoDMULTu
0U, // PseudoDSDIV
0U, // PseudoDUDIV
0U, // PseudoIndirectBranch
0U, // PseudoIndirectBranch64
0U, // PseudoMADD
0U, // PseudoMADDU
0U, // PseudoMFHI
0U, // PseudoMFHI64
0U, // PseudoMFLO
0U, // PseudoMFLO64
0U, // PseudoMSUB
0U, // PseudoMSUBU
0U, // PseudoMTLOHI
0U, // PseudoMTLOHI64
0U, // PseudoMTLOHI_DSP
0U, // PseudoMULT
0U, // PseudoMULTu
0U, // PseudoPICK_PH
0U, // PseudoPICK_QB
0U, // PseudoReturn
0U, // PseudoReturn64
0U, // PseudoSDIV
0U, // PseudoUDIV
17925U, // RADDU_W_QB
14702233U, // RDDSP
22368U, // RDHWR
22368U, // RDHWR64
21513U, // REPLV_PH
17905U, // REPLV_QB
14701304U, // REPL_PH
14697755U, // REPL_QB
19552U, // RINT_D
22870U, // RINT_S
1107318618U, // ROTR
33577740U, // ROTRV
33577740U, // ROTRV_MM
1107318618U, // ROTR_MM
18757U, // ROUND_L_D64
22597U, // ROUND_L_S
19933U, // ROUND_W_D32
19933U, // ROUND_W_D64
19933U, // ROUND_W_MM
22919U, // ROUND_W_S
22919U, // ROUND_W_S_MM
0U, // Restore16
0U, // RestoreX16
0U, // RetRA
0U, // RetRA16
1107313506U, // SAT_S_B
1107315572U, // SAT_S_D
570446068U, // SAT_S_H
1107320668U, // SAT_S_W
1107313733U, // SAT_U_B
1107316051U, // SAT_U_D
570446358U, // SAT_U_H
1107321098U, // SAT_U_W
12600849U, // SB
12600849U, // SB64
12600849U, // SB_MM
1754799U, // SC
1756727U, // SCD
1756727U, // SCD_R6
1754799U, // SC_MM
1754799U, // SC_R6
12602991U, // SD
415318U, // SDBBP
415318U, // SDBBP_R6
12599343U, // SDC1
12599343U, // SDC164
12599343U, // SDC1_MM
12599504U, // SDC2
12599504U, // SDC2_R6
12599554U, // SDC3
25133U, // SDIV
25133U, // SDIV_MM
12604859U, // SDL
12605192U, // SDR
337657968U, // SDXC1
337657968U, // SDXC164
17580U, // SEB
17580U, // SEB64
17580U, // SEB_MM
21129U, // SEH
21129U, // SEH64
21129U, // SEH_MM
33579499U, // SELEQZ
33579499U, // SELEQZ64
33574437U, // SELEQZ_D
33577409U, // SELEQZ_S
33579472U, // SELNEZ
33579472U, // SELNEZ64
33574420U, // SELNEZ_D
33577399U, // SELNEZ_S
2183154030U, // SEL_D
2183157870U, // SEL_S
33576626U, // SEQ
33576202U, // SEQi
12604598U, // SH
12604598U, // SH64
570442193U, // SHF_B
570445578U, // SHF_H
570448907U, // SHF_W
22079U, // SHILO
23283U, // SHILOV
33575935U, // SHLLV_PH
33572327U, // SHLLV_QB
33575872U, // SHLLV_S_PH
33578905U, // SHLLV_S_W
1107317487U, // SHLL_PH
1107313938U, // SHLL_QB
1107317609U, // SHLL_S_PH
1107320569U, // SHLL_S_W
33575925U, // SHRAV_PH
33572317U, // SHRAV_QB
33575773U, // SHRAV_R_PH
33572215U, // SHRAV_R_QB
33578500U, // SHRAV_R_W
1107317394U, // SHRA_PH
1107313861U, // SHRA_QB
1107317562U, // SHRA_R_PH
1107314004U, // SHRA_R_QB
1107320282U, // SHRA_R_W
33575955U, // SHRLV_PH
33572347U, // SHRLV_QB
1107317505U, // SHRL_PH
1107313956U, // SHRL_QB
12604598U, // SH_MM
1814053352U, // SLDI_B
1814055101U, // SLDI_D
1814056737U, // SLDI_H
1814060147U, // SLDI_W
2350924206U, // SLD_B
2350925720U, // SLD_D
2350927591U, // SLD_H
2350930836U, // SLD_W
1107318274U, // SLL
402675202U, // SLL64_32
402675202U, // SLL64_64
570442250U, // SLLI_B
570443982U, // SLLI_D
570445618U, // SLLI_H
570449028U, // SLLI_W
33577702U, // SLLV
33577702U, // SLLV_MM
33571487U, // SLL_B
33573254U, // SLL_D
33574822U, // SLL_H
1107318274U, // SLL_MM
33578258U, // SLL_W
33577439U, // SLT
33577439U, // SLT64
33577439U, // SLT_MM
33576226U, // SLTi
33576226U, // SLTi64
33576226U, // SLTi_MM
33577547U, // SLTiu
33577547U, // SLTiu64
33577547U, // SLTiu_MM
33577583U, // SLTu
33577583U, // SLTu64
33577583U, // SLTu_MM
33574532U, // SNE
33576147U, // SNEi
0U, // SNZ_B_PSEUDO
0U, // SNZ_D_PSEUDO
0U, // SNZ_H_PSEUDO
0U, // SNZ_V_PSEUDO
0U, // SNZ_W_PSEUDO
738214497U, // SPLATI_B
738216213U, // SPLATI_D
738217849U, // SPLATI_H
738221259U, // SPLATI_W
201343900U, // SPLAT_B
201346005U, // SPLAT_D
201347385U, // SPLAT_H
201351139U, // SPLAT_W
1107312983U, // SRA
570442208U, // SRAI_B
570443957U, // SRAI_D
570445593U, // SRAI_H
570449003U, // SRAI_W
1107313196U, // SRARI_B
1107314928U, // SRARI_D
570445652U, // SRARI_H
1107319974U, // SRARI_W
33571525U, // SRAR_B
33573484U, // SRAR_D
33574937U, // SRAR_H
33578522U, // SRAR_W
33577681U, // SRAV
33577681U, // SRAV_MM
33571223U, // SRA_B
33572677U, // SRA_D
33574608U, // SRA_H
1107312983U, // SRA_MM
33577810U, // SRA_W
1107318280U, // SRL
570442258U, // SRLI_B
570443990U, // SRLI_D
570445626U, // SRLI_H
570449036U, // SRLI_W
1107313214U, // SRLRI_B
1107314946U, // SRLRI_D
570445670U, // SRLRI_H
1107319992U, // SRLRI_W
33571541U, // SRLR_B
33573500U, // SRLR_D
33574953U, // SRLR_H
33578538U, // SRLR_W
33577709U, // SRLV
33577709U, // SRLV_MM
33571494U, // SRL_B
33573279U, // SRL_D
33574829U, // SRL_H
1107318280U, // SRL_MM
33578283U, // SRL_W
8985U, // SSNOP
12599303U, // STORE_ACC128
12599303U, // STORE_ACC64
12599303U, // STORE_ACC64DSP
12605064U, // STORE_CCOND_DSP
12600255U, // ST_B
12602501U, // ST_D
12603740U, // ST_H
12607556U, // ST_W
33572376U, // SUB
33575634U, // SUBQH_PH
33575749U, // SUBQH_R_PH
33578468U, // SUBQH_R_W
33578073U, // SUBQH_W
33575709U, // SUBQ_PH
33575806U, // SUBQ_S_PH
33578774U, // SUBQ_S_W
33571897U, // SUBSUS_U_B
33574215U, // SUBSUS_U_D
33575434U, // SUBSUS_U_H
33579262U, // SUBSUS_U_W
33571700U, // SUBSUU_S_B
33573788U, // SUBSUU_S_D
33575174U, // SUBSUU_S_H
33578884U, // SUBSUU_S_W
33571662U, // SUBS_S_B
33573728U, // SUBS_S_D
33575136U, // SUBS_S_H
33578824U, // SUBS_S_W
33571877U, // SUBS_U_B
33574195U, // SUBS_U_D
33575414U, // SUBS_U_H
33579242U, // SUBS_U_W
33572085U, // SUBUH_QB
33572191U, // SUBUH_R_QB
33575907U, // SUBU_PH
33572299U, // SUBU_QB
33575850U, // SUBU_S_PH
33572238U, // SUBU_S_QB
570442356U, // SUBVI_B
570444072U, // SUBVI_D
570445708U, // SUBVI_H
570449118U, // SUBVI_W
33571956U, // SUBV_B
33574296U, // SUBV_D
33575493U, // SUBV_H
33579343U, // SUBV_W
33572376U, // SUB_MM
33577473U, // SUBu
33577473U, // SUBu_MM
337657982U, // SUXC1
337657982U, // SUXC164
337657982U, // SUXC1_MM
12607908U, // SW
12607908U, // SW64
12599395U, // SWC1
12599395U, // SWC1_MM
12599530U, // SWC2
12599530U, // SWC2_R6
12599566U, // SWC3
12604952U, // SWL
12604952U, // SWL64
12604952U, // SWL_MM
12605292U, // SWR
12605292U, // SWR64
12605292U, // SWR_MM
337657996U, // SWXC1
337657996U, // SWXC1_MM
12607908U, // SW_MM
418389U, // SYNC
418389U, // SYNC_MM
415224U, // SYSCALL
415224U, // SYSCALL_MM
0U, // SZ_B_PSEUDO
0U, // SZ_D_PSEUDO
0U, // SZ_H_PSEUDO
0U, // SZ_V_PSEUDO
0U, // SZ_W_PSEUDO
0U, // Save16
0U, // SaveX16
12600849U, // SbRxRyOffMemX16
418316U, // SebRx16
418328U, // SehRx16
1941989U, // SelBeqZ
1941962U, // SelBneZ
455186029U, // SelTBteqZCmp
455185668U, // SelTBteqZCmpi
455186911U, // SelTBteqZSlt
455185698U, // SelTBteqZSlti
455187019U, // SelTBteqZSltiu
455187055U, // SelTBteqZSltu
488740461U, // SelTBtneZCmp
488740100U, // SelTBtneZCmpi
488741343U, // SelTBtneZSlt
488740130U, // SelTBtneZSlti
488741451U, // SelTBtneZSltiu
488741487U, // SelTBtneZSltu
12604598U, // ShRxRyOffMemX16
1107318274U, // SllX16
2120422U, // SllvRxRy16
21813727U, // SltCCRxRy16
23007U, // SltRxRy16
21812514U, // SltiCCRxImmX16
234902818U, // SltiRxImm16
21794U, // SltiRxImmX16
21813835U, // SltiuCCRxImmX16
234904139U, // SltiuRxImm16
23115U, // SltiuRxImmX16
21813871U, // SltuCCRxRy16
23151U, // SltuRxRy16
21813871U, // SltuRxRyRz16
1107312983U, // SraX16
2120401U, // SravRxRy16
1107318280U, // SrlX16
2120429U, // SrlvRxRy16
33577473U, // SubuRxRyRz16
12607908U, // SwRxRyOffMemX16
371220900U, // SwRxSpImmX16
0U, // TAILCALL
0U, // TAILCALL64_R
0U, // TAILCALL_R
1107318455U, // TEQ
14701840U, // TEQI
14701840U, // TEQI_MM
1107318455U, // TEQ_MM
1107316339U, // TGE
14701773U, // TGEI
14703172U, // TGEIU
14703172U, // TGEIU_MM
14701773U, // TGEI_MM
1107319338U, // TGEU
1107319338U, // TGEU_MM
1107316339U, // TGE_MM
8980U, // TLBP
8991U, // TLBR
8970U, // TLBWI
8996U, // TLBWR
1107319268U, // TLT
14701864U, // TLTI
14703186U, // TLTIU_MM
14701864U, // TLTI_MM
1107319413U, // TLTU
1107319413U, // TLTU_MM
1107319268U, // TLT_MM
1107316361U, // TNE
14701785U, // TNEI
14701785U, // TNEI_MM
1107316361U, // TNE_MM
0U, // TRAP
18746U, // TRUNC_L_D64
22586U, // TRUNC_L_S
19922U, // TRUNC_W_D32
19922U, // TRUNC_W_D64
19922U, // TRUNC_W_MM
22908U, // TRUNC_W_S
22908U, // TRUNC_W_S_MM
14703186U, // TTLTIU
25119U, // UDIV
25119U, // UDIV_MM
33577561U, // V3MULU
33570839U, // VMM0
33577576U, // VMULU
2183152080U, // VSHF_B
2183153813U, // VSHF_D
2183155465U, // VSHF_H
2183158794U, // VSHF_W
9008U, // WAIT
416217U, // WAIT_MM
14702240U, // WRDSP
21123U, // WSBH
21123U, // WSBH_MM
33576788U, // XOR
33576788U, // XOR64
570442319U, // XORI_B
33576788U, // XOR_MM
33577645U, // XOR_V
0U, // XOR_V_D_PSEUDO
0U, // XOR_V_H_PSEUDO
0U, // XOR_V_W_PSEUDO
1107318038U, // XORi
1107318038U, // XORi64
1107318038U, // XORi_MM
2119508U, // XorRxRxRy16
0U
};
static const uint8_t OpInfo2[] = {
0U, // PHI
0U, // INLINEASM
0U, // CFI_INSTRUCTION
0U, // EH_LABEL
0U, // GC_LABEL
0U, // KILL
0U, // EXTRACT_SUBREG
0U, // INSERT_SUBREG
0U, // IMPLICIT_DEF
0U, // SUBREG_TO_REG
0U, // COPY_TO_REGCLASS
0U, // DBG_VALUE
0U, // REG_SEQUENCE
0U, // COPY
0U, // BUNDLE
0U, // LIFETIME_START
0U, // LIFETIME_END
0U, // STACKMAP
0U, // PATCHPOINT
0U, // LOAD_STACK_GUARD
0U, // ABSQ_S_PH
0U, // ABSQ_S_QB
0U, // ABSQ_S_W
0U, // ADD
0U, // ADDIUPC
0U, // ADDQH_PH
0U, // ADDQH_R_PH
0U, // ADDQH_R_W
0U, // ADDQH_W
0U, // ADDQ_PH
0U, // ADDQ_S_PH
0U, // ADDQ_S_W
0U, // ADDSC
0U, // ADDS_A_B
0U, // ADDS_A_D
0U, // ADDS_A_H
0U, // ADDS_A_W
0U, // ADDS_S_B
0U, // ADDS_S_D
0U, // ADDS_S_H
0U, // ADDS_S_W
0U, // ADDS_U_B
0U, // ADDS_U_D
0U, // ADDS_U_H
0U, // ADDS_U_W
0U, // ADDUH_QB
0U, // ADDUH_R_QB
0U, // ADDU_PH
0U, // ADDU_QB
0U, // ADDU_S_PH
0U, // ADDU_S_QB
0U, // ADDVI_B
0U, // ADDVI_D
0U, // ADDVI_H
0U, // ADDVI_W
0U, // ADDV_B
0U, // ADDV_D
0U, // ADDV_H
0U, // ADDV_W
0U, // ADDWC
0U, // ADD_A_B
0U, // ADD_A_D
0U, // ADD_A_H
0U, // ADD_A_W
0U, // ADD_MM
0U, // ADDi
0U, // ADDi_MM
0U, // ADDiu
0U, // ADDiu_MM
0U, // ADDu
0U, // ADDu_MM
0U, // ADJCALLSTACKDOWN
0U, // ADJCALLSTACKUP
1U, // ALIGN
0U, // ALUIPC
0U, // AND
0U, // AND64
0U, // ANDI_B
0U, // AND_MM
0U, // AND_V
0U, // AND_V_D_PSEUDO
0U, // AND_V_H_PSEUDO
0U, // AND_V_W_PSEUDO
0U, // ANDi
0U, // ANDi64
0U, // ANDi_MM
0U, // APPEND
0U, // ASUB_S_B
0U, // ASUB_S_D
0U, // ASUB_S_H
0U, // ASUB_S_W
0U, // ASUB_U_B
0U, // ASUB_U_D
0U, // ASUB_U_H
0U, // ASUB_U_W
0U, // ATOMIC_CMP_SWAP_I16
0U, // ATOMIC_CMP_SWAP_I32
0U, // ATOMIC_CMP_SWAP_I64
0U, // ATOMIC_CMP_SWAP_I8
0U, // ATOMIC_LOAD_ADD_I16
0U, // ATOMIC_LOAD_ADD_I32
0U, // ATOMIC_LOAD_ADD_I64
0U, // ATOMIC_LOAD_ADD_I8
0U, // ATOMIC_LOAD_AND_I16
0U, // ATOMIC_LOAD_AND_I32
0U, // ATOMIC_LOAD_AND_I64
0U, // ATOMIC_LOAD_AND_I8
0U, // ATOMIC_LOAD_NAND_I16
0U, // ATOMIC_LOAD_NAND_I32
0U, // ATOMIC_LOAD_NAND_I64
0U, // ATOMIC_LOAD_NAND_I8
0U, // ATOMIC_LOAD_OR_I16
0U, // ATOMIC_LOAD_OR_I32
0U, // ATOMIC_LOAD_OR_I64
0U, // ATOMIC_LOAD_OR_I8
0U, // ATOMIC_LOAD_SUB_I16
0U, // ATOMIC_LOAD_SUB_I32
0U, // ATOMIC_LOAD_SUB_I64
0U, // ATOMIC_LOAD_SUB_I8
0U, // ATOMIC_LOAD_XOR_I16
0U, // ATOMIC_LOAD_XOR_I32
0U, // ATOMIC_LOAD_XOR_I64
0U, // ATOMIC_LOAD_XOR_I8
0U, // ATOMIC_SWAP_I16
0U, // ATOMIC_SWAP_I32
0U, // ATOMIC_SWAP_I64
0U, // ATOMIC_SWAP_I8
0U, // AUI
0U, // AUIPC
0U, // AVER_S_B
0U, // AVER_S_D
0U, // AVER_S_H
0U, // AVER_S_W
0U, // AVER_U_B
0U, // AVER_U_D
0U, // AVER_U_H
0U, // AVER_U_W
0U, // AVE_S_B
0U, // AVE_S_D
0U, // AVE_S_H
0U, // AVE_S_W
0U, // AVE_U_B
0U, // AVE_U_D
0U, // AVE_U_H
0U, // AVE_U_W
0U, // AddiuRxImmX16
0U, // AddiuRxPcImmX16
0U, // AddiuRxRxImm16
0U, // AddiuRxRxImmX16
0U, // AddiuRxRyOffMemX16
0U, // AddiuSpImm16
0U, // AddiuSpImmX16
0U, // AdduRxRyRz16
0U, // AndRxRxRy16
0U, // B
0U, // BADDu
0U, // BAL
0U, // BALC
0U, // BALIGN
0U, // BAL_BR
0U, // BC
0U, // BC1EQZ
0U, // BC1F
0U, // BC1F_MM
0U, // BC1NEZ
0U, // BC1T
0U, // BC1T_MM
0U, // BC2EQZ
0U, // BC2NEZ
0U, // BCLRI_B
0U, // BCLRI_D
0U, // BCLRI_H
0U, // BCLRI_W
0U, // BCLR_B
0U, // BCLR_D
0U, // BCLR_H
0U, // BCLR_W
0U, // BEQ
0U, // BEQ64
0U, // BEQC
0U, // BEQZALC
0U, // BEQZC
0U, // BEQ_MM
0U, // BGEC
0U, // BGEUC
0U, // BGEZ
0U, // BGEZ64
0U, // BGEZAL
0U, // BGEZALC
0U, // BGEZAL_MM
0U, // BGEZC
0U, // BGEZ_MM
0U, // BGTZ
0U, // BGTZ64
0U, // BGTZALC
0U, // BGTZC
0U, // BGTZ_MM
0U, // BINSLI_B
0U, // BINSLI_D
0U, // BINSLI_H
0U, // BINSLI_W
0U, // BINSL_B
0U, // BINSL_D
0U, // BINSL_H
0U, // BINSL_W
0U, // BINSRI_B
0U, // BINSRI_D
0U, // BINSRI_H
0U, // BINSRI_W
0U, // BINSR_B
0U, // BINSR_D
0U, // BINSR_H
0U, // BINSR_W
0U, // BITREV
0U, // BITSWAP
0U, // BLEZ
0U, // BLEZ64
0U, // BLEZALC
0U, // BLEZC
0U, // BLEZ_MM
0U, // BLTC
0U, // BLTUC
0U, // BLTZ
0U, // BLTZ64
0U, // BLTZAL
0U, // BLTZALC
0U, // BLTZAL_MM
0U, // BLTZC
0U, // BLTZ_MM
0U, // BMNZI_B
0U, // BMNZ_V
0U, // BMZI_B
0U, // BMZ_V
0U, // BNE
0U, // BNE64
0U, // BNEC
0U, // BNEGI_B
0U, // BNEGI_D
0U, // BNEGI_H
0U, // BNEGI_W
0U, // BNEG_B
0U, // BNEG_D
0U, // BNEG_H
0U, // BNEG_W
0U, // BNEZALC
0U, // BNEZC
0U, // BNE_MM
0U, // BNVC
0U, // BNZ_B
0U, // BNZ_D
0U, // BNZ_H
0U, // BNZ_V
0U, // BNZ_W
0U, // BOVC
0U, // BPOSGE32
0U, // BPOSGE32_PSEUDO
0U, // BREAK
0U, // BREAK_MM
0U, // BSELI_B
0U, // BSEL_D_PSEUDO
0U, // BSEL_FD_PSEUDO
0U, // BSEL_FW_PSEUDO
0U, // BSEL_H_PSEUDO
0U, // BSEL_V
0U, // BSEL_W_PSEUDO
0U, // BSETI_B
0U, // BSETI_D
0U, // BSETI_H
0U, // BSETI_W
0U, // BSET_B
0U, // BSET_D
0U, // BSET_H
0U, // BSET_W
0U, // BZ_B
0U, // BZ_D
0U, // BZ_H
0U, // BZ_V
0U, // BZ_W
0U, // BeqzRxImm16
0U, // BeqzRxImmX16
0U, // Bimm16
0U, // BimmX16
0U, // BnezRxImm16
0U, // BnezRxImmX16
0U, // Break16
0U, // Bteqz16
0U, // BteqzT8CmpX16
0U, // BteqzT8CmpiX16
0U, // BteqzT8SltX16
0U, // BteqzT8SltiX16
0U, // BteqzT8SltiuX16
0U, // BteqzT8SltuX16
0U, // BteqzX16
0U, // Btnez16
0U, // BtnezT8CmpX16
0U, // BtnezT8CmpiX16
0U, // BtnezT8SltX16
0U, // BtnezT8SltiX16
0U, // BtnezT8SltiuX16
0U, // BtnezT8SltuX16
0U, // BtnezX16
0U, // BuildPairF64
0U, // BuildPairF64_64
0U, // CACHE
0U, // CACHE_R6
0U, // CEIL_L_D64
0U, // CEIL_L_S
0U, // CEIL_W_D32
0U, // CEIL_W_D64
0U, // CEIL_W_MM
0U, // CEIL_W_S
0U, // CEIL_W_S_MM
0U, // CEQI_B
0U, // CEQI_D
0U, // CEQI_H
0U, // CEQI_W
0U, // CEQ_B
0U, // CEQ_D
0U, // CEQ_H
0U, // CEQ_W
0U, // CFC1
0U, // CFC1_MM
0U, // CFCMSA
1U, // CINS
1U, // CINS32
0U, // CLASS_D
0U, // CLASS_S
0U, // CLEI_S_B
0U, // CLEI_S_D
0U, // CLEI_S_H
0U, // CLEI_S_W
0U, // CLEI_U_B
0U, // CLEI_U_D
0U, // CLEI_U_H
0U, // CLEI_U_W
0U, // CLE_S_B
0U, // CLE_S_D
0U, // CLE_S_H
0U, // CLE_S_W
0U, // CLE_U_B
0U, // CLE_U_D
0U, // CLE_U_H
0U, // CLE_U_W
0U, // CLO
0U, // CLO_MM
0U, // CLO_R6
0U, // CLTI_S_B
0U, // CLTI_S_D
0U, // CLTI_S_H
0U, // CLTI_S_W
0U, // CLTI_U_B
0U, // CLTI_U_D
0U, // CLTI_U_H
0U, // CLTI_U_W
0U, // CLT_S_B
0U, // CLT_S_D
0U, // CLT_S_H
0U, // CLT_S_W
0U, // CLT_U_B
0U, // CLT_U_D
0U, // CLT_U_H
0U, // CLT_U_W
0U, // CLZ
0U, // CLZ_MM
0U, // CLZ_R6
0U, // CMPGDU_EQ_QB
0U, // CMPGDU_LE_QB
0U, // CMPGDU_LT_QB
0U, // CMPGU_EQ_QB
0U, // CMPGU_LE_QB
0U, // CMPGU_LT_QB
0U, // CMPU_EQ_QB
0U, // CMPU_LE_QB
0U, // CMPU_LT_QB
0U, // CMP_EQ_D
0U, // CMP_EQ_PH
0U, // CMP_EQ_S
0U, // CMP_F_D
0U, // CMP_F_S
0U, // CMP_LE_D
0U, // CMP_LE_PH
0U, // CMP_LE_S
0U, // CMP_LT_D
0U, // CMP_LT_PH
0U, // CMP_LT_S
0U, // CMP_SAF_D
0U, // CMP_SAF_S
0U, // CMP_SEQ_D
0U, // CMP_SEQ_S
0U, // CMP_SLE_D
0U, // CMP_SLE_S
0U, // CMP_SLT_D
0U, // CMP_SLT_S
0U, // CMP_SUEQ_D
0U, // CMP_SUEQ_S
0U, // CMP_SULE_D
0U, // CMP_SULE_S
0U, // CMP_SULT_D
0U, // CMP_SULT_S
0U, // CMP_SUN_D
0U, // CMP_SUN_S
0U, // CMP_UEQ_D
0U, // CMP_UEQ_S
0U, // CMP_ULE_D
0U, // CMP_ULE_S
0U, // CMP_ULT_D
0U, // CMP_ULT_S
0U, // CMP_UN_D
0U, // CMP_UN_S
0U, // CONSTPOOL_ENTRY
0U, // COPY_FD_PSEUDO
0U, // COPY_FW_PSEUDO
2U, // COPY_S_B
2U, // COPY_S_D
2U, // COPY_S_H
2U, // COPY_S_W
2U, // COPY_U_B
2U, // COPY_U_D
2U, // COPY_U_H
2U, // COPY_U_W
0U, // CTC1
0U, // CTC1_MM
0U, // CTCMSA
0U, // CVT_D32_S
0U, // CVT_D32_W
0U, // CVT_D32_W_MM
0U, // CVT_D64_L
0U, // CVT_D64_S
0U, // CVT_D64_W
0U, // CVT_D_S_MM
0U, // CVT_L_D64
0U, // CVT_L_D64_MM
0U, // CVT_L_S
0U, // CVT_L_S_MM
0U, // CVT_S_D32
0U, // CVT_S_D32_MM
0U, // CVT_S_D64
0U, // CVT_S_L
0U, // CVT_S_W
0U, // CVT_S_W_MM
0U, // CVT_W_D32
0U, // CVT_W_D64
0U, // CVT_W_MM
0U, // CVT_W_S
0U, // CVT_W_S_MM
0U, // C_EQ_D32
0U, // C_EQ_D64
0U, // C_EQ_S
0U, // C_F_D32
0U, // C_F_D64
0U, // C_F_S
0U, // C_LE_D32
0U, // C_LE_D64
0U, // C_LE_S
0U, // C_LT_D32
0U, // C_LT_D64
0U, // C_LT_S
0U, // C_NGE_D32
0U, // C_NGE_D64
0U, // C_NGE_S
0U, // C_NGLE_D32
0U, // C_NGLE_D64
0U, // C_NGLE_S
0U, // C_NGL_D32
0U, // C_NGL_D64
0U, // C_NGL_S
0U, // C_NGT_D32
0U, // C_NGT_D64
0U, // C_NGT_S
0U, // C_OLE_D32
0U, // C_OLE_D64
0U, // C_OLE_S
0U, // C_OLT_D32
0U, // C_OLT_D64
0U, // C_OLT_S
0U, // C_SEQ_D32
0U, // C_SEQ_D64
0U, // C_SEQ_S
0U, // C_SF_D32
0U, // C_SF_D64
0U, // C_SF_S
0U, // C_UEQ_D32
0U, // C_UEQ_D64
0U, // C_UEQ_S
0U, // C_ULE_D32
0U, // C_ULE_D64
0U, // C_ULE_S
0U, // C_ULT_D32
0U, // C_ULT_D64
0U, // C_ULT_S
0U, // C_UN_D32
0U, // C_UN_D64
0U, // C_UN_S
0U, // CmpRxRy16
0U, // CmpiRxImm16
0U, // CmpiRxImmX16
0U, // Constant32
0U, // DADD
0U, // DADDi
0U, // DADDiu
0U, // DADDu
0U, // DAHI
1U, // DALIGN
0U, // DATI
0U, // DAUI
0U, // DBITSWAP
0U, // DCLO
0U, // DCLO_R6
0U, // DCLZ
0U, // DCLZ_R6
0U, // DDIV
0U, // DDIVU
0U, // DERET
0U, // DERET_MM
5U, // DEXT
5U, // DEXTM
5U, // DEXTU
0U, // DI
5U, // DINS
5U, // DINSM
5U, // DINSU
0U, // DIV
0U, // DIVU
0U, // DIV_S_B
0U, // DIV_S_D
0U, // DIV_S_H
0U, // DIV_S_W
0U, // DIV_U_B
0U, // DIV_U_D
0U, // DIV_U_H
0U, // DIV_U_W
0U, // DI_MM
1U, // DLSA
1U, // DLSA_R6
0U, // DMFC0
0U, // DMFC1
0U, // DMFC2
0U, // DMOD
0U, // DMODU
0U, // DMTC0
0U, // DMTC1
0U, // DMTC2
0U, // DMUH
0U, // DMUHU
0U, // DMUL
0U, // DMULT
0U, // DMULTu
0U, // DMULU
0U, // DMUL_R6
0U, // DOTP_S_D
0U, // DOTP_S_H
0U, // DOTP_S_W
0U, // DOTP_U_D
0U, // DOTP_U_H
0U, // DOTP_U_W
0U, // DPADD_S_D
0U, // DPADD_S_H
0U, // DPADD_S_W
0U, // DPADD_U_D
0U, // DPADD_U_H
0U, // DPADD_U_W
0U, // DPAQX_SA_W_PH
0U, // DPAQX_S_W_PH
0U, // DPAQ_SA_L_W
0U, // DPAQ_S_W_PH
0U, // DPAU_H_QBL
0U, // DPAU_H_QBR
0U, // DPAX_W_PH
0U, // DPA_W_PH
0U, // DPOP
0U, // DPSQX_SA_W_PH
0U, // DPSQX_S_W_PH
0U, // DPSQ_SA_L_W
0U, // DPSQ_S_W_PH
0U, // DPSUB_S_D
0U, // DPSUB_S_H
0U, // DPSUB_S_W
0U, // DPSUB_U_D
0U, // DPSUB_U_H
0U, // DPSUB_U_W
0U, // DPSU_H_QBL
0U, // DPSU_H_QBR
0U, // DPSX_W_PH
0U, // DPS_W_PH
0U, // DROTR
0U, // DROTR32
0U, // DROTRV
0U, // DSBH
0U, // DSDIV
0U, // DSHD
0U, // DSLL
0U, // DSLL32
0U, // DSLL64_32
0U, // DSLLV
0U, // DSRA
0U, // DSRA32
0U, // DSRAV
0U, // DSRL
0U, // DSRL32
0U, // DSRLV
0U, // DSUB
0U, // DSUBu
0U, // DUDIV
0U, // DivRxRy16
0U, // DivuRxRy16
0U, // EHB
0U, // EI
0U, // EI_MM
0U, // ERET
0U, // ERET_MM
5U, // EXT
0U, // EXTP
0U, // EXTPDP
0U, // EXTPDPV
0U, // EXTPV
0U, // EXTRV_RS_W
0U, // EXTRV_R_W
0U, // EXTRV_S_H
0U, // EXTRV_W
0U, // EXTR_RS_W
0U, // EXTR_R_W
0U, // EXTR_S_H
0U, // EXTR_W
1U, // EXTS
1U, // EXTS32
5U, // EXT_MM
0U, // ExtractElementF64
0U, // ExtractElementF64_64
0U, // FABS_D
0U, // FABS_D32
0U, // FABS_D64
0U, // FABS_MM
0U, // FABS_S
0U, // FABS_S_MM
0U, // FABS_W
0U, // FADD_D
0U, // FADD_D32
0U, // FADD_D64
0U, // FADD_MM
0U, // FADD_S
0U, // FADD_S_MM
0U, // FADD_W
0U, // FCAF_D
0U, // FCAF_W
0U, // FCEQ_D
0U, // FCEQ_W
0U, // FCLASS_D
0U, // FCLASS_W
0U, // FCLE_D
0U, // FCLE_W
0U, // FCLT_D
0U, // FCLT_W
0U, // FCMP_D32
0U, // FCMP_D32_MM
0U, // FCMP_D64
0U, // FCMP_S32
0U, // FCMP_S32_MM
0U, // FCNE_D
0U, // FCNE_W
0U, // FCOR_D
0U, // FCOR_W
0U, // FCUEQ_D
0U, // FCUEQ_W
0U, // FCULE_D
0U, // FCULE_W
0U, // FCULT_D
0U, // FCULT_W
0U, // FCUNE_D
0U, // FCUNE_W
0U, // FCUN_D
0U, // FCUN_W
0U, // FDIV_D
0U, // FDIV_D32
0U, // FDIV_D64
0U, // FDIV_MM
0U, // FDIV_S
0U, // FDIV_S_MM
0U, // FDIV_W
0U, // FEXDO_H
0U, // FEXDO_W
0U, // FEXP2_D
0U, // FEXP2_D_1_PSEUDO
0U, // FEXP2_W
0U, // FEXP2_W_1_PSEUDO
0U, // FEXUPL_D
0U, // FEXUPL_W
0U, // FEXUPR_D
0U, // FEXUPR_W
0U, // FFINT_S_D
0U, // FFINT_S_W
0U, // FFINT_U_D
0U, // FFINT_U_W
0U, // FFQL_D
0U, // FFQL_W
0U, // FFQR_D
0U, // FFQR_W
0U, // FILL_B
0U, // FILL_D
0U, // FILL_FD_PSEUDO
0U, // FILL_FW_PSEUDO
0U, // FILL_H
0U, // FILL_W
0U, // FLOG2_D
0U, // FLOG2_W
0U, // FLOOR_L_D64
0U, // FLOOR_L_S
0U, // FLOOR_W_D32
0U, // FLOOR_W_D64
0U, // FLOOR_W_MM
0U, // FLOOR_W_S
0U, // FLOOR_W_S_MM
0U, // FMADD_D
0U, // FMADD_W
0U, // FMAX_A_D
0U, // FMAX_A_W
0U, // FMAX_D
0U, // FMAX_W
0U, // FMIN_A_D
0U, // FMIN_A_W
0U, // FMIN_D
0U, // FMIN_W
0U, // FMOV_D32
0U, // FMOV_D32_MM
0U, // FMOV_D64
0U, // FMOV_S
0U, // FMOV_S_MM
0U, // FMSUB_D
0U, // FMSUB_W
0U, // FMUL_D
0U, // FMUL_D32
0U, // FMUL_D64
0U, // FMUL_MM
0U, // FMUL_S
0U, // FMUL_S_MM
0U, // FMUL_W
0U, // FNEG_D32
0U, // FNEG_D64
0U, // FNEG_MM
0U, // FNEG_S
0U, // FNEG_S_MM
0U, // FRCP_D
0U, // FRCP_W
0U, // FRINT_D
0U, // FRINT_W
0U, // FRSQRT_D
0U, // FRSQRT_W
0U, // FSAF_D
0U, // FSAF_W
0U, // FSEQ_D
0U, // FSEQ_W
0U, // FSLE_D
0U, // FSLE_W
0U, // FSLT_D
0U, // FSLT_W
0U, // FSNE_D
0U, // FSNE_W
0U, // FSOR_D
0U, // FSOR_W
0U, // FSQRT_D
0U, // FSQRT_D32
0U, // FSQRT_D64
0U, // FSQRT_MM
0U, // FSQRT_S
0U, // FSQRT_S_MM
0U, // FSQRT_W
0U, // FSUB_D
0U, // FSUB_D32
0U, // FSUB_D64
0U, // FSUB_MM
0U, // FSUB_S
0U, // FSUB_S_MM
0U, // FSUB_W
0U, // FSUEQ_D
0U, // FSUEQ_W
0U, // FSULE_D
0U, // FSULE_W
0U, // FSULT_D
0U, // FSULT_W
0U, // FSUNE_D
0U, // FSUNE_W
0U, // FSUN_D
0U, // FSUN_W
0U, // FTINT_S_D
0U, // FTINT_S_W
0U, // FTINT_U_D
0U, // FTINT_U_W
0U, // FTQ_H
0U, // FTQ_W
0U, // FTRUNC_S_D
0U, // FTRUNC_S_W
0U, // FTRUNC_U_D
0U, // FTRUNC_U_W
0U, // GotPrologue16
0U, // HADD_S_D
0U, // HADD_S_H
0U, // HADD_S_W
0U, // HADD_U_D
0U, // HADD_U_H
0U, // HADD_U_W
0U, // HSUB_S_D
0U, // HSUB_S_H
0U, // HSUB_S_W
0U, // HSUB_U_D
0U, // HSUB_U_H
0U, // HSUB_U_W
0U, // ILVEV_B
0U, // ILVEV_D
0U, // ILVEV_H
0U, // ILVEV_W
0U, // ILVL_B
0U, // ILVL_D
0U, // ILVL_H
0U, // ILVL_W
0U, // ILVOD_B
0U, // ILVOD_D
0U, // ILVOD_H
0U, // ILVOD_W
0U, // ILVR_B
0U, // ILVR_D
0U, // ILVR_H
0U, // ILVR_W
5U, // INS
0U, // INSERT_B
0U, // INSERT_B_VIDX_PSEUDO
0U, // INSERT_D
0U, // INSERT_D_VIDX_PSEUDO
0U, // INSERT_FD_PSEUDO
0U, // INSERT_FD_VIDX_PSEUDO
0U, // INSERT_FW_PSEUDO
0U, // INSERT_FW_VIDX_PSEUDO
0U, // INSERT_H
0U, // INSERT_H_VIDX_PSEUDO
0U, // INSERT_W
0U, // INSERT_W_VIDX_PSEUDO
0U, // INSV
0U, // INSVE_B
0U, // INSVE_D
0U, // INSVE_H
0U, // INSVE_W
5U, // INS_MM
0U, // J
0U, // JAL
0U, // JALR
0U, // JALR16_MM
0U, // JALR64
0U, // JALR64Pseudo
0U, // JALRPseudo
0U, // JALR_HB
0U, // JALR_MM
0U, // JALX
0U, // JAL_MM
0U, // JIALC
0U, // JIC
0U, // JR
0U, // JR64
0U, // JR_HB
0U, // JR_HB_R6
0U, // JR_MM
0U, // J_MM
0U, // Jal16
0U, // JalB16
0U, // JrRa16
0U, // JrcRa16
0U, // JrcRx16
0U, // JumpLinkReg16
0U, // LB
0U, // LB64
0U, // LBUX
0U, // LB_MM
0U, // LBu
0U, // LBu64
0U, // LBu_MM
0U, // LD
0U, // LDC1
0U, // LDC164
0U, // LDC1_MM
0U, // LDC2
0U, // LDC2_R6
0U, // LDC3
0U, // LDI_B
0U, // LDI_D
0U, // LDI_H
0U, // LDI_W
0U, // LDL
0U, // LDPC
0U, // LDR
0U, // LDXC1
0U, // LDXC164
0U, // LD_B
0U, // LD_D
0U, // LD_H
0U, // LD_W
0U, // LEA_ADDiu
0U, // LEA_ADDiu64
0U, // LEA_ADDiu_MM
0U, // LH
0U, // LH64
0U, // LHX
0U, // LH_MM
0U, // LHu
0U, // LHu64
0U, // LHu_MM
0U, // LL
0U, // LLD
0U, // LLD_R6
0U, // LL_MM
0U, // LL_R6
0U, // LOAD_ACC128
0U, // LOAD_ACC64
0U, // LOAD_ACC64DSP
0U, // LOAD_CCOND_DSP
0U, // LONG_BRANCH_ADDiu
0U, // LONG_BRANCH_DADDiu
0U, // LONG_BRANCH_LUi
1U, // LSA
1U, // LSA_R6
0U, // LUXC1
0U, // LUXC164
0U, // LUXC1_MM
0U, // LUi
0U, // LUi64
0U, // LUi_MM
0U, // LW
0U, // LW64
0U, // LWC1
0U, // LWC1_MM
0U, // LWC2
0U, // LWC2_R6
0U, // LWC3
0U, // LWL
0U, // LWL64
0U, // LWL_MM
0U, // LWPC
0U, // LWR
0U, // LWR64
0U, // LWR_MM
0U, // LWUPC
0U, // LWU_MM
0U, // LWX
0U, // LWXC1
0U, // LWXC1_MM
0U, // LW_MM
0U, // LWu
0U, // LbRxRyOffMemX16
0U, // LbuRxRyOffMemX16
0U, // LhRxRyOffMemX16
0U, // LhuRxRyOffMemX16
0U, // LiRxImm16
0U, // LiRxImmAlignX16
0U, // LiRxImmX16
0U, // LoadAddr32Imm
0U, // LoadAddr32Reg
0U, // LoadImm32Reg
0U, // LoadImm64Reg
0U, // LwConstant32
0U, // LwRxPcTcp16
0U, // LwRxPcTcpX16
0U, // LwRxRyOffMemX16
0U, // LwRxSpImmX16
0U, // MADD
0U, // MADDF_D
0U, // MADDF_S
0U, // MADDR_Q_H
0U, // MADDR_Q_W
0U, // MADDU
0U, // MADDU_DSP
0U, // MADDU_MM
0U, // MADDV_B
0U, // MADDV_D
0U, // MADDV_H
0U, // MADDV_W
5U, // MADD_D32
5U, // MADD_D32_MM
5U, // MADD_D64
0U, // MADD_DSP
0U, // MADD_MM
0U, // MADD_Q_H
0U, // MADD_Q_W
5U, // MADD_S
5U, // MADD_S_MM
0U, // MAQ_SA_W_PHL
0U, // MAQ_SA_W_PHR
0U, // MAQ_S_W_PHL
0U, // MAQ_S_W_PHR
0U, // MAXA_D
0U, // MAXA_S
0U, // MAXI_S_B
0U, // MAXI_S_D
0U, // MAXI_S_H
0U, // MAXI_S_W
0U, // MAXI_U_B
0U, // MAXI_U_D
0U, // MAXI_U_H
0U, // MAXI_U_W
0U, // MAX_A_B
0U, // MAX_A_D
0U, // MAX_A_H
0U, // MAX_A_W
0U, // MAX_D
0U, // MAX_S
0U, // MAX_S_B
0U, // MAX_S_D
0U, // MAX_S_H
0U, // MAX_S_W
0U, // MAX_U_B
0U, // MAX_U_D
0U, // MAX_U_H
0U, // MAX_U_W
0U, // MFC0
0U, // MFC1
0U, // MFC1_MM
0U, // MFC2
0U, // MFHC1_D32
0U, // MFHC1_D64
0U, // MFHC1_MM
0U, // MFHI
0U, // MFHI16_MM
0U, // MFHI64
0U, // MFHI_DSP
0U, // MFHI_MM
0U, // MFLO
0U, // MFLO16_MM
0U, // MFLO64
0U, // MFLO_DSP
0U, // MFLO_MM
0U, // MINA_D
0U, // MINA_S
0U, // MINI_S_B
0U, // MINI_S_D
0U, // MINI_S_H
0U, // MINI_S_W
0U, // MINI_U_B
0U, // MINI_U_D
0U, // MINI_U_H
0U, // MINI_U_W
0U, // MIN_A_B
0U, // MIN_A_D
0U, // MIN_A_H
0U, // MIN_A_W
0U, // MIN_D
0U, // MIN_S
0U, // MIN_S_B
0U, // MIN_S_D
0U, // MIN_S_H
0U, // MIN_S_W
0U, // MIN_U_B
0U, // MIN_U_D
0U, // MIN_U_H
0U, // MIN_U_W
0U, // MIPSeh_return32
0U, // MIPSeh_return64
0U, // MOD
0U, // MODSUB
0U, // MODU
0U, // MOD_S_B
0U, // MOD_S_D
0U, // MOD_S_H
0U, // MOD_S_W
0U, // MOD_U_B
0U, // MOD_U_D
0U, // MOD_U_H
0U, // MOD_U_W
0U, // MOVE16_MM
0U, // MOVE_V
0U, // MOVF_D32
0U, // MOVF_D32_MM
0U, // MOVF_D64
0U, // MOVF_I
0U, // MOVF_I64
0U, // MOVF_I_MM
0U, // MOVF_S
0U, // MOVF_S_MM
0U, // MOVN_I64_D64
0U, // MOVN_I64_I
0U, // MOVN_I64_I64
0U, // MOVN_I64_S
0U, // MOVN_I_D32
0U, // MOVN_I_D32_MM
0U, // MOVN_I_D64
0U, // MOVN_I_I
0U, // MOVN_I_I64
0U, // MOVN_I_MM
0U, // MOVN_I_S
0U, // MOVN_I_S_MM
0U, // MOVT_D32
0U, // MOVT_D32_MM
0U, // MOVT_D64
0U, // MOVT_I
0U, // MOVT_I64
0U, // MOVT_I_MM
0U, // MOVT_S
0U, // MOVT_S_MM
0U, // MOVZ_I64_D64
0U, // MOVZ_I64_I
0U, // MOVZ_I64_I64
0U, // MOVZ_I64_S
0U, // MOVZ_I_D32
0U, // MOVZ_I_D32_MM
0U, // MOVZ_I_D64
0U, // MOVZ_I_I
0U, // MOVZ_I_I64
0U, // MOVZ_I_MM
0U, // MOVZ_I_S
0U, // MOVZ_I_S_MM
0U, // MSUB
0U, // MSUBF_D
0U, // MSUBF_S
0U, // MSUBR_Q_H
0U, // MSUBR_Q_W
0U, // MSUBU
0U, // MSUBU_DSP
0U, // MSUBU_MM
0U, // MSUBV_B
0U, // MSUBV_D
0U, // MSUBV_H
0U, // MSUBV_W
5U, // MSUB_D32
5U, // MSUB_D32_MM
5U, // MSUB_D64
0U, // MSUB_DSP
0U, // MSUB_MM
0U, // MSUB_Q_H
0U, // MSUB_Q_W
5U, // MSUB_S
5U, // MSUB_S_MM
0U, // MTC0
0U, // MTC1
0U, // MTC1_MM
0U, // MTC2
0U, // MTHC1_D32
0U, // MTHC1_D64
0U, // MTHC1_MM
0U, // MTHI
0U, // MTHI64
0U, // MTHI_DSP
0U, // MTHI_MM
0U, // MTHLIP
0U, // MTLO
0U, // MTLO64
0U, // MTLO_DSP
0U, // MTLO_MM
0U, // MTM0
0U, // MTM1
0U, // MTM2
0U, // MTP0
0U, // MTP1
0U, // MTP2
0U, // MUH
0U, // MUHU
0U, // MUL
0U, // MULEQ_S_W_PHL
0U, // MULEQ_S_W_PHR
0U, // MULEU_S_PH_QBL
0U, // MULEU_S_PH_QBR
0U, // MULQ_RS_PH
0U, // MULQ_RS_W
0U, // MULQ_S_PH
0U, // MULQ_S_W
0U, // MULR_Q_H
0U, // MULR_Q_W
0U, // MULSAQ_S_W_PH
0U, // MULSA_W_PH
0U, // MULT
0U, // MULTU_DSP
0U, // MULT_DSP
0U, // MULT_MM
0U, // MULTu
0U, // MULTu_MM
0U, // MULU
0U, // MULV_B
0U, // MULV_D
0U, // MULV_H
0U, // MULV_W
0U, // MUL_MM
0U, // MUL_PH
0U, // MUL_Q_H
0U, // MUL_Q_W
0U, // MUL_R6
0U, // MUL_S_PH
0U, // Mfhi16
0U, // Mflo16
0U, // Move32R16
0U, // MoveR3216
0U, // MultRxRy16
0U, // MultRxRyRz16
0U, // MultuRxRy16
0U, // MultuRxRyRz16
0U, // NLOC_B
0U, // NLOC_D
0U, // NLOC_H
0U, // NLOC_W
0U, // NLZC_B
0U, // NLZC_D
0U, // NLZC_H
0U, // NLZC_W
5U, // NMADD_D32
5U, // NMADD_D32_MM
5U, // NMADD_D64
5U, // NMADD_S
5U, // NMADD_S_MM
5U, // NMSUB_D32
5U, // NMSUB_D32_MM
5U, // NMSUB_D64
5U, // NMSUB_S
5U, // NMSUB_S_MM
0U, // NOP
0U, // NOR
0U, // NOR64
0U, // NORI_B
0U, // NOR_MM
0U, // NOR_V
0U, // NOR_V_D_PSEUDO
0U, // NOR_V_H_PSEUDO
0U, // NOR_V_W_PSEUDO
0U, // NegRxRy16
0U, // NotRxRy16
0U, // OR
0U, // OR64
0U, // ORI_B
0U, // OR_MM
0U, // OR_V
0U, // OR_V_D_PSEUDO
0U, // OR_V_H_PSEUDO
0U, // OR_V_W_PSEUDO
0U, // ORi
0U, // ORi64
0U, // ORi_MM
0U, // OrRxRxRy16
0U, // PACKRL_PH
0U, // PAUSE
0U, // PCKEV_B
0U, // PCKEV_D
0U, // PCKEV_H
0U, // PCKEV_W
0U, // PCKOD_B
0U, // PCKOD_D
0U, // PCKOD_H
0U, // PCKOD_W
0U, // PCNT_B
0U, // PCNT_D
0U, // PCNT_H
0U, // PCNT_W
0U, // PICK_PH
0U, // PICK_QB
0U, // POP
0U, // PRECEQU_PH_QBL
0U, // PRECEQU_PH_QBLA
0U, // PRECEQU_PH_QBR
0U, // PRECEQU_PH_QBRA
0U, // PRECEQ_W_PHL
0U, // PRECEQ_W_PHR
0U, // PRECEU_PH_QBL
0U, // PRECEU_PH_QBLA
0U, // PRECEU_PH_QBR
0U, // PRECEU_PH_QBRA
0U, // PRECRQU_S_QB_PH
0U, // PRECRQ_PH_W
0U, // PRECRQ_QB_PH
0U, // PRECRQ_RS_PH_W
0U, // PRECR_QB_PH
0U, // PRECR_SRA_PH_W
0U, // PRECR_SRA_R_PH_W
0U, // PREF
0U, // PREF_R6
0U, // PREPEND
0U, // PseudoCMPU_EQ_QB
0U, // PseudoCMPU_LE_QB
0U, // PseudoCMPU_LT_QB
0U, // PseudoCMP_EQ_PH
0U, // PseudoCMP_LE_PH
0U, // PseudoCMP_LT_PH
0U, // PseudoCVT_D32_W
0U, // PseudoCVT_D64_L
0U, // PseudoCVT_D64_W
0U, // PseudoCVT_S_L
0U, // PseudoCVT_S_W
0U, // PseudoDMULT
0U, // PseudoDMULTu
0U, // PseudoDSDIV
0U, // PseudoDUDIV
0U, // PseudoIndirectBranch
0U, // PseudoIndirectBranch64
0U, // PseudoMADD
0U, // PseudoMADDU
0U, // PseudoMFHI
0U, // PseudoMFHI64
0U, // PseudoMFLO
0U, // PseudoMFLO64
0U, // PseudoMSUB
0U, // PseudoMSUBU
0U, // PseudoMTLOHI
0U, // PseudoMTLOHI64
0U, // PseudoMTLOHI_DSP
0U, // PseudoMULT
0U, // PseudoMULTu
0U, // PseudoPICK_PH
0U, // PseudoPICK_QB
0U, // PseudoReturn
0U, // PseudoReturn64
0U, // PseudoSDIV
0U, // PseudoUDIV
0U, // RADDU_W_QB
0U, // RDDSP
0U, // RDHWR
0U, // RDHWR64
0U, // REPLV_PH
0U, // REPLV_QB
0U, // REPL_PH
0U, // REPL_QB
0U, // RINT_D
0U, // RINT_S
0U, // ROTR
0U, // ROTRV
0U, // ROTRV_MM
0U, // ROTR_MM
0U, // ROUND_L_D64
0U, // ROUND_L_S
0U, // ROUND_W_D32
0U, // ROUND_W_D64
0U, // ROUND_W_MM
0U, // ROUND_W_S
0U, // ROUND_W_S_MM
0U, // Restore16
0U, // RestoreX16
0U, // RetRA
0U, // RetRA16
0U, // SAT_S_B
0U, // SAT_S_D
0U, // SAT_S_H
0U, // SAT_S_W
0U, // SAT_U_B
0U, // SAT_U_D
0U, // SAT_U_H
0U, // SAT_U_W
0U, // SB
0U, // SB64
0U, // SB_MM
0U, // SC
0U, // SCD
0U, // SCD_R6
0U, // SC_MM
0U, // SC_R6
0U, // SD
0U, // SDBBP
0U, // SDBBP_R6
0U, // SDC1
0U, // SDC164
0U, // SDC1_MM
0U, // SDC2
0U, // SDC2_R6
0U, // SDC3
0U, // SDIV
0U, // SDIV_MM
0U, // SDL
0U, // SDR
0U, // SDXC1
0U, // SDXC164
0U, // SEB
0U, // SEB64
0U, // SEB_MM
0U, // SEH
0U, // SEH64
0U, // SEH_MM
0U, // SELEQZ
0U, // SELEQZ64
0U, // SELEQZ_D
0U, // SELEQZ_S
0U, // SELNEZ
0U, // SELNEZ64
0U, // SELNEZ_D
0U, // SELNEZ_S
0U, // SEL_D
0U, // SEL_S
0U, // SEQ
0U, // SEQi
0U, // SH
0U, // SH64
0U, // SHF_B
0U, // SHF_H
0U, // SHF_W
0U, // SHILO
0U, // SHILOV
0U, // SHLLV_PH
0U, // SHLLV_QB
0U, // SHLLV_S_PH
0U, // SHLLV_S_W
0U, // SHLL_PH
0U, // SHLL_QB
0U, // SHLL_S_PH
0U, // SHLL_S_W
0U, // SHRAV_PH
0U, // SHRAV_QB
0U, // SHRAV_R_PH
0U, // SHRAV_R_QB
0U, // SHRAV_R_W
0U, // SHRA_PH
0U, // SHRA_QB
0U, // SHRA_R_PH
0U, // SHRA_R_QB
0U, // SHRA_R_W
0U, // SHRLV_PH
0U, // SHRLV_QB
0U, // SHRL_PH
0U, // SHRL_QB
0U, // SH_MM
2U, // SLDI_B
2U, // SLDI_D
2U, // SLDI_H
2U, // SLDI_W
2U, // SLD_B
2U, // SLD_D
2U, // SLD_H
2U, // SLD_W
0U, // SLL
0U, // SLL64_32
0U, // SLL64_64
0U, // SLLI_B
0U, // SLLI_D
0U, // SLLI_H
0U, // SLLI_W
0U, // SLLV
0U, // SLLV_MM
0U, // SLL_B
0U, // SLL_D
0U, // SLL_H
0U, // SLL_MM
0U, // SLL_W
0U, // SLT
0U, // SLT64
0U, // SLT_MM
0U, // SLTi
0U, // SLTi64
0U, // SLTi_MM
0U, // SLTiu
0U, // SLTiu64
0U, // SLTiu_MM
0U, // SLTu
0U, // SLTu64
0U, // SLTu_MM
0U, // SNE
0U, // SNEi
0U, // SNZ_B_PSEUDO
0U, // SNZ_D_PSEUDO
0U, // SNZ_H_PSEUDO
0U, // SNZ_V_PSEUDO
0U, // SNZ_W_PSEUDO
2U, // SPLATI_B
2U, // SPLATI_D
2U, // SPLATI_H
2U, // SPLATI_W
2U, // SPLAT_B
2U, // SPLAT_D
2U, // SPLAT_H
2U, // SPLAT_W
0U, // SRA
0U, // SRAI_B
0U, // SRAI_D
0U, // SRAI_H
0U, // SRAI_W
0U, // SRARI_B
0U, // SRARI_D
0U, // SRARI_H
0U, // SRARI_W
0U, // SRAR_B
0U, // SRAR_D
0U, // SRAR_H
0U, // SRAR_W
0U, // SRAV
0U, // SRAV_MM
0U, // SRA_B
0U, // SRA_D
0U, // SRA_H
0U, // SRA_MM
0U, // SRA_W
0U, // SRL
0U, // SRLI_B
0U, // SRLI_D
0U, // SRLI_H
0U, // SRLI_W
0U, // SRLRI_B
0U, // SRLRI_D
0U, // SRLRI_H
0U, // SRLRI_W
0U, // SRLR_B
0U, // SRLR_D
0U, // SRLR_H
0U, // SRLR_W
0U, // SRLV
0U, // SRLV_MM
0U, // SRL_B
0U, // SRL_D
0U, // SRL_H
0U, // SRL_MM
0U, // SRL_W
0U, // SSNOP
0U, // STORE_ACC128
0U, // STORE_ACC64
0U, // STORE_ACC64DSP
0U, // STORE_CCOND_DSP
0U, // ST_B
0U, // ST_D
0U, // ST_H
0U, // ST_W
0U, // SUB
0U, // SUBQH_PH
0U, // SUBQH_R_PH
0U, // SUBQH_R_W
0U, // SUBQH_W
0U, // SUBQ_PH
0U, // SUBQ_S_PH
0U, // SUBQ_S_W
0U, // SUBSUS_U_B
0U, // SUBSUS_U_D
0U, // SUBSUS_U_H
0U, // SUBSUS_U_W
0U, // SUBSUU_S_B
0U, // SUBSUU_S_D
0U, // SUBSUU_S_H
0U, // SUBSUU_S_W
0U, // SUBS_S_B
0U, // SUBS_S_D
0U, // SUBS_S_H
0U, // SUBS_S_W
0U, // SUBS_U_B
0U, // SUBS_U_D
0U, // SUBS_U_H
0U, // SUBS_U_W
0U, // SUBUH_QB
0U, // SUBUH_R_QB
0U, // SUBU_PH
0U, // SUBU_QB
0U, // SUBU_S_PH
0U, // SUBU_S_QB
0U, // SUBVI_B
0U, // SUBVI_D
0U, // SUBVI_H
0U, // SUBVI_W
0U, // SUBV_B
0U, // SUBV_D
0U, // SUBV_H
0U, // SUBV_W
0U, // SUB_MM
0U, // SUBu
0U, // SUBu_MM
0U, // SUXC1
0U, // SUXC164
0U, // SUXC1_MM
0U, // SW
0U, // SW64
0U, // SWC1
0U, // SWC1_MM
0U, // SWC2
0U, // SWC2_R6
0U, // SWC3
0U, // SWL
0U, // SWL64
0U, // SWL_MM
0U, // SWR
0U, // SWR64
0U, // SWR_MM
0U, // SWXC1
0U, // SWXC1_MM
0U, // SW_MM
0U, // SYNC
0U, // SYNC_MM
0U, // SYSCALL
0U, // SYSCALL_MM
0U, // SZ_B_PSEUDO
0U, // SZ_D_PSEUDO
0U, // SZ_H_PSEUDO
0U, // SZ_V_PSEUDO
0U, // SZ_W_PSEUDO
0U, // Save16
0U, // SaveX16
0U, // SbRxRyOffMemX16
0U, // SebRx16
0U, // SehRx16
0U, // SelBeqZ
0U, // SelBneZ
0U, // SelTBteqZCmp
0U, // SelTBteqZCmpi
0U, // SelTBteqZSlt
0U, // SelTBteqZSlti
0U, // SelTBteqZSltiu
0U, // SelTBteqZSltu
0U, // SelTBtneZCmp
0U, // SelTBtneZCmpi
0U, // SelTBtneZSlt
0U, // SelTBtneZSlti
0U, // SelTBtneZSltiu
0U, // SelTBtneZSltu
0U, // ShRxRyOffMemX16
0U, // SllX16
0U, // SllvRxRy16
0U, // SltCCRxRy16
0U, // SltRxRy16
0U, // SltiCCRxImmX16
0U, // SltiRxImm16
0U, // SltiRxImmX16
0U, // SltiuCCRxImmX16
0U, // SltiuRxImm16
0U, // SltiuRxImmX16
0U, // SltuCCRxRy16
0U, // SltuRxRy16
0U, // SltuRxRyRz16
0U, // SraX16
0U, // SravRxRy16
0U, // SrlX16
0U, // SrlvRxRy16
0U, // SubuRxRyRz16
0U, // SwRxRyOffMemX16
0U, // SwRxSpImmX16
0U, // TAILCALL
0U, // TAILCALL64_R
0U, // TAILCALL_R
0U, // TEQ
0U, // TEQI
0U, // TEQI_MM
0U, // TEQ_MM
0U, // TGE
0U, // TGEI
0U, // TGEIU
0U, // TGEIU_MM
0U, // TGEI_MM
0U, // TGEU
0U, // TGEU_MM
0U, // TGE_MM
0U, // TLBP
0U, // TLBR
0U, // TLBWI
0U, // TLBWR
0U, // TLT
0U, // TLTI
0U, // TLTIU_MM
0U, // TLTI_MM
0U, // TLTU
0U, // TLTU_MM
0U, // TLT_MM
0U, // TNE
0U, // TNEI
0U, // TNEI_MM
0U, // TNE_MM
0U, // TRAP
0U, // TRUNC_L_D64
0U, // TRUNC_L_S
0U, // TRUNC_W_D32
0U, // TRUNC_W_D64
0U, // TRUNC_W_MM
0U, // TRUNC_W_S
0U, // TRUNC_W_S_MM
0U, // TTLTIU
0U, // UDIV
0U, // UDIV_MM
0U, // V3MULU
0U, // VMM0
0U, // VMULU
0U, // VSHF_B
0U, // VSHF_D
0U, // VSHF_H
0U, // VSHF_W
0U, // WAIT
0U, // WAIT_MM
0U, // WRDSP
0U, // WSBH
0U, // WSBH_MM
0U, // XOR
0U, // XOR64
0U, // XORI_B
0U, // XOR_MM
0U, // XOR_V
0U, // XOR_V_D_PSEUDO
0U, // XOR_V_H_PSEUDO
0U, // XOR_V_W_PSEUDO
0U, // XORi
0U, // XORi64
0U, // XORi_MM
0U, // XorRxRxRy16
0U
};
#ifndef CAPSTONE_DIET
static char AsmStrs[] = {
/* 0 */ 'j', 'a', 'l', 'r', 'c', 32, 9, 0,
/* 8 */ 'd', 'm', 'f', 'c', '0', 9, 0,
/* 15 */ 'd', 'm', 't', 'c', '0', 9, 0,
/* 22 */ 'v', 'm', 'm', '0', 9, 0,
/* 28 */ 'm', 't', 'm', '0', 9, 0,
/* 34 */ 'm', 't', 'p', '0', 9, 0,
/* 40 */ 'l', 'd', 'c', '1', 9, 0,
/* 46 */ 's', 'd', 'c', '1', 9, 0,
/* 52 */ 'c', 'f', 'c', '1', 9, 0,
/* 58 */ 'd', 'm', 'f', 'c', '1', 9, 0,
/* 65 */ 'm', 'f', 'h', 'c', '1', 9, 0,
/* 72 */ 'm', 't', 'h', 'c', '1', 9, 0,
/* 79 */ 'c', 't', 'c', '1', 9, 0,
/* 85 */ 'd', 'm', 't', 'c', '1', 9, 0,
/* 92 */ 'l', 'w', 'c', '1', 9, 0,
/* 98 */ 's', 'w', 'c', '1', 9, 0,
/* 104 */ 'l', 'd', 'x', 'c', '1', 9, 0,
/* 111 */ 's', 'd', 'x', 'c', '1', 9, 0,
/* 118 */ 'l', 'u', 'x', 'c', '1', 9, 0,
/* 125 */ 's', 'u', 'x', 'c', '1', 9, 0,
/* 132 */ 'l', 'w', 'x', 'c', '1', 9, 0,
/* 139 */ 's', 'w', 'x', 'c', '1', 9, 0,
/* 146 */ 'm', 't', 'm', '1', 9, 0,
/* 152 */ 'm', 't', 'p', '1', 9, 0,
/* 158 */ 'd', 's', 'r', 'a', '3', '2', 9, 0,
/* 166 */ 'b', 'p', 'o', 's', 'g', 'e', '3', '2', 9, 0,
/* 176 */ 'd', 's', 'l', 'l', '3', '2', 9, 0,
/* 184 */ 'd', 's', 'r', 'l', '3', '2', 9, 0,
/* 192 */ 'd', 'r', 'o', 't', 'r', '3', '2', 9, 0,
/* 201 */ 'l', 'd', 'c', '2', 9, 0,
/* 207 */ 's', 'd', 'c', '2', 9, 0,
/* 213 */ 'd', 'm', 'f', 'c', '2', 9, 0,
/* 220 */ 'd', 'm', 't', 'c', '2', 9, 0,
/* 227 */ 'l', 'w', 'c', '2', 9, 0,
/* 233 */ 's', 'w', 'c', '2', 9, 0,
/* 239 */ 'm', 't', 'm', '2', 9, 0,
/* 245 */ 'm', 't', 'p', '2', 9, 0,
/* 251 */ 'l', 'd', 'c', '3', 9, 0,
/* 257 */ 's', 'd', 'c', '3', 9, 0,
/* 263 */ 'l', 'w', 'c', '3', 9, 0,
/* 269 */ 's', 'w', 'c', '3', 9, 0,
/* 275 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 'a', 9, 0,
/* 291 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 'a', 9, 0,
/* 308 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 'a', 9, 0,
/* 324 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 'a', 9, 0,
/* 341 */ 'd', 's', 'r', 'a', 9, 0,
/* 347 */ 'd', 'l', 's', 'a', 9, 0,
/* 353 */ 'c', 'f', 'c', 'm', 's', 'a', 9, 0,
/* 361 */ 'c', 't', 'c', 'm', 's', 'a', 9, 0,
/* 369 */ 'a', 'd', 'd', '_', 'a', '.', 'b', 9, 0,
/* 378 */ 'm', 'i', 'n', '_', 'a', '.', 'b', 9, 0,
/* 387 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'b', 9, 0,
/* 397 */ 'm', 'a', 'x', '_', 'a', '.', 'b', 9, 0,
/* 406 */ 's', 'r', 'a', '.', 'b', 9, 0,
/* 413 */ 'n', 'l', 'o', 'c', '.', 'b', 9, 0,
/* 421 */ 'n', 'l', 'z', 'c', '.', 'b', 9, 0,
/* 429 */ 's', 'l', 'd', '.', 'b', 9, 0,
/* 436 */ 'p', 'c', 'k', 'o', 'd', '.', 'b', 9, 0,
/* 445 */ 'i', 'l', 'v', 'o', 'd', '.', 'b', 9, 0,
/* 454 */ 'i', 'n', 's', 'v', 'e', '.', 'b', 9, 0,
/* 463 */ 'v', 's', 'h', 'f', '.', 'b', 9, 0,
/* 471 */ 'b', 'n', 'e', 'g', '.', 'b', 9, 0,
/* 479 */ 's', 'r', 'a', 'i', '.', 'b', 9, 0,
/* 487 */ 's', 'l', 'd', 'i', '.', 'b', 9, 0,
/* 495 */ 'a', 'n', 'd', 'i', '.', 'b', 9, 0,
/* 503 */ 'b', 'n', 'e', 'g', 'i', '.', 'b', 9, 0,
/* 512 */ 'b', 's', 'e', 'l', 'i', '.', 'b', 9, 0,
/* 521 */ 's', 'l', 'l', 'i', '.', 'b', 9, 0,
/* 529 */ 's', 'r', 'l', 'i', '.', 'b', 9, 0,
/* 537 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'b', 9, 0,
/* 547 */ 'c', 'e', 'q', 'i', '.', 'b', 9, 0,
/* 555 */ 's', 'r', 'a', 'r', 'i', '.', 'b', 9, 0,
/* 564 */ 'b', 'c', 'l', 'r', 'i', '.', 'b', 9, 0,
/* 573 */ 's', 'r', 'l', 'r', 'i', '.', 'b', 9, 0,
/* 582 */ 'n', 'o', 'r', 'i', '.', 'b', 9, 0,
/* 590 */ 'x', 'o', 'r', 'i', '.', 'b', 9, 0,
/* 598 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'b', 9, 0,
/* 608 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'b', 9, 0,
/* 618 */ 'b', 's', 'e', 't', 'i', '.', 'b', 9, 0,
/* 627 */ 's', 'u', 'b', 'v', 'i', '.', 'b', 9, 0,
/* 636 */ 'a', 'd', 'd', 'v', 'i', '.', 'b', 9, 0,
/* 645 */ 'b', 'm', 'z', 'i', '.', 'b', 9, 0,
/* 653 */ 'b', 'm', 'n', 'z', 'i', '.', 'b', 9, 0,
/* 662 */ 'f', 'i', 'l', 'l', '.', 'b', 9, 0,
/* 670 */ 's', 'l', 'l', '.', 'b', 9, 0,
/* 677 */ 's', 'r', 'l', '.', 'b', 9, 0,
/* 684 */ 'b', 'i', 'n', 's', 'l', '.', 'b', 9, 0,
/* 693 */ 'i', 'l', 'v', 'l', '.', 'b', 9, 0,
/* 701 */ 'c', 'e', 'q', '.', 'b', 9, 0,
/* 708 */ 's', 'r', 'a', 'r', '.', 'b', 9, 0,
/* 716 */ 'b', 'c', 'l', 'r', '.', 'b', 9, 0,
/* 724 */ 's', 'r', 'l', 'r', '.', 'b', 9, 0,
/* 732 */ 'b', 'i', 'n', 's', 'r', '.', 'b', 9, 0,
/* 741 */ 'i', 'l', 'v', 'r', '.', 'b', 9, 0,
/* 749 */ 'a', 's', 'u', 'b', '_', 's', '.', 'b', 9, 0,
/* 759 */ 'm', 'o', 'd', '_', 's', '.', 'b', 9, 0,
/* 768 */ 'c', 'l', 'e', '_', 's', '.', 'b', 9, 0,
/* 777 */ 'a', 'v', 'e', '_', 's', '.', 'b', 9, 0,
/* 786 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'b', 9, 0,
/* 796 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'b', 9, 0,
/* 806 */ 'c', 'l', 't', 'i', '_', 's', '.', 'b', 9, 0,
/* 816 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'b', 9, 0,
/* 826 */ 'm', 'i', 'n', '_', 's', '.', 'b', 9, 0,
/* 835 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'b', 9, 0,
/* 845 */ 's', 'u', 'b', 's', '_', 's', '.', 'b', 9, 0,
/* 855 */ 'a', 'd', 'd', 's', '_', 's', '.', 'b', 9, 0,
/* 865 */ 's', 'a', 't', '_', 's', '.', 'b', 9, 0,
/* 874 */ 'c', 'l', 't', '_', 's', '.', 'b', 9, 0,
/* 883 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'b', 9, 0,
/* 895 */ 'd', 'i', 'v', '_', 's', '.', 'b', 9, 0,
/* 904 */ 'm', 'a', 'x', '_', 's', '.', 'b', 9, 0,
/* 913 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'b', 9, 0,
/* 923 */ 's', 'p', 'l', 'a', 't', '.', 'b', 9, 0,
/* 932 */ 'b', 's', 'e', 't', '.', 'b', 9, 0,
/* 940 */ 'p', 'c', 'n', 't', '.', 'b', 9, 0,
/* 948 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'b', 9, 0,
/* 958 */ 's', 't', '.', 'b', 9, 0,
/* 964 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'b', 9, 0,
/* 974 */ 'm', 'o', 'd', '_', 'u', '.', 'b', 9, 0,
/* 983 */ 'c', 'l', 'e', '_', 'u', '.', 'b', 9, 0,
/* 992 */ 'a', 'v', 'e', '_', 'u', '.', 'b', 9, 0,
/* 1001 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'b', 9, 0,
/* 1011 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'b', 9, 0,
/* 1021 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'b', 9, 0,
/* 1031 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'b', 9, 0,
/* 1041 */ 'm', 'i', 'n', '_', 'u', '.', 'b', 9, 0,
/* 1050 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'b', 9, 0,
/* 1060 */ 's', 'u', 'b', 's', '_', 'u', '.', 'b', 9, 0,
/* 1070 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'b', 9, 0,
/* 1080 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'b', 9, 0,
/* 1092 */ 's', 'a', 't', '_', 'u', '.', 'b', 9, 0,
/* 1101 */ 'c', 'l', 't', '_', 'u', '.', 'b', 9, 0,
/* 1110 */ 'd', 'i', 'v', '_', 'u', '.', 'b', 9, 0,
/* 1119 */ 'm', 'a', 'x', '_', 'u', '.', 'b', 9, 0,
/* 1128 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'b', 9, 0,
/* 1138 */ 'm', 's', 'u', 'b', 'v', '.', 'b', 9, 0,
/* 1147 */ 'm', 'a', 'd', 'd', 'v', '.', 'b', 9, 0,
/* 1156 */ 'p', 'c', 'k', 'e', 'v', '.', 'b', 9, 0,
/* 1165 */ 'i', 'l', 'v', 'e', 'v', '.', 'b', 9, 0,
/* 1174 */ 'm', 'u', 'l', 'v', '.', 'b', 9, 0,
/* 1182 */ 'b', 'z', '.', 'b', 9, 0,
/* 1188 */ 'b', 'n', 'z', '.', 'b', 9, 0,
/* 1195 */ 's', 'e', 'b', 9, 0,
/* 1200 */ 'j', 'r', '.', 'h', 'b', 9, 0,
/* 1207 */ 'j', 'a', 'l', 'r', '.', 'h', 'b', 9, 0,
/* 1216 */ 'l', 'b', 9, 0,
/* 1220 */ 's', 'h', 'r', 'a', '.', 'q', 'b', 9, 0,
/* 1229 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
/* 1243 */ 'c', 'm', 'p', 'g', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
/* 1256 */ 'c', 'm', 'p', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
/* 1268 */ 's', 'u', 'b', 'u', 'h', '.', 'q', 'b', 9, 0,
/* 1278 */ 'a', 'd', 'd', 'u', 'h', '.', 'q', 'b', 9, 0,
/* 1288 */ 'p', 'i', 'c', 'k', '.', 'q', 'b', 9, 0,
/* 1297 */ 's', 'h', 'l', 'l', '.', 'q', 'b', 9, 0,
/* 1306 */ 'r', 'e', 'p', 'l', '.', 'q', 'b', 9, 0,
/* 1315 */ 's', 'h', 'r', 'l', '.', 'q', 'b', 9, 0,
/* 1324 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
/* 1338 */ 'c', 'm', 'p', 'g', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
/* 1351 */ 'c', 'm', 'p', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
/* 1363 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'q', 'b', 9, 0,
/* 1374 */ 's', 'u', 'b', 'u', 'h', '_', 'r', '.', 'q', 'b', 9, 0,
/* 1386 */ 'a', 'd', 'd', 'u', 'h', '_', 'r', '.', 'q', 'b', 9, 0,
/* 1398 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'q', 'b', 9, 0,
/* 1410 */ 'a', 'b', 's', 'q', '_', 's', '.', 'q', 'b', 9, 0,
/* 1421 */ 's', 'u', 'b', 'u', '_', 's', '.', 'q', 'b', 9, 0,
/* 1432 */ 'a', 'd', 'd', 'u', '_', 's', '.', 'q', 'b', 9, 0,
/* 1443 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
/* 1457 */ 'c', 'm', 'p', 'g', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
/* 1470 */ 'c', 'm', 'p', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
/* 1482 */ 's', 'u', 'b', 'u', '.', 'q', 'b', 9, 0,
/* 1491 */ 'a', 'd', 'd', 'u', '.', 'q', 'b', 9, 0,
/* 1500 */ 's', 'h', 'r', 'a', 'v', '.', 'q', 'b', 9, 0,
/* 1510 */ 's', 'h', 'l', 'l', 'v', '.', 'q', 'b', 9, 0,
/* 1520 */ 'r', 'e', 'p', 'l', 'v', '.', 'q', 'b', 9, 0,
/* 1530 */ 's', 'h', 'r', 'l', 'v', '.', 'q', 'b', 9, 0,
/* 1540 */ 'r', 'a', 'd', 'd', 'u', '.', 'w', '.', 'q', 'b', 9, 0,
/* 1552 */ 's', 'b', 9, 0,
/* 1556 */ 'm', 'o', 'd', 's', 'u', 'b', 9, 0,
/* 1564 */ 'm', 's', 'u', 'b', 9, 0,
/* 1570 */ 'b', 'c', 9, 0,
/* 1574 */ 'b', 'g', 'e', 'c', 9, 0,
/* 1580 */ 'b', 'n', 'e', 'c', 9, 0,
/* 1586 */ 'j', 'i', 'c', 9, 0,
/* 1591 */ 'b', 'a', 'l', 'c', 9, 0,
/* 1597 */ 'j', 'i', 'a', 'l', 'c', 9, 0,
/* 1604 */ 'b', 'g', 'e', 'z', 'a', 'l', 'c', 9, 0,
/* 1613 */ 'b', 'l', 'e', 'z', 'a', 'l', 'c', 9, 0,
/* 1622 */ 'b', 'n', 'e', 'z', 'a', 'l', 'c', 9, 0,
/* 1631 */ 'b', 'e', 'q', 'z', 'a', 'l', 'c', 9, 0,
/* 1640 */ 'b', 'g', 't', 'z', 'a', 'l', 'c', 9, 0,
/* 1649 */ 'b', 'l', 't', 'z', 'a', 'l', 'c', 9, 0,
/* 1658 */ 'l', 'd', 'p', 'c', 9, 0,
/* 1664 */ 'a', 'u', 'i', 'p', 'c', 9, 0,
/* 1671 */ 'a', 'l', 'u', 'i', 'p', 'c', 9, 0,
/* 1679 */ 'a', 'd', 'd', 'i', 'u', 'p', 'c', 9, 0,
/* 1688 */ 'l', 'w', 'u', 'p', 'c', 9, 0,
/* 1695 */ 'l', 'w', 'p', 'c', 9, 0,
/* 1701 */ 'b', 'e', 'q', 'c', 9, 0,
/* 1707 */ 'a', 'd', 'd', 's', 'c', 9, 0,
/* 1714 */ 'b', 'l', 't', 'c', 9, 0,
/* 1720 */ 'b', 'g', 'e', 'u', 'c', 9, 0,
/* 1727 */ 'b', 'l', 't', 'u', 'c', 9, 0,
/* 1734 */ 'b', 'n', 'v', 'c', 9, 0,
/* 1740 */ 'b', 'o', 'v', 'c', 9, 0,
/* 1746 */ 'a', 'd', 'd', 'w', 'c', 9, 0,
/* 1753 */ 'b', 'g', 'e', 'z', 'c', 9, 0,
/* 1760 */ 'b', 'l', 'e', 'z', 'c', 9, 0,
/* 1767 */ 'b', 'n', 'e', 'z', 'c', 9, 0,
/* 1774 */ 'b', 'e', 'q', 'z', 'c', 9, 0,
/* 1781 */ 'b', 'g', 't', 'z', 'c', 9, 0,
/* 1788 */ 'b', 'l', 't', 'z', 'c', 9, 0,
/* 1795 */ 'f', 'l', 'o', 'g', '2', '.', 'd', 9, 0,
/* 1804 */ 'f', 'e', 'x', 'p', '2', '.', 'd', 9, 0,
/* 1813 */ 'a', 'd', 'd', '_', 'a', '.', 'd', 9, 0,
/* 1822 */ 'f', 'm', 'i', 'n', '_', 'a', '.', 'd', 9, 0,
/* 1832 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'd', 9, 0,
/* 1842 */ 'f', 'm', 'a', 'x', '_', 'a', '.', 'd', 9, 0,
/* 1852 */ 'm', 'i', 'n', 'a', '.', 'd', 9, 0,
/* 1860 */ 's', 'r', 'a', '.', 'd', 9, 0,
/* 1867 */ 'm', 'a', 'x', 'a', '.', 'd', 9, 0,
/* 1875 */ 'f', 's', 'u', 'b', '.', 'd', 9, 0,
/* 1883 */ 'f', 'm', 's', 'u', 'b', '.', 'd', 9, 0,
/* 1892 */ 'n', 'm', 's', 'u', 'b', '.', 'd', 9, 0,
/* 1901 */ 'n', 'l', 'o', 'c', '.', 'd', 9, 0,
/* 1909 */ 'n', 'l', 'z', 'c', '.', 'd', 9, 0,
/* 1917 */ 'f', 'a', 'd', 'd', '.', 'd', 9, 0,
/* 1925 */ 'f', 'm', 'a', 'd', 'd', '.', 'd', 9, 0,
/* 1934 */ 'n', 'm', 'a', 'd', 'd', '.', 'd', 9, 0,
/* 1943 */ 's', 'l', 'd', '.', 'd', 9, 0,
/* 1950 */ 'p', 'c', 'k', 'o', 'd', '.', 'd', 9, 0,
/* 1959 */ 'i', 'l', 'v', 'o', 'd', '.', 'd', 9, 0,
/* 1968 */ 'c', '.', 'n', 'g', 'e', '.', 'd', 9, 0,
/* 1977 */ 'c', '.', 'l', 'e', '.', 'd', 9, 0,
/* 1985 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 'd', 9, 0,
/* 1995 */ 'f', 'c', 'l', 'e', '.', 'd', 9, 0,
/* 2003 */ 'c', '.', 'n', 'g', 'l', 'e', '.', 'd', 9, 0,
/* 2013 */ 'c', '.', 'o', 'l', 'e', '.', 'd', 9, 0,
/* 2022 */ 'c', 'm', 'p', '.', 's', 'l', 'e', '.', 'd', 9, 0,
/* 2033 */ 'f', 's', 'l', 'e', '.', 'd', 9, 0,
/* 2041 */ 'c', '.', 'u', 'l', 'e', '.', 'd', 9, 0,
/* 2050 */ 'c', 'm', 'p', '.', 'u', 'l', 'e', '.', 'd', 9, 0,
/* 2061 */ 'f', 'c', 'u', 'l', 'e', '.', 'd', 9, 0,
/* 2070 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 'e', '.', 'd', 9, 0,
/* 2082 */ 'f', 's', 'u', 'l', 'e', '.', 'd', 9, 0,
/* 2091 */ 'f', 'c', 'n', 'e', '.', 'd', 9, 0,
/* 2099 */ 'f', 's', 'n', 'e', '.', 'd', 9, 0,
/* 2107 */ 'f', 'c', 'u', 'n', 'e', '.', 'd', 9, 0,
/* 2116 */ 'f', 's', 'u', 'n', 'e', '.', 'd', 9, 0,
/* 2125 */ 'i', 'n', 's', 'v', 'e', '.', 'd', 9, 0,
/* 2134 */ 'c', '.', 'f', '.', 'd', 9, 0,
/* 2141 */ 'c', 'm', 'p', '.', 'a', 'f', '.', 'd', 9, 0,
/* 2151 */ 'f', 'c', 'a', 'f', '.', 'd', 9, 0,
/* 2159 */ 'c', 'm', 'p', '.', 's', 'a', 'f', '.', 'd', 9, 0,
/* 2170 */ 'f', 's', 'a', 'f', '.', 'd', 9, 0,
/* 2178 */ 'm', 's', 'u', 'b', 'f', '.', 'd', 9, 0,
/* 2187 */ 'm', 'a', 'd', 'd', 'f', '.', 'd', 9, 0,
/* 2196 */ 'v', 's', 'h', 'f', '.', 'd', 9, 0,
/* 2204 */ 'c', '.', 's', 'f', '.', 'd', 9, 0,
/* 2212 */ 'm', 'o', 'v', 'f', '.', 'd', 9, 0,
/* 2220 */ 'b', 'n', 'e', 'g', '.', 'd', 9, 0,
/* 2228 */ 's', 'r', 'a', 'i', '.', 'd', 9, 0,
/* 2236 */ 's', 'l', 'd', 'i', '.', 'd', 9, 0,
/* 2244 */ 'b', 'n', 'e', 'g', 'i', '.', 'd', 9, 0,
/* 2253 */ 's', 'l', 'l', 'i', '.', 'd', 9, 0,
/* 2261 */ 's', 'r', 'l', 'i', '.', 'd', 9, 0,
/* 2269 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'd', 9, 0,
/* 2279 */ 'c', 'e', 'q', 'i', '.', 'd', 9, 0,
/* 2287 */ 's', 'r', 'a', 'r', 'i', '.', 'd', 9, 0,
/* 2296 */ 'b', 'c', 'l', 'r', 'i', '.', 'd', 9, 0,
/* 2305 */ 's', 'r', 'l', 'r', 'i', '.', 'd', 9, 0,
/* 2314 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'd', 9, 0,
/* 2324 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'd', 9, 0,
/* 2334 */ 'b', 's', 'e', 't', 'i', '.', 'd', 9, 0,
/* 2343 */ 's', 'u', 'b', 'v', 'i', '.', 'd', 9, 0,
/* 2352 */ 'a', 'd', 'd', 'v', 'i', '.', 'd', 9, 0,
/* 2361 */ 't', 'r', 'u', 'n', 'c', '.', 'l', '.', 'd', 9, 0,
/* 2372 */ 'r', 'o', 'u', 'n', 'd', '.', 'l', '.', 'd', 9, 0,
/* 2383 */ 'c', 'e', 'i', 'l', '.', 'l', '.', 'd', 9, 0,
/* 2393 */ 'f', 'l', 'o', 'o', 'r', '.', 'l', '.', 'd', 9, 0,
/* 2404 */ 'c', 'v', 't', '.', 'l', '.', 'd', 9, 0,
/* 2413 */ 's', 'e', 'l', '.', 'd', 9, 0,
/* 2420 */ 'c', '.', 'n', 'g', 'l', '.', 'd', 9, 0,
/* 2429 */ 'f', 'i', 'l', 'l', '.', 'd', 9, 0,
/* 2437 */ 's', 'l', 'l', '.', 'd', 9, 0,
/* 2444 */ 'f', 'e', 'x', 'u', 'p', 'l', '.', 'd', 9, 0,
/* 2454 */ 'f', 'f', 'q', 'l', '.', 'd', 9, 0,
/* 2462 */ 's', 'r', 'l', '.', 'd', 9, 0,
/* 2469 */ 'b', 'i', 'n', 's', 'l', '.', 'd', 9, 0,
/* 2478 */ 'f', 'm', 'u', 'l', '.', 'd', 9, 0,
/* 2486 */ 'i', 'l', 'v', 'l', '.', 'd', 9, 0,
/* 2494 */ 'f', 'm', 'i', 'n', '.', 'd', 9, 0,
/* 2502 */ 'c', '.', 'u', 'n', '.', 'd', 9, 0,
/* 2510 */ 'c', 'm', 'p', '.', 'u', 'n', '.', 'd', 9, 0,
/* 2520 */ 'f', 'c', 'u', 'n', '.', 'd', 9, 0,
/* 2528 */ 'c', 'm', 'p', '.', 's', 'u', 'n', '.', 'd', 9, 0,
/* 2539 */ 'f', 's', 'u', 'n', '.', 'd', 9, 0,
/* 2547 */ 'm', 'o', 'v', 'n', '.', 'd', 9, 0,
/* 2555 */ 'f', 'r', 'c', 'p', '.', 'd', 9, 0,
/* 2563 */ 'c', '.', 'e', 'q', '.', 'd', 9, 0,
/* 2571 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 'd', 9, 0,
/* 2581 */ 'f', 'c', 'e', 'q', '.', 'd', 9, 0,
/* 2589 */ 'c', '.', 's', 'e', 'q', '.', 'd', 9, 0,
/* 2598 */ 'c', 'm', 'p', '.', 's', 'e', 'q', '.', 'd', 9, 0,
/* 2609 */ 'f', 's', 'e', 'q', '.', 'd', 9, 0,
/* 2617 */ 'c', '.', 'u', 'e', 'q', '.', 'd', 9, 0,
/* 2626 */ 'c', 'm', 'p', '.', 'u', 'e', 'q', '.', 'd', 9, 0,
/* 2637 */ 'f', 'c', 'u', 'e', 'q', '.', 'd', 9, 0,
/* 2646 */ 'c', 'm', 'p', '.', 's', 'u', 'e', 'q', '.', 'd', 9, 0,
/* 2658 */ 'f', 's', 'u', 'e', 'q', '.', 'd', 9, 0,
/* 2667 */ 's', 'r', 'a', 'r', '.', 'd', 9, 0,
/* 2675 */ 'b', 'c', 'l', 'r', '.', 'd', 9, 0,
/* 2683 */ 's', 'r', 'l', 'r', '.', 'd', 9, 0,
/* 2691 */ 'f', 'c', 'o', 'r', '.', 'd', 9, 0,
/* 2699 */ 'f', 's', 'o', 'r', '.', 'd', 9, 0,
/* 2707 */ 'f', 'e', 'x', 'u', 'p', 'r', '.', 'd', 9, 0,
/* 2717 */ 'f', 'f', 'q', 'r', '.', 'd', 9, 0,
/* 2725 */ 'b', 'i', 'n', 's', 'r', '.', 'd', 9, 0,
/* 2734 */ 'i', 'l', 'v', 'r', '.', 'd', 9, 0,
/* 2742 */ 'c', 'v', 't', '.', 's', '.', 'd', 9, 0,
/* 2751 */ 'a', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
/* 2761 */ 'h', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
/* 2771 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
/* 2782 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 's', '.', 'd', 9, 0,
/* 2794 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'd', 9, 0,
/* 2804 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'd', 9, 0,
/* 2815 */ 'm', 'o', 'd', '_', 's', '.', 'd', 9, 0,
/* 2824 */ 'c', 'l', 'e', '_', 's', '.', 'd', 9, 0,
/* 2833 */ 'a', 'v', 'e', '_', 's', '.', 'd', 9, 0,
/* 2842 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'd', 9, 0,
/* 2852 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'd', 9, 0,
/* 2862 */ 'c', 'l', 't', 'i', '_', 's', '.', 'd', 9, 0,
/* 2872 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'd', 9, 0,
/* 2882 */ 'm', 'i', 'n', '_', 's', '.', 'd', 9, 0,
/* 2891 */ 'd', 'o', 't', 'p', '_', 's', '.', 'd', 9, 0,
/* 2901 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'd', 9, 0,
/* 2911 */ 's', 'u', 'b', 's', '_', 's', '.', 'd', 9, 0,
/* 2921 */ 'a', 'd', 'd', 's', '_', 's', '.', 'd', 9, 0,
/* 2931 */ 's', 'a', 't', '_', 's', '.', 'd', 9, 0,
/* 2940 */ 'c', 'l', 't', '_', 's', '.', 'd', 9, 0,
/* 2949 */ 'f', 'f', 'i', 'n', 't', '_', 's', '.', 'd', 9, 0,
/* 2960 */ 'f', 't', 'i', 'n', 't', '_', 's', '.', 'd', 9, 0,
/* 2971 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'd', 9, 0,
/* 2983 */ 'd', 'i', 'v', '_', 's', '.', 'd', 9, 0,
/* 2992 */ 'm', 'a', 'x', '_', 's', '.', 'd', 9, 0,
/* 3001 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'd', 9, 0,
/* 3011 */ 'a', 'b', 's', '.', 'd', 9, 0,
/* 3018 */ 'f', 'c', 'l', 'a', 's', 's', '.', 'd', 9, 0,
/* 3028 */ 's', 'p', 'l', 'a', 't', '.', 'd', 9, 0,
/* 3037 */ 'b', 's', 'e', 't', '.', 'd', 9, 0,
/* 3045 */ 'c', '.', 'n', 'g', 't', '.', 'd', 9, 0,
/* 3054 */ 'c', '.', 'l', 't', '.', 'd', 9, 0,
/* 3062 */ 'c', 'm', 'p', '.', 'l', 't', '.', 'd', 9, 0,
/* 3072 */ 'f', 'c', 'l', 't', '.', 'd', 9, 0,
/* 3080 */ 'c', '.', 'o', 'l', 't', '.', 'd', 9, 0,
/* 3089 */ 'c', 'm', 'p', '.', 's', 'l', 't', '.', 'd', 9, 0,
/* 3100 */ 'f', 's', 'l', 't', '.', 'd', 9, 0,
/* 3108 */ 'c', '.', 'u', 'l', 't', '.', 'd', 9, 0,
/* 3117 */ 'c', 'm', 'p', '.', 'u', 'l', 't', '.', 'd', 9, 0,
/* 3128 */ 'f', 'c', 'u', 'l', 't', '.', 'd', 9, 0,
/* 3137 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 't', '.', 'd', 9, 0,
/* 3149 */ 'f', 's', 'u', 'l', 't', '.', 'd', 9, 0,
/* 3158 */ 'p', 'c', 'n', 't', '.', 'd', 9, 0,
/* 3166 */ 'f', 'r', 'i', 'n', 't', '.', 'd', 9, 0,
/* 3175 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'd', 9, 0,
/* 3185 */ 'f', 's', 'q', 'r', 't', '.', 'd', 9, 0,
/* 3194 */ 'f', 'r', 's', 'q', 'r', 't', '.', 'd', 9, 0,
/* 3204 */ 's', 't', '.', 'd', 9, 0,
/* 3210 */ 'm', 'o', 'v', 't', '.', 'd', 9, 0,
/* 3218 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
/* 3228 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
/* 3238 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
/* 3249 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 'u', '.', 'd', 9, 0,
/* 3261 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'd', 9, 0,
/* 3271 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'd', 9, 0,
/* 3282 */ 'm', 'o', 'd', '_', 'u', '.', 'd', 9, 0,
/* 3291 */ 'c', 'l', 'e', '_', 'u', '.', 'd', 9, 0,
/* 3300 */ 'a', 'v', 'e', '_', 'u', '.', 'd', 9, 0,
/* 3309 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'd', 9, 0,
/* 3319 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'd', 9, 0,
/* 3329 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'd', 9, 0,
/* 3339 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'd', 9, 0,
/* 3349 */ 'm', 'i', 'n', '_', 'u', '.', 'd', 9, 0,
/* 3358 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'd', 9, 0,
/* 3368 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'd', 9, 0,
/* 3378 */ 's', 'u', 'b', 's', '_', 'u', '.', 'd', 9, 0,
/* 3388 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'd', 9, 0,
/* 3398 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'd', 9, 0,
/* 3410 */ 's', 'a', 't', '_', 'u', '.', 'd', 9, 0,
/* 3419 */ 'c', 'l', 't', '_', 'u', '.', 'd', 9, 0,
/* 3428 */ 'f', 'f', 'i', 'n', 't', '_', 'u', '.', 'd', 9, 0,
/* 3439 */ 'f', 't', 'i', 'n', 't', '_', 'u', '.', 'd', 9, 0,
/* 3450 */ 'd', 'i', 'v', '_', 'u', '.', 'd', 9, 0,
/* 3459 */ 'm', 'a', 'x', '_', 'u', '.', 'd', 9, 0,
/* 3468 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'd', 9, 0,
/* 3478 */ 'm', 's', 'u', 'b', 'v', '.', 'd', 9, 0,
/* 3487 */ 'm', 'a', 'd', 'd', 'v', '.', 'd', 9, 0,
/* 3496 */ 'p', 'c', 'k', 'e', 'v', '.', 'd', 9, 0,
/* 3505 */ 'i', 'l', 'v', 'e', 'v', '.', 'd', 9, 0,
/* 3514 */ 'f', 'd', 'i', 'v', '.', 'd', 9, 0,
/* 3522 */ 'm', 'u', 'l', 'v', '.', 'd', 9, 0,
/* 3530 */ 'm', 'o', 'v', '.', 'd', 9, 0,
/* 3537 */ 't', 'r', 'u', 'n', 'c', '.', 'w', '.', 'd', 9, 0,
/* 3548 */ 'r', 'o', 'u', 'n', 'd', '.', 'w', '.', 'd', 9, 0,
/* 3559 */ 'c', 'e', 'i', 'l', '.', 'w', '.', 'd', 9, 0,
/* 3569 */ 'f', 'l', 'o', 'o', 'r', '.', 'w', '.', 'd', 9, 0,
/* 3580 */ 'c', 'v', 't', '.', 'w', '.', 'd', 9, 0,
/* 3589 */ 'f', 'm', 'a', 'x', '.', 'd', 9, 0,
/* 3597 */ 'b', 'z', '.', 'd', 9, 0,
/* 3603 */ 's', 'e', 'l', 'n', 'e', 'z', '.', 'd', 9, 0,
/* 3613 */ 'b', 'n', 'z', '.', 'd', 9, 0,
/* 3620 */ 's', 'e', 'l', 'e', 'q', 'z', '.', 'd', 9, 0,
/* 3630 */ 'm', 'o', 'v', 'z', '.', 'd', 9, 0,
/* 3638 */ 's', 'c', 'd', 9, 0,
/* 3643 */ 'd', 'a', 'd', 'd', 9, 0,
/* 3649 */ 'm', 'a', 'd', 'd', 9, 0,
/* 3655 */ 'd', 's', 'h', 'd', 9, 0,
/* 3661 */ 'l', 'l', 'd', 9, 0,
/* 3666 */ 'a', 'n', 'd', 9, 0,
/* 3671 */ 'p', 'r', 'e', 'p', 'e', 'n', 'd', 9, 0,
/* 3680 */ 'a', 'p', 'p', 'e', 'n', 'd', 9, 0,
/* 3688 */ 'd', 'm', 'o', 'd', 9, 0,
/* 3694 */ 's', 'd', 9, 0,
/* 3698 */ 't', 'g', 'e', 9, 0,
/* 3703 */ 'c', 'a', 'c', 'h', 'e', 9, 0,
/* 3710 */ 'b', 'n', 'e', 9, 0,
/* 3715 */ 's', 'n', 'e', 9, 0,
/* 3720 */ 't', 'n', 'e', 9, 0,
/* 3725 */ 'm', 'o', 'v', 'e', 9, 0,
/* 3731 */ 'b', 'c', '1', 'f', 9, 0,
/* 3737 */ 'p', 'r', 'e', 'f', 9, 0,
/* 3743 */ 'm', 'o', 'v', 'f', 9, 0,
/* 3749 */ 'n', 'e', 'g', 9, 0,
/* 3754 */ 'a', 'd', 'd', '_', 'a', '.', 'h', 9, 0,
/* 3763 */ 'm', 'i', 'n', '_', 'a', '.', 'h', 9, 0,
/* 3772 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'h', 9, 0,
/* 3782 */ 'm', 'a', 'x', '_', 'a', '.', 'h', 9, 0,
/* 3791 */ 's', 'r', 'a', '.', 'h', 9, 0,
/* 3798 */ 'n', 'l', 'o', 'c', '.', 'h', 9, 0,
/* 3806 */ 'n', 'l', 'z', 'c', '.', 'h', 9, 0,
/* 3814 */ 's', 'l', 'd', '.', 'h', 9, 0,
/* 3821 */ 'p', 'c', 'k', 'o', 'd', '.', 'h', 9, 0,
/* 3830 */ 'i', 'l', 'v', 'o', 'd', '.', 'h', 9, 0,
/* 3839 */ 'i', 'n', 's', 'v', 'e', '.', 'h', 9, 0,
/* 3848 */ 'v', 's', 'h', 'f', '.', 'h', 9, 0,
/* 3856 */ 'b', 'n', 'e', 'g', '.', 'h', 9, 0,
/* 3864 */ 's', 'r', 'a', 'i', '.', 'h', 9, 0,
/* 3872 */ 's', 'l', 'd', 'i', '.', 'h', 9, 0,
/* 3880 */ 'b', 'n', 'e', 'g', 'i', '.', 'h', 9, 0,
/* 3889 */ 's', 'l', 'l', 'i', '.', 'h', 9, 0,
/* 3897 */ 's', 'r', 'l', 'i', '.', 'h', 9, 0,
/* 3905 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'h', 9, 0,
/* 3915 */ 'c', 'e', 'q', 'i', '.', 'h', 9, 0,
/* 3923 */ 's', 'r', 'a', 'r', 'i', '.', 'h', 9, 0,
/* 3932 */ 'b', 'c', 'l', 'r', 'i', '.', 'h', 9, 0,
/* 3941 */ 's', 'r', 'l', 'r', 'i', '.', 'h', 9, 0,
/* 3950 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'h', 9, 0,
/* 3960 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'h', 9, 0,
/* 3970 */ 'b', 's', 'e', 't', 'i', '.', 'h', 9, 0,
/* 3979 */ 's', 'u', 'b', 'v', 'i', '.', 'h', 9, 0,
/* 3988 */ 'a', 'd', 'd', 'v', 'i', '.', 'h', 9, 0,
/* 3997 */ 'f', 'i', 'l', 'l', '.', 'h', 9, 0,
/* 4005 */ 's', 'l', 'l', '.', 'h', 9, 0,
/* 4012 */ 's', 'r', 'l', '.', 'h', 9, 0,
/* 4019 */ 'b', 'i', 'n', 's', 'l', '.', 'h', 9, 0,
/* 4028 */ 'i', 'l', 'v', 'l', '.', 'h', 9, 0,
/* 4036 */ 'f', 'e', 'x', 'd', 'o', '.', 'h', 9, 0,
/* 4045 */ 'm', 's', 'u', 'b', '_', 'q', '.', 'h', 9, 0,
/* 4055 */ 'm', 'a', 'd', 'd', '_', 'q', '.', 'h', 9, 0,
/* 4065 */ 'm', 'u', 'l', '_', 'q', '.', 'h', 9, 0,
/* 4074 */ 'm', 's', 'u', 'b', 'r', '_', 'q', '.', 'h', 9, 0,
/* 4085 */ 'm', 'a', 'd', 'd', 'r', '_', 'q', '.', 'h', 9, 0,
/* 4096 */ 'm', 'u', 'l', 'r', '_', 'q', '.', 'h', 9, 0,
/* 4106 */ 'c', 'e', 'q', '.', 'h', 9, 0,
/* 4113 */ 'f', 't', 'q', '.', 'h', 9, 0,
/* 4120 */ 's', 'r', 'a', 'r', '.', 'h', 9, 0,
/* 4128 */ 'b', 'c', 'l', 'r', '.', 'h', 9, 0,
/* 4136 */ 's', 'r', 'l', 'r', '.', 'h', 9, 0,
/* 4144 */ 'b', 'i', 'n', 's', 'r', '.', 'h', 9, 0,
/* 4153 */ 'i', 'l', 'v', 'r', '.', 'h', 9, 0,
/* 4161 */ 'a', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
/* 4171 */ 'h', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
/* 4181 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
/* 4192 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'h', 9, 0,
/* 4202 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'h', 9, 0,
/* 4213 */ 'm', 'o', 'd', '_', 's', '.', 'h', 9, 0,
/* 4222 */ 'c', 'l', 'e', '_', 's', '.', 'h', 9, 0,
/* 4231 */ 'a', 'v', 'e', '_', 's', '.', 'h', 9, 0,
/* 4240 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'h', 9, 0,
/* 4250 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'h', 9, 0,
/* 4260 */ 'c', 'l', 't', 'i', '_', 's', '.', 'h', 9, 0,
/* 4270 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'h', 9, 0,
/* 4280 */ 'm', 'i', 'n', '_', 's', '.', 'h', 9, 0,
/* 4289 */ 'd', 'o', 't', 'p', '_', 's', '.', 'h', 9, 0,
/* 4299 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'h', 9, 0,
/* 4309 */ 'e', 'x', 't', 'r', '_', 's', '.', 'h', 9, 0,
/* 4319 */ 's', 'u', 'b', 's', '_', 's', '.', 'h', 9, 0,
/* 4329 */ 'a', 'd', 'd', 's', '_', 's', '.', 'h', 9, 0,
/* 4339 */ 's', 'a', 't', '_', 's', '.', 'h', 9, 0,
/* 4348 */ 'c', 'l', 't', '_', 's', '.', 'h', 9, 0,
/* 4357 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'h', 9, 0,
/* 4369 */ 'd', 'i', 'v', '_', 's', '.', 'h', 9, 0,
/* 4378 */ 'e', 'x', 't', 'r', 'v', '_', 's', '.', 'h', 9, 0,
/* 4389 */ 'm', 'a', 'x', '_', 's', '.', 'h', 9, 0,
/* 4398 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'h', 9, 0,
/* 4408 */ 's', 'p', 'l', 'a', 't', '.', 'h', 9, 0,
/* 4417 */ 'b', 's', 'e', 't', '.', 'h', 9, 0,
/* 4425 */ 'p', 'c', 'n', 't', '.', 'h', 9, 0,
/* 4433 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'h', 9, 0,
/* 4443 */ 's', 't', '.', 'h', 9, 0,
/* 4449 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
/* 4459 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
/* 4469 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
/* 4480 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'h', 9, 0,
/* 4490 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'h', 9, 0,
/* 4501 */ 'm', 'o', 'd', '_', 'u', '.', 'h', 9, 0,
/* 4510 */ 'c', 'l', 'e', '_', 'u', '.', 'h', 9, 0,
/* 4519 */ 'a', 'v', 'e', '_', 'u', '.', 'h', 9, 0,
/* 4528 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'h', 9, 0,
/* 4538 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'h', 9, 0,
/* 4548 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'h', 9, 0,
/* 4558 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'h', 9, 0,
/* 4568 */ 'm', 'i', 'n', '_', 'u', '.', 'h', 9, 0,
/* 4577 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'h', 9, 0,
/* 4587 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'h', 9, 0,
/* 4597 */ 's', 'u', 'b', 's', '_', 'u', '.', 'h', 9, 0,
/* 4607 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'h', 9, 0,
/* 4617 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'h', 9, 0,
/* 4629 */ 's', 'a', 't', '_', 'u', '.', 'h', 9, 0,
/* 4638 */ 'c', 'l', 't', '_', 'u', '.', 'h', 9, 0,
/* 4647 */ 'd', 'i', 'v', '_', 'u', '.', 'h', 9, 0,
/* 4656 */ 'm', 'a', 'x', '_', 'u', '.', 'h', 9, 0,
/* 4665 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'h', 9, 0,
/* 4675 */ 'm', 's', 'u', 'b', 'v', '.', 'h', 9, 0,
/* 4684 */ 'm', 'a', 'd', 'd', 'v', '.', 'h', 9, 0,
/* 4693 */ 'p', 'c', 'k', 'e', 'v', '.', 'h', 9, 0,
/* 4702 */ 'i', 'l', 'v', 'e', 'v', '.', 'h', 9, 0,
/* 4711 */ 'm', 'u', 'l', 'v', '.', 'h', 9, 0,
/* 4719 */ 'b', 'z', '.', 'h', 9, 0,
/* 4725 */ 'b', 'n', 'z', '.', 'h', 9, 0,
/* 4732 */ 'd', 's', 'b', 'h', 9, 0,
/* 4738 */ 'w', 's', 'b', 'h', 9, 0,
/* 4744 */ 's', 'e', 'h', 9, 0,
/* 4749 */ 'l', 'h', 9, 0,
/* 4753 */ 's', 'h', 'r', 'a', '.', 'p', 'h', 9, 0,
/* 4762 */ 'p', 'r', 'e', 'c', 'r', 'q', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
/* 4776 */ 'p', 'r', 'e', 'c', 'r', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
/* 4789 */ 'p', 'r', 'e', 'c', 'r', 'q', 'u', '_', 's', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
/* 4806 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 'p', 'h', 9, 0,
/* 4817 */ 's', 'u', 'b', 'q', 'h', '.', 'p', 'h', 9, 0,
/* 4827 */ 'a', 'd', 'd', 'q', 'h', '.', 'p', 'h', 9, 0,
/* 4837 */ 'p', 'i', 'c', 'k', '.', 'p', 'h', 9, 0,
/* 4846 */ 's', 'h', 'l', 'l', '.', 'p', 'h', 9, 0,
/* 4855 */ 'r', 'e', 'p', 'l', '.', 'p', 'h', 9, 0,
/* 4864 */ 's', 'h', 'r', 'l', '.', 'p', 'h', 9, 0,
/* 4873 */ 'p', 'a', 'c', 'k', 'r', 'l', '.', 'p', 'h', 9, 0,
/* 4884 */ 'm', 'u', 'l', '.', 'p', 'h', 9, 0,
/* 4892 */ 's', 'u', 'b', 'q', '.', 'p', 'h', 9, 0,
/* 4901 */ 'a', 'd', 'd', 'q', '.', 'p', 'h', 9, 0,
/* 4910 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 'p', 'h', 9, 0,
/* 4921 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'p', 'h', 9, 0,
/* 4932 */ 's', 'u', 'b', 'q', 'h', '_', 'r', '.', 'p', 'h', 9, 0,
/* 4944 */ 'a', 'd', 'd', 'q', 'h', '_', 'r', '.', 'p', 'h', 9, 0,
/* 4956 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'p', 'h', 9, 0,
/* 4968 */ 's', 'h', 'l', 'l', '_', 's', '.', 'p', 'h', 9, 0,
/* 4979 */ 'm', 'u', 'l', '_', 's', '.', 'p', 'h', 9, 0,
/* 4989 */ 's', 'u', 'b', 'q', '_', 's', '.', 'p', 'h', 9, 0,
/* 5000 */ 'a', 'd', 'd', 'q', '_', 's', '.', 'p', 'h', 9, 0,
/* 5011 */ 'm', 'u', 'l', 'q', '_', 's', '.', 'p', 'h', 9, 0,
/* 5022 */ 'a', 'b', 's', 'q', '_', 's', '.', 'p', 'h', 9, 0,
/* 5033 */ 's', 'u', 'b', 'u', '_', 's', '.', 'p', 'h', 9, 0,
/* 5044 */ 'a', 'd', 'd', 'u', '_', 's', '.', 'p', 'h', 9, 0,
/* 5055 */ 's', 'h', 'l', 'l', 'v', '_', 's', '.', 'p', 'h', 9, 0,
/* 5067 */ 'm', 'u', 'l', 'q', '_', 'r', 's', '.', 'p', 'h', 9, 0,
/* 5079 */ 'c', 'm', 'p', '.', 'l', 't', '.', 'p', 'h', 9, 0,
/* 5090 */ 's', 'u', 'b', 'u', '.', 'p', 'h', 9, 0,
/* 5099 */ 'a', 'd', 'd', 'u', '.', 'p', 'h', 9, 0,
/* 5108 */ 's', 'h', 'r', 'a', 'v', '.', 'p', 'h', 9, 0,
/* 5118 */ 's', 'h', 'l', 'l', 'v', '.', 'p', 'h', 9, 0,
/* 5128 */ 'r', 'e', 'p', 'l', 'v', '.', 'p', 'h', 9, 0,
/* 5138 */ 's', 'h', 'r', 'l', 'v', '.', 'p', 'h', 9, 0,
/* 5148 */ 'd', 'p', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
/* 5158 */ 'd', 'p', 'a', 'q', 'x', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
/* 5173 */ 'd', 'p', 's', 'q', 'x', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
/* 5188 */ 'm', 'u', 'l', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
/* 5200 */ 'd', 'p', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
/* 5213 */ 'm', 'u', 'l', 's', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
/* 5228 */ 'd', 'p', 's', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
/* 5241 */ 'd', 'p', 'a', 'q', 'x', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
/* 5255 */ 'd', 'p', 's', 'q', 'x', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
/* 5269 */ 'd', 'p', 's', '.', 'w', '.', 'p', 'h', 9, 0,
/* 5279 */ 'd', 'p', 'a', 'x', '.', 'w', '.', 'p', 'h', 9, 0,
/* 5290 */ 'd', 'p', 's', 'x', '.', 'w', '.', 'p', 'h', 9, 0,
/* 5301 */ 's', 'h', 9, 0,
/* 5305 */ 'd', 'm', 'u', 'h', 9, 0,
/* 5311 */ 'd', 'a', 'd', 'd', 'i', 9, 0,
/* 5318 */ 'a', 'n', 'd', 'i', 9, 0,
/* 5324 */ 't', 'g', 'e', 'i', 9, 0,
/* 5330 */ 's', 'n', 'e', 'i', 9, 0,
/* 5336 */ 't', 'n', 'e', 'i', 9, 0,
/* 5342 */ 'd', 'a', 'h', 'i', 9, 0,
/* 5348 */ 'm', 'f', 'h', 'i', 9, 0,
/* 5354 */ 'm', 't', 'h', 'i', 9, 0,
/* 5360 */ '.', 'a', 'l', 'i', 'g', 'n', 32, '2', 10, 9, 'l', 'i', 9, 0,
/* 5374 */ 'd', 'l', 'i', 9, 0,
/* 5379 */ 'c', 'm', 'p', 'i', 9, 0,
/* 5385 */ 's', 'e', 'q', 'i', 9, 0,
/* 5391 */ 't', 'e', 'q', 'i', 9, 0,
/* 5397 */ 'x', 'o', 'r', 'i', 9, 0,
/* 5403 */ 'd', 'a', 't', 'i', 9, 0,
/* 5409 */ 's', 'l', 't', 'i', 9, 0,
/* 5415 */ 't', 'l', 't', 'i', 9, 0,
/* 5421 */ 'd', 'a', 'u', 'i', 9, 0,
/* 5427 */ 'l', 'u', 'i', 9, 0,
/* 5432 */ 'j', 9, 0,
/* 5435 */ 'b', 'r', 'e', 'a', 'k', 9, 0,
/* 5442 */ 'c', 'v', 't', '.', 'd', '.', 'l', 9, 0,
/* 5451 */ 'c', 'v', 't', '.', 's', '.', 'l', 9, 0,
/* 5460 */ 'b', 'a', 'l', 9, 0,
/* 5465 */ 'j', 'a', 'l', 9, 0,
/* 5470 */ 'b', 'g', 'e', 'z', 'a', 'l', 9, 0,
/* 5478 */ 'b', 'l', 't', 'z', 'a', 'l', 9, 0,
/* 5486 */ 'd', 'p', 'a', 'u', '.', 'h', '.', 'q', 'b', 'l', 9, 0,
/* 5498 */ 'd', 'p', 's', 'u', '.', 'h', '.', 'q', 'b', 'l', 9, 0,
/* 5510 */ 'm', 'u', 'l', 'e', 'u', '_', 's', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
/* 5526 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
/* 5541 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
/* 5557 */ 'l', 'd', 'l', 9, 0,
/* 5562 */ 's', 'd', 'l', 9, 0,
/* 5567 */ 'm', 'a', 'q', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
/* 5581 */ 'p', 'r', 'e', 'c', 'e', 'q', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
/* 5595 */ 'm', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
/* 5608 */ 'm', 'u', 'l', 'e', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
/* 5623 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 9, 0,
/* 5632 */ 'd', 's', 'l', 'l', 9, 0,
/* 5638 */ 'd', 's', 'r', 'l', 9, 0,
/* 5644 */ 'd', 'm', 'u', 'l', 9, 0,
/* 5650 */ 'l', 'w', 'l', 9, 0,
/* 5655 */ 's', 'w', 'l', 9, 0,
/* 5660 */ 'b', 'a', 'l', 'i', 'g', 'n', 9, 0,
/* 5668 */ 'd', 'a', 'l', 'i', 'g', 'n', 9, 0,
/* 5676 */ 'm', 'o', 'v', 'n', 9, 0,
/* 5682 */ 'd', 'c', 'l', 'o', 9, 0,
/* 5688 */ 'm', 'f', 'l', 'o', 9, 0,
/* 5694 */ 's', 'h', 'i', 'l', 'o', 9, 0,
/* 5701 */ 'm', 't', 'l', 'o', 9, 0,
/* 5707 */ 'd', 'b', 'i', 't', 's', 'w', 'a', 'p', 9, 0,
/* 5717 */ 's', 'd', 'b', 'b', 'p', 9, 0,
/* 5724 */ 'e', 'x', 't', 'p', 'd', 'p', 9, 0,
/* 5732 */ 'm', 't', 'h', 'l', 'i', 'p', 9, 0,
/* 5740 */ 'c', 'm', 'p', 9, 0,
/* 5745 */ 'd', 'p', 'o', 'p', 9, 0,
/* 5751 */ 'l', 'o', 'a', 'd', '_', 'c', 'c', 'o', 'n', 'd', '_', 'd', 's', 'p', 9, 0,
/* 5767 */ 's', 't', 'o', 'r', 'e', '_', 'c', 'c', 'o', 'n', 'd', '_', 'd', 's', 'p', 9, 0,
/* 5784 */ 'r', 'd', 'd', 's', 'p', 9, 0,
/* 5791 */ 'w', 'r', 'd', 's', 'p', 9, 0,
/* 5798 */ 'e', 'x', 't', 'p', 9, 0,
/* 5804 */ 'b', 'e', 'q', 9, 0,
/* 5809 */ 's', 'e', 'q', 9, 0,
/* 5814 */ 't', 'e', 'q', 9, 0,
/* 5819 */ 'd', 'p', 'a', 'u', '.', 'h', '.', 'q', 'b', 'r', 9, 0,
/* 5831 */ 'd', 'p', 's', 'u', '.', 'h', '.', 'q', 'b', 'r', 9, 0,
/* 5843 */ 'm', 'u', 'l', 'e', 'u', '_', 's', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
/* 5859 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
/* 5874 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
/* 5890 */ 'l', 'd', 'r', 9, 0,
/* 5895 */ 's', 'd', 'r', 9, 0,
/* 5900 */ 'm', 'a', 'q', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
/* 5914 */ 'p', 'r', 'e', 'c', 'e', 'q', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
/* 5928 */ 'm', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
/* 5941 */ 'm', 'u', 'l', 'e', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
/* 5956 */ 'j', 'r', 9, 0,
/* 5960 */ 'j', 'a', 'l', 'r', 9, 0,
/* 5966 */ 'n', 'o', 'r', 9, 0,
/* 5971 */ 'x', 'o', 'r', 9, 0,
/* 5976 */ 'd', 'r', 'o', 't', 'r', 9, 0,
/* 5983 */ 'r', 'd', 'h', 'w', 'r', 9, 0,
/* 5990 */ 'l', 'w', 'r', 9, 0,
/* 5995 */ 's', 'w', 'r', 9, 0,
/* 6000 */ 'm', 'i', 'n', 'a', '.', 's', 9, 0,
/* 6008 */ 'm', 'a', 'x', 'a', '.', 's', 9, 0,
/* 6016 */ 'n', 'm', 's', 'u', 'b', '.', 's', 9, 0,
/* 6025 */ 'c', 'v', 't', '.', 'd', '.', 's', 9, 0,
/* 6034 */ 'n', 'm', 'a', 'd', 'd', '.', 's', 9, 0,
/* 6043 */ 'c', '.', 'n', 'g', 'e', '.', 's', 9, 0,
/* 6052 */ 'c', '.', 'l', 'e', '.', 's', 9, 0,
/* 6060 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 's', 9, 0,
/* 6070 */ 'c', '.', 'n', 'g', 'l', 'e', '.', 's', 9, 0,
/* 6080 */ 'c', '.', 'o', 'l', 'e', '.', 's', 9, 0,
/* 6089 */ 'c', 'm', 'p', '.', 's', 'l', 'e', '.', 's', 9, 0,
/* 6100 */ 'c', '.', 'u', 'l', 'e', '.', 's', 9, 0,
/* 6109 */ 'c', 'm', 'p', '.', 'u', 'l', 'e', '.', 's', 9, 0,
/* 6120 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 'e', '.', 's', 9, 0,
/* 6132 */ 'c', '.', 'f', '.', 's', 9, 0,
/* 6139 */ 'c', 'm', 'p', '.', 'a', 'f', '.', 's', 9, 0,
/* 6149 */ 'c', 'm', 'p', '.', 's', 'a', 'f', '.', 's', 9, 0,
/* 6160 */ 'm', 's', 'u', 'b', 'f', '.', 's', 9, 0,
/* 6169 */ 'm', 'a', 'd', 'd', 'f', '.', 's', 9, 0,
/* 6178 */ 'c', '.', 's', 'f', '.', 's', 9, 0,
/* 6186 */ 'm', 'o', 'v', 'f', '.', 's', 9, 0,
/* 6194 */ 'n', 'e', 'g', '.', 's', 9, 0,
/* 6201 */ 't', 'r', 'u', 'n', 'c', '.', 'l', '.', 's', 9, 0,
/* 6212 */ 'r', 'o', 'u', 'n', 'd', '.', 'l', '.', 's', 9, 0,
/* 6223 */ 'c', 'e', 'i', 'l', '.', 'l', '.', 's', 9, 0,
/* 6233 */ 'f', 'l', 'o', 'o', 'r', '.', 'l', '.', 's', 9, 0,
/* 6244 */ 'c', 'v', 't', '.', 'l', '.', 's', 9, 0,
/* 6253 */ 's', 'e', 'l', '.', 's', 9, 0,
/* 6260 */ 'c', '.', 'n', 'g', 'l', '.', 's', 9, 0,
/* 6269 */ 'm', 'u', 'l', '.', 's', 9, 0,
/* 6276 */ 'm', 'i', 'n', '.', 's', 9, 0,
/* 6283 */ 'c', '.', 'u', 'n', '.', 's', 9, 0,
/* 6291 */ 'c', 'm', 'p', '.', 'u', 'n', '.', 's', 9, 0,
/* 6301 */ 'c', 'm', 'p', '.', 's', 'u', 'n', '.', 's', 9, 0,
/* 6312 */ 'm', 'o', 'v', 'n', '.', 's', 9, 0,
/* 6320 */ 'c', '.', 'e', 'q', '.', 's', 9, 0,
/* 6328 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 's', 9, 0,
/* 6338 */ 'c', '.', 's', 'e', 'q', '.', 's', 9, 0,
/* 6347 */ 'c', 'm', 'p', '.', 's', 'e', 'q', '.', 's', 9, 0,
/* 6358 */ 'c', '.', 'u', 'e', 'q', '.', 's', 9, 0,
/* 6367 */ 'c', 'm', 'p', '.', 'u', 'e', 'q', '.', 's', 9, 0,
/* 6378 */ 'c', 'm', 'p', '.', 's', 'u', 'e', 'q', '.', 's', 9, 0,
/* 6390 */ 'a', 'b', 's', '.', 's', 9, 0,
/* 6397 */ 'c', 'l', 'a', 's', 's', '.', 's', 9, 0,
/* 6406 */ 'c', '.', 'n', 'g', 't', '.', 's', 9, 0,
/* 6415 */ 'c', '.', 'l', 't', '.', 's', 9, 0,
/* 6423 */ 'c', 'm', 'p', '.', 'l', 't', '.', 's', 9, 0,
/* 6433 */ 'c', '.', 'o', 'l', 't', '.', 's', 9, 0,
/* 6442 */ 'c', 'm', 'p', '.', 's', 'l', 't', '.', 's', 9, 0,
/* 6453 */ 'c', '.', 'u', 'l', 't', '.', 's', 9, 0,
/* 6462 */ 'c', 'm', 'p', '.', 'u', 'l', 't', '.', 's', 9, 0,
/* 6473 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 't', '.', 's', 9, 0,
/* 6485 */ 'r', 'i', 'n', 't', '.', 's', 9, 0,
/* 6493 */ 's', 'q', 'r', 't', '.', 's', 9, 0,
/* 6501 */ 'm', 'o', 'v', 't', '.', 's', 9, 0,
/* 6509 */ 'd', 'i', 'v', '.', 's', 9, 0,
/* 6516 */ 'm', 'o', 'v', '.', 's', 9, 0,
/* 6523 */ 't', 'r', 'u', 'n', 'c', '.', 'w', '.', 's', 9, 0,
/* 6534 */ 'r', 'o', 'u', 'n', 'd', '.', 'w', '.', 's', 9, 0,
/* 6545 */ 'c', 'e', 'i', 'l', '.', 'w', '.', 's', 9, 0,
/* 6555 */ 'f', 'l', 'o', 'o', 'r', '.', 'w', '.', 's', 9, 0,
/* 6566 */ 'c', 'v', 't', '.', 'w', '.', 's', 9, 0,
/* 6575 */ 'm', 'a', 'x', '.', 's', 9, 0,
/* 6582 */ 's', 'e', 'l', 'n', 'e', 'z', '.', 's', 9, 0,
/* 6592 */ 's', 'e', 'l', 'e', 'q', 'z', '.', 's', 9, 0,
/* 6602 */ 'm', 'o', 'v', 'z', '.', 's', 9, 0,
/* 6610 */ 'b', 'c', '1', 't', 9, 0,
/* 6616 */ 'w', 'a', 'i', 't', 9, 0,
/* 6622 */ 's', 'l', 't', 9, 0,
/* 6627 */ 't', 'l', 't', 9, 0,
/* 6632 */ 'd', 'm', 'u', 'l', 't', 9, 0,
/* 6639 */ 'n', 'o', 't', 9, 0,
/* 6644 */ 'm', 'o', 'v', 't', 9, 0,
/* 6650 */ 'l', 'b', 'u', 9, 0,
/* 6655 */ 'd', 's', 'u', 'b', 'u', 9, 0,
/* 6662 */ 'm', 's', 'u', 'b', 'u', 9, 0,
/* 6669 */ 'b', 'a', 'd', 'd', 'u', 9, 0,
/* 6676 */ 'd', 'a', 'd', 'd', 'u', 9, 0,
/* 6683 */ 'm', 'a', 'd', 'd', 'u', 9, 0,
/* 6690 */ 'd', 'm', 'o', 'd', 'u', 9, 0,
/* 6697 */ 't', 'g', 'e', 'u', 9, 0,
/* 6703 */ 'l', 'h', 'u', 9, 0,
/* 6708 */ 'd', 'm', 'u', 'h', 'u', 9, 0,
/* 6715 */ 'd', 'a', 'd', 'd', 'i', 'u', 9, 0,
/* 6723 */ 't', 'g', 'e', 'i', 'u', 9, 0,
/* 6730 */ 's', 'l', 't', 'i', 'u', 9, 0,
/* 6737 */ 't', 'l', 't', 'i', 'u', 9, 0,
/* 6744 */ 'v', '3', 'm', 'u', 'l', 'u', 9, 0,
/* 6752 */ 'd', 'm', 'u', 'l', 'u', 9, 0,
/* 6759 */ 'v', 'm', 'u', 'l', 'u', 9, 0,
/* 6766 */ 's', 'l', 't', 'u', 9, 0,
/* 6772 */ 't', 'l', 't', 'u', 9, 0,
/* 6778 */ 'd', 'm', 'u', 'l', 't', 'u', 9, 0,
/* 6786 */ 'd', 'd', 'i', 'v', 'u', 9, 0,
/* 6793 */ 'l', 'w', 'u', 9, 0,
/* 6798 */ 'a', 'n', 'd', '.', 'v', 9, 0,
/* 6805 */ 'm', 'o', 'v', 'e', '.', 'v', 9, 0,
/* 6813 */ 'b', 's', 'e', 'l', '.', 'v', 9, 0,
/* 6821 */ 'n', 'o', 'r', '.', 'v', 9, 0,
/* 6828 */ 'x', 'o', 'r', '.', 'v', 9, 0,
/* 6835 */ 'b', 'z', '.', 'v', 9, 0,
/* 6841 */ 'b', 'm', 'z', '.', 'v', 9, 0,
/* 6848 */ 'b', 'n', 'z', '.', 'v', 9, 0,
/* 6855 */ 'b', 'm', 'n', 'z', '.', 'v', 9, 0,
/* 6863 */ 'd', 's', 'r', 'a', 'v', 9, 0,
/* 6870 */ 'b', 'i', 't', 'r', 'e', 'v', 9, 0,
/* 6878 */ 'd', 'd', 'i', 'v', 9, 0,
/* 6884 */ 'd', 's', 'l', 'l', 'v', 9, 0,
/* 6891 */ 'd', 's', 'r', 'l', 'v', 9, 0,
/* 6898 */ 's', 'h', 'i', 'l', 'o', 'v', 9, 0,
/* 6906 */ 'e', 'x', 't', 'p', 'd', 'p', 'v', 9, 0,
/* 6915 */ 'e', 'x', 't', 'p', 'v', 9, 0,
/* 6922 */ 'd', 'r', 'o', 't', 'r', 'v', 9, 0,
/* 6930 */ 'i', 'n', 's', 'v', 9, 0,
/* 6936 */ 'f', 'l', 'o', 'g', '2', '.', 'w', 9, 0,
/* 6945 */ 'f', 'e', 'x', 'p', '2', '.', 'w', 9, 0,
/* 6954 */ 'a', 'd', 'd', '_', 'a', '.', 'w', 9, 0,
/* 6963 */ 'f', 'm', 'i', 'n', '_', 'a', '.', 'w', 9, 0,
/* 6973 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'w', 9, 0,
/* 6983 */ 'f', 'm', 'a', 'x', '_', 'a', '.', 'w', 9, 0,
/* 6993 */ 's', 'r', 'a', '.', 'w', 9, 0,
/* 7000 */ 'f', 's', 'u', 'b', '.', 'w', 9, 0,
/* 7008 */ 'f', 'm', 's', 'u', 'b', '.', 'w', 9, 0,
/* 7017 */ 'n', 'l', 'o', 'c', '.', 'w', 9, 0,
/* 7025 */ 'n', 'l', 'z', 'c', '.', 'w', 9, 0,
/* 7033 */ 'c', 'v', 't', '.', 'd', '.', 'w', 9, 0,
/* 7042 */ 'f', 'a', 'd', 'd', '.', 'w', 9, 0,
/* 7050 */ 'f', 'm', 'a', 'd', 'd', '.', 'w', 9, 0,
/* 7059 */ 's', 'l', 'd', '.', 'w', 9, 0,
/* 7066 */ 'p', 'c', 'k', 'o', 'd', '.', 'w', 9, 0,
/* 7075 */ 'i', 'l', 'v', 'o', 'd', '.', 'w', 9, 0,
/* 7084 */ 'f', 'c', 'l', 'e', '.', 'w', 9, 0,
/* 7092 */ 'f', 's', 'l', 'e', '.', 'w', 9, 0,
/* 7100 */ 'f', 'c', 'u', 'l', 'e', '.', 'w', 9, 0,
/* 7109 */ 'f', 's', 'u', 'l', 'e', '.', 'w', 9, 0,
/* 7118 */ 'f', 'c', 'n', 'e', '.', 'w', 9, 0,
/* 7126 */ 'f', 's', 'n', 'e', '.', 'w', 9, 0,
/* 7134 */ 'f', 'c', 'u', 'n', 'e', '.', 'w', 9, 0,
/* 7143 */ 'f', 's', 'u', 'n', 'e', '.', 'w', 9, 0,
/* 7152 */ 'i', 'n', 's', 'v', 'e', '.', 'w', 9, 0,
/* 7161 */ 'f', 'c', 'a', 'f', '.', 'w', 9, 0,
/* 7169 */ 'f', 's', 'a', 'f', '.', 'w', 9, 0,
/* 7177 */ 'v', 's', 'h', 'f', '.', 'w', 9, 0,
/* 7185 */ 'b', 'n', 'e', 'g', '.', 'w', 9, 0,
/* 7193 */ 'p', 'r', 'e', 'c', 'r', '_', 's', 'r', 'a', '.', 'p', 'h', '.', 'w', 9, 0,
/* 7209 */ 'p', 'r', 'e', 'c', 'r', 'q', '.', 'p', 'h', '.', 'w', 9, 0,
/* 7222 */ 'p', 'r', 'e', 'c', 'r', '_', 's', 'r', 'a', '_', 'r', '.', 'p', 'h', '.', 'w', 9, 0,
/* 7240 */ 'p', 'r', 'e', 'c', 'r', 'q', '_', 'r', 's', '.', 'p', 'h', '.', 'w', 9, 0,
/* 7256 */ 's', 'u', 'b', 'q', 'h', '.', 'w', 9, 0,
/* 7265 */ 'a', 'd', 'd', 'q', 'h', '.', 'w', 9, 0,
/* 7274 */ 's', 'r', 'a', 'i', '.', 'w', 9, 0,
/* 7282 */ 's', 'l', 'd', 'i', '.', 'w', 9, 0,
/* 7290 */ 'b', 'n', 'e', 'g', 'i', '.', 'w', 9, 0,
/* 7299 */ 's', 'l', 'l', 'i', '.', 'w', 9, 0,
/* 7307 */ 's', 'r', 'l', 'i', '.', 'w', 9, 0,
/* 7315 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'w', 9, 0,
/* 7325 */ 'c', 'e', 'q', 'i', '.', 'w', 9, 0,
/* 7333 */ 's', 'r', 'a', 'r', 'i', '.', 'w', 9, 0,
/* 7342 */ 'b', 'c', 'l', 'r', 'i', '.', 'w', 9, 0,
/* 7351 */ 's', 'r', 'l', 'r', 'i', '.', 'w', 9, 0,
/* 7360 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'w', 9, 0,
/* 7370 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'w', 9, 0,
/* 7380 */ 'b', 's', 'e', 't', 'i', '.', 'w', 9, 0,
/* 7389 */ 's', 'u', 'b', 'v', 'i', '.', 'w', 9, 0,
/* 7398 */ 'a', 'd', 'd', 'v', 'i', '.', 'w', 9, 0,
/* 7407 */ 'd', 'p', 'a', 'q', '_', 's', 'a', '.', 'l', '.', 'w', 9, 0,
/* 7420 */ 'd', 'p', 's', 'q', '_', 's', 'a', '.', 'l', '.', 'w', 9, 0,
/* 7433 */ 'f', 'i', 'l', 'l', '.', 'w', 9, 0,
/* 7441 */ 's', 'l', 'l', '.', 'w', 9, 0,
/* 7448 */ 'f', 'e', 'x', 'u', 'p', 'l', '.', 'w', 9, 0,
/* 7458 */ 'f', 'f', 'q', 'l', '.', 'w', 9, 0,
/* 7466 */ 's', 'r', 'l', '.', 'w', 9, 0,
/* 7473 */ 'b', 'i', 'n', 's', 'l', '.', 'w', 9, 0,
/* 7482 */ 'f', 'm', 'u', 'l', '.', 'w', 9, 0,
/* 7490 */ 'i', 'l', 'v', 'l', '.', 'w', 9, 0,
/* 7498 */ 'f', 'm', 'i', 'n', '.', 'w', 9, 0,
/* 7506 */ 'f', 'c', 'u', 'n', '.', 'w', 9, 0,
/* 7514 */ 'f', 's', 'u', 'n', '.', 'w', 9, 0,
/* 7522 */ 'f', 'e', 'x', 'd', 'o', '.', 'w', 9, 0,
/* 7531 */ 'f', 'r', 'c', 'p', '.', 'w', 9, 0,
/* 7539 */ 'm', 's', 'u', 'b', '_', 'q', '.', 'w', 9, 0,
/* 7549 */ 'm', 'a', 'd', 'd', '_', 'q', '.', 'w', 9, 0,
/* 7559 */ 'm', 'u', 'l', '_', 'q', '.', 'w', 9, 0,
/* 7568 */ 'm', 's', 'u', 'b', 'r', '_', 'q', '.', 'w', 9, 0,
/* 7579 */ 'm', 'a', 'd', 'd', 'r', '_', 'q', '.', 'w', 9, 0,
/* 7590 */ 'm', 'u', 'l', 'r', '_', 'q', '.', 'w', 9, 0,
/* 7600 */ 'f', 'c', 'e', 'q', '.', 'w', 9, 0,
/* 7608 */ 'f', 's', 'e', 'q', '.', 'w', 9, 0,
/* 7616 */ 'f', 'c', 'u', 'e', 'q', '.', 'w', 9, 0,
/* 7625 */ 'f', 's', 'u', 'e', 'q', '.', 'w', 9, 0,
/* 7634 */ 'f', 't', 'q', '.', 'w', 9, 0,
/* 7641 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'w', 9, 0,
/* 7651 */ 's', 'u', 'b', 'q', 'h', '_', 'r', '.', 'w', 9, 0,
/* 7662 */ 'a', 'd', 'd', 'q', 'h', '_', 'r', '.', 'w', 9, 0,
/* 7673 */ 'e', 'x', 't', 'r', '_', 'r', '.', 'w', 9, 0,
/* 7683 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'w', 9, 0,
/* 7694 */ 'e', 'x', 't', 'r', 'v', '_', 'r', '.', 'w', 9, 0,
/* 7705 */ 's', 'r', 'a', 'r', '.', 'w', 9, 0,
/* 7713 */ 'b', 'c', 'l', 'r', '.', 'w', 9, 0,
/* 7721 */ 's', 'r', 'l', 'r', '.', 'w', 9, 0,
/* 7729 */ 'f', 'c', 'o', 'r', '.', 'w', 9, 0,
/* 7737 */ 'f', 's', 'o', 'r', '.', 'w', 9, 0,
/* 7745 */ 'f', 'e', 'x', 'u', 'p', 'r', '.', 'w', 9, 0,
/* 7755 */ 'f', 'f', 'q', 'r', '.', 'w', 9, 0,
/* 7763 */ 'b', 'i', 'n', 's', 'r', '.', 'w', 9, 0,
/* 7772 */ 'e', 'x', 't', 'r', '.', 'w', 9, 0,
/* 7780 */ 'i', 'l', 'v', 'r', '.', 'w', 9, 0,
/* 7788 */ 'c', 'v', 't', '.', 's', '.', 'w', 9, 0,
/* 7797 */ 'a', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
/* 7807 */ 'h', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
/* 7817 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
/* 7828 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 's', '.', 'w', 9, 0,
/* 7840 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'w', 9, 0,
/* 7850 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'w', 9, 0,
/* 7861 */ 'm', 'o', 'd', '_', 's', '.', 'w', 9, 0,
/* 7870 */ 'c', 'l', 'e', '_', 's', '.', 'w', 9, 0,
/* 7879 */ 'a', 'v', 'e', '_', 's', '.', 'w', 9, 0,
/* 7888 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'w', 9, 0,
/* 7898 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'w', 9, 0,
/* 7908 */ 'c', 'l', 't', 'i', '_', 's', '.', 'w', 9, 0,
/* 7918 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'w', 9, 0,
/* 7928 */ 's', 'h', 'l', 'l', '_', 's', '.', 'w', 9, 0,
/* 7938 */ 'm', 'i', 'n', '_', 's', '.', 'w', 9, 0,
/* 7947 */ 'd', 'o', 't', 'p', '_', 's', '.', 'w', 9, 0,
/* 7957 */ 's', 'u', 'b', 'q', '_', 's', '.', 'w', 9, 0,
/* 7967 */ 'a', 'd', 'd', 'q', '_', 's', '.', 'w', 9, 0,
/* 7977 */ 'm', 'u', 'l', 'q', '_', 's', '.', 'w', 9, 0,
/* 7987 */ 'a', 'b', 's', 'q', '_', 's', '.', 'w', 9, 0,
/* 7997 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'w', 9, 0,
/* 8007 */ 's', 'u', 'b', 's', '_', 's', '.', 'w', 9, 0,
/* 8017 */ 'a', 'd', 'd', 's', '_', 's', '.', 'w', 9, 0,
/* 8027 */ 's', 'a', 't', '_', 's', '.', 'w', 9, 0,
/* 8036 */ 'c', 'l', 't', '_', 's', '.', 'w', 9, 0,
/* 8045 */ 'f', 'f', 'i', 'n', 't', '_', 's', '.', 'w', 9, 0,
/* 8056 */ 'f', 't', 'i', 'n', 't', '_', 's', '.', 'w', 9, 0,
/* 8067 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'w', 9, 0,
/* 8079 */ 'd', 'i', 'v', '_', 's', '.', 'w', 9, 0,
/* 8088 */ 's', 'h', 'l', 'l', 'v', '_', 's', '.', 'w', 9, 0,
/* 8099 */ 'm', 'a', 'x', '_', 's', '.', 'w', 9, 0,
/* 8108 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'w', 9, 0,
/* 8118 */ 'm', 'u', 'l', 'q', '_', 'r', 's', '.', 'w', 9, 0,
/* 8129 */ 'e', 'x', 't', 'r', '_', 'r', 's', '.', 'w', 9, 0,
/* 8140 */ 'e', 'x', 't', 'r', 'v', '_', 'r', 's', '.', 'w', 9, 0,
/* 8152 */ 'f', 'c', 'l', 'a', 's', 's', '.', 'w', 9, 0,
/* 8162 */ 's', 'p', 'l', 'a', 't', '.', 'w', 9, 0,
/* 8171 */ 'b', 's', 'e', 't', '.', 'w', 9, 0,
/* 8179 */ 'f', 'c', 'l', 't', '.', 'w', 9, 0,
/* 8187 */ 'f', 's', 'l', 't', '.', 'w', 9, 0,
/* 8195 */ 'f', 'c', 'u', 'l', 't', '.', 'w', 9, 0,
/* 8204 */ 'f', 's', 'u', 'l', 't', '.', 'w', 9, 0,
/* 8213 */ 'p', 'c', 'n', 't', '.', 'w', 9, 0,
/* 8221 */ 'f', 'r', 'i', 'n', 't', '.', 'w', 9, 0,
/* 8230 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'w', 9, 0,
/* 8240 */ 'f', 's', 'q', 'r', 't', '.', 'w', 9, 0,
/* 8249 */ 'f', 'r', 's', 'q', 'r', 't', '.', 'w', 9, 0,
/* 8259 */ 's', 't', '.', 'w', 9, 0,
/* 8265 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
/* 8275 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
/* 8285 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
/* 8296 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 'u', '.', 'w', 9, 0,
/* 8308 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'w', 9, 0,
/* 8318 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'w', 9, 0,
/* 8329 */ 'm', 'o', 'd', '_', 'u', '.', 'w', 9, 0,
/* 8338 */ 'c', 'l', 'e', '_', 'u', '.', 'w', 9, 0,
/* 8347 */ 'a', 'v', 'e', '_', 'u', '.', 'w', 9, 0,
/* 8356 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'w', 9, 0,
/* 8366 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'w', 9, 0,
/* 8376 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'w', 9, 0,
/* 8386 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'w', 9, 0,
/* 8396 */ 'm', 'i', 'n', '_', 'u', '.', 'w', 9, 0,
/* 8405 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'w', 9, 0,
/* 8415 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'w', 9, 0,
/* 8425 */ 's', 'u', 'b', 's', '_', 'u', '.', 'w', 9, 0,
/* 8435 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'w', 9, 0,
/* 8445 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'w', 9, 0,
/* 8457 */ 's', 'a', 't', '_', 'u', '.', 'w', 9, 0,
/* 8466 */ 'c', 'l', 't', '_', 'u', '.', 'w', 9, 0,
/* 8475 */ 'f', 'f', 'i', 'n', 't', '_', 'u', '.', 'w', 9, 0,
/* 8486 */ 'f', 't', 'i', 'n', 't', '_', 'u', '.', 'w', 9, 0,
/* 8497 */ 'd', 'i', 'v', '_', 'u', '.', 'w', 9, 0,
/* 8506 */ 'm', 'a', 'x', '_', 'u', '.', 'w', 9, 0,
/* 8515 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'w', 9, 0,
/* 8525 */ 'm', 's', 'u', 'b', 'v', '.', 'w', 9, 0,
/* 8534 */ 'm', 'a', 'd', 'd', 'v', '.', 'w', 9, 0,
/* 8543 */ 'p', 'c', 'k', 'e', 'v', '.', 'w', 9, 0,
/* 8552 */ 'i', 'l', 'v', 'e', 'v', '.', 'w', 9, 0,
/* 8561 */ 'f', 'd', 'i', 'v', '.', 'w', 9, 0,
/* 8569 */ 'm', 'u', 'l', 'v', '.', 'w', 9, 0,
/* 8577 */ 'e', 'x', 't', 'r', 'v', '.', 'w', 9, 0,
/* 8586 */ 'f', 'm', 'a', 'x', '.', 'w', 9, 0,
/* 8594 */ 'b', 'z', '.', 'w', 9, 0,
/* 8600 */ 'b', 'n', 'z', '.', 'w', 9, 0,
/* 8607 */ 'l', 'w', 9, 0,
/* 8611 */ 's', 'w', 9, 0,
/* 8615 */ 'l', 'h', 'x', 9, 0,
/* 8620 */ 'j', 'a', 'l', 'x', 9, 0,
/* 8626 */ 'l', 'b', 'u', 'x', 9, 0,
/* 8632 */ 'l', 'w', 'x', 9, 0,
/* 8637 */ 'b', 'g', 'e', 'z', 9, 0,
/* 8643 */ 'b', 'l', 'e', 'z', 9, 0,
/* 8649 */ 'b', 'n', 'e', 'z', 9, 0,
/* 8655 */ 's', 'e', 'l', 'n', 'e', 'z', 9, 0,
/* 8663 */ 'b', 't', 'n', 'e', 'z', 9, 0,
/* 8670 */ 'd', 'c', 'l', 'z', 9, 0,
/* 8676 */ 'b', 'e', 'q', 'z', 9, 0,
/* 8682 */ 's', 'e', 'l', 'e', 'q', 'z', 9, 0,
/* 8690 */ 'b', 't', 'e', 'q', 'z', 9, 0,
/* 8697 */ 'b', 'g', 't', 'z', 9, 0,
/* 8703 */ 'b', 'l', 't', 'z', 9, 0,
/* 8709 */ 'm', 'o', 'v', 'z', 9, 0,
/* 8715 */ 's', 'e', 'b', 9, 32, 0,
/* 8721 */ 'j', 'r', 'c', 9, 32, 0,
/* 8727 */ 's', 'e', 'h', 9, 32, 0,
/* 8733 */ 'd', 'd', 'i', 'v', 'u', 9, '$', 'z', 'e', 'r', 'o', ',', 32, 0,
/* 8747 */ 'd', 'd', 'i', 'v', 9, '$', 'z', 'e', 'r', 'o', ',', 32, 0,
/* 8760 */ 'a', 'd', 'd', 'i', 'u', 9, '$', 's', 'p', ',', 32, 0,
/* 8772 */ 'c', 'i', 'n', 's', '3', '2', 32, 0,
/* 8780 */ 'e', 'x', 't', 's', '3', '2', 32, 0,
/* 8788 */ 's', 'y', 'n', 'c', 32, 0,
/* 8794 */ 9, '.', 'w', 'o', 'r', 'd', 32, 0,
/* 8802 */ 'd', 'i', 'n', 's', 'm', 32, 0,
/* 8809 */ 'd', 'e', 'x', 't', 'm', 32, 0,
/* 8816 */ 'c', 'i', 'n', 's', 32, 0,
/* 8822 */ 'd', 'i', 'n', 's', 32, 0,
/* 8828 */ 'e', 'x', 't', 's', 32, 0,
/* 8834 */ 'd', 'e', 'x', 't', 32, 0,
/* 8840 */ 'd', 'i', 'n', 's', 'u', 32, 0,
/* 8847 */ 'd', 'e', 'x', 't', 'u', 32, 0,
/* 8854 */ 'b', 'c', '1', 'n', 'e', 'z', 32, 0,
/* 8862 */ 'b', 'c', '2', 'n', 'e', 'z', 32, 0,
/* 8870 */ 'b', 'c', '1', 'e', 'q', 'z', 32, 0,
/* 8878 */ 'b', 'c', '2', 'e', 'q', 'z', 32, 0,
/* 8886 */ 'c', '.', 0,
/* 8889 */ 'b', 'r', 'e', 'a', 'k', 32, '0', 0,
/* 8897 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
/* 8910 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
/* 8917 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
/* 8927 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
/* 8942 */ 'j', 'r', 'c', 9, 32, '$', 'r', 'a', 0,
/* 8951 */ 'j', 'r', 9, 32, '$', 'r', 'a', 0,
/* 8959 */ 'e', 'h', 'b', 0,
/* 8963 */ 'p', 'a', 'u', 's', 'e', 0,
/* 8969 */ 't', 'l', 'b', 'w', 'i', 0,
/* 8975 */ 'f', 'o', 'o', 0,
/* 8979 */ 't', 'l', 'b', 'p', 0,
/* 8984 */ 's', 's', 'n', 'o', 'p', 0,
/* 8990 */ 't', 'l', 'b', 'r', 0,
/* 8995 */ 't', 'l', 'b', 'w', 'r', 0,
/* 9001 */ 'd', 'e', 'r', 'e', 't', 0,
/* 9007 */ 'w', 'a', 'i', 't', 0,
};
#endif
// Emit the opcode for the instruction.
uint64_t Bits1 = OpInfo[MCInst_getOpcode(MI)];
uint64_t Bits2 = OpInfo2[MCInst_getOpcode(MI)];
uint64_t Bits = (Bits2 << 32) | Bits1;
// assert(Bits != 0 && "Cannot print this instruction.");
#ifndef CAPSTONE_DIET
SStream_concat0(O, AsmStrs+(Bits & 16383)-1);
#endif
// Fragment 0 encoded into 3 bits for 7 unique commands.
printf("Frag-0: %"PRIu64"\n", (Bits >> 14) & 7);
switch ((Bits >> 14) & 7) {
default: // unreachable.
case 0:
// DBG_VALUE, BUNDLE, LIFETIME_START, LIFETIME_END, Break16, CONSTPOOL_EN...
return;
break;
case 1:
// ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDIUPC, ADDQH_PH, ADDQH_R_PH, AD...
printOperand(MI, 0, O);
break;
case 2:
// CACHE, CACHE_R6, PREF, PREF_R6
printUnsignedImm(MI, 2, O);
SStream_concat0(O, ", ");
printMemOperand(MI, 0, O);
return;
break;
case 3:
// CTC1, CTC1_MM, DAHI, DATI, DMTC1, MTC1, MTC1_MM, MTHC1_MM, MTHI_DSP, M...
printOperand(MI, 1, O);
SStream_concat0(O, ", ");
break;
case 4:
// FCMP_D32, FCMP_D32_MM, FCMP_D64, FCMP_S32, FCMP_S32_MM
printFCCOperand(MI, 2, O);
break;
case 5:
// MTHC1_D32, MTHC1_D64
printOperand(MI, 2, O);
SStream_concat0(O, ", ");
printOperand(MI, 0, O);
return;
break;
case 6:
// SelBeqZ, SelBneZ, SelTBteqZCmp, SelTBteqZCmpi, SelTBteqZSlt, SelTBteqZ...
printOperand(MI, 3, O);
break;
}
// Fragment 1 encoded into 4 bits for 15 unique commands.
printf("Frag-1: %"PRIu64"\n", (Bits >> 17) & 15);
switch ((Bits >> 17) & 15) {
default: // unreachable.
case 0:
// ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDIUPC, ADDQH_PH, ADDQH_R_PH, AD...
SStream_concat0(O, ", ");
break;
case 1:
// AddiuRxPcImmX16
SStream_concat0(O, ", $pc, ");
printOperand(MI, 1, O);
return;
break;
case 2:
// AddiuSpImm16, Bimm16
SStream_concat0(O, " # 16 bit inst");
return;
break;
case 3:
// AddiuSpImmX16, BAL, BALC, BC, BPOSGE32, BimmX16, BteqzX16, BtnezX16, C...
return;
break;
case 4:
// Bteqz16, Btnez16
SStream_concat0(O, " # 16 bit inst");
return;
break;
case 5:
// CTC1, CTC1_MM, DMTC1, MTC1, MTC1_MM, MTHC1_MM, MTHI_DSP, MTHLIP, MTLO_...
printOperand(MI, 0, O);
return;
break;
case 6:
// DAHI, DATI, MultRxRyRz16, MultuRxRyRz16, SltCCRxRy16, SltiCCRxImmX16, ...
printOperand(MI, 2, O);
break;
case 7:
// FCMP_D32, FCMP_D32_MM, FCMP_D64
SStream_concat0(O, ".d\t");
printOperand(MI, 0, O);
SStream_concat0(O, ", ");
printOperand(MI, 1, O);
return;
break;
case 8:
// FCMP_S32, FCMP_S32_MM
SStream_concat0(O, ".s\t");
printOperand(MI, 0, O);
SStream_concat0(O, ", ");
printOperand(MI, 1, O);
return;
break;
case 9:
// INSERT_B, INSERT_D, INSERT_H, INSERT_W, INSVE_B, INSVE_D, INSVE_H, INS...
SStream_concat0(O, "[");
break;
case 10:
// Jal16
SStream_concat0(O, "\n\tnop");
return;
break;
case 11:
// JalB16
SStream_concat0(O, "\t# branch\n\tnop");
return;
break;
case 12:
// LwConstant32
SStream_concat0(O, ", 1f\n\tb\t2f\n\t.align\t2\n1: \t.word\t");
printOperand(MI, 1, O);
SStream_concat0(O, "\n2:");
return;
break;
case 13:
// SC, SCD, SCD_R6, SC_MM, SC_R6
printMemOperand(MI, 2, O);
return;
break;
case 14:
// SelBeqZ, SelBneZ
SStream_concat0(O, ", .+4\n\t\n\tmove ");
printOperand(MI, 1, O);
SStream_concat0(O, ", ");
printOperand(MI, 2, O);
return;
break;
}
// Fragment 2 encoded into 4 bits for 11 unique commands.
printf("Frag-2: %"PRIu64"\n", (Bits >> 21) & 15);
switch ((Bits >> 21) & 15) {
default: // unreachable.
case 0:
// ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDIUPC, ADDQH_PH, ADDQH_R_PH, AD...
printOperand(MI, 1, O);
break;
case 1:
// AddiuRxRxImm16, AddiuRxRxImmX16, AndRxRxRy16, BINSLI_B, BINSLI_D, BINS...
printOperand(MI, 2, O);
break;
case 2:
// AddiuRxRyOffMemX16, LEA_ADDiu, LEA_ADDiu64, LEA_ADDiu_MM
printMemOperandEA(MI, 1, O);
return;
break;
case 3:
// DAHI, DATI
return;
break;
case 4:
// INSERT_B, INSERT_D, INSERT_H, INSERT_W
printUnsignedImm(MI, 3, O);
SStream_concat0(O, "], ");
printOperand(MI, 2, O);
return;
break;
case 5:
// INSVE_B, INSVE_D, INSVE_H, INSVE_W
printUnsignedImm(MI, 2, O);
SStream_concat0(O, "], ");
printOperand(MI, 3, O);
SStream_concat0(O, "[");
printUnsignedImm(MI, 4, O);
SStream_concat0(O, "]");
return;
break;
case 6:
// LB, LB64, LB_MM, LBu, LBu64, LBu_MM, LD, LDC1, LDC164, LDC1_MM, LDC2, ...
printMemOperand(MI, 1, O);
return;
break;
case 7:
// LUi, LUi64, LUi_MM, LoadAddr32Imm, LoadImm32Reg, RDDSP, REPL_PH, REPL_...
printUnsignedImm(MI, 1, O);
return;
break;
case 8:
// MultRxRyRz16, MultuRxRyRz16
SStream_concat0(O, "\n\tmflo\t");
printOperand(MI, 0, O);
return;
break;
case 9:
// SelTBteqZCmp, SelTBteqZCmpi, SelTBteqZSlt, SelTBteqZSlti, SelTBteqZSlt...
printOperand(MI, 4, O);
break;
case 10:
// SltCCRxRy16, SltiCCRxImmX16, SltiuCCRxImmX16, SltuCCRxRy16, SltuRxRyRz...
SStream_concat0(O, "\n\tmove\t");
printOperand(MI, 0, O);
SStream_concat0(O, ", $t8");
return;
break;
}
// Fragment 3 encoded into 4 bits for 15 unique commands.
printf("Frag-3: %"PRIu64"\n", (Bits >> 25) & 15);
switch ((Bits >> 25) & 15) {
default: // unreachable.
case 0:
// ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADDIUPC, ALUIPC, AUIPC, AddiuRxImmX16,...
return;
break;
case 1:
// ADD, ADDQH_PH, ADDQH_R_PH, ADDQH_R_W, ADDQH_W, ADDQ_PH, ADDQ_S_PH, ADD...
SStream_concat0(O, ", ");
break;
case 2:
// AddiuRxRxImm16, LwRxPcTcp16
SStream_concat0(O, "\t# 16 bit inst");
return;
break;
case 3:
// BeqzRxImm16, BnezRxImm16
SStream_concat0(O, " # 16 bit inst");
return;
break;
case 4:
// BteqzT8CmpX16, BteqzT8CmpiX16, BteqzT8SltX16, BteqzT8SltiX16, BteqzT8S...
SStream_concat0(O, "\n\tbteqz\t");
printOperand(MI, 2, O);
return;
break;
case 5:
// BtnezT8CmpX16, BtnezT8CmpiX16, BtnezT8SltX16, BtnezT8SltiX16, BtnezT8S...
SStream_concat0(O, "\n\tbtnez\t");
printOperand(MI, 2, O);
return;
break;
case 6:
// COPY_S_B, COPY_S_D, COPY_S_H, COPY_S_W, COPY_U_B, COPY_U_D, COPY_U_H, ...
SStream_concat0(O, "[");
break;
case 7:
// CmpiRxImm16, LiRxImm16, SltiRxImm16, SltiuRxImm16
SStream_concat0(O, " \t# 16 bit inst");
return;
break;
case 8:
// DSLL64_32
SStream_concat0(O, ", 32");
return;
break;
case 9:
// GotPrologue16
SStream_concat0(O, "\n\taddiu\t");
printOperand(MI, 1, O);
SStream_concat0(O, ", $pc, ");
printOperand(MI, 3, O);
SStream_concat0(O, "\n ");
return;
break;
case 10:
// LBUX, LDXC1, LDXC164, LHX, LUXC1, LUXC164, LUXC1_MM, LWX, LWXC1, LWXC1...
SStream_concat0(O, "(");
printOperand(MI, 1, O);
SStream_concat0(O, ")");
return;
break;
case 11:
// LwRxSpImmX16, SwRxSpImmX16
SStream_concat0(O, " ( ");
printOperand(MI, 1, O);
SStream_concat0(O, " ); ");
return;
break;
case 12:
// SLL64_32, SLL64_64
SStream_concat0(O, ", 0");
return;
break;
case 13:
// SelTBteqZCmp, SelTBteqZCmpi, SelTBteqZSlt, SelTBteqZSlti, SelTBteqZSlt...
SStream_concat0(O, "\n\tbteqz\t.+4\n\tmove ");
printOperand(MI, 1, O);
SStream_concat0(O, ", ");
printOperand(MI, 2, O);
return;
break;
case 14:
// SelTBtneZCmp, SelTBtneZCmpi, SelTBtneZSlt, SelTBtneZSlti, SelTBtneZSlt...
SStream_concat0(O, "\n\tbtnez\t.+4\n\tmove ");
printOperand(MI, 1, O);
SStream_concat0(O, ", ");
printOperand(MI, 2, O);
return;
break;
}
// Fragment 4 encoded into 3 bits for 5 unique commands.
printf("Frag-4: %"PRIu64"\n", (Bits >> 29) & 7);
switch ((Bits >> 29) & 7) {
default: // unreachable.
case 0:
// ADD, ADDQH_PH, ADDQH_R_PH, ADDQH_R_W, ADDQH_W, ADDQ_PH, ADDQ_S_PH, ADD...
printOperand(MI, 2, O);
break;
case 1:
// ADDVI_B, ADDVI_D, ADDVI_H, ADDVI_W, ANDI_B, BCLRI_B, BCLRI_D, BCLRI_H,...
printUnsignedImm8(MI, 2, O);
break;
case 2:
// ANDi, ANDi64, ANDi_MM, APPEND, BALIGN, CINS, CINS32, DEXT, DEXTM, DEXT...
printUnsignedImm(MI, 2, O);
break;
case 3:
// BINSLI_B, BINSLI_D, BINSLI_H, BINSLI_W, BINSRI_B, BINSRI_D, BINSRI_H, ...
printUnsignedImm8(MI, 3, O);
break;
case 4:
// BINSL_B, BINSL_D, BINSL_H, BINSL_W, BINSR_B, BINSR_D, BINSR_H, BINSR_W...
printOperand(MI, 3, O);
break;
}
// Fragment 5 encoded into 2 bits for 3 unique commands.
printf("Frag-5: %"PRIu64"\n", (Bits >> 32) & 3);
switch ((Bits >> 32) & 3) {
default: // unreachable.
case 0:
// ADD, ADDQH_PH, ADDQH_R_PH, ADDQH_R_W, ADDQH_W, ADDQ_PH, ADDQ_S_PH, ADD...
return;
break;
case 1:
// ALIGN, CINS, CINS32, DALIGN, DEXT, DEXTM, DEXTU, DINS, DINSM, DINSU, D...
SStream_concat0(O, ", ");
break;
case 2:
// COPY_S_B, COPY_S_D, COPY_S_H, COPY_S_W, COPY_U_B, COPY_U_D, COPY_U_H, ...
SStream_concat0(O, "]");
return;
break;
}
// Fragment 6 encoded into 1 bits for 2 unique commands.
printf("Frag-6: %"PRIu64"\n", (Bits >> 34) & 1);
if ((Bits >> 34) & 1) {
// DEXT, DEXTM, DEXTU, DINS, DINSM, DINSU, EXT, EXT_MM, INS, INS_MM, MADD...
printOperand(MI, 3, O);
return;
} else {
// ALIGN, CINS, CINS32, DALIGN, DLSA, DLSA_R6, EXTS, EXTS32, LSA, LSA_R6
printUnsignedImm(MI, 3, O);
return;
}
}
/// getRegisterName - This method is automatically generated by tblgen
/// from the register set description. This returns the assembler name
/// for the specified register.
static char *getRegisterName(unsigned RegNo)
{
// assert(RegNo && RegNo < 386 && "Invalid register number!");
#ifndef CAPSTONE_DIET
static char AsmStrs[] = {
/* 0 */ 'f', '1', '0', 0,
/* 4 */ 'w', '1', '0', 0,
/* 8 */ 'f', '2', '0', 0,
/* 12 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '0', 0,
/* 25 */ 'w', '2', '0', 0,
/* 29 */ 'f', '3', '0', 0,
/* 33 */ 'w', '3', '0', 0,
/* 37 */ 'a', '0', 0,
/* 40 */ 'a', 'c', '0', 0,
/* 44 */ 'f', 'c', 'c', '0', 0,
/* 49 */ 'f', '0', 0,
/* 52 */ 'k', '0', 0,
/* 55 */ 'm', 'p', 'l', '0', 0,
/* 60 */ 'p', '0', 0,
/* 63 */ 's', '0', 0,
/* 66 */ 't', '0', 0,
/* 69 */ 'v', '0', 0,
/* 72 */ 'w', '0', 0,
/* 75 */ 'f', '1', '1', 0,
/* 79 */ 'w', '1', '1', 0,
/* 83 */ 'f', '2', '1', 0,
/* 87 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '1', 0,
/* 100 */ 'w', '2', '1', 0,
/* 104 */ 'f', '3', '1', 0,
/* 108 */ 'w', '3', '1', 0,
/* 112 */ 'a', '1', 0,
/* 115 */ 'a', 'c', '1', 0,
/* 119 */ 'f', 'c', 'c', '1', 0,
/* 124 */ 'f', '1', 0,
/* 127 */ 'k', '1', 0,
/* 130 */ 'm', 'p', 'l', '1', 0,
/* 135 */ 'p', '1', 0,
/* 138 */ 's', '1', 0,
/* 141 */ 't', '1', 0,
/* 144 */ 'v', '1', 0,
/* 147 */ 'w', '1', 0,
/* 150 */ 'f', '1', '2', 0,
/* 154 */ 'w', '1', '2', 0,
/* 158 */ 'f', '2', '2', 0,
/* 162 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '2', 0,
/* 175 */ 'w', '2', '2', 0,
/* 179 */ 'a', '2', 0,
/* 182 */ 'a', 'c', '2', 0,
/* 186 */ 'f', 'c', 'c', '2', 0,
/* 191 */ 'f', '2', 0,
/* 194 */ 'm', 'p', 'l', '2', 0,
/* 199 */ 'p', '2', 0,
/* 202 */ 's', '2', 0,
/* 205 */ 't', '2', 0,
/* 208 */ 'w', '2', 0,
/* 211 */ 'f', '1', '3', 0,
/* 215 */ 'w', '1', '3', 0,
/* 219 */ 'f', '2', '3', 0,
/* 223 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '3', 0,
/* 236 */ 'w', '2', '3', 0,
/* 240 */ 'a', '3', 0,
/* 243 */ 'a', 'c', '3', 0,
/* 247 */ 'f', 'c', 'c', '3', 0,
/* 252 */ 'f', '3', 0,
/* 255 */ 's', '3', 0,
/* 258 */ 't', '3', 0,
/* 261 */ 'w', '3', 0,
/* 264 */ 'f', '1', '4', 0,
/* 268 */ 'w', '1', '4', 0,
/* 272 */ 'f', '2', '4', 0,
/* 276 */ 'w', '2', '4', 0,
/* 280 */ 'f', 'c', 'c', '4', 0,
/* 285 */ 'f', '4', 0,
/* 288 */ 's', '4', 0,
/* 291 */ 't', '4', 0,
/* 294 */ 'w', '4', 0,
/* 297 */ 'f', '1', '5', 0,
/* 301 */ 'w', '1', '5', 0,
/* 305 */ 'f', '2', '5', 0,
/* 309 */ 'w', '2', '5', 0,
/* 313 */ 'f', 'c', 'c', '5', 0,
/* 318 */ 'f', '5', 0,
/* 321 */ 's', '5', 0,
/* 324 */ 't', '5', 0,
/* 327 */ 'w', '5', 0,
/* 330 */ 'f', '1', '6', 0,
/* 334 */ 'w', '1', '6', 0,
/* 338 */ 'f', '2', '6', 0,
/* 342 */ 'w', '2', '6', 0,
/* 346 */ 'f', 'c', 'c', '6', 0,
/* 351 */ 'f', '6', 0,
/* 354 */ 's', '6', 0,
/* 357 */ 't', '6', 0,
/* 360 */ 'w', '6', 0,
/* 363 */ 'f', '1', '7', 0,
/* 367 */ 'w', '1', '7', 0,
/* 371 */ 'f', '2', '7', 0,
/* 375 */ 'w', '2', '7', 0,
/* 379 */ 'f', 'c', 'c', '7', 0,
/* 384 */ 'f', '7', 0,
/* 387 */ 's', '7', 0,
/* 390 */ 't', '7', 0,
/* 393 */ 'w', '7', 0,
/* 396 */ 'f', '1', '8', 0,
/* 400 */ 'w', '1', '8', 0,
/* 404 */ 'f', '2', '8', 0,
/* 408 */ 'w', '2', '8', 0,
/* 412 */ 'f', '8', 0,
/* 415 */ 't', '8', 0,
/* 418 */ 'w', '8', 0,
/* 421 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '1', '6', '_', '1', '9', 0,
/* 437 */ 'f', '1', '9', 0,
/* 441 */ 'w', '1', '9', 0,
/* 445 */ 'f', '2', '9', 0,
/* 449 */ 'w', '2', '9', 0,
/* 453 */ 'f', '9', 0,
/* 456 */ 't', '9', 0,
/* 459 */ 'w', '9', 0,
/* 462 */ 'D', 'S', 'P', 'E', 'F', 'I', 0,
/* 469 */ 'r', 'a', 0,
/* 472 */ 'p', 'c', 0,
/* 475 */ 'D', 'S', 'P', 'C', 'C', 'o', 'n', 'd', 0,
/* 484 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', 0,
/* 495 */ 'h', 'i', 0,
/* 498 */ 'l', 'o', 0,
/* 501 */ 'z', 'e', 'r', 'o', 0,
/* 506 */ 'f', 'p', 0,
/* 509 */ 'g', 'p', 0,
/* 512 */ 's', 'p', 0,
/* 515 */ 'D', 'S', 'P', 'P', 'o', 's', 0,
/* 522 */ 'a', 't', 0,
/* 525 */ 'D', 'S', 'P', 'S', 'C', 'o', 'u', 'n', 't', 0,
/* 535 */ 'D', 'S', 'P', 'C', 'a', 'r', 'r', 'y', 0,
};
static const uint32_t RegAsmOffset[] = {
522, 475, 535, 462, 484, 515, 525, 506, 509, 152, 77, 2, 332, 266,
299, 213, 365, 472, 469, 512, 501, 37, 112, 179, 240, 40, 115, 182,
243, 522, 2, 77, 152, 213, 266, 299, 332, 365, 398, 435, 2, 77,
152, 213, 266, 299, 332, 365, 398, 435, 1, 76, 151, 212, 265, 298,
331, 364, 397, 434, 9, 84, 159, 220, 273, 306, 339, 372, 405, 446,
30, 105, 1, 76, 151, 212, 265, 298, 331, 364, 397, 434, 9, 84,
159, 220, 273, 306, 339, 372, 405, 446, 30, 105, 49, 191, 285, 351,
412, 0, 150, 264, 330, 396, 8, 158, 272, 338, 404, 29, 12, 87,
162, 223, 49, 124, 191, 252, 285, 318, 351, 384, 412, 453, 0, 75,
150, 211, 264, 297, 330, 363, 396, 437, 8, 83, 158, 219, 272, 305,
338, 371, 404, 445, 29, 104, 44, 119, 186, 247, 280, 313, 346, 379,
2, 77, 152, 213, 266, 299, 332, 365, 398, 435, 1, 76, 151, 212,
265, 298, 331, 364, 397, 434, 9, 84, 159, 220, 273, 306, 339, 372,
405, 446, 30, 105, 506, 49, 124, 191, 252, 285, 318, 351, 384, 412,
453, 0, 75, 150, 211, 264, 297, 330, 363, 396, 437, 8, 83, 158,
219, 272, 305, 338, 371, 404, 445, 29, 104, 509, 40, 115, 182, 243,
2, 77, 152, 213, 266, 299, 332, 365, 398, 435, 1, 76, 151, 212,
265, 298, 331, 364, 397, 434, 9, 84, 159, 220, 273, 306, 339, 372,
405, 446, 30, 105, 52, 127, 40, 115, 182, 243, 55, 130, 194, 60,
135, 199, 469, 63, 138, 202, 255, 288, 321, 354, 387, 512, 66, 141,
205, 258, 291, 324, 357, 390, 415, 456, 69, 144, 72, 147, 208, 261,
294, 327, 360, 393, 418, 459, 4, 79, 154, 215, 268, 301, 334, 367,
400, 441, 25, 100, 175, 236, 276, 309, 342, 375, 408, 449, 33, 108,
501, 37, 112, 179, 240, 40, 49, 124, 191, 252, 285, 318, 351, 384,
412, 453, 0, 75, 150, 211, 264, 297, 330, 363, 396, 437, 8, 83,
158, 219, 272, 305, 338, 371, 404, 445, 29, 104, 421, 495, 52, 127,
498, 63, 138, 202, 255, 288, 321, 354, 387, 66, 141, 205, 258, 291,
324, 357, 390, 415, 456, 69, 144,
};
//int i;
//for (i = 0; i < sizeof(RegAsmOffset)/4; i++)
// printf("%s = %u\n", AsmStrs+RegAsmOffset[i], i + 1);
//printf("*************************\n");
return AsmStrs+RegAsmOffset[RegNo-1];
#else
return NULL;
#endif
}
#ifdef PRINT_ALIAS_INSTR
#undef PRINT_ALIAS_INSTR
static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
unsigned PrintMethodIdx, SStream *OS)
{
}
static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
{
#define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
const char *AsmString;
char *tmp, *AsmMnem, *AsmOps, *c;
int OpIdx, PrintMethodIdx;
MCRegisterInfo *MRI = (MCRegisterInfo *)info;
switch (MCInst_getOpcode(MI)) {
default: return NULL;
case Mips_ADDu:
if (MCInst_getNumOperands(MI) == 3 &&
MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1) &&
MCOperand_getReg(MCInst_getOperand(MI, 2)) == Mips_ZERO) {
// (ADDu GPR32Opnd:$dst, GPR32Opnd:$src, ZERO)
AsmString = "move $\x01, $\x02";
break;
}
return NULL;
case Mips_BC1F:
if (MCInst_getNumOperands(MI) == 2 &&
MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_FCC0) {
// (BC1F FCC0, brtarget:$offset)
AsmString = "bc1f $\x02";
break;
}
return NULL;
case Mips_BC1T:
if (MCInst_getNumOperands(MI) == 2 &&
MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_FCC0) {
// (BC1T FCC0, brtarget:$offset)
AsmString = "bc1t $\x02";
break;
}
return NULL;
case Mips_BREAK:
if (MCInst_getNumOperands(MI) == 2 &&
MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0 &&
MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
MCOperand_getImm(MCInst_getOperand(MI, 1)) == 0) {
// (BREAK 0, 0)
AsmString = "break";
break;
}
if (MCInst_getNumOperands(MI) == 2 &&
MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
MCOperand_getImm(MCInst_getOperand(MI, 1)) == 0) {
// (BREAK uimm10:$imm, 0)
AsmString = "break $\x01";
break;
}
return NULL;
case Mips_DADDu:
if (MCInst_getNumOperands(MI) == 3 &&
MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
GETREGCLASS_CONTAIN(Mips_GPR64RegClassID, 0) &&
MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
GETREGCLASS_CONTAIN(Mips_GPR64RegClassID, 1) &&
MCOperand_getReg(MCInst_getOperand(MI, 2)) == Mips_ZERO_64) {
// (DADDu GPR64Opnd:$dst, GPR64Opnd:$src, ZERO_64)
AsmString = "move $\x01, $\x02";
break;
}
return NULL;
case Mips_DI:
if (MCInst_getNumOperands(MI) == 1 &&
MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO) {
// (DI ZERO)
AsmString = "di";
break;
}
return NULL;
case Mips_EI:
if (MCInst_getNumOperands(MI) == 1 &&
MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO) {
// (EI ZERO)
AsmString = "ei";
break;
}
return NULL;
case Mips_JALR:
if (MCInst_getNumOperands(MI) == 2 &&
MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO &&
MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1)) {
// (JALR ZERO, GPR32Opnd:$rs)
AsmString = "jr $\x02";
break;
}
return NULL;
case Mips_JALR64:
if (MCInst_getNumOperands(MI) == 2 &&
MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO_64 &&
MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
GETREGCLASS_CONTAIN(Mips_GPR64RegClassID, 1)) {
// (JALR64 ZERO_64, GPR64Opnd:$rs)
AsmString = "jr $\x02";
break;
}
return NULL;
case Mips_JALR_HB:
if (MCInst_getNumOperands(MI) == 2 &&
MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_RA &&
MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1)) {
// (JALR_HB RA, GPR32Opnd:$rs)
AsmString = "jalr.hb $\x02";
break;
}
return NULL;
case Mips_SDBBP:
if (MCInst_getNumOperands(MI) == 1 &&
MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
// (SDBBP 0)
AsmString = "sdbbp";
break;
}
return NULL;
case Mips_SDBBP_R6:
if (MCInst_getNumOperands(MI) == 1 &&
MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
// (SDBBP_R6 0)
AsmString = "sdbbp";
break;
}
return NULL;
case Mips_SLL:
if (MCInst_getNumOperands(MI) == 3 &&
MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO &&
MCOperand_getReg(MCInst_getOperand(MI, 1)) == Mips_ZERO &&
MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
// (SLL ZERO, ZERO, 0)
AsmString = "nop";
break;
}
return NULL;
case Mips_SUB:
if (MCInst_getNumOperands(MI) == 3 &&
MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
MCOperand_getReg(MCInst_getOperand(MI, 1)) == Mips_ZERO &&
MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 2)) {
// (SUB GPR32Opnd:$rt, ZERO, GPR32Opnd:$rs)
AsmString = "neg $\x01, $\x03";
break;
}
return NULL;
case Mips_SUBu:
if (MCInst_getNumOperands(MI) == 3 &&
MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
MCOperand_getReg(MCInst_getOperand(MI, 1)) == Mips_ZERO &&
MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 2)) {
// (SUBu GPR32Opnd:$rt, ZERO, GPR32Opnd:$rs)
AsmString = "negu $\x01, $\x03";
break;
}
return NULL;
case Mips_SYNC:
if (MCInst_getNumOperands(MI) == 1 &&
MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
// (SYNC 0)
AsmString = "sync";
break;
}
return NULL;
case Mips_SYSCALL:
if (MCInst_getNumOperands(MI) == 1 &&
MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
// (SYSCALL 0)
AsmString = "syscall";
break;
}
return NULL;
case Mips_TEQ:
if (MCInst_getNumOperands(MI) == 3 &&
MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1) &&
MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
// (TEQ GPR32Opnd:$rs, GPR32Opnd:$rt, 0)
AsmString = "teq $\x01, $\x02";
break;
}
return NULL;
case Mips_TGE:
if (MCInst_getNumOperands(MI) == 3 &&
MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1) &&
MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
// (TGE GPR32Opnd:$rs, GPR32Opnd:$rt, 0)
AsmString = "tge $\x01, $\x02";
break;
}
return NULL;
case Mips_TGEU:
if (MCInst_getNumOperands(MI) == 3 &&
MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1) &&
MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
// (TGEU GPR32Opnd:$rs, GPR32Opnd:$rt, 0)
AsmString = "tgeu $\x01, $\x02";
break;
}
return NULL;
case Mips_TLT:
if (MCInst_getNumOperands(MI) == 3 &&
MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1) &&
MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
// (TLT GPR32Opnd:$rs, GPR32Opnd:$rt, 0)
AsmString = "tlt $\x01, $\x02";
break;
}
return NULL;
case Mips_TLTU:
if (MCInst_getNumOperands(MI) == 3 &&
MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1) &&
MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
// (TLTU GPR32Opnd:$rs, GPR32Opnd:$rt, 0)
AsmString = "tltu $\x01, $\x02";
break;
}
return NULL;
case Mips_TNE:
if (MCInst_getNumOperands(MI) == 3 &&
MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1) &&
MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
// (TNE GPR32Opnd:$rs, GPR32Opnd:$rt, 0)
AsmString = "tne $\x01, $\x02";
break;
}
return NULL;
case Mips_WAIT_MM:
if (MCInst_getNumOperands(MI) == 1 &&
MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
// (WAIT_MM 0)
AsmString = "wait";
break;
}
return NULL;
}
tmp = cs_strdup(AsmString);
AsmMnem = tmp;
for(AsmOps = tmp; *AsmOps; AsmOps++) {
if (*AsmOps == ' ' || *AsmOps == '\t') {
*AsmOps = '\0';
AsmOps++;
break;
}
}
SStream_concat0(OS, AsmMnem);
if (*AsmOps) {
SStream_concat0(OS, "\t");
for (c = AsmOps; *c; c++) {
if (*c == '$') {
c += 1;
if (*c == (char)0xff) {
c += 1;
OpIdx = *c - 1;
c += 1;
PrintMethodIdx = *c - 1;
printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
} else
printOperand(MI, *c - 1, OS);
} else {
SStream_concat(OS, "%c", *c);
}
}
}
return tmp;
}
#endif // PRINT_ALIAS_INSTR