blob: 5172713bf0e36b984a6dd4abb2027d8530fe277f [file] [log] [blame]
{
"cells": [
{
"cell_type": "code",
"execution_count": 1,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"import logging\n",
"reload(logging)\n",
"logging.basicConfig(\n",
" format='%(asctime)-9s %(levelname)-8s: %(message)s',\n",
" datefmt='%I:%M:%S')\n",
"\n",
"# Enable logging at INFO level\n",
"logging.getLogger().setLevel(logging.INFO)\n",
"# Comment the follwing line to disable devlib debugging statements\n",
"logging.getLogger('ssh').setLevel(logging.DEBUG)"
]
},
{
"cell_type": "code",
"execution_count": 2,
"metadata": {
"collapsed": false
},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"Populating the interactive namespace from numpy and matplotlib\n"
]
}
],
"source": [
"# Generate plots inline\n",
"%pylab inline\n",
"\n",
"import json\n",
"import os\n",
"\n",
"# Support to access the remote target\n",
"import devlib\n",
"from env import TestEnv\n",
"\n",
"# Support to configure and run RTApp based workloads\n",
"from wlgen import RTA\n",
"\n",
"# Support for performance analysis of RTApp workloads\n",
"from perf_analysis import PerfAnalysis\n",
"\n",
"# Suport for FTrace events parsing and visualization\n",
"import trappy"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"# Test environment setup"
]
},
{
"cell_type": "code",
"execution_count": 3,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"# Setup a target configuration\n",
"my_target_conf = {\n",
" \n",
" # Define the kind of target platform to use for the experiments\n",
" \"platform\" : 'linux', # Linux system, valid other options are:\n",
" # android - access via ADB\n",
" # linux - access via SSH\n",
" # host - direct access\n",
" \n",
" # Preload settings for a specific target\n",
" \"board\" : 'juno', # load JUNO specific settings, e.g.\n",
" # - HWMON based energy sampling\n",
" # - Juno energy model\n",
" # valid options are:\n",
" # - juno - JUNO Development Board\n",
" # - tc2 - TC2 Development Board\n",
" # - oak - Mediatek MT63xx based target\n",
"\n",
" # Define devlib module to load\n",
" \"modules\" : [\n",
" 'bl', # enable big.LITTLE support\n",
" 'cpufreq' # enable CPUFreq support\n",
" ],\n",
"\n",
" # Account to access the remote target\n",
" \"host\" : '192.168.0.10',\n",
" \"username\" : 'root',\n",
" \"password\" : '',\n",
"\n",
" # Comment the following line to force rt-app calibration on your target\n",
" \"rtapp-calib\" : {\n",
" '0': 361, '1': 138, '2': 138, '3': 352, '4': 360, '5': 353\n",
" }\n",
"\n",
"}\n",
"\n",
"# Setup the required Test Environment supports\n",
"my_tests_conf = {\n",
" \n",
" # Additional devlib modules required for this experiment\n",
" \"modules\" : ['hwmon'],\n",
" \n",
" # Binary tools required to run this experiment\n",
" # These tools must be present in the tools/ folder for the architecture\n",
" \"tools\" : ['rt-app', 'taskset', 'trace-cmd'],\n",
" \n",
" # FTrace events end buffer configuration\n",
" \"ftrace\" : {\n",
" \"events\" : [\n",
" \"sched_switch\",\n",
" \"cpu_frequency\"\n",
" ],\n",
" \"buffsize\" : 10240\n",
" },\n",
"\n",
"}"
]
},
{
"cell_type": "code",
"execution_count": 4,
"metadata": {
"collapsed": false,
"scrolled": false
},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"04:35:52 INFO : Target - Using base path: /home/derkling/Code/schedtest\n",
"04:35:52 INFO : %14s - Loading custom (inline) target configuration\n",
"04:35:52 INFO : Target - Connecing linux target with: {'username': 'root', 'host': '192.168.0.10', 'password': ''}\n",
"04:35:52 DEBUG : Logging in root@192.168.0.10\n",
"04:35:54 DEBUG : echo $PATH\n",
"04:35:54 DEBUG : ls -1 /usr/local/bin\n",
"04:35:54 DEBUG : cat /proc/cpuinfo\n",
"04:35:55 DEBUG : id\n",
"04:35:55 DEBUG : sudo -- sh -c 'dmidecode -s system-version'\n",
"04:35:56 DEBUG : uname -m\n",
"04:35:56 DEBUG : if [ -e '/sys/devices/system/cpu/cpufreq' ]; then echo 1; else echo 0; fi\n",
"04:35:57 DEBUG : if [ -e '/sys/devices/system/cpu/cpu0/cpufreq' ]; then echo 1; else echo 0; fi\n",
"04:35:57 DEBUG : if [ -e '/sys/class/hwmon' ]; then echo 1; else echo 0; fi\n",
"04:35:57 DEBUG : ls -1 /sys/class/hwmon\n",
"04:35:58 DEBUG : if [ -e '/sys/class/hwmon/hwmon0/name' ]; then echo 1; else echo 0; fi\n",
"04:35:58 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon0/name'\\'''\n",
"04:35:59 DEBUG : ls -1 /sys/class/hwmon/hwmon0/\n",
"04:35:59 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon0/curr1_label'\\'''\n",
"04:35:59 DEBUG : if [ -e '/sys/class/hwmon/hwmon1/name' ]; then echo 1; else echo 0; fi\n",
"04:36:00 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon1/name'\\'''\n",
"04:36:00 DEBUG : ls -1 /sys/class/hwmon/hwmon1/\n",
"04:36:01 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon1/curr1_label'\\'''\n",
"04:36:01 DEBUG : if [ -e '/sys/class/hwmon/hwmon10/name' ]; then echo 1; else echo 0; fi\n",
"04:36:02 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon10/name'\\'''\n",
"04:36:02 DEBUG : ls -1 /sys/class/hwmon/hwmon10/\n",
"04:36:02 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon10/power1_label'\\'''\n",
"04:36:03 DEBUG : if [ -e '/sys/class/hwmon/hwmon11/name' ]; then echo 1; else echo 0; fi\n",
"04:36:03 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon11/name'\\'''\n",
"04:36:04 DEBUG : ls -1 /sys/class/hwmon/hwmon11/\n",
"04:36:04 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon11/power1_label'\\'''\n",
"04:36:04 DEBUG : if [ -e '/sys/class/hwmon/hwmon12/name' ]; then echo 1; else echo 0; fi\n",
"04:36:05 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon12/name'\\'''\n",
"04:36:05 DEBUG : ls -1 /sys/class/hwmon/hwmon12/\n",
"04:36:06 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon12/energy1_label'\\'''\n",
"04:36:06 DEBUG : if [ -e '/sys/class/hwmon/hwmon13/name' ]; then echo 1; else echo 0; fi\n",
"04:36:07 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon13/name'\\'''\n",
"04:36:07 DEBUG : ls -1 /sys/class/hwmon/hwmon13/\n",
"04:36:07 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon13/energy1_label'\\'''\n",
"04:36:08 DEBUG : if [ -e '/sys/class/hwmon/hwmon14/name' ]; then echo 1; else echo 0; fi\n",
"04:36:08 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon14/name'\\'''\n",
"04:36:09 DEBUG : ls -1 /sys/class/hwmon/hwmon14/\n",
"04:36:09 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon14/energy1_label'\\'''\n",
"04:36:10 DEBUG : if [ -e '/sys/class/hwmon/hwmon15/name' ]; then echo 1; else echo 0; fi\n",
"04:36:10 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon15/name'\\'''\n",
"04:36:10 DEBUG : ls -1 /sys/class/hwmon/hwmon15/\n",
"04:36:11 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon15/energy1_label'\\'''\n",
"04:36:11 DEBUG : if [ -e '/sys/class/hwmon/hwmon16/name' ]; then echo 1; else echo 0; fi\n",
"04:36:12 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon16/name'\\'''\n",
"04:36:12 DEBUG : ls -1 /sys/class/hwmon/hwmon16/\n",
"04:36:12 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon16/in0_label'\\'''\n",
"04:36:13 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon16/in1_label'\\'''\n",
"04:36:13 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon16/in2_label'\\'''\n",
"04:36:14 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon16/in3_label'\\'''\n",
"04:36:14 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon16/in4_label'\\'''\n",
"04:36:15 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon16/in5_label'\\'''\n",
"04:36:15 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon16/in6_label'\\'''\n",
"04:36:15 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon16/temp1_label'\\'''\n",
"04:36:16 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon16/temp2_label'\\'''\n",
"04:36:16 DEBUG : if [ -e '/sys/class/hwmon/hwmon2/name' ]; then echo 1; else echo 0; fi\n",
"04:36:17 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon2/name'\\'''\n",
"04:36:17 DEBUG : ls -1 /sys/class/hwmon/hwmon2/\n",
"04:36:18 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon2/curr1_label'\\'''\n",
"04:36:18 DEBUG : if [ -e '/sys/class/hwmon/hwmon3/name' ]; then echo 1; else echo 0; fi\n",
"04:36:18 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon3/name'\\'''\n",
"04:36:19 DEBUG : ls -1 /sys/class/hwmon/hwmon3/\n",
"04:36:19 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon3/curr1_label'\\'''\n",
"04:36:20 DEBUG : if [ -e '/sys/class/hwmon/hwmon4/name' ]; then echo 1; else echo 0; fi\n",
"04:36:20 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon4/name'\\'''\n",
"04:36:21 DEBUG : ls -1 /sys/class/hwmon/hwmon4/\n",
"04:36:21 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon4/in1_label'\\'''\n",
"04:36:21 DEBUG : if [ -e '/sys/class/hwmon/hwmon5/name' ]; then echo 1; else echo 0; fi\n",
"04:36:22 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon5/name'\\'''\n",
"04:36:22 DEBUG : ls -1 /sys/class/hwmon/hwmon5/\n",
"04:36:23 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon5/in1_label'\\'''\n",
"04:36:23 DEBUG : if [ -e '/sys/class/hwmon/hwmon6/name' ]; then echo 1; else echo 0; fi\n",
"04:36:24 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon6/name'\\'''\n",
"04:36:24 DEBUG : ls -1 /sys/class/hwmon/hwmon6/\n",
"04:36:24 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon6/in1_label'\\'''\n",
"04:36:25 DEBUG : if [ -e '/sys/class/hwmon/hwmon7/name' ]; then echo 1; else echo 0; fi\n",
"04:36:25 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon7/name'\\'''\n",
"04:36:26 DEBUG : ls -1 /sys/class/hwmon/hwmon7/\n",
"04:36:26 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon7/in1_label'\\'''\n",
"04:36:26 DEBUG : if [ -e '/sys/class/hwmon/hwmon8/name' ]; then echo 1; else echo 0; fi\n",
"04:36:27 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon8/name'\\'''\n",
"04:36:27 DEBUG : ls -1 /sys/class/hwmon/hwmon8/\n",
"04:36:28 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon8/power1_label'\\'''\n",
"04:36:28 DEBUG : if [ -e '/sys/class/hwmon/hwmon9/name' ]; then echo 1; else echo 0; fi\n",
"04:36:29 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon9/name'\\'''\n",
"04:36:29 DEBUG : ls -1 /sys/class/hwmon/hwmon9/\n",
"04:36:29 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon9/power1_label'\\'''\n",
"04:36:30 INFO : Target - Initializing target workdir [/root/devlib-target]\n",
"04:36:30 DEBUG : mkdir -p /root/devlib-target\n",
"04:36:30 DEBUG : mkdir -p /root/devlib-target/bin\n",
"04:36:31 DEBUG : /usr/bin/scp -r /home/derkling/.local/lib/python2.7/site-packages/devlib/bin/arm64/busybox root@192.168.0.10:/root/devlib-target/bin/busybox\n",
"04:36:31 DEBUG : chmod a+x /root/devlib-target/bin/busybox\n",
"04:36:31 DEBUG : /usr/bin/scp -r /home/derkling/Code/schedtest/tools/arm64/taskset root@192.168.0.10:/root/devlib-target/bin/taskset\n",
"04:36:32 DEBUG : chmod a+x /root/devlib-target/bin/taskset\n",
"04:36:32 DEBUG : /usr/bin/scp -r /home/derkling/Code/schedtest/tools/arm64/rt-app root@192.168.0.10:/root/devlib-target/bin/rt-app\n",
"04:36:32 DEBUG : chmod a+x /root/devlib-target/bin/rt-app\n",
"04:36:32 DEBUG : /usr/bin/scp -r /home/derkling/Code/schedtest/tools/arm64/trace-cmd root@192.168.0.10:/root/devlib-target/bin/trace-cmd\n",
"04:36:33 DEBUG : chmod a+x /root/devlib-target/bin/trace-cmd\n",
"04:36:33 INFO : Target topology: [[0, 3, 4, 5], [1, 2]]\n",
"04:36:33 DEBUG : sudo -- sh -c 'cat '\\''/sys/devices/system/cpu/online'\\'''\n",
"04:36:34 DEBUG : cat /sys/devices/system/cpu/cpu0/cpufreq/scaling_available_frequencies\n",
"04:36:34 DEBUG : sudo -- sh -c 'cat '\\''/sys/devices/system/cpu/online'\\'''\n",
"04:36:35 DEBUG : cat /sys/devices/system/cpu/cpu1/cpufreq/scaling_available_frequencies\n",
"04:36:35 DEBUG : /usr/bin/scp -r /home/derkling/.local/lib/python2.7/site-packages/devlib/bin/arm64/trace-cmd root@192.168.0.10:/root/devlib-target/bin/trace-cmd\n",
"04:36:35 DEBUG : chmod a+x /root/devlib-target/bin/trace-cmd\n",
"04:36:36 INFO : FTrace - Enabled events:\n",
"04:36:36 INFO : FTrace - ['sched_switch', 'cpu_frequency']\n",
"04:36:36 INFO : EnergyMeter - Channels selected for energy sampling:\n",
"[CHAN(v2m_juno_energy/energy1, a57_energy), CHAN(v2m_juno_energy/energy1, a53_energy)]\n",
"04:36:36 INFO : Loading RTApp calibration from configuration file...\n",
"04:36:36 INFO : Using RT-App calibration values: {0: 361, 1: 138, 2: 138, 3: 352, 4: 360, 5: 353}\n"
]
}
],
"source": [
"# Initialize a test environment using:\n",
"# the provided target configuration (my_target_conf)\n",
"# the provided test configuration (my_test_conf)\n",
"te = TestEnv(target_conf=my_target_conf, test_conf=my_tests_conf)\n",
"target = te.target"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"# Workload configuration"
]
},
{
"cell_type": "code",
"execution_count": 5,
"metadata": {
"collapsed": false
},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"04:36:36 INFO : Setup new workload simple\n",
"04:36:36 INFO : Workload duration defined by longest task\n",
"04:36:36 INFO : Default policy: SCHED_OTHER\n",
"04:36:36 INFO : ------------------------\n",
"04:36:36 INFO : task [task_p20], sched: using default policy\n",
"04:36:36 INFO : | loops count: 1\n",
"04:36:36 INFO : | CPUs affinity: 0\n",
"04:36:36 INFO : + phase_000001: duration 5.000000 [s] (50 loops)\n",
"04:36:36 INFO : | period 100000 [us], duty_cycle 20 %\n",
"04:36:36 INFO : | run_time 20000 [us], sleep_time 80000 [us]\n",
"04:36:36 INFO : ------------------------\n",
"04:36:36 INFO : task [task_r20_5-60], sched: using default policy\n",
"04:36:36 INFO : | loops count: 1\n",
"04:36:36 INFO : | CPUs affinity: 5\n",
"04:36:36 INFO : + phase_000001: duration 1.000000 [s] (10 loops)\n",
"04:36:36 INFO : | period 100000 [us], duty_cycle 5 %\n",
"04:36:36 INFO : | run_time 5000 [us], sleep_time 95000 [us]\n",
"04:36:36 INFO : + phase_000002: duration 1.000000 [s] (10 loops)\n",
"04:36:36 INFO : | period 100000 [us], duty_cycle 25 %\n",
"04:36:36 INFO : | run_time 25000 [us], sleep_time 75000 [us]\n",
"04:36:36 INFO : + phase_000003: duration 1.000000 [s] (10 loops)\n",
"04:36:36 INFO : | period 100000 [us], duty_cycle 45 %\n",
"04:36:36 INFO : | run_time 45000 [us], sleep_time 55000 [us]\n",
"04:36:36 INFO : + phase_000004: duration 1.000000 [s] (10 loops)\n",
"04:36:36 INFO : | period 100000 [us], duty_cycle 65 %\n",
"04:36:36 INFO : | run_time 65000 [us], sleep_time 35000 [us]\n",
"04:36:36 DEBUG : /usr/bin/scp -r simple_00.json root@192.168.0.10:/root/devlib-target\n"
]
}
],
"source": [
"# Create a new RTApp workload generator using the calibration values\n",
"# reported by the TestEnv module\n",
"rtapp = RTA(target, 'simple', calibration=te.calibration())\n",
"\n",
"# Configure this RTApp instance to:\n",
"rtapp.conf(\n",
" # 1. generate a \"profile based\" set of tasks\n",
" kind='profile',\n",
" \n",
" # 2. define the \"profile\" of each task\n",
" params={\n",
" \n",
" # 3. PERIODIC task with\n",
" 'task_p20': RTA.periodic(\n",
" period_ms=100, # period\n",
" duty_cycle_pct=20, # duty cycle\n",
" duration_s=5, # duration \n",
" cpus='0' # pinned on CPU0\n",
" ),\n",
" \n",
" # 4. RAMP task (i.e. increasing load) with\n",
" 'task_r20_5-60': RTA.ramp(\n",
" start_pct=5, # intial load\n",
" end_pct=65, # end load\n",
" delta_pct=20, # load % increase...\n",
" time_s=1, # ... every 1[s]\n",
" # pinned on last CPU of the target\n",
" cpus=str(len(target.core_names)-1)\n",
" ),\n",
" },\n",
" \n",
" # 4. use this folder for task logfiles\n",
" run_dir=target.working_directory\n",
" \n",
");"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"# Workload execution"
]
},
{
"cell_type": "code",
"execution_count": 6,
"metadata": {
"collapsed": false
},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"04:36:36 INFO : #### Setup FTrace\n",
"04:36:36 DEBUG : sudo -- sh -c 'echo 10240 > '\\''/sys/kernel/debug/tracing/buffer_size_kb'\\'''\n",
"04:36:36 DEBUG : sudo -- sh -c 'cat '\\''/sys/kernel/debug/tracing/buffer_size_kb'\\'''\n",
"04:36:37 DEBUG : sudo -- sh -c '/root/devlib-target/bin/trace-cmd reset'\n",
"04:36:39 DEBUG : sudo -- sh -c 'echo TRACE_MARKER_START > '\\''/sys/kernel/debug/tracing/trace_marker'\\'''\n",
"04:36:40 DEBUG : sudo -- sh -c '/root/devlib-target/bin/trace-cmd start -e sched_switch -e cpu_frequency'\n",
"04:36:42 INFO : #### Start energy sampling\n",
"04:36:42 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon13/energy1_input'\\'''\n",
"04:36:43 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon14/energy1_input'\\'''\n",
"04:36:43 INFO : #### Start RTApp execution\n",
"04:36:43 INFO : Executor [start]: /root/devlib-target/bin/rt-app /root/devlib-target/simple_00.json\n",
"04:36:43 DEBUG : /root/devlib-target/bin/rt-app /root/devlib-target/simple_00.json\n",
"04:36:49 DEBUG : /usr/bin/scp -r root@192.168.0.10:'/root/devlib-target/*task_p20*.log' /home/derkling/Code/schedtest/results/20151113_163636\n",
"04:36:49 DEBUG : /usr/bin/scp -r root@192.168.0.10:'/root/devlib-target/*task_r20_5-60*.log' /home/derkling/Code/schedtest/results/20151113_163636\n",
"04:36:49 DEBUG : /usr/bin/scp -r root@192.168.0.10:/root/devlib-target/simple_00.json /home/derkling/Code/schedtest/results/20151113_163636\n",
"04:36:49 INFO : Executor [end]: /root/devlib-target/bin/rt-app /root/devlib-target/simple_00.json\n",
"04:36:49 INFO : #### Read energy consumption: /home/derkling/Code/schedtest/results/20151113_163636/energy.json\n",
"04:36:49 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon13/energy1_input'\\'''\n",
"04:36:49 DEBUG : sudo -- sh -c 'cat '\\''/sys/class/hwmon/hwmon14/energy1_input'\\'''\n",
"04:36:49 INFO : EnergyReport - Energy [ a53]: 9.895538\n",
"04:36:49 INFO : EnergyReport - Energy [ a57]: 2.266392\n",
"04:36:49 INFO : #### Stop FTrace\n",
"04:36:50 DEBUG : sudo -- sh -c 'echo TRACE_MARKER_STOP > '\\''/sys/kernel/debug/tracing/trace_marker'\\'''\n",
"04:36:50 DEBUG : sudo -- sh -c '/root/devlib-target/bin/trace-cmd stop'\n",
"04:36:50 INFO : #### Save FTrace: /home/derkling/Code/schedtest/results/20151113_163636/trace.dat\n",
"04:36:50 DEBUG : sudo -- sh -c '/root/devlib-target/bin/trace-cmd extract -o /root/devlib-target/trace.dat'\n",
"04:36:53 DEBUG : /usr/bin/scp -r root@192.168.0.10:/root/devlib-target/trace.dat /home/derkling/Code/schedtest/results/20151113_163636/trace.dat\n",
"04:36:54 INFO : #### Save platform description: /home/derkling/Code/schedtest/results/20151113_163636/platform.json\n"
]
}
],
"source": [
"logging.info('#### Setup FTrace')\n",
"te.ftrace.start()\n",
"\n",
"logging.info('#### Start energy sampling')\n",
"te.emeter.reset()\n",
"\n",
"logging.info('#### Start RTApp execution')\n",
"rtapp.run(out_dir=te.res_dir)\n",
"\n",
"logging.info('#### Read energy consumption: %s/energy.json', te.res_dir)\n",
"(nrg, nrg_file) = te.emeter.report(out_dir=te.res_dir)\n",
"\n",
"logging.info('#### Stop FTrace')\n",
"te.ftrace.stop()\n",
"\n",
"trace_file = os.path.join(te.res_dir, 'trace.dat')\n",
"logging.info('#### Save FTrace: %s', trace_file)\n",
"te.ftrace.get_trace(trace_file)\n",
"\n",
"logging.info('#### Save platform description: %s/platform.json', te.res_dir)\n",
"(plt, plt_file) = te.platform_dump(te.res_dir)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"# Collected results"
]
},
{
"cell_type": "code",
"execution_count": 7,
"metadata": {
"collapsed": false
},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"04:36:54 INFO : Content of the output folder /home/derkling/Code/schedtest/results/20151113_163636\n"
]
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"total 3300\r\n",
"drwxrwxr-x 2 derkling derkling 4096 Nov 13 16:36 .\r\n",
"drwxrwxr-x 38 derkling derkling 4096 Nov 13 16:36 ..\r\n",
"-rw-rw-r-- 1 derkling derkling 52 Nov 13 16:36 energy.json\r\n",
"-rw-rw-r-- 1 derkling derkling 436 Nov 13 16:36 output.log\r\n",
"-rw-rw-r-- 1 derkling derkling 649 Nov 13 16:36 platform.json\r\n",
"-rw-r--r-- 1 derkling derkling 6360 Nov 13 16:36 rt-app-task_p20-0.log\r\n",
"-rw-r--r-- 1 derkling derkling 5120 Nov 13 16:36 rt-app-task_r20_5-60-1.log\r\n",
"-rw-r--r-- 1 derkling derkling 1828 Nov 13 16:36 simple_00.json\r\n",
"-rw-r--r-- 1 derkling derkling 3338240 Nov 13 16:36 trace.dat\r\n"
]
}
],
"source": [
"# All data are produced in the output folder defined by the TestEnv module\n",
"logging.info('Content of the output folder %s', te.res_dir)\n",
"!ls -la {te.res_dir}"
]
},
{
"cell_type": "code",
"execution_count": 8,
"metadata": {
"collapsed": false
},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"04:36:54 INFO : Generated RTApp JSON file:\n"
]
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"{\n",
" \"global\": {\n",
" \"calibration\": 138, \n",
" \"default_policy\": \"SCHED_OTHER\", \n",
" \"duration\": -1, \n",
" \"logdir\": \"/root/devlib-target\"\n",
" }, \n",
" \"tasks\": {\n",
" \"task_p20\": {\n",
" \"cpus\": [\n",
" 0\n",
" ], \n",
" \"loop\": 1, \n",
" \"phases\": {\n",
" \"p000001\": {\n",
" \"loop\": 50, \n",
" \"run\": 20000, \n",
" \"timer\": {\n",
" \"period\": 100000, \n",
" \"ref\": \"task_p20\"\n",
" }\n",
" }\n",
" }, \n",
" \"policy\": \"SCHED_OTHER\"\n",
" }, \n",
" \"task_r20_5-60\": {\n",
" \"cpus\": [\n",
" 5\n",
" ], \n",
" \"loop\": 1, \n",
" \"phases\": {\n",
" \"p000001\": {\n",
" \"loop\": 10, \n",
" \"run\": 5000, \n",
" \"timer\": {\n",
" \"period\": 100000, \n",
" \"ref\": \"task_r20_5-60\"\n",
" }\n",
" }, \n",
" \"p000002\": {\n",
" \"loop\": 10, \n",
" \"run\": 25000, \n",
" \"timer\": {\n",
" \"period\": 100000, \n",
" \"ref\": \"task_r20_5-60\"\n",
" }\n",
" }, \n",
" \"p000003\": {\n",
" \"loop\": 10, \n",
" \"run\": 45000, \n",
" \"timer\": {\n",
" \"period\": 100000, \n",
" \"ref\": \"task_r20_5-60\"\n",
" }\n",
" }, \n",
" \"p000004\": {\n",
" \"loop\": 10, \n",
" \"run\": 65000, \n",
" \"timer\": {\n",
" \"period\": 100000, \n",
" \"ref\": \"task_r20_5-60\"\n",
" }\n",
" }\n",
" }, \n",
" \"policy\": \"SCHED_OTHER\"\n",
" }\n",
" }\n",
"}\n"
]
}
],
"source": [
"# Inspect the JSON file used to run the application\n",
"with open('{}/simple_00.json'.format(te.res_dir), 'r') as fh:\n",
" rtapp_json = json.load(fh, )\n",
"logging.info('Generated RTApp JSON file:')\n",
"print json.dumps(rtapp_json, indent=4, sort_keys=True)"
]
},
{
"cell_type": "code",
"execution_count": 9,
"metadata": {
"collapsed": false
},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"04:36:54 INFO : Energy: /home/derkling/Code/schedtest/results/20151113_163636/energy.json\n"
]
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"{\n",
" \"LITTLE\": \"9.895538\", \n",
" \"big\": \"2.266392\"\n",
"}\n"
]
}
],
"source": [
"# Dump the energy measured for the LITTLE and big clusters\n",
"logging.info('Energy: %s', nrg_file)\n",
"print json.dumps(nrg, indent=4, sort_keys=True)"
]
},
{
"cell_type": "code",
"execution_count": 10,
"metadata": {
"collapsed": false
},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"04:36:54 INFO : Platform description: /home/derkling/Code/schedtest/results/20151113_163636/platform.json\n"
]
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"{\n",
" \"clusters\": {\n",
" \"big\": [\n",
" 1, \n",
" 2\n",
" ], \n",
" \"little\": [\n",
" 0, \n",
" 3, \n",
" 4, \n",
" 5\n",
" ]\n",
" }, \n",
" \"cpus_count\": 6, \n",
" \"freqs\": {\n",
" \"big\": [\n",
" 450000, \n",
" 625000, \n",
" 800000, \n",
" 950000, \n",
" 1100000\n",
" ], \n",
" \"little\": [\n",
" 450000, \n",
" 575000, \n",
" 700000, \n",
" 775000, \n",
" 850000\n",
" ]\n",
" }, \n",
" \"topology\": [\n",
" [\n",
" 0, \n",
" 3, \n",
" 4, \n",
" 5\n",
" ], \n",
" [\n",
" 1, \n",
" 2\n",
" ]\n",
" ]\n",
"}\n"
]
}
],
"source": [
"# Dump the platform descriptor, which could be useful for further analysis\n",
"# of the generated results\n",
"logging.info('Platform description: %s', plt_file)\n",
"print json.dumps(plt, indent=4, sort_keys=True)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"# Trace inspection"
]
},
{
"cell_type": "code",
"execution_count": 11,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/html": [
"<style>\n",
"/*\n",
"\n",
" * Copyright 2015-2015 ARM Limited\n",
"\n",
" *\n",
"\n",
" * Licensed under the Apache License, Version 2.0 (the \"License\");\n",
"\n",
" * you may not use this file except in compliance with the License.\n",
"\n",
" * You may obtain a copy of the License at\n",
"\n",
" *\n",
"\n",
" * http://www.apache.org/licenses/LICENSE-2.0\n",
"\n",
" *\n",
"\n",
" * Unless required by applicable law or agreed to in writing, software\n",
"\n",
" * distributed under the License is distributed on an \"AS IS\" BASIS,\n",
"\n",
" * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.\n",
"\n",
" * See the License for the specific language governing permissions and\n",
"\n",
" * limitations under the License.\n",
"\n",
" */\n",
"\n",
"\n",
"\n",
".d3-tip {\n",
"\n",
" line-height: 1;\n",
"\n",
" padding: 12px;\n",
"\n",
" background: rgba(0, 0, 0, 0.6);\n",
"\n",
" color: #fff;\n",
"\n",
" border-radius: 2px;\n",
"\n",
" position: absolute !important;\n",
"\n",
" z-index: 99999;\n",
"\n",
"}\n",
"\n",
"\n",
"\n",
".d3-tip:after {\n",
"\n",
" box-sizing: border-box;\n",
"\n",
" pointer-events: none;\n",
"\n",
" display: inline;\n",
"\n",
" font-size: 10px;\n",
"\n",
" width: 100%;\n",
"\n",
" line-height: 1;\n",
"\n",
" color: rgba(0, 0, 0, 0.6);\n",
"\n",
" content: \"\\25BC\";\n",
"\n",
" position: absolute !important;\n",
"\n",
" z-index: 99999;\n",
"\n",
" text-align: center;\n",
"\n",
"}\n",
"\n",
"\n",
"\n",
".d3-tip.n:after {\n",
"\n",
" margin: -1px 0 0 0;\n",
"\n",
" top: 100%;\n",
"\n",
" left: 0;\n",
"\n",
"}\n",
"\n",
"\n",
"\n",
".contextRect {\n",
"\n",
" fill: lightgray;\n",
"\n",
" fill-opacity: 0.5;\n",
"\n",
" stroke: black;\n",
"\n",
" stroke-width: 1;\n",
"\n",
" stroke-opacity: 1;\n",
"\n",
" pointer-events: none;\n",
"\n",
" shape-rendering: crispEdges;\n",
"\n",
"}\n",
"\n",
"\n",
"\n",
".chart {\n",
"\n",
" shape-rendering: crispEdges;\n",
"\n",
"}\n",
"\n",
"\n",
"\n",
".mini text {\n",
"\n",
" font: 9px sans-serif;\n",
"\n",
"}\n",
"\n",
"\n",
"\n",
".main text {\n",
"\n",
" font: 12px sans-serif;\n",
"\n",
"}\n",
"\n",
"\n",
"\n",
".axis line, .axis path {\n",
"\n",
" stroke: black;\n",
"\n",
"}\n",
"\n",
"\n",
"\n",
".miniItem {\n",
"\n",
" stroke-width: 8;\n",
"\n",
"}\n",
"\n",
"\n",
"\n",
".brush .extent {\n",
"\n",
"\n",
"\n",
" stroke: #000;\n",
"\n",
" fill-opacity: .125;\n",
"\n",
" shape-rendering: crispEdges;\n",
"\n",
"}\n",
"\n",
"</style>\n",
"<div id=\"fig_af190fbab31d4afa8cf00e8709db89d1\" class=\"eventplot\">\n",
" <script>\n",
" var req = require.config( {\n",
"\n",
" paths: {\n",
"\n",
" \"EventPlot\": '/nbextensions/plotter_scripts/EventPlot/EventPlot',\n",
" \"d3-tip\": '/nbextensions/plotter_scripts/EventPlot/d3.tip.v0.6.3',\n",
" \"d3-plotter\": '/nbextensions/plotter_scripts/EventPlot/d3.v3.min'\n",
" },\n",
" shim: {\n",
" \"d3-plotter\" : {\n",
" \"exports\" : \"d3\"\n",
" },\n",
" \"d3-tip\": [\"d3-plotter\"],\n",
" \"EventPlot\": {\n",
"\n",
" \"deps\": [\"d3-tip\", \"d3-plotter\" ],\n",
" \"exports\": \"EventPlot\"\n",
" }\n",
" }\n",
" });\n",
" req([\"require\", \"EventPlot\"], function() {\n",
" EventPlot.generate('fig_af190fbab31d4afa8cf00e8709db89d1', '/nbextensions/');\n",
" });\n",
" </script>\n",
" </div>"
],
"text/plain": [
"<IPython.core.display.HTML object>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"# NOTE: The interactive trace visualization is available only if you run\n",
"# the workload to generate a new trace-file\n",
"trappy.plotter.plot_trace(te.res_dir)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"# RTApp task performance plots"
]
},
{
"cell_type": "code",
"execution_count": 12,
"metadata": {
"collapsed": false
},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"04:36:55 INFO : Found rt-app logfile for task [task_r20_5-60]\n",
"04:36:55 INFO : Found rt-app logfile for task [task_p20]\n",
"04:36:55 INFO : Loading dataframe for task [task_p20]...\n",
"04:36:55 INFO : Loading dataframe for task [task_r20_5-60]...\n",
"04:36:55 INFO : PerfIndex, Task [task_p20] avg: 0.59, std: 0.01\n",
"04:36:55 INFO : PerfIndex, Task [task_r20_5-60] avg: -4.14, std: 7.68\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA70AAAMFCAYAAAC4RJG0AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7fac48205a90>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA8cAAAMFCAYAAABZL4TlAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7fac42a0b850>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"# Parse the RT-App generate log files to compute performance metrics\n",
"pa = PerfAnalysis(te.res_dir)\n",
"\n",
"# For each task which has generated a logfile, plot its performance metrics\n",
"for task in pa.tasks():\n",
" pa.plotPerf(task, \"Performance plots for task [{}] \".format(task))"
]
}
],
"metadata": {
"kernelspec": {
"display_name": "Python 2",
"language": "python",
"name": "python2"
},
"language_info": {
"codemirror_mode": {
"name": "ipython",
"version": 2
},
"file_extension": ".py",
"mimetype": "text/x-python",
"name": "python",
"nbconvert_exporter": "python",
"pygments_lexer": "ipython2",
"version": "2.7.9"
}
},
"nbformat": 4,
"nbformat_minor": 0
}