blob: 82a4bc8cdd500f0c7417188c8848c23c61343b06 [file] [log] [blame]
// SPDX-License-Identifier: GPL-2.0+
/dts-v1/;
/ {
#address-cells = <1>;
#size-cells = <1>;
binman {
sort-by-offset;
end-at-4gb;
size = <0x800000>;
intel-descriptor {
filename = "descriptor.bin";
};
intel-ifwi {
offset-unset;
filename = "ifwi.bin";
_testing {
return-unknown-contents;
ifwi-replace;
ifwi-subpart = "IBBP";
ifwi-entry = "IBBL";
};
};
};
};