blob: b6d1474b578c07a0f78af8f4a08f0253621ec30d [file] [log] [blame]
Kyle Yand8326b62017-01-05 15:11:02 -08001/* Copyright (c) 2016-2017, The Linux Foundation. All rights reserved.
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -07002 *
3 * This program is free software; you can redistribute it and/or modify
4 * it under the terms of the GNU General Public License version 2 and
5 * only version 2 as published by the Free Software Foundation.
6 *
7 * This program is distributed in the hope that it will be useful,
8 * but WITHOUT ANY WARRANTY; without even the implied warranty of
9 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
10 * GNU General Public License for more details.
11 */
12
13#include "skeleton64.dtsi"
Kyle Yan6a20fae2017-02-14 13:34:41 -080014#include <dt-bindings/clock/qcom,gcc-sdm845.h>
15#include <dt-bindings/clock/qcom,camcc-sdm845.h>
16#include <dt-bindings/clock/qcom,dispcc-sdm845.h>
17#include <dt-bindings/clock/qcom,gpucc-sdm845.h>
18#include <dt-bindings/clock/qcom,videocc-sdm845.h>
19#include <dt-bindings/clock/qcom,cpucc-sdm845.h>
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -080020#include <dt-bindings/clock/qcom,rpmh.h>
David Collins5ab42b92016-07-07 17:38:51 -070021#include <dt-bindings/regulator/qcom,rpmh-regulator.h>
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -070022#include <dt-bindings/interrupt-controller/arm-gic.h>
Lina Iyer9f782ba2016-10-11 15:13:50 -060023#include <dt-bindings/soc/qcom,tcs-mbox.h>
David Collins86dc5b52017-04-11 14:29:36 -070024#include <dt-bindings/spmi/spmi.h>
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070025
26/ {
Kyle Yan6a20fae2017-02-14 13:34:41 -080027 model = "Qualcomm Technologies, Inc. SDM845";
28 compatible = "qcom,sdm845";
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070029 qcom,msm-id = <321 0x0>;
30 interrupt-parent = <&intc>;
31
Subhash Jadavani35c309a2016-12-19 13:58:57 -080032 aliases {
33 ufshc1 = &ufshc_mem; /* Embedded UFS slot */
34 ufshc2 = &ufshc_card; /* Removable UFS slot */
35 };
36
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070037 cpus {
38 #address-cells = <2>;
39 #size-cells = <0>;
40
41 CPU0: cpu@0 {
42 device_type = "cpu";
43 compatible = "arm,armv8";
44 reg = <0x0 0x0>;
Trilok Soni39f76f22016-12-15 14:56:26 -080045 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -070046 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070047 cache-size = <0x8000>;
48 cpu-release-addr = <0x0 0x90000000>;
49 next-level-cache = <&L2_0>;
50 L2_0: l2-cache {
51 compatible = "arm,arch-cache";
52 cache-size = <0x20000>;
53 cache-level = <2>;
54 next-level-cache = <&L3_0>;
55
56 L3_0: l3-cache {
57 compatible = "arm,arch-cache";
58 cache-size = <0x200000>;
59 cache-level = <3>;
60 };
61 };
Kyle Yanf7f2ddf2016-11-22 17:07:38 -080062 L1_I_0: l1-icache {
63 compatible = "arm,arch-cache";
64 qcom,dump-size = <0x9000>;
65 };
66 L1_D_0: l1-dcache {
67 compatible = "arm,arch-cache";
68 qcom,dump-size = <0x9000>;
69 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070070 };
71
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -070072 CPU1: cpu@100 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070073 device_type = "cpu";
74 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -070075 reg = <0x0 0x100>;
Trilok Soni39f76f22016-12-15 14:56:26 -080076 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -070077 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070078 cache-size = <0x8000>;
79 cpu-release-addr = <0x0 0x90000000>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -070080 next-level-cache = <&L2_100>;
81 L2_100: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070082 compatible = "arm,arch-cache";
83 cache-size = <0x20000>;
84 cache-level = <2>;
85 next-level-cache = <&L3_0>;
86 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -070087 L1_I_100: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -080088 compatible = "arm,arch-cache";
89 qcom,dump-size = <0x9000>;
90 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -070091 L1_D_100: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -080092 compatible = "arm,arch-cache";
93 qcom,dump-size = <0x9000>;
94 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070095 };
96
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -070097 CPU2: cpu@200 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070098 device_type = "cpu";
99 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700100 reg = <0x0 0x200>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800101 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700102 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700103 cache-size = <0x8000>;
104 cpu-release-addr = <0x0 0x90000000>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700105 next-level-cache = <&L2_200>;
106 L2_200: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700107 compatible = "arm,arch-cache";
108 cache-size = <0x20000>;
109 cache-level = <2>;
110 next-level-cache = <&L3_0>;
111 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700112 L1_I_200: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800113 compatible = "arm,arch-cache";
114 qcom,dump-size = <0x9000>;
115 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700116 L1_D_200: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800117 compatible = "arm,arch-cache";
118 qcom,dump-size = <0x9000>;
119 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700120 };
121
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700122 CPU3: cpu@300 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700123 device_type = "cpu";
124 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700125 reg = <0x0 0x300>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800126 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700127 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700128 cache-size = <0x8000>;
129 cpu-release-addr = <0x0 0x90000000>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700130 next-level-cache = <&L2_300>;
131 L2_300: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700132 compatible = "arm,arch-cache";
133 cache-size = <0x20000>;
134 cache-level = <2>;
135 next-level-cache = <&L3_0>;
136 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700137 L1_I_300: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800138 compatible = "arm,arch-cache";
139 qcom,dump-size = <0x9000>;
140 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700141 L1_D_300: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800142 compatible = "arm,arch-cache";
143 qcom,dump-size = <0x9000>;
144 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700145 };
146
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700147 CPU4: cpu@400 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700148 device_type = "cpu";
149 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700150 reg = <0x0 0x400>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800151 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700152 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700153 cache-size = <0x20000>;
154 cpu-release-addr = <0x0 0x90000000>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700155 next-level-cache = <&L2_400>;
156 L2_400: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700157 compatible = "arm,arch-cache";
158 cache-size = <0x40000>;
159 cache-level = <2>;
160 next-level-cache = <&L3_0>;
161 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700162 L1_I_400: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800163 compatible = "arm,arch-cache";
164 qcom,dump-size = <0x12000>;
165 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700166 L1_D_400: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800167 compatible = "arm,arch-cache";
168 qcom,dump-size = <0x12000>;
169 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700170 };
171
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700172 CPU5: cpu@500 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700173 device_type = "cpu";
174 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700175 reg = <0x0 0x500>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800176 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700177 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700178 cache-size = <0x20000>;
179 cpu-release-addr = <0x0 0x90000000>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700180 next-level-cache = <&L2_500>;
181 L2_500: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700182 compatible = "arm,arch-cache";
183 cache-size = <0x40000>;
184 cache-level = <2>;
185 next-level-cache = <&L3_0>;
186 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700187 L1_I_500: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800188 compatible = "arm,arch-cache";
189 qcom,dump-size = <0x12000>;
190 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700191 L1_D_500: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800192 compatible = "arm,arch-cache";
193 qcom,dump-size = <0x12000>;
194 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700195 };
196
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700197 CPU6: cpu@600 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700198 device_type = "cpu";
199 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700200 reg = <0x0 0x600>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800201 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700202 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700203 cache-size = <0x20000>;
204 cpu-release-addr = <0x0 0x90000000>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700205 next-level-cache = <&L2_600>;
206 L2_600: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700207 compatible = "arm,arch-cache";
208 cache-size = <0x40000>;
209 cache-level = <2>;
210 next-level-cache = <&L3_0>;
211 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700212 L1_I_600: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800213 compatible = "arm,arch-cache";
214 qcom,dump-size = <0x12000>;
215 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700216 L1_D_600: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800217 compatible = "arm,arch-cache";
218 qcom,dump-size = <0x12000>;
219 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700220 };
221
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700222 CPU7: cpu@700 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700223 device_type = "cpu";
224 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700225 reg = <0x0 0x700>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800226 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700227 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700228 cache-size = <0x20000>;
229 cpu-release-addr = <0x0 0x90000000>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700230 next-level-cache = <&L2_700>;
231 L2_700: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700232 compatible = "arm,arch-cache";
233 cache-size = <0x40000>;
234 cache-level = <2>;
235 next-level-cache = <&L3_0>;
236 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700237 L1_I_700: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800238 compatible = "arm,arch-cache";
239 qcom,dump-size = <0x12000>;
240 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700241 L1_D_700: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800242 compatible = "arm,arch-cache";
243 qcom,dump-size = <0x12000>;
244 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700245 };
246
247 cpu-map {
248 cluster0 {
249 core0 {
250 cpu = <&CPU0>;
251 };
252
253 core1 {
254 cpu = <&CPU1>;
255 };
256
257 core2 {
258 cpu = <&CPU2>;
259 };
260
261 core3 {
262 cpu = <&CPU3>;
263 };
264 };
265
266 cluster1 {
267 core0 {
268 cpu = <&CPU4>;
269 };
270
271 core1 {
272 cpu = <&CPU5>;
273 };
274
275 core2 {
276 cpu = <&CPU6>;
277 };
278
279 core3 {
280 cpu = <&CPU7>;
281 };
282 };
283 };
284 };
285
Trilok Soni39f76f22016-12-15 14:56:26 -0800286 psci {
287 compatible = "arm,psci-1.0";
288 method = "smc";
289 };
290
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700291 soc: soc { };
Patrick Dalyff211c82016-07-19 20:26:40 -0700292
293 reserved-memory {
294 #address-cells = <2>;
295 #size-cells = <2>;
296 ranges;
297
298 removed_regions: removed_regions@85800000 {
299 no-map;
300 reg = <0 0x85800000 0 0x3700000>;
301 };
302
Patrick Daly69c4d1d2016-10-19 16:03:09 -0700303 pil_camera_mem: camera_region@8ab00000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700304 compatible = "removed-dma-pool";
305 no-map;
Patrick Daly69c4d1d2016-10-19 16:03:09 -0700306 reg = <0 0x8ab00000 0 0x500000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700307 };
308
Patrick Daly69c4d1d2016-10-19 16:03:09 -0700309 pil_modem_mem: modem_region@8b000000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700310 compatible = "removed-dma-pool";
311 no-map;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700312 reg = <0 0x8b000000 0 0x7300000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700313 };
314
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700315 pil_video_mem: pil_video_region@92300000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700316 compatible = "removed-dma-pool";
317 no-map;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700318 reg = <0 0x92300000 0 0x500000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700319 };
320
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700321 pil_cdsp_mem: cdsp_regions@92800000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700322 compatible = "removed-dma-pool";
323 no-map;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700324 reg = <0 0x92800000 0 0x800000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700325 };
326
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700327 pil_adsp_mem: pil_adsp_region@93000000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700328 compatible = "removed-dma-pool";
329 no-map;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700330 reg = <0 0x93000000 0 0x1a00000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700331 };
332
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700333 pil_mba_mem: pil_mba_region@0x94a00000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700334 compatible = "removed-dma-pool";
335 no-map;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700336 reg = <0 0x94a00000 0 0x200000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700337 };
338
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700339 pil_slpi_mem: pil_slpi_region@94c00000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700340 compatible = "removed-dma-pool";
341 no-map;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700342 reg = <0 0x94c00000 0 0x1400000>;
343 };
344
345 pil_ipa_fw_mem: pil_ipa_fw_region@96000000 {
346 compatible = "removed-dma-pool";
347 no-map;
348 reg = <0 0x96000000 0 0x10000>;
349 };
350
351 pil_ipa_gsi_mem: pil_ipa_gsi_region@96010000 {
352 compatible = "removed-dma-pool";
353 no-map;
354 reg = <0 0x96010000 0 0x5000>;
355 };
356
357 pil_gpu_mem: pil_gpu_region@96015000 {
358 compatible = "removed-dma-pool";
359 no-map;
360 reg = <0 0x96015000 0 0x1000>;
361 };
362
363 pil_spss_mem: spss_region@96100000 {
364 compatible = "removed-dma-pool";
365 no-map;
366 reg = <0 0x96100000 0 0x100000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700367 };
368
369 adsp_mem: adsp_region {
370 compatible = "shared-dma-pool";
371 alloc-ranges = <0 0x00000000 0 0xffffffff>;
372 reusable;
373 alignment = <0 0x400000>;
Sathish Ambleyed346ea2017-03-14 10:00:50 -0700374 size = <0 0xc00000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700375 };
376
377 qseecom_mem: qseecom_region {
378 compatible = "shared-dma-pool";
379 alloc-ranges = <0 0x00000000 0 0xffffffff>;
380 reusable;
381 alignment = <0 0x400000>;
382 size = <0 0x1400000>;
383 };
384
385 sp_mem: sp_region { /* SPSS-HLOS ION shared mem */
386 compatible = "shared-dma-pool";
387 alloc-ranges = <0 0x00000000 0 0xffffffff>; /* 32-bit */
388 reusable;
389 alignment = <0 0x400000>;
390 size = <0 0x800000>;
391 };
392
393 secure_display_memory: secure_display_region {
394 compatible = "shared-dma-pool";
395 alloc-ranges = <0 0x00000000 0 0xffffffff>;
396 reusable;
397 alignment = <0 0x400000>;
398 size = <0 0x5c00000>;
399 };
400
401 /* global autoconfigured region for contiguous allocations */
402 linux,cma {
403 compatible = "shared-dma-pool";
404 alloc-ranges = <0 0x00000000 0 0xffffffff>;
405 reusable;
406 alignment = <0 0x400000>;
407 size = <0 0x2000000>;
408 linux,cma-default;
409 };
410 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700411};
412
Kyle Yan6a20fae2017-02-14 13:34:41 -0800413#include "msm-gdsc-sdm845.dtsi"
414#include "sdm845-sde.dtsi"
415#include "sdm845-sde-display.dtsi"
Girish Mahadevan2e2fbe72017-03-28 13:28:18 -0600416#include "sdm845-qupv3.dtsi"
Deepak Katragadda7b16dba2016-08-05 18:06:30 -0700417
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700418&soc {
419 #address-cells = <1>;
420 #size-cells = <1>;
421 ranges = <0 0 0 0xffffffff>;
422 compatible = "simple-bus";
423
424 intc: interrupt-controller@17a00000 {
425 compatible = "arm,gic-v3";
426 #interrupt-cells = <3>;
427 interrupt-controller;
428 #redistributor-regions = <1>;
429 redistributor-stride = <0x0 0x20000>;
430 reg = <0x17a00000 0x10000>, /* GICD */
Kyle Yanc59b3552016-09-29 16:25:03 -0700431 <0x17a60000 0x100000>; /* GICR * 8 */
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700432 interrupts = <1 9 4>;
433 };
434
435 timer {
436 compatible = "arm,armv8-timer";
437 interrupts = <1 1 0xf08>,
438 <1 2 0xf08>,
439 <1 3 0xf08>,
440 <1 0 0xf08>;
441 clock-frequency = <19200000>;
442 };
443
444 timer@0x17C90000{
445 #address-cells = <1>;
446 #size-cells = <1>;
447 ranges;
448 compatible = "arm,armv7-timer-mem";
449 reg = <0x17C90000 0x1000>;
450 clock-frequency = <19200000>;
451
452 frame@0x17CA0000 {
453 frame-number = <0>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800454 interrupts = <0 7 0x4>,
455 <0 6 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700456 reg = <0x17CA0000 0x1000>,
457 <0x17CB0000 0x1000>;
458 };
459
460 frame@17cc0000 {
461 frame-number = <1>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800462 interrupts = <0 8 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700463 reg = <0x17cc0000 0x1000>;
464 status = "disabled";
465 };
466
467 frame@17cd0000 {
468 frame-number = <2>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800469 interrupts = <0 9 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700470 reg = <0x17cd0000 0x1000>;
471 status = "disabled";
472 };
473
474 frame@17ce0000 {
475 frame-number = <3>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800476 interrupts = <0 10 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700477 reg = <0x17ce0000 0x1000>;
478 status = "disabled";
479 };
480
481 frame@17cf0000 {
482 frame-number = <4>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800483 interrupts = <0 11 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700484 reg = <0x17cf0000 0x1000>;
485 status = "disabled";
486 };
487
488 frame@17d00000 {
489 frame-number = <5>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800490 interrupts = <0 12 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700491 reg = <0x17d00000 0x1000>;
492 status = "disabled";
493 };
494
495 frame@17d10000 {
496 frame-number = <6>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800497 interrupts = <0 13 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700498 reg = <0x17d10000 0x1000>;
499 status = "disabled";
500 };
501 };
Deepak Katragaddacfb593f2016-07-15 12:30:37 -0700502
Kyle Yana795b9d2017-02-14 16:16:13 -0800503 restart@10ac000 {
504 compatible = "qcom,pshold";
505 reg = <0xC264000 0x4>,
506 <0x1fd3000 0x4>;
507 reg-names = "pshold-base", "tcsr-boot-misc-detect";
508 };
509
David Collinsef3dd9c2017-01-12 14:14:23 -0800510 spmi_bus: qcom,spmi@c440000 {
511 compatible = "qcom,spmi-pmic-arb";
512 reg = <0xc440000 0x1100>,
513 <0xc600000 0x2000000>,
514 <0xe600000 0x100000>,
515 <0xe700000 0xa0000>,
516 <0xc40a000 0x26000>;
517 reg-names = "core", "chnls", "obsrvr", "intr", "cnfg";
518 interrupt-names = "periph_irq";
519 interrupts = <GIC_SPI 481 IRQ_TYPE_NONE>;
520 qcom,ee = <0>;
521 qcom,channel = <0>;
522 #address-cells = <2>;
523 #size-cells = <0>;
524 interrupt-controller;
525 #interrupt-cells = <4>;
526 cell-index = <0>;
527 };
528
David Collins86dc5b52017-04-11 14:29:36 -0700529 spmi_debug_bus: qcom,spmi-debug@6b22000 {
530 compatible = "qcom,spmi-pmic-arb-debug";
531 reg = <0x6b22000 0x60>, <0x7820A8 4>;
532 reg-names = "core", "fuse";
533 qcom,fuse-disable-bit = <12>;
534 #address-cells = <2>;
535 #size-cells = <0>;
536
537 qcom,pm8998-debug@0 {
538 compatible = "qcom,spmi-pmic";
539 reg = <0x0 SPMI_USID>;
540 #address-cells = <2>;
541 #size-cells = <0>;
542 };
543
544 qcom,pm8998-debug@1 {
545 compatible = "qcom,spmi-pmic";
546 reg = <0x1 SPMI_USID>;
547 #address-cells = <2>;
548 #size-cells = <0>;
549 };
550
551 qcom,pmi8998-debug@2 {
552 compatible = "qcom,spmi-pmic";
553 reg = <0x2 SPMI_USID>;
554 #address-cells = <2>;
555 #size-cells = <0>;
556 };
557
558 qcom,pmi8998-debug@3 {
559 compatible = "qcom,spmi-pmic";
560 reg = <0x3 SPMI_USID>;
561 #address-cells = <2>;
562 #size-cells = <0>;
563 };
564
565 qcom,pm8005-debug@4 {
566 compatible = "qcom,spmi-pmic";
567 reg = <0x4 SPMI_USID>;
568 #address-cells = <2>;
569 #size-cells = <0>;
570 };
571
572 qcom,pm8005-debug@5 {
573 compatible = "qcom,spmi-pmic";
574 reg = <0x5 SPMI_USID>;
575 #address-cells = <2>;
576 #size-cells = <0>;
577 };
578 };
579
Stephen Boyd143dcf62017-03-20 15:23:50 -0700580 msm_cpufreq: qcom,msm-cpufreq {
581 compatible = "qcom,msm-cpufreq";
582 clock-names = "cpu0_clk", "cpu4_clk";
583 clocks = <&clock_cpucc CPU0_PWRCL_CLK>,
584 <&clock_cpucc CPU4_PERFCL_CLK>;
585
586 qcom,governor-per-policy;
587
588 qcom,cpufreq-table-0 =
589 < 300000 >,
590 < 422400 >,
591 < 499200 >,
592 < 576000 >,
593 < 652800 >,
594 < 748800 >,
595 < 825600 >,
596 < 902400 >,
597 < 979200 >,
598 < 1056000 >,
599 < 1132800 >,
600 < 1209600 >,
601 < 1286400 >,
602 < 1363200 >,
603 < 1440000 >,
604 < 1516800 >,
605 < 1593600 >;
606
607 qcom,cpufreq-table-4 =
608 < 300000 >,
609 < 422400 >,
610 < 499200 >,
611 < 576000 >,
612 < 652800 >,
613 < 729600 >,
614 < 806400 >,
615 < 883200 >,
616 < 960000 >,
617 < 1036800 >,
618 < 1113600 >,
619 < 1190400 >,
620 < 1267200 >,
621 < 1344000 >,
622 < 1420800 >,
623 < 1497600 >,
624 < 1574400 >,
625 < 1651200 >,
626 < 1728000 >,
627 < 1804800 >,
628 < 1881600 >,
629 < 1958400 >;
630 };
631
Rohit Gupta64b7e652017-03-01 10:47:52 -0800632 cpubw: qcom,cpubw {
633 compatible = "qcom,devbw";
634 governor = "performance";
635 qcom,src-dst-ports = <1 512>;
636 qcom,active-only;
637 qcom,bw-tbl =
638 < 762 /* 200 MHz */ >,
639 < 1144 /* 300 MHz */ >,
640 < 1720 /* 451 MHz */ >,
641 < 2086 /* 547 MHz */ >,
642 < 2597 /* 681 MHz */ >,
643 < 2929 /* 768 MHz */ >,
644 < 3879 /* 1017 MHz */ >,
645 < 4943 /* 1296 MHz */ >,
646 < 5931 /* 1555 MHz */ >,
647 < 6881 /* 1804 MHz */ >;
648 };
649
650 bwmon: qcom,cpu-bwmon {
651 compatible = "qcom,bimc-bwmon4";
652 reg = <0x1436400 0x300>, <0x1436300 0x200>;
653 reg-names = "base", "global_base";
654 interrupts = <0 581 4>;
655 qcom,mport = <0>;
656 qcom,hw-timer-hz = <19200000>;
657 qcom,target-dev = <&cpubw>;
658 };
659
Rohit Gupta44171c72017-03-06 14:07:50 -0800660 memlat_cpu0: qcom,memlat-cpu0 {
661 compatible = "qcom,devbw";
662 governor = "powersave";
663 qcom,src-dst-ports = <1 512>;
664 qcom,active-only;
665 qcom,bw-tbl =
666 < 762 /* 200 MHz */ >,
667 < 1144 /* 300 MHz */ >,
668 < 1720 /* 451 MHz */ >,
669 < 2086 /* 547 MHz */ >,
670 < 2597 /* 681 MHz */ >,
671 < 2929 /* 768 MHz */ >,
672 < 3879 /* 1017 MHz */ >,
673 < 4943 /* 1296 MHz */ >,
674 < 5931 /* 1555 MHz */ >,
675 < 6881 /* 1804 MHz */ >;
676 };
677
678 memlat_cpu4: qcom,memlat-cpu4 {
679 compatible = "qcom,devbw";
680 governor = "powersave";
681 qcom,src-dst-ports = <1 512>;
682 qcom,active-only;
683 status = "ok";
684 qcom,bw-tbl =
685 < 762 /* 200 MHz */ >,
686 < 1144 /* 300 MHz */ >,
687 < 1720 /* 451 MHz */ >,
688 < 2086 /* 547 MHz */ >,
689 < 2597 /* 681 MHz */ >,
690 < 2929 /* 768 MHz */ >,
691 < 3879 /* 1017 MHz */ >,
692 < 4943 /* 1296 MHz */ >,
693 < 5931 /* 1555 MHz */ >,
694 < 6881 /* 1804 MHz */ >;
695 };
696
David Daicbf740d2017-04-05 17:13:54 -0700697 snoc_cnoc_keepalive: qcom,snoc_cnoc_keepalive {
698 compatible = "qcom,devbw";
699 governor = "powersave";
700 qcom,src-dst-ports = <139 627>;
701 qcom,active-only;
702 status = "ok";
703 qcom,bw-tbl =
704 < 1 >;
705 };
706
Rohit Gupta44171c72017-03-06 14:07:50 -0800707 devfreq_memlat_0: qcom,cpu0-memlat-mon {
708 compatible = "qcom,arm-memlat-mon";
709 qcom,cpulist = <&CPU0 &CPU1 &CPU2 &CPU3>;
710 qcom,target-dev = <&memlat_cpu0>;
711 qcom,cachemiss-ev = <0x2A>;
712 qcom,core-dev-table =
713 < 300000 762 >,
714 < 748800 1720 >,
715 < 979200 2929 >,
716 < 1209600 3879 >,
717 < 1516800 4943 >,
718 < 1593600 5931 >;
719 };
720
721 devfreq_memlat_4: qcom,cpu4-memlat-mon {
722 compatible = "qcom,arm-memlat-mon";
723 qcom,cpulist = <&CPU4 &CPU5 &CPU6 &CPU7>;
724 qcom,target-dev = <&memlat_cpu4>;
725 qcom,cachemiss-ev = <0x2A>;
726 qcom,core-dev-table =
727 < 300000 762 >,
728 < 1036800 2929 >,
729 < 1190400 3879 >,
730 < 1574400 4943 >,
731 < 1804800 5931 >,
732 < 1958400 6881 >;
733 };
734
735 l3_cpu0: qcom,l3-cpu0 {
736 compatible = "devfreq-simple-dev";
737 clock-names = "devfreq_clk";
738 clocks = <&clock_cpucc L3_CLUSTER0_VOTE_CLK>;
739 governor = "performance";
740 freq-tbl-khz =
741 < 300000 >,
742 < 422400 >,
743 < 499200 >,
744 < 576000 >,
745 < 652800 >,
746 < 729600 >,
747 < 806400 >,
748 < 883200 >,
749 < 960000 >;
750 };
751
752 l3_cpu4: qcom,l3-cpu4 {
753 compatible = "devfreq-simple-dev";
754 clock-names = "devfreq_clk";
755 clocks = <&clock_cpucc L3_CLUSTER1_VOTE_CLK>;
756 governor = "performance";
757 freq-tbl-khz =
758 < 300000 >,
759 < 422400 >,
760 < 499200 >,
761 < 576000 >,
762 < 652800 >,
763 < 729600 >,
764 < 806400 >,
765 < 883200 >,
766 < 960000 >;
767 };
768
769 devfreq_l3lat_0: qcom,cpu0-l3lat-mon {
770 compatible = "qcom,arm-memlat-mon";
771 qcom,cpulist = <&CPU0 &CPU1 &CPU2 &CPU3>;
772 qcom,target-dev = <&l3_cpu0>;
773 qcom,cachemiss-ev = <0x17>;
774 qcom,core-dev-table =
775 < 300000 300000 >,
776 < 748800 576000 >,
777 < 979200 652800 >,
778 < 1209600 806400 >,
779 < 1516800 883200 >,
780 < 1593600 960000 >;
781 };
782
783 devfreq_l3lat_4: qcom,cpu4-l3lat-mon {
784 compatible = "qcom,arm-memlat-mon";
785 qcom,cpulist = <&CPU4 &CPU5 &CPU6 &CPU7>;
786 qcom,target-dev = <&l3_cpu4>;
787 qcom,cachemiss-ev = <0x17>;
788 qcom,core-dev-table =
789 < 300000 300000 >,
790 < 1036800 652800 >,
791 < 1190400 806400 >,
792 < 1574400 883200 >,
793 < 1651200 960000 >;
794 };
795
Patrick Fay4b46f422017-04-05 10:09:49 -0700796 cpu_pmu: cpu-pmu {
797 compatible = "arm,armv8-pmuv3";
798 qcom,irq-is-percpu;
799 interrupts = <1 5 4>;
800 };
801
Deepak Katragaddaf8b9cc62016-11-02 15:17:15 -0700802 clock_gcc: qcom,gcc@100000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -0700803 compatible = "qcom,gcc-sdm845", "syscon";
Deepak Katragaddaf8b9cc62016-11-02 15:17:15 -0700804 reg = <0x100000 0x1f0000>;
805 reg-names = "cc_base";
David Collins3a457942016-12-09 16:59:51 -0800806 vdd_cx-supply = <&pm8998_s9_level>;
807 vdd_cx_ao-supply = <&pm8998_s9_level_ao>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -0700808 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -0700809 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -0700810 };
811
Deepak Katragaddab09ab882016-11-09 17:47:29 -0800812 clock_videocc: qcom,videocc@ab00000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -0700813 compatible = "qcom,video_cc-sdm845", "syscon";
Deepak Katragaddab09ab882016-11-09 17:47:29 -0800814 reg = <0xab00000 0x10000>;
815 reg-names = "cc_base";
David Collins3a457942016-12-09 16:59:51 -0800816 vdd_cx-supply = <&pm8998_s9_level>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -0700817 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -0700818 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -0700819 };
820
Deepak Katragadda7f073cb2016-12-15 14:22:38 -0800821 clock_camcc: qcom,camcc@ad00000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -0700822 compatible = "qcom,cam_cc-sdm845", "syscon";
Deepak Katragadda7f073cb2016-12-15 14:22:38 -0800823 reg = <0xad00000 0x10000>;
824 reg-names = "cc_base";
825 vdd_cx-supply = <&pm8998_s9_level>;
826 vdd_mx-supply = <&pm8998_s6_level>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -0700827 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -0700828 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -0700829 };
830
Deepak Katragaddad738ee32016-12-16 14:29:48 -0800831 clock_dispcc: qcom,dispcc@af00000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -0700832 compatible = "qcom,dispcc-sdm845", "syscon";
Deepak Katragadda7c7730b2017-04-14 12:09:49 -0700833 reg = <0xaf00000 0x10000>;
Deepak Katragaddad738ee32016-12-16 14:29:48 -0800834 reg-names = "cc_base";
835 vdd_cx-supply = <&pm8998_s9_level>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -0700836 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -0700837 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -0700838 };
839
Vicky Wallace4dc00682017-02-22 19:04:40 -0800840 clock_gpucc: qcom,gpucc@5090000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -0700841 compatible = "qcom,gpucc-sdm845", "syscon";
Vicky Wallace4dc00682017-02-22 19:04:40 -0800842 reg = <0x5090000 0x9000>;
843 reg-names = "cc_base";
844 vdd_cx-supply = <&pm8998_s9_level>;
Vicky Wallace4af7a402017-04-04 19:29:42 -0700845 qcom,gpu_cc_gmu_clk_src-opp-handle = <&gmu>;
Vicky Wallace4dc00682017-02-22 19:04:40 -0800846 #clock-cells = <1>;
847 #reset-cells = <1>;
848 };
849
850 clock_gfx: qcom,gfxcc@5090000 {
851 compatible = "qcom,gfxcc-sdm845";
852 reg = <0x5090000 0x9000>;
853 reg-names = "cc_base";
854 vdd_gfx-supply = <&pm8005_s1_level>;
855 vdd_mx-supply = <&pm8998_s6_level>;
Vicky Wallace4af7a402017-04-04 19:29:42 -0700856 qcom,gpu_cc_gx_gfx3d_clk_src-opp-handle = <&msm_gpu>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -0700857 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -0700858 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -0700859 };
Subhash Jadavani877ec812016-08-04 13:23:24 -0700860
Deepak Katragaddaa910b442017-03-07 13:11:32 -0800861 clock_cpucc: qcom,cpucc@0x17d41000 {
862 compatible = "qcom,clk-cpu-osm";
863 reg = <0x17d41000 0x1400>,
864 <0x17d43000 0x1400>,
865 <0x17d45800 0x1400>,
866 <0x178d0000 0x1000>,
867 <0x178c0000 0x1000>,
868 <0x178b0000 0x1000>,
869 <0x17d42400 0x0c00>,
870 <0x17d44400 0x0c00>,
Deepak Katragaddad5b7f382017-04-13 14:16:33 -0700871 <0x17d46c00 0x0c00>;
Deepak Katragaddaa910b442017-03-07 13:11:32 -0800872 reg-names = "osm_l3_base", "osm_pwrcl_base", "osm_perfcl_base",
873 "l3_pll", "pwrcl_pll", "perfcl_pll",
874 "l3_sequencer", "pwrcl_sequencer",
Deepak Katragaddad5b7f382017-04-13 14:16:33 -0700875 "perfcl_sequencer";
Deepak Katragaddaa910b442017-03-07 13:11:32 -0800876
877 vdd-l3-supply = <&apc0_l3_vreg>;
878 vdd-pwrcl-supply = <&apc0_pwrcl_vreg>;
879 vdd-perfcl-supply = <&apc1_perfcl_vreg>;
880
881 qcom,l3-speedbin0-v0 =
882 < 300000000 0x000c000f 0x00002020 0x1 1 >,
883 < 422400000 0x50140116 0x00002020 0x1 2 >,
884 < 499200000 0x5014021a 0x00002020 0x1 3 >,
885 < 576000000 0x5014031e 0x00002020 0x1 4 >,
Deepak Katragadda15590742017-04-11 09:41:01 -0700886 < 652800000 0x401c0422 0x00002020 0x1 5 >,
887 < 729600000 0x401c0526 0x00002020 0x1 6 >,
888 < 806400000 0x401c062a 0x00002222 0x1 7 >;
Deepak Katragaddaa910b442017-03-07 13:11:32 -0800889
890 qcom,pwrcl-speedbin0-v0 =
891 < 300000000 0x000c000f 0x00002020 0x1 1 >,
892 < 422400000 0x50140116 0x00002020 0x1 2 >,
893 < 499200000 0x5014021a 0x00002020 0x1 3 >,
894 < 576000000 0x5014031e 0x00002020 0x1 4 >,
Deepak Katragadda15590742017-04-11 09:41:01 -0700895 < 652800000 0x401c0422 0x00002020 0x1 5 >,
896 < 748800000 0x401c0527 0x00002020 0x1 6 >,
Deepak Katragaddaa910b442017-03-07 13:11:32 -0800897 < 825600000 0x401c062b 0x00002222 0x1 7 >,
898 < 902400000 0x4024072f 0x00002626 0x1 8 >,
899 < 979200000 0x40240833 0x00002929 0x1 9 >,
900 < 1056000000 0x402c0937 0x00002c2c 0x1 10 >,
901 < 1132800000 0x402c0a3b 0x00002f2f 0x1 11 >,
902 < 1209600000 0x402c0b3f 0x00003333 0x1 12 >;
903
904 qcom,perfcl-speedbin0-v0 =
905 < 300000000 0x000c000f 0x00002020 0x1 1 >,
906 < 422400000 0x50140116 0x00002020 0x1 2 >,
907 < 499200000 0x5014021a 0x00002020 0x1 3 >,
908 < 576000000 0x5014031e 0x00002020 0x1 4 >,
Deepak Katragadda15590742017-04-11 09:41:01 -0700909 < 652800000 0x401c0422 0x00002020 0x1 5 >,
910 < 729600000 0x401c0526 0x00002020 0x1 6 >,
911 < 806400000 0x401c062a 0x00002222 0x1 7 >,
Deepak Katragadda5126b322017-04-17 17:20:51 -0700912 < 883200000 0x4024072e 0x00002525 0x1 8 >,
Deepak Katragaddaa910b442017-03-07 13:11:32 -0800913 < 960000000 0x40240832 0x00002828 0x1 9 >,
914 < 1036800000 0x40240936 0x00002b2b 0x1 10 >,
915 < 1113600000 0x402c0a3a 0x00002e2e 0x1 11 >,
916 < 1190400000 0x402c0b3e 0x00003232 0x1 12 >;
917
918 qcom,l3-min-cpr-vc-bin0 = <7>;
919 qcom,pwrcl-min-cpr-vc-bin0 = <6>;
920 qcom,perfcl-min-cpr-vc-bin0 = <7>;
921
922 qcom,up-timer =
923 <1000 1000 1000>;
924 qcom,down-timer =
925 <100000 100000 100000>;
926 qcom,pc-override-index =
927 <0 0 0>;
928 qcom,set-ret-inactive;
929 qcom,enable-llm-freq-vote;
930 qcom,llm-freq-up-timer =
931 <1000 1000 1000>;
932 qcom,llm-freq-down-timer =
933 <327675 327675 327675>;
934 qcom,enable-llm-volt-vote;
935 qcom,llm-volt-up-timer =
936 <1000 1000 1000>;
937 qcom,llm-volt-down-timer =
938 <327675 327675 327675>;
939 qcom,cc-reads = <10>;
940 qcom,cc-delay = <5>;
941 qcom,cc-factor = <100>;
942 qcom,osm-clk-rate = <100000000>;
943 qcom,xo-clk-rate = <19200000>;
944
945 qcom,l-val-base =
946 <0x178d0004 0x178c0004 0x178b0004>;
947 qcom,apcs-pll-user-ctl =
948 <0x178d000c 0x178c000c 0x178b000c>;
949 qcom,apcs-pll-min-freq =
950 <0x17d41094 0x17d43094 0x17d45894>;
951 qcom,apm-mode-ctl =
952 <0x0 0x0 0x17d20010>;
953 qcom,apm-status-ctrl =
954 <0x0 0x0 0x17d20000>;
955 qcom,perfcl-isense-addr = <0x17871480>;
956 qcom,l3-mem-acc-addr = <0x17990170 0x17990170 0x17990170>;
957 qcom,pwrcl-mem-acc-addr = <0x17990160 0x17990164 0x17990164>;
958 qcom,perfcl-mem-acc-addr = <0x17990168 0x1799016c 0x1799016c>;
959 qcom,cfg-gfmux-addr =<0x178d0084 0x178c0084 0x178b0084>;
960 qcom,apcs-cbc-addr = <0x178d008c 0x178c008c 0x178b008c>;
961 qcom,apcs-ramp-ctl-addr = <0x17840904 0x17840904 0x17830904>;
962
963 qcom,perfcl-apcs-apm-threshold-voltage = <800000>;
964 qcom,perfcl-apcs-mem-acc-threshold-voltage = <852000>;
965 qcom,boost-fsm-en;
966 qcom,safe-fsm-en;
967 qcom,ps-fsm-en;
968 qcom,droop-fsm-en;
Deepak Katragaddaa910b442017-03-07 13:11:32 -0800969
970 clock-names = "xo_ao";
971 clocks = <&clock_rpmh RPMH_CXO_CLK_A>;
Deepak Katragadda95b77242016-12-19 14:10:03 -0800972 #clock-cells = <1>;
973 #reset-cells = <1>;
974 };
975
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -0800976 clock_rpmh: qcom,rpmhclk {
977 compatible = "qcom,rpmh-clk-sdm845";
978 #clock-cells = <1>;
979 mboxes = <&apps_rsc 0>;
980 mbox-names = "apps";
981 };
982
Deepak Katragadda98bdd502017-04-03 13:54:13 -0700983 clock_debug: qcom,cc-debug@100000 {
984 compatible = "qcom,debugcc-sdm845";
985 qcom,cc-count = <5>;
986 qcom,gcc = <&clock_gcc>;
987 qcom,videocc = <&clock_videocc>;
988 qcom,camcc = <&clock_camcc>;
989 qcom,dispcc = <&clock_dispcc>;
990 qcom,gpucc = <&clock_gpucc>;
991 clock-names = "xo_clk_src";
992 clocks = <&clock_rpmh RPMH_CXO_CLK>;
993 #clock-cells = <1>;
994 };
995
Subhash Jadavanide2b9c02016-09-20 17:58:21 -0700996 ufsphy_mem: ufsphy_mem@1d87000 {
Subhash Jadavani877ec812016-08-04 13:23:24 -0700997 reg = <0x1d87000 0xda8>; /* PHY regs */
998 reg-names = "phy_mem";
999 #phy-cells = <0>;
1000
Subhash Jadavanib606c842017-04-03 18:03:57 -07001001 lanes-per-direction = <2>;
1002
Subhash Jadavani9981b032017-03-24 17:24:05 -07001003 clock-names = "ref_clk_src",
1004 "ref_clk",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001005 "ref_aux_clk";
Osvaldo Banuelos918ffad2017-04-12 14:07:45 -07001006 clocks = <&clock_rpmh RPMH_CXO_CLK>,
Subhash Jadavani9981b032017-03-24 17:24:05 -07001007 <&clock_gcc GCC_UFS_MEM_CLKREF_CLK>,
Subhash Jadavani877ec812016-08-04 13:23:24 -07001008 <&clock_gcc GCC_UFS_PHY_PHY_AUX_CLK>;
1009
1010 status = "disabled";
1011 };
1012
Subhash Jadavani35c309a2016-12-19 13:58:57 -08001013 ufshc_mem: ufshc_mem@1d84000 {
Subhash Jadavani877ec812016-08-04 13:23:24 -07001014 compatible = "qcom,ufshc";
1015 reg = <0x1d84000 0x2500>;
1016 interrupts = <0 265 0>;
1017 phys = <&ufsphy_mem>;
1018 phy-names = "ufsphy";
1019
Subhash Jadavani588f2092016-09-08 17:58:31 -07001020 lanes-per-direction = <2>;
Subhash Jadavani5534d492016-12-13 16:13:19 -08001021 dev-ref-clk-freq = <0>; /* 19.2 MHz */
Subhash Jadavani588f2092016-09-08 17:58:31 -07001022
Subhash Jadavani877ec812016-08-04 13:23:24 -07001023 clock-names =
1024 "core_clk",
1025 "bus_aggr_clk",
1026 "iface_clk",
1027 "core_clk_unipro",
1028 "core_clk_ice",
Subhash Jadavani9981b032017-03-24 17:24:05 -07001029 "ref_clk",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001030 "tx_lane0_sync_clk",
1031 "rx_lane0_sync_clk",
1032 "rx_lane1_sync_clk";
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001033 /* TODO: add HW CTL clocks when available */
Subhash Jadavani877ec812016-08-04 13:23:24 -07001034 clocks =
1035 <&clock_gcc GCC_UFS_PHY_AXI_CLK>,
1036 <&clock_gcc GCC_AGGRE_UFS_PHY_AXI_CLK>,
1037 <&clock_gcc GCC_UFS_PHY_AHB_CLK>,
1038 <&clock_gcc GCC_UFS_PHY_UNIPRO_CORE_CLK>,
1039 <&clock_gcc GCC_UFS_PHY_ICE_CORE_CLK>,
Osvaldo Banuelos918ffad2017-04-12 14:07:45 -07001040 <&clock_rpmh RPMH_CXO_CLK>,
Subhash Jadavani877ec812016-08-04 13:23:24 -07001041 <&clock_gcc GCC_UFS_PHY_TX_SYMBOL_0_CLK>,
1042 <&clock_gcc GCC_UFS_PHY_RX_SYMBOL_0_CLK>,
1043 <&clock_gcc GCC_UFS_PHY_RX_SYMBOL_1_CLK>;
1044 freq-table-hz =
1045 <50000000 200000000>,
1046 <0 0>,
1047 <0 0>,
1048 <37500000 150000000>,
1049 <75000000 300000000>,
1050 <0 0>,
1051 <0 0>,
Subhash Jadavani9981b032017-03-24 17:24:05 -07001052 <0 0>,
Subhash Jadavani877ec812016-08-04 13:23:24 -07001053 <0 0>;
1054
Subhash Jadavani35c309a2016-12-19 13:58:57 -08001055 qcom,msm-bus,name = "ufshc_mem";
Subhash Jadavani588f2092016-09-08 17:58:31 -07001056 qcom,msm-bus,num-cases = <22>;
Subhash Jadavani877ec812016-08-04 13:23:24 -07001057 qcom,msm-bus,num-paths = <2>;
1058 qcom,msm-bus,vectors-KBps =
Subhash Jadavani63705c42017-03-27 16:37:28 -07001059 /*
1060 * During HS G3 UFS runs at nominal voltage corner, vote
1061 * higher bandwidth to push other buses in the data path
1062 * to run at nominal to achieve max throughput.
1063 * 4GBps pushes BIMC to run at nominal.
1064 * 200MBps pushes CNOC to run at nominal.
1065 * Vote for half of this bandwidth for HS G3 1-lane.
1066 * For max bandwidth, vote high enough to push the buses
1067 * to run in turbo voltage corner.
1068 */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001069 <123 512 0 0>, <1 757 0 0>, /* No vote */
1070 <123 512 922 0>, <1 757 1000 0>, /* PWM G1 */
1071 <123 512 1844 0>, <1 757 1000 0>, /* PWM G2 */
1072 <123 512 3688 0>, <1 757 1000 0>, /* PWM G3 */
1073 <123 512 7376 0>, <1 757 1000 0>, /* PWM G4 */
1074 <123 512 1844 0>, <1 757 1000 0>, /* PWM G1 L2 */
1075 <123 512 3688 0>, <1 757 1000 0>, /* PWM G2 L2 */
1076 <123 512 7376 0>, <1 757 1000 0>, /* PWM G3 L2 */
1077 <123 512 14752 0>, <1 757 1000 0>, /* PWM G4 L2 */
1078 <123 512 127796 0>, <1 757 1000 0>, /* HS G1 RA */
1079 <123 512 255591 0>, <1 757 1000 0>, /* HS G2 RA */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001080 <123 512 2097152 0>, <1 757 102400 0>, /* HS G3 RA */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001081 <123 512 255591 0>, <1 757 1000 0>, /* HS G1 RA L2 */
1082 <123 512 511181 0>, <1 757 1000 0>, /* HS G2 RA L2 */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001083 <123 512 4194304 0>, <1 757 204800 0>, /* HS G3 RA L2 */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001084 <123 512 149422 0>, <1 757 1000 0>, /* HS G1 RB */
1085 <123 512 298189 0>, <1 757 1000 0>, /* HS G2 RB */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001086 <123 512 2097152 0>, <1 757 102400 0>, /* HS G3 RB */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001087 <123 512 298189 0>, <1 757 1000 0>, /* HS G1 RB L2 */
1088 <123 512 596378 0>, <1 757 1000 0>, /* HS G2 RB L2 */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001089 <123 512 4194304 0>, <1 757 204800 0>, /* HS G3 RB L2 */
1090 <123 512 7643136 0>, <1 757 307200 0>; /* Max. bandwidth */
1091
Subhash Jadavani877ec812016-08-04 13:23:24 -07001092 qcom,bus-vector-names = "MIN",
1093 "PWM_G1_L1", "PWM_G2_L1", "PWM_G3_L1", "PWM_G4_L1",
Subhash Jadavani588f2092016-09-08 17:58:31 -07001094 "PWM_G1_L2", "PWM_G2_L2", "PWM_G3_L2", "PWM_G4_L2",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001095 "HS_RA_G1_L1", "HS_RA_G2_L1", "HS_RA_G3_L1",
Subhash Jadavani588f2092016-09-08 17:58:31 -07001096 "HS_RA_G1_L2", "HS_RA_G2_L2", "HS_RA_G3_L2",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001097 "HS_RB_G1_L1", "HS_RB_G2_L1", "HS_RB_G3_L1",
Subhash Jadavani588f2092016-09-08 17:58:31 -07001098 "HS_RB_G1_L2", "HS_RB_G2_L2", "HS_RB_G3_L2",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001099 "MAX";
1100
Subhash Jadavani63705c42017-03-27 16:37:28 -07001101 /* PM QoS */
1102 qcom,pm-qos-cpu-groups = <0x0f 0xf0>;
1103 qcom,pm-qos-cpu-group-latency-us = <70 70>;
1104 qcom,pm-qos-default-cpu = <0>;
1105
Subhash Jadavaniafe2a792017-03-31 21:08:29 -07001106 pinctrl-names = "dev-reset-assert", "dev-reset-deassert";
1107 pinctrl-0 = <&ufs_dev_reset_assert>;
1108 pinctrl-1 = <&ufs_dev_reset_deassert>;
Subhash Jadavani63705c42017-03-27 16:37:28 -07001109
1110 resets = <&clock_gcc GCC_UFS_PHY_BCR>;
1111 reset-names = "core_reset";
1112
Subhash Jadavani877ec812016-08-04 13:23:24 -07001113 status = "disabled";
1114 };
Satyajit Desai17da0592016-08-08 18:38:32 -07001115
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001116 ufsphy_card: ufsphy_card@1da7000 {
1117 reg = <0x1da7000 0xda8>; /* PHY regs */
1118 reg-names = "phy_mem";
1119 #phy-cells = <0>;
1120
Subhash Jadavanib606c842017-04-03 18:03:57 -07001121 lanes-per-direction = <1>;
1122
Subhash Jadavani9981b032017-03-24 17:24:05 -07001123 clock-names = "ref_clk_src",
1124 "ref_clk",
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001125 "ref_aux_clk";
Osvaldo Banuelos918ffad2017-04-12 14:07:45 -07001126 clocks = <&clock_rpmh RPMH_CXO_CLK>,
Subhash Jadavani9981b032017-03-24 17:24:05 -07001127 <&clock_gcc GCC_UFS_CARD_CLKREF_CLK>,
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001128 <&clock_gcc GCC_UFS_CARD_PHY_AUX_CLK>;
1129
1130 status = "disabled";
1131 };
1132
Subhash Jadavani35c309a2016-12-19 13:58:57 -08001133 ufshc_card: ufshc_card@1da4000 {
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001134 compatible = "qcom,ufshc";
1135 reg = <0x1da4000 0x2500>;
1136 interrupts = <0 125 0>;
1137 phys = <&ufsphy_card>;
1138 phy-names = "ufsphy";
1139
1140 lanes-per-direction = <1>;
1141 dev-ref-clk-freq = <0>; /* 19.2 MHz */
1142
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001143 clock-names =
1144 "core_clk",
1145 "bus_aggr_clk",
1146 "iface_clk",
1147 "core_clk_unipro",
1148 "core_clk_ice",
Subhash Jadavani9981b032017-03-24 17:24:05 -07001149 "ref_clk",
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001150 "tx_lane0_sync_clk",
1151 "rx_lane0_sync_clk";
1152 /* TODO: add HW CTL clocks when available */
1153 clocks =
1154 <&clock_gcc GCC_UFS_CARD_AXI_CLK>,
1155 <&clock_gcc GCC_AGGRE_UFS_CARD_AXI_CLK>,
1156 <&clock_gcc GCC_UFS_CARD_AHB_CLK>,
1157 <&clock_gcc GCC_UFS_CARD_UNIPRO_CORE_CLK>,
1158 <&clock_gcc GCC_UFS_CARD_ICE_CORE_CLK>,
Osvaldo Banuelos918ffad2017-04-12 14:07:45 -07001159 <&clock_rpmh RPMH_CXO_CLK>,
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001160 <&clock_gcc GCC_UFS_CARD_TX_SYMBOL_0_CLK>,
1161 <&clock_gcc GCC_UFS_CARD_RX_SYMBOL_0_CLK>;
1162 freq-table-hz =
1163 <50000000 200000000>,
1164 <0 0>,
1165 <0 0>,
1166 <37500000 150000000>,
1167 <75000000 300000000>,
1168 <0 0>,
Subhash Jadavani9981b032017-03-24 17:24:05 -07001169 <0 0>,
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001170 <0 0>;
1171
Subhash Jadavani35c309a2016-12-19 13:58:57 -08001172 qcom,msm-bus,name = "ufshc_card";
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001173 qcom,msm-bus,num-cases = <9>;
1174 qcom,msm-bus,num-paths = <2>;
1175 qcom,msm-bus,vectors-KBps =
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001176 <122 512 0 0>, <1 756 0 0>, /* No vote */
1177 <122 512 922 0>, <1 756 1000 0>, /* PWM G1 */
1178 <122 512 127796 0>, <1 756 1000 0>, /* HS G1 RA */
1179 <122 512 255591 0>, <1 756 1000 0>, /* HS G2 RA */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001180 <122 512 2097152 0>, <1 756 102400 0>, /* HS G3 RA */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001181 <122 512 149422 0>, <1 756 1000 0>, /* HS G1 RB */
1182 <122 512 298189 0>, <1 756 1000 0>, /* HS G2 RB */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001183 <122 512 2097152 0>, <1 756 102400 0>, /* HS G3 RB */
1184 <122 512 7643136 0>, <1 756 307200 0>; /* Max. bandwidth */
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001185 qcom,bus-vector-names = "MIN",
1186 "PWM_G1_L1",
1187 "HS_RA_G1_L1", "HS_RA_G2_L1", "HS_RA_G3_L1",
1188 "HS_RB_G1_L1", "HS_RB_G2_L1", "HS_RB_G3_L1",
1189 "MAX";
1190
Subhash Jadavani63705c42017-03-27 16:37:28 -07001191 /* PM QoS */
1192 qcom,pm-qos-cpu-groups = <0x0f 0xf0>;
1193 qcom,pm-qos-cpu-group-latency-us = <70 70>;
1194 qcom,pm-qos-default-cpu = <0>;
1195
1196 /*
1197 * Note: this instance doesn't have control over UFS device
1198 * reset
1199 */
1200
1201 resets = <&clock_gcc GCC_UFS_CARD_BCR>;
1202 reset-names = "core_reset";
1203
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001204 status = "disabled";
1205 };
1206
Kyle Yan384b13c2016-10-18 11:11:37 -07001207 pil_modem: qcom,mss@4080000 {
1208 compatible = "qcom,pil-q6v55-mss";
1209 reg = <0x4080000 0x100>,
1210 <0x1f63000 0x008>,
1211 <0x1f65000 0x008>,
1212 <0x1f64000 0x008>,
1213 <0x4180000 0x020>,
Kyle Yand998dff2017-02-27 14:06:06 -08001214 <0xc2b0000 0x004>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001215 reg-names = "qdsp6_base", "halt_q6", "halt_modem",
1216 "halt_nc", "rmb_base", "restart_reg";
1217
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001218 clocks = <&clock_rpmh RPMH_CXO_CLK>,
Kyle Yan384b13c2016-10-18 11:11:37 -07001219 <&clock_gcc GCC_MSS_CFG_AHB_CLK>,
1220 <&clock_gcc GCC_MSS_Q6_MEMNOC_AXI_CLK>,
1221 <&clock_gcc GCC_BOOT_ROM_AHB_CLK>,
1222 <&clock_gcc GCC_MSS_GPLL0_DIV_CLK_SRC>,
1223 <&clock_gcc GCC_MSS_SNOC_AXI_CLK>,
Kyle Yan5eb4ef92017-04-17 11:59:36 -07001224 <&clock_gcc GCC_MSS_AXIS2_CLK>,
1225 <&clock_gcc GCC_MSS_MFAB_AXIS_CLK>,
1226 <&clock_gcc GCC_PRNG_AHB_CLK>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001227 clock-names = "xo", "iface_clk", "bus_clk",
1228 "mem_clk", "gpll0_mss_clk", "snoc_axi_clk",
Kyle Yan5eb4ef92017-04-17 11:59:36 -07001229 "axis2_clk","mnoc_axi_clk", "prng_clk";
1230 qcom,proxy-clock-names = "xo", "axis2_clk", "prng_clk";
Kyle Yan384b13c2016-10-18 11:11:37 -07001231 qcom,active-clock-names = "iface_clk", "bus_clk", "mem_clk",
1232 "gpll0_mss_clk", "snoc_axi_clk",
1233 "mnoc_axi_clk";
1234
1235 interrupts = <0 266 1>;
David Collins3a457942016-12-09 16:59:51 -08001236 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001237 vdd_cx-voltage = <RPMH_REGULATOR_LEVEL_TURBO>;
David Collins3a457942016-12-09 16:59:51 -08001238 vdd_mx-supply = <&pm8998_s6_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001239 vdd_mx-uV = <RPMH_REGULATOR_LEVEL_TURBO>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001240 qcom,firmware-name = "modem";
1241 qcom,pil-self-auth;
1242 qcom,sysmon-id = <0>;
1243 qcom,ssctl-instance-id = <0x12>;
1244 qcom,override-acc;
1245 qcom,qdsp6v65-1-0;
1246 status = "ok";
1247 memory-region = <&pil_modem_mem>;
1248 qcom,mem-protect-id = <0xF>;
1249
1250 /* GPIO inputs from mss */
1251 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_1_in 0 0>;
1252 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_1_in 1 0>;
1253 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_1_in 2 0>;
1254 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_1_in 3 0>;
1255 qcom,gpio-shutdown-ack = <&smp2pgpio_ssr_smp2p_1_in 7 0>;
1256
1257 /* GPIO output to mss */
1258 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_1_out 0 0>;
1259 };
1260
Kyle Yand119cf82016-10-19 14:49:04 -07001261 qcom,lpass@17300000 {
1262 compatible = "qcom,pil-tz-generic";
1263 reg = <0x17300000 0x00100>;
1264 interrupts = <0 162 1>;
1265
David Collins3a457942016-12-09 16:59:51 -08001266 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yand119cf82016-10-19 14:49:04 -07001267 qcom,proxy-reg-names = "vdd_cx";
Kyle Yand8326b62017-01-05 15:11:02 -08001268 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
Kyle Yand119cf82016-10-19 14:49:04 -07001269
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001270 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yand119cf82016-10-19 14:49:04 -07001271 clock-names = "xo";
1272 qcom,proxy-clock-names = "xo";
1273
1274 qcom,pas-id = <1>;
1275 qcom,proxy-timeout-ms = <10000>;
1276 qcom,smem-id = <423>;
1277 qcom,sysmon-id = <1>;
1278 status = "ok";
1279 qcom,ssctl-instance-id = <0x14>;
1280 qcom,firmware-name = "adsp";
1281 memory-region = <&pil_adsp_mem>;
1282
1283 /* GPIO inputs from lpass */
1284 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_2_in 0 0>;
1285 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_2_in 2 0>;
1286 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_2_in 1 0>;
1287 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_2_in 3 0>;
1288
1289 /* GPIO output to lpass */
1290 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_2_out 0 0>;
1291 };
1292
Kyle Yanb693da32016-10-20 14:01:09 -07001293 qcom,ssc@5c00000 {
1294 compatible = "qcom,pil-tz-generic";
1295 reg = <0x5c00000 0x4000>;
Kyle Yandeb315d2017-04-15 13:44:22 -07001296 interrupts = <0 377 1>;
Kyle Yanb693da32016-10-20 14:01:09 -07001297
David Collins3a457942016-12-09 16:59:51 -08001298 vdd_cx-supply = <&pm8998_l27_level>;
1299 vdd_px-supply = <&pm8998_lvs2>;
Kyle Yand8326b62017-01-05 15:11:02 -08001300 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 0>;
Kyle Yanb693da32016-10-20 14:01:09 -07001301 qcom,proxy-reg-names = "vdd_cx", "vdd_px";
1302 qcom,keep-proxy-regs-on;
1303
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001304 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yanb693da32016-10-20 14:01:09 -07001305 clock-names = "xo";
1306 qcom,proxy-clock-names = "xo";
1307
1308 qcom,pas-id = <12>;
1309 qcom,proxy-timeout-ms = <10000>;
1310 qcom,smem-id = <424>;
1311 qcom,sysmon-id = <3>;
1312 qcom,ssctl-instance-id = <0x16>;
1313 qcom,firmware-name = "slpi";
1314 status = "ok";
1315 memory-region = <&pil_slpi_mem>;
1316
1317 /* GPIO inputs from ssc */
1318 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_3_in 0 0>;
1319 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_3_in 2 0>;
1320 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_3_in 1 0>;
1321 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_3_in 3 0>;
1322
1323 /* GPIO output to ssc */
1324 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_3_out 0 0>;
1325 };
1326
Sagar Dhariab7394b42016-11-29 01:01:01 -07001327 slim_aud: slim@171c0000 {
1328 cell-index = <1>;
1329 compatible = "qcom,slim-ngd";
1330 reg = <0x171c0000 0x2c000>,
1331 <0x17184000 0x2a000>;
1332 reg-names = "slimbus_physical", "slimbus_bam_physical";
1333 interrupts = <0 163 0>, <0 164 0>;
1334 interrupt-names = "slimbus_irq", "slimbus_bam_irq";
Karthikeyan Ramasubramanianb5d07ee2017-02-13 12:26:39 -07001335 qcom,apps-ch-pipes = <0x780000>;
Sagar Dhariab7394b42016-11-29 01:01:01 -07001336 qcom,ea-pc = <0x270>;
1337 };
1338
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -07001339 eud: qcom,msm-eud@88e0000 {
1340 compatible = "qcom,msm-eud";
1341 interrupt-names = "eud_irq";
1342 interrupts = <GIC_SPI 492 IRQ_TYPE_LEVEL_HIGH>;
Kyle Yan3801a1f2016-09-27 18:29:55 -07001343 reg = <0x88e0000 0x2000>;
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -07001344 reg-names = "eud_base";
1345 status = "ok";
1346 };
1347
Kyle Yan79653352016-10-20 15:40:45 -07001348 qcom,spss@1880000 {
1349 compatible = "qcom,pil-tz-generic";
1350 reg = <0x188101c 0x4>,
1351 <0x1881024 0x4>,
1352 <0x1881028 0x4>,
1353 <0x188103c 0x4>,
1354 <0x1882014 0x4>;
1355 reg-names = "sp2soc_irq_status", "sp2soc_irq_clr",
1356 "sp2soc_irq_mask", "rmb_err", "rmb_err_spare2";
1357 interrupts = <0 352 1>;
1358
David Collins3a457942016-12-09 16:59:51 -08001359 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yan79653352016-10-20 15:40:45 -07001360 qcom,proxy-reg-names = "vdd_cx";
Kyle Yand8326b62017-01-05 15:11:02 -08001361 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
David Collins3a457942016-12-09 16:59:51 -08001362 vdd_mx-supply = <&pm8998_s6_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001363 vdd_mx-uV = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
Kyle Yan79653352016-10-20 15:40:45 -07001364
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001365 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yan79653352016-10-20 15:40:45 -07001366 clock-names = "xo";
1367 qcom,proxy-clock-names = "xo";
1368 qcom,pil-generic-irq-handler;
1369 status = "ok";
1370
1371 qcom,pas-id = <14>;
1372 qcom,proxy-timeout-ms = <10000>;
1373 qcom,firmware-name = "spss";
1374 memory-region = <&pil_spss_mem>;
1375 qcom,spss-scsr-bits = <24 25>;
1376 };
1377
Satyajit Desai17da0592016-08-08 18:38:32 -07001378 wdog: qcom,wdt@17980000{
1379 compatible = "qcom,msm-watchdog";
1380 reg = <0x17980000 0x1000>;
1381 reg-names = "wdt-base";
Satyajit Desaidb4f2e6e2017-04-17 14:08:59 -07001382 interrupts = <0 0 0>, <0 1 0>;
Satyajit Desai17da0592016-08-08 18:38:32 -07001383 qcom,bark-time = <11000>;
1384 qcom,pet-time = <10000>;
1385 qcom,ipi-ping;
1386 qcom,wakeup-enable;
1387 };
Satyajit Desai5e2b88a2016-08-10 17:08:08 -07001388
Kyle Yan02e95f72016-10-18 14:38:41 -07001389 qcom,turing@8300000 {
1390 compatible = "qcom,pil-tz-generic";
1391 reg = <0x8300000 0x100000>;
1392 interrupts = <0 578 1>;
1393
David Collins3a457942016-12-09 16:59:51 -08001394 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001395 qcom,proxy-reg-names = "vdd_cx";
Kyle Yand8326b62017-01-05 15:11:02 -08001396 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001397
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001398 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001399 clock-names = "xo";
1400 qcom,proxy-clock-names = "xo";
1401
1402 qcom,pas-id = <18>;
1403 qcom,proxy-timeout-ms = <10000>;
Kyle Yana7b79262017-04-09 11:37:24 -07001404 qcom,smem-id = <601>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001405 qcom,sysmon-id = <7>;
1406 qcom,ssctl-instance-id = <0x17>;
1407 qcom,firmware-name = "cdsp";
1408 memory-region = <&pil_cdsp_mem>;
1409
1410 /* GPIO inputs from turing */
1411 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_5_in 0 0>;
1412 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_5_in 2 0>;
1413 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_5_in 1 0>;
1414 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_5_in 3 0>;
1415
1416 /* GPIO output to turing*/
1417 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_5_out 0 0>;
1418 status = "ok";
1419 };
1420
Kyle Yan74c74252017-02-13 13:30:45 -08001421 qcom,msm-rtb {
1422 compatible = "qcom,msm-rtb";
1423 qcom,rtb-size = <0x100000>;
1424 };
1425
Sathish Ambley917cbd22017-02-28 10:46:26 -08001426 qcom,msm-cdsp-loader {
1427 compatible = "qcom,cdsp-loader";
1428 qcom,proc-img-to-load = "cdsp";
1429 };
1430
Sathish Ambley37e87362016-11-12 15:18:48 -08001431 qcom,msm_fastrpc {
1432 compatible = "qcom,msm-fastrpc-compute";
1433
1434 qcom,msm_fastrpc_compute_cb1 {
1435 compatible = "qcom,msm-fastrpc-compute-cb";
1436 label = "cdsprpc-smd";
1437 iommus = <&apps_smmu 0x1401>,
1438 <&apps_smmu 0x1421>;
1439 };
1440 qcom,msm_fastrpc_compute_cb2 {
1441 compatible = "qcom,msm-fastrpc-compute-cb";
1442 label = "cdsprpc-smd";
1443 iommus = <&apps_smmu 0x1402>,
1444 <&apps_smmu 0x1422>;
1445 };
1446 qcom,msm_fastrpc_compute_cb3 {
1447 compatible = "qcom,msm-fastrpc-compute-cb";
1448 label = "cdsprpc-smd";
1449 iommus = <&apps_smmu 0x1403>,
1450 <&apps_smmu 0x1423>;
1451 };
1452 qcom,msm_fastrpc_compute_cb4 {
1453 compatible = "qcom,msm-fastrpc-compute-cb";
1454 label = "cdsprpc-smd";
1455 iommus = <&apps_smmu 0x1404>,
1456 <&apps_smmu 0x1424>;
1457 };
1458 qcom,msm_fastrpc_compute_cb5 {
1459 compatible = "qcom,msm-fastrpc-compute-cb";
1460 label = "cdsprpc-smd";
1461 iommus = <&apps_smmu 0x1405>,
1462 <&apps_smmu 0x1425>;
1463 };
1464 qcom,msm_fastrpc_compute_cb6 {
1465 compatible = "qcom,msm-fastrpc-compute-cb";
1466 label = "cdsprpc-smd";
1467 iommus = <&apps_smmu 0x1406>,
1468 <&apps_smmu 0x1426>;
1469 };
1470 qcom,msm_fastrpc_compute_cb7 {
1471 compatible = "qcom,msm-fastrpc-compute-cb";
1472 label = "cdsprpc-smd";
1473 iommus = <&apps_smmu 0x1407>,
1474 <&apps_smmu 0x1427>;
1475 };
1476 qcom,msm_fastrpc_compute_cb8 {
1477 compatible = "qcom,msm-fastrpc-compute-cb";
1478 label = "cdsprpc-smd";
1479 iommus = <&apps_smmu 0x1408>,
1480 <&apps_smmu 0x1428>;
1481 };
1482 };
1483
Satyajit Desai5e2b88a2016-08-10 17:08:08 -07001484 qcom,msm-imem@146bf000 {
1485 compatible = "qcom,msm-imem";
1486 reg = <0x146bf000 0x1000>;
1487 ranges = <0x0 0x146bf000 0x1000>;
1488 #address-cells = <1>;
1489 #size-cells = <1>;
1490
1491 mem_dump_table@10 {
1492 compatible = "qcom,msm-imem-mem_dump_table";
1493 reg = <0x10 8>;
1494 };
Kyle Yan3d71bbe2016-11-01 16:02:26 -07001495
Kyle Yana795b9d2017-02-14 16:16:13 -08001496 restart_reason@65c {
1497 compatible = "qcom,msm-imem-restart_reason";
1498 reg = <0x65c 4>;
1499 };
1500
Kyle Yan3d71bbe2016-11-01 16:02:26 -07001501 pil@94c {
1502 compatible = "qcom,msm-imem-pil";
1503 reg = <0x94c 200>;
1504 };
Satyajit Desai5e2b88a2016-08-10 17:08:08 -07001505 };
Kyle Yanddc44242016-06-20 14:42:14 -07001506
Kyle Yan74747da2016-09-14 16:24:30 -07001507 qcom,venus@aae0000 {
1508 compatible = "qcom,pil-tz-generic";
1509 reg = <0xaae0000 0x4000>;
1510
1511 vdd-supply = <&venus_gdsc>;
1512 qcom,proxy-reg-names = "vdd";
1513
1514 clocks = <&clock_videocc VIDEO_CC_VENUS_CTL_CORE_CLK>,
1515 <&clock_videocc VIDEO_CC_VENUS_AHB_CLK>,
1516 <&clock_videocc VIDEO_CC_VENUS_CTL_AXI_CLK>;
1517 clock-names = "core_clk", "iface_clk", "bus_clk";
1518 qcom,proxy-clock-names = "core_clk", "iface_clk", "bus_clk";
1519
1520 qcom,pas-id = <9>;
1521 qcom,msm-bus,name = "pil-venus";
1522 qcom,msm-bus,num-cases = <2>;
1523 qcom,msm-bus,num-paths = <1>;
1524 qcom,msm-bus,vectors-KBps =
1525 <63 512 0 0>,
1526 <63 512 0 304000>;
1527 qcom,proxy-timeout-ms = <100>;
1528 qcom,firmware-name = "venus";
1529 memory-region = <&pil_video_mem>;
1530 status = "ok";
1531 };
1532
Kyle Yan49dd9f22016-12-02 11:56:05 -08001533 cpuss_dump {
1534 compatible = "qcom,cpuss-dump";
1535 qcom,l1_i_cache0 {
1536 qcom,dump-node = <&L1_I_0>;
1537 qcom,dump-id = <0x60>;
1538 };
1539 qcom,l1_i_cache1 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001540 qcom,dump-node = <&L1_I_100>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001541 qcom,dump-id = <0x61>;
1542 };
1543 qcom,l1_i_cache2 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001544 qcom,dump-node = <&L1_I_200>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001545 qcom,dump-id = <0x62>;
1546 };
1547 qcom,l1_i_cache3 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001548 qcom,dump-node = <&L1_I_300>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001549 qcom,dump-id = <0x63>;
1550 };
1551 qcom,l1_i_cache100 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001552 qcom,dump-node = <&L1_I_400>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001553 qcom,dump-id = <0x64>;
1554 };
1555 qcom,l1_i_cache101 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001556 qcom,dump-node = <&L1_I_500>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001557 qcom,dump-id = <0x65>;
1558 };
1559 qcom,l1_i_cache102 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001560 qcom,dump-node = <&L1_I_600>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001561 qcom,dump-id = <0x66>;
1562 };
1563 qcom,l1_i_cache103 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001564 qcom,dump-node = <&L1_I_700>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001565 qcom,dump-id = <0x67>;
1566 };
1567 qcom,l1_d_cache0 {
1568 qcom,dump-node = <&L1_D_0>;
1569 qcom,dump-id = <0x80>;
1570 };
1571 qcom,l1_d_cache1 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001572 qcom,dump-node = <&L1_D_100>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001573 qcom,dump-id = <0x81>;
1574 };
1575 qcom,l1_d_cache2 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001576 qcom,dump-node = <&L1_D_200>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001577 qcom,dump-id = <0x82>;
1578 };
1579 qcom,l1_d_cache3 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001580 qcom,dump-node = <&L1_D_300>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001581 qcom,dump-id = <0x83>;
1582 };
1583 qcom,l1_d_cache100 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001584 qcom,dump-node = <&L1_D_400>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001585 qcom,dump-id = <0x84>;
1586 };
1587 qcom,l1_d_cache101 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001588 qcom,dump-node = <&L1_D_500>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001589 qcom,dump-id = <0x85>;
1590 };
1591 qcom,l1_d_cache102 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001592 qcom,dump-node = <&L1_D_600>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001593 qcom,dump-id = <0x86>;
1594 };
1595 qcom,l1_d_cache103 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001596 qcom,dump-node = <&L1_D_700>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001597 qcom,dump-id = <0x87>;
1598 };
Channagoud Kadabiaed14892017-03-20 16:44:39 -07001599 qcom,llcc1_d_cache {
1600 qcom,dump-node = <&LLCC_1>;
Channagoud Kadabif4fa1692017-01-17 12:34:29 -08001601 qcom,dump-id = <0x121>;
1602 };
Channagoud Kadabiaed14892017-03-20 16:44:39 -07001603 qcom,llcc2_d_cache {
1604 qcom,dump-node = <&LLCC_2>;
1605 qcom,dump-id = <0x122>;
1606 };
1607 qcom,llcc3_d_cache {
1608 qcom,dump-node = <&LLCC_3>;
1609 qcom,dump-id = <0x123>;
1610 };
1611 qcom,llcc4_d_cache {
1612 qcom,dump-node = <&LLCC_4>;
1613 qcom,dump-id = <0x124>;
1614 };
Kyle Yan49dd9f22016-12-02 11:56:05 -08001615 };
1616
Kyle Yanddc44242016-06-20 14:42:14 -07001617 kryo3xx-erp {
1618 compatible = "arm,arm64-kryo3xx-cpu-erp";
1619 interrupts = <1 6 4>,
1620 <1 7 4>,
1621 <0 34 4>,
1622 <0 35 4>;
1623
1624 interrupt-names = "l1-l2-faultirq",
1625 "l1-l2-errirq",
1626 "l3-scu-errirq",
1627 "l3-scu-faultirq";
1628 };
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07001629
Channagoud Kadabi59a7ff22017-03-27 21:59:51 -07001630 qcom,llcc@1100000 {
Channagoud Kadabi8751c892016-10-14 13:40:19 -07001631 compatible = "qcom,llcc-core", "syscon", "simple-mfd";
Channagoud Kadabi59a7ff22017-03-27 21:59:51 -07001632 reg = <0x1100000 0x250000>;
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07001633 reg-names = "llcc_base";
Channagoud Kadabi59a7ff22017-03-27 21:59:51 -07001634 qcom,llcc-banks-off = <0x0 0x80000 0x100000 0x180000>;
1635 qcom,llcc-broadcast-off = <0x200000>;
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07001636
Kyle Yan6a20fae2017-02-14 13:34:41 -08001637 llcc: qcom,sdm845-llcc {
1638 compatible = "qcom,sdm845-llcc";
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07001639 #cache-cells = <1>;
1640 max-slices = <32>;
Channagoud Kadabif4fa1692017-01-17 12:34:29 -08001641 qcom,dump-size = <0x3c0000>;
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07001642 };
1643
1644 qcom,llcc-erp {
1645 compatible = "qcom,llcc-erp";
Channagoud Kadabic26a8912016-11-21 13:57:20 -08001646 interrupt-names = "ecc_irq";
1647 interrupts = <GIC_SPI 582 IRQ_TYPE_LEVEL_HIGH>;
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07001648 };
1649
1650 qcom,llcc-amon {
1651 compatible = "qcom,llcc-amon";
1652 };
Channagoud Kadabiaed14892017-03-20 16:44:39 -07001653
1654 LLCC_1: llcc_1_dcache {
1655 qcom,dump-size = <0xd8000>;
1656 };
1657
1658 LLCC_2: llcc_2_dcache {
1659 qcom,dump-size = <0xd8000>;
1660 };
1661
1662 LLCC_3: llcc_3_dcache {
1663 qcom,dump-size = <0xd8000>;
1664 };
1665
1666 LLCC_4: llcc_4_dcache {
1667 qcom,dump-size = <0xd8000>;
1668 };
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07001669 };
Chris Lewecef30b2016-08-22 13:52:49 -07001670
1671 qcom,ipc-spinlock@1f40000 {
1672 compatible = "qcom,ipc-spinlock-sfpb";
1673 reg = <0x1f40000 0x8000>;
1674 qcom,num-locks = <8>;
1675 };
Chris Lew05f9fb72016-08-22 13:55:10 -07001676
1677 qcom,smem@86000000 {
1678 compatible = "qcom,smem";
1679 reg = <0x86000000 0x200000>,
1680 <0x17911008 0x4>,
1681 <0x778000 0x7000>,
1682 <0x1fd4000 0x8>;
1683 reg-names = "smem", "irq-reg-base", "aux-mem1",
1684 "smem_targ_info_reg";
1685 qcom,mpu-enabled;
1686 };
Chris Lew031aed02016-08-22 13:58:59 -07001687
1688 qcom,glink-mailbox-xprt-spss@1885008 {
1689 compatible = "qcom,glink-mailbox-xprt";
1690 reg = <0x1885008 0x8>,
1691 <0x1885010 0x4>,
1692 <0x188501c 0x4>,
1693 <0x1886008 0x4>;
1694 reg-names = "mbox-loc-addr", "mbox-loc-size", "irq-reg-base",
1695 "irq-rx-reset";
1696 qcom,irq-mask = <0x1>;
1697 interrupts = <0 348 4>;
1698 label = "spss";
1699 qcom,tx-ring-size = <0x400>;
1700 qcom,rx-ring-size = <0x400>;
1701 };
Lina Iyer9f782ba2016-10-11 15:13:50 -06001702
Chris Lew39305592017-03-03 17:18:07 -08001703 qmp_aop: mailbox@1799000c {
1704 compatible = "qcom,qmp-mbox";
1705 label = "aop";
1706 reg = <0xc300000 0x100000>,
1707 <0x1799000c 0x4>;
1708 reg-names = "msgram", "irq-reg-base";
1709 qcom,irq-mask = <0x1>;
1710 interrupts = <0 389 1>;
Chris Lew2a451512017-04-13 15:53:21 -07001711 mbox-desc-offset = <0x0>;
Chris Lew39305592017-03-03 17:18:07 -08001712 #mbox-cells = <1>;
1713 };
1714
Lina Iyer9f782ba2016-10-11 15:13:50 -06001715 apps_rsc: mailbox@179e0000 {
1716 compatible = "qcom,tcs-drv";
Lina Iyer1a410842017-03-21 13:52:43 -06001717 label = "apps_rsc";
Lina Iyer9f782ba2016-10-11 15:13:50 -06001718 reg = <0x179e0000 0x100>, <0x179e0d00 0x3000>;
1719 interrupts = <0 5 0>;
1720 #mbox-cells = <1>;
1721 qcom,drv-id = <2>;
Lina Iyer45df8962017-02-13 14:37:09 -07001722 qcom,tcs-config = <ACTIVE_TCS 2>,
1723 <SLEEP_TCS 3>,
1724 <WAKE_TCS 3>,
1725 <CONTROL_TCS 1>;
Lina Iyer9f782ba2016-10-11 15:13:50 -06001726 };
Lina Iyer4522ca42016-10-18 16:57:19 -06001727
1728 disp_rsc: mailbox@af20000 {
Lina Iyer4522ca42016-10-18 16:57:19 -06001729 compatible = "qcom,tcs-drv";
Lina Iyer1a410842017-03-21 13:52:43 -06001730 label = "display_rsc";
Lina Iyer4522ca42016-10-18 16:57:19 -06001731 reg = <0xaf20000 0x100>, <0xaf21c00 0x3000>;
1732 interrupts = <0 129 0>;
1733 #mbox-cells = <1>;
1734 qcom,drv-id = <0>;
1735 qcom,tcs-config = <SLEEP_TCS 1>,
1736 <WAKE_TCS 1>,
1737 <ACTIVE_TCS 0>,
1738 <CONTROL_TCS 1>;
1739 };
Lina Iyerac0d4ed2016-10-20 13:48:31 -06001740
1741 system_pm {
1742 compatible = "qcom,system-pm";
1743 mboxes = <&apps_rsc 0>;
1744 };
Karthikeyan Ramasubramanian47260462016-09-19 14:15:45 -06001745
1746 qcom,glink-smem-native-xprt-modem@86000000 {
1747 compatible = "qcom,glink-smem-native-xprt";
1748 reg = <0x86000000 0x200000>,
1749 <0x1799000c 0x4>;
1750 reg-names = "smem", "irq-reg-base";
1751 qcom,irq-mask = <0x1000>;
1752 interrupts = <GIC_SPI 449 IRQ_TYPE_EDGE_RISING>;
1753 label = "mpss";
1754 };
1755
1756 qcom,glink-smem-native-xprt-adsp@86000000 {
1757 compatible = "qcom,glink-smem-native-xprt";
1758 reg = <0x86000000 0x200000>,
1759 <0x1799000c 0x4>;
1760 reg-names = "smem", "irq-reg-base";
1761 qcom,irq-mask = <0x100>;
1762 interrupts = <GIC_SPI 156 IRQ_TYPE_EDGE_RISING>;
1763 label = "lpass";
1764 };
1765
1766 qcom,glink-smem-native-xprt-dsps@86000000 {
1767 compatible = "qcom,glink-smem-native-xprt";
1768 reg = <0x86000000 0x200000>,
1769 <0x1799000c 0x4>;
1770 reg-names = "smem", "irq-reg-base";
1771 qcom,irq-mask = <0x1000000>;
1772 interrupts = <GIC_SPI 170 IRQ_TYPE_EDGE_RISING>;
1773 label = "dsps";
1774 };
1775
1776 qcom,glink-smem-native-xprt-cdsp@86000000 {
1777 compatible = "qcom,glink-smem-native-xprt";
1778 reg = <0x86000000 0x200000>,
1779 <0x1799000c 0x4>;
1780 reg-names = "smem", "irq-reg-base";
1781 qcom,irq-mask = <0x10>;
1782 interrupts = <GIC_SPI 574 IRQ_TYPE_EDGE_RISING>;
1783 label = "cdsp";
1784 };
Karthikeyan Ramasubramaniana0e3ff52016-09-19 14:31:36 -06001785
1786 glink_mpss: qcom,glink-ssr-modem {
1787 compatible = "qcom,glink_ssr";
1788 label = "modem";
1789 qcom,edge = "mpss";
1790 qcom,notify-edges = <&glink_lpass>, <&glink_dsps>,
1791 <&glink_cdsp>, <&glink_spss>;
1792 qcom,xprt = "smem";
1793 };
1794
1795 glink_lpass: qcom,glink-ssr-adsp {
1796 compatible = "qcom,glink_ssr";
1797 label = "adsp";
1798 qcom,edge = "lpass";
1799 qcom,notify-edges = <&glink_mpss>, <&glink_dsps>, <&glink_cdsp>;
1800 qcom,xprt = "smem";
1801 };
1802
1803 glink_dsps: qcom,glink-ssr-dsps {
1804 compatible = "qcom,glink_ssr";
1805 label = "slpi";
1806 qcom,edge = "dsps";
1807 qcom,notify-edges = <&glink_mpss>, <&glink_lpass>,
1808 <&glink_cdsp>;
1809 qcom,xprt = "smem";
1810 };
1811
1812 glink_cdsp: qcom,glink-ssr-cdsp {
1813 compatible = "qcom,glink_ssr";
1814 label = "cdsp";
1815 qcom,edge = "cdsp";
1816 qcom,notify-edges = <&glink_mpss>, <&glink_lpass>,
1817 <&glink_dsps>;
1818 qcom,xprt = "smem";
1819 };
1820
1821 glink_spss: qcom,glink-ssr-spss {
1822 compatible = "qcom,glink_ssr";
1823 label = "spss";
1824 qcom,edge = "spss";
1825 qcom,notify-edges = <&glink_mpss>;
1826 qcom,xprt = "mailbox";
1827 };
Karthikeyan Ramasubramanian8f0c1002016-09-19 15:44:53 -06001828
1829 qcom,ipc_router {
1830 compatible = "qcom,ipc_router";
1831 qcom,node-id = <1>;
1832 };
1833
1834 qcom,ipc_router_modem_xprt {
1835 compatible = "qcom,ipc_router_glink_xprt";
1836 qcom,ch-name = "IPCRTR";
1837 qcom,xprt-remote = "mpss";
1838 qcom,glink-xprt = "smem";
1839 qcom,xprt-linkid = <1>;
1840 qcom,xprt-version = <1>;
1841 qcom,fragmented-data;
1842 };
1843
1844 qcom,ipc_router_q6_xprt {
1845 compatible = "qcom,ipc_router_glink_xprt";
1846 qcom,ch-name = "IPCRTR";
1847 qcom,xprt-remote = "lpass";
1848 qcom,glink-xprt = "smem";
1849 qcom,xprt-linkid = <1>;
1850 qcom,xprt-version = <1>;
1851 qcom,fragmented-data;
1852 };
1853
1854 qcom,ipc_router_dsps_xprt {
1855 compatible = "qcom,ipc_router_glink_xprt";
1856 qcom,ch-name = "IPCRTR";
1857 qcom,xprt-remote = "dsps";
1858 qcom,glink-xprt = "smem";
1859 qcom,xprt-linkid = <1>;
1860 qcom,xprt-version = <1>;
1861 qcom,fragmented-data;
1862 };
1863
1864 qcom,ipc_router_cdsp_xprt {
1865 compatible = "qcom,ipc_router_glink_xprt";
1866 qcom,ch-name = "IPCRTR";
1867 qcom,xprt-remote = "cdsp";
1868 qcom,glink-xprt = "smem";
1869 qcom,xprt-linkid = <1>;
1870 qcom,xprt-version = <1>;
1871 qcom,fragmented-data;
1872 };
Karthikeyan Ramasubramanian608a2522016-09-19 15:50:38 -06001873
Kineret Berger4e328852017-02-16 10:49:03 +02001874 qcom,spcom {
1875 compatible = "qcom,spcom";
1876
1877 /* predefined channels, remote side is server */
1878 qcom,spcom-ch-names = "sp_kernel", "sp_ssr";
1879 status = "ok";
1880 };
1881
Karthikeyan Ramasubramanian608a2522016-09-19 15:50:38 -06001882 qcom,glink_pkt {
1883 compatible = "qcom,glinkpkt";
1884
1885 qcom,glinkpkt-at-mdm0 {
1886 qcom,glinkpkt-transport = "smem";
1887 qcom,glinkpkt-edge = "mpss";
1888 qcom,glinkpkt-ch-name = "DS";
1889 qcom,glinkpkt-dev-name = "at_mdm0";
1890 };
1891
1892 qcom,glinkpkt-loopback_cntl {
1893 qcom,glinkpkt-transport = "lloop";
1894 qcom,glinkpkt-edge = "local";
1895 qcom,glinkpkt-ch-name = "LOCAL_LOOPBACK_CLNT";
1896 qcom,glinkpkt-dev-name = "glink_pkt_loopback_ctrl";
1897 };
1898
1899 qcom,glinkpkt-loopback_data {
1900 qcom,glinkpkt-transport = "lloop";
1901 qcom,glinkpkt-edge = "local";
1902 qcom,glinkpkt-ch-name = "glink_pkt_lloop_CLNT";
1903 qcom,glinkpkt-dev-name = "glink_pkt_loopback";
1904 };
1905
1906 qcom,glinkpkt-apr-apps2 {
1907 qcom,glinkpkt-transport = "smem";
1908 qcom,glinkpkt-edge = "adsp";
1909 qcom,glinkpkt-ch-name = "apr_apps2";
1910 qcom,glinkpkt-dev-name = "apr_apps2";
1911 };
1912
1913 qcom,glinkpkt-data40-cntl {
1914 qcom,glinkpkt-transport = "smem";
1915 qcom,glinkpkt-edge = "mpss";
1916 qcom,glinkpkt-ch-name = "DATA40_CNTL";
1917 qcom,glinkpkt-dev-name = "smdcntl8";
1918 };
1919
1920 qcom,glinkpkt-data1 {
1921 qcom,glinkpkt-transport = "smem";
1922 qcom,glinkpkt-edge = "mpss";
1923 qcom,glinkpkt-ch-name = "DATA1";
1924 qcom,glinkpkt-dev-name = "smd7";
1925 };
1926
1927 qcom,glinkpkt-data4 {
1928 qcom,glinkpkt-transport = "smem";
1929 qcom,glinkpkt-edge = "mpss";
1930 qcom,glinkpkt-ch-name = "DATA4";
1931 qcom,glinkpkt-dev-name = "smd8";
1932 };
1933
1934 qcom,glinkpkt-data11 {
1935 qcom,glinkpkt-transport = "smem";
1936 qcom,glinkpkt-edge = "mpss";
1937 qcom,glinkpkt-ch-name = "DATA11";
1938 qcom,glinkpkt-dev-name = "smd11";
1939 };
1940 };
Amir Levyca8989f2016-11-30 15:31:36 +02001941
Yan He907385d2016-11-14 17:13:30 -08001942 qcom,sps {
1943 compatible = "qcom,msm_sps_4k";
1944 qcom,pipe-attr-ee;
1945 };
1946
Amir Levyca8989f2016-11-30 15:31:36 +02001947 qcom,msm_gsi {
1948 compatible = "qcom,msm_gsi";
1949 };
1950
Ritesh Harjani0cd528f2017-04-19 14:19:55 +05301951 qcom,rmtfs_sharedmem@0 {
1952 compatible = "qcom,sharedmem-uio";
1953 reg = <0x0 0x200000>;
1954 reg-names = "rmtfs";
1955 qcom,client-id = <0x00000001>;
1956 };
1957
Amir Levy9654f172016-11-30 15:33:23 +02001958 qcom,rmnet-ipa {
1959 compatible = "qcom,rmnet-ipa3";
1960 qcom,rmnet-ipa-ssr;
1961 qcom,ipa-loaduC;
1962 qcom,ipa-advertise-sg-support;
1963 };
1964
Amir Levyca8989f2016-11-30 15:31:36 +02001965 ipa_hw: qcom,ipa@01e00000 {
1966 compatible = "qcom,ipa";
1967 reg = <0x1e00000 0x34000>,
1968 <0x1e04000 0x2c000>;
1969 reg-names = "ipa-base", "gsi-base";
1970 interrupts =
1971 <0 311 0>,
1972 <0 432 0>;
1973 interrupt-names = "ipa-irq", "gsi-irq";
1974 qcom,ipa-hw-ver = <13>; /* IPA core version = IPAv3.5.1 */
1975 qcom,ipa-hw-mode = <1>;
1976 qcom,ee = <0>;
Amir Levyca8989f2016-11-30 15:31:36 +02001977 qcom,use-ipa-tethering-bridge;
1978 qcom,modem-cfg-emb-pipe-flt;
1979 qcom,ipa-wdi2;
1980 qcom,use-64-bit-dma-mask;
Ghanim Fodi448abca2017-03-05 18:41:27 +02001981 qcom,bandwidth-vote-for-ipa;
Amir Levyca8989f2016-11-30 15:31:36 +02001982 qcom,msm-bus,name = "ipa";
1983 qcom,msm-bus,num-cases = <4>;
Ghanim Fodi448abca2017-03-05 18:41:27 +02001984 qcom,msm-bus,num-paths = <4>;
Amir Levyca8989f2016-11-30 15:31:36 +02001985 qcom,msm-bus,vectors-KBps =
1986 /* No vote */
1987 <90 512 0 0>,
1988 <90 585 0 0>,
1989 <1 676 0 0>,
Ghanim Fodi448abca2017-03-05 18:41:27 +02001990 <143 777 0 0>,
Amir Levyca8989f2016-11-30 15:31:36 +02001991 /* SVS */
1992 <90 512 80000 640000>,
1993 <90 585 80000 640000>,
1994 <1 676 80000 80000>,
Ghanim Fodi448abca2017-03-05 18:41:27 +02001995 <143 777 0 150000000>,
Amir Levyca8989f2016-11-30 15:31:36 +02001996 /* NOMINAL */
1997 <90 512 206000 960000>,
1998 <90 585 206000 960000>,
1999 <1 676 206000 160000>,
Ghanim Fodi448abca2017-03-05 18:41:27 +02002000 <143 777 0 300000000>,
Amir Levyca8989f2016-11-30 15:31:36 +02002001 /* TURBO */
2002 <90 512 206000 3600000>,
2003 <90 585 206000 3600000>,
Ghanim Fodi448abca2017-03-05 18:41:27 +02002004 <1 676 206000 300000>,
2005 <143 777 0 355333333>;
Amir Levyca8989f2016-11-30 15:31:36 +02002006 qcom,bus-vector-names = "MIN", "SVS", "NOMINAL", "TURBO";
2007
2008 /* IPA RAM mmap */
2009 qcom,ipa-ram-mmap = <
2010 0x280 /* ofst_start; */
2011 0x0 /* nat_ofst; */
2012 0x0 /* nat_size; */
2013 0x288 /* v4_flt_hash_ofst; */
2014 0x78 /* v4_flt_hash_size; */
2015 0x4000 /* v4_flt_hash_size_ddr; */
2016 0x308 /* v4_flt_nhash_ofst; */
2017 0x78 /* v4_flt_nhash_size; */
2018 0x4000 /* v4_flt_nhash_size_ddr; */
2019 0x388 /* v6_flt_hash_ofst; */
2020 0x78 /* v6_flt_hash_size; */
2021 0x4000 /* v6_flt_hash_size_ddr; */
2022 0x408 /* v6_flt_nhash_ofst; */
2023 0x78 /* v6_flt_nhash_size; */
2024 0x4000 /* v6_flt_nhash_size_ddr; */
2025 0xf /* v4_rt_num_index; */
2026 0x0 /* v4_modem_rt_index_lo; */
2027 0x7 /* v4_modem_rt_index_hi; */
2028 0x8 /* v4_apps_rt_index_lo; */
2029 0xe /* v4_apps_rt_index_hi; */
2030 0x488 /* v4_rt_hash_ofst; */
2031 0x78 /* v4_rt_hash_size; */
2032 0x4000 /* v4_rt_hash_size_ddr; */
2033 0x508 /* v4_rt_nhash_ofst; */
2034 0x78 /* v4_rt_nhash_size; */
2035 0x4000 /* v4_rt_nhash_size_ddr; */
2036 0xf /* v6_rt_num_index; */
2037 0x0 /* v6_modem_rt_index_lo; */
2038 0x7 /* v6_modem_rt_index_hi; */
2039 0x8 /* v6_apps_rt_index_lo; */
2040 0xe /* v6_apps_rt_index_hi; */
2041 0x588 /* v6_rt_hash_ofst; */
2042 0x78 /* v6_rt_hash_size; */
2043 0x4000 /* v6_rt_hash_size_ddr; */
2044 0x608 /* v6_rt_nhash_ofst; */
2045 0x78 /* v6_rt_nhash_size; */
2046 0x4000 /* v6_rt_nhash_size_ddr; */
2047 0x688 /* modem_hdr_ofst; */
2048 0x140 /* modem_hdr_size; */
2049 0x7c8 /* apps_hdr_ofst; */
2050 0x0 /* apps_hdr_size; */
2051 0x800 /* apps_hdr_size_ddr; */
2052 0x7d0 /* modem_hdr_proc_ctx_ofst; */
2053 0x200 /* modem_hdr_proc_ctx_size; */
2054 0x9d0 /* apps_hdr_proc_ctx_ofst; */
2055 0x200 /* apps_hdr_proc_ctx_size; */
2056 0x0 /* apps_hdr_proc_ctx_size_ddr; */
2057 0x0 /* modem_comp_decomp_ofst; diff */
2058 0x0 /* modem_comp_decomp_size; diff */
2059 0xbd8 /* modem_ofst; */
2060 0x1424 /* modem_size; */
2061 0x1ffc /* apps_v4_flt_hash_ofst; */
2062 0x0 /* apps_v4_flt_hash_size; */
2063 0x1ffc /* apps_v4_flt_nhash_ofst; */
2064 0x0 /* apps_v4_flt_nhash_size; */
2065 0x1ffc /* apps_v6_flt_hash_ofst; */
2066 0x0 /* apps_v6_flt_hash_size; */
2067 0x1ffc /* apps_v6_flt_nhash_ofst; */
2068 0x0 /* apps_v6_flt_nhash_size; */
2069 0x80 /* uc_info_ofst; */
2070 0x200 /* uc_info_size; */
2071 0x2000 /* end_ofst; */
2072 0x1ffc /* apps_v4_rt_hash_ofst; */
2073 0x0 /* apps_v4_rt_hash_size; */
2074 0x1ffc /* apps_v4_rt_nhash_ofst; */
2075 0x0 /* apps_v4_rt_nhash_size; */
2076 0x1ffc /* apps_v6_rt_hash_ofst; */
2077 0x0 /* apps_v6_rt_hash_size; */
2078 0x1ffc /* apps_v6_rt_nhash_ofst; */
2079 0x0 /* apps_v6_rt_nhash_size; */
2080 >;
Ghanim Fodi154110e2017-04-07 19:27:15 +03002081
2082 /* smp2p gpio information */
2083 qcom,smp2pgpio_map_ipa_1_out {
2084 compatible = "qcom,smp2pgpio-map-ipa-1-out";
2085 gpios = <&smp2pgpio_ipa_1_out 0 0>;
2086 };
2087
2088 qcom,smp2pgpio_map_ipa_1_in {
2089 compatible = "qcom,smp2pgpio-map-ipa-1-in";
2090 gpios = <&smp2pgpio_ipa_1_in 0 0>;
2091 };
Amir Levyca8989f2016-11-30 15:31:36 +02002092 };
Channagoud Kadabi39d26f22016-10-27 18:41:04 -07002093
Amir Levyf5eede22017-02-07 09:16:50 +02002094 qcom,ipa_fws {
2095 compatible = "qcom,pil-tz-generic";
2096 qcom,pas-id = <0xf>;
2097 qcom,firmware-name = "ipa_fws";
2098 };
2099
Channagoud Kadabi39d26f22016-10-27 18:41:04 -07002100 qcom,chd_sliver {
2101 compatible = "qcom,core-hang-detect";
2102 label = "silver";
2103 qcom,threshold-arr = <0x17e00058 0x17e10058
2104 0x17e20058 0x17e30058>;
2105 qcom,config-arr = <0x17e00060 0x17e10060
2106 0x17e20060 0x17e30060>;
2107 };
2108
2109 qcom,chd_gold {
2110 compatible = "qcom,core-hang-detect";
2111 label = "gold";
2112 qcom,threshold-arr = <0x17e40058 0x17e50058
2113 0x17e60058 0x17e70058>;
2114 qcom,config-arr = <0x17e40060 0x17e50060
2115 0x17e60060 0x17e70060>;
2116 };
2117
2118 qcom,ghd {
Kyle Yan5dda2452016-11-16 16:44:17 -08002119 compatible = "qcom,gladiator-hang-detect-v2";
Channagoud Kadabi39d26f22016-10-27 18:41:04 -07002120 qcom,threshold-arr = <0x1799041c 0x17990420>;
2121 qcom,config-reg = <0x17990434>;
2122 };
Mahesh Sivasubramanianf0dddb62016-10-19 14:17:44 -06002123
Kyle Yan3a641f42016-11-21 14:00:04 -08002124 qcom,msm-gladiator-v3@17900000 {
2125 compatible = "qcom,msm-gladiator-v3";
2126 reg = <0x17900000 0xd080>;
2127 reg-names = "gladiator_base";
2128 interrupts = <0 17 0>;
2129 };
2130
Mahesh Sivasubramanianf0dddb62016-10-19 14:17:44 -06002131 cmd_db: qcom,cmd-db@861e0000 {
2132 compatible = "qcom,cmd-db";
2133 reg = <0x861e0000 0x4000>;
2134 };
Satyajit Desai260bd392017-02-22 10:28:02 -08002135
2136 dcc: dcc_v2@10a2000 {
2137 compatible = "qcom,dcc_v2";
2138 reg = <0x10a2000 0x1000>,
2139 <0x10ae000 0x2000>;
2140 reg-names = "dcc-base", "dcc-ram-base";
2141 };
Syed Rameez Mustafa38ae7732017-03-29 14:55:38 -07002142
2143 qcom,msm-core@780000 {
2144 compatible = "qcom,apss-core-ea";
2145 reg = <0x780000 0x1000>;
2146 };
Yuanyuan Liu2da2cc02017-04-05 18:02:58 -07002147
2148 qcom,icnss@18800000 {
2149 compatible = "qcom,icnss";
2150 reg = <0x18800000 0x800000>,
2151 <0xa0000000 0x10000000>,
2152 <0xb0000000 0x10000>;
2153 reg-names = "membase", "smmu_iova_base", "smmu_iova_ipa";
2154 iommus = <&apps_smmu 0x0040>,
2155 <&apps_smmu 0x0041>;
2156 interrupts = <0 414 0 /* CE0 */ >,
2157 <0 415 0 /* CE1 */ >,
2158 <0 416 0 /* CE2 */ >,
2159 <0 417 0 /* CE3 */ >,
2160 <0 418 0 /* CE4 */ >,
2161 <0 419 0 /* CE5 */ >,
2162 <0 420 0 /* CE6 */ >,
2163 <0 421 0 /* CE7 */ >,
2164 <0 422 0 /* CE8 */ >,
2165 <0 423 0 /* CE9 */ >,
2166 <0 424 0 /* CE10 */ >,
2167 <0 425 0 /* CE11 */ >;
2168 qcom,wlan-msa-memory = <0x100000>;
2169 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -07002170};
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07002171
2172&pcie_0_gdsc {
2173 status = "ok";
2174};
2175
2176&pcie_1_gdsc {
2177 status = "ok";
2178};
2179
2180&ufs_card_gdsc {
2181 status = "ok";
2182};
2183
2184&ufs_phy_gdsc {
2185 status = "ok";
2186};
2187
2188&usb30_prim_gdsc {
2189 status = "ok";
2190};
2191
2192&usb30_sec_gdsc {
2193 status = "ok";
2194};
2195
2196&hlos1_vote_aggre_noc_mmu_audio_tbu_gdsc {
2197 status = "ok";
2198};
2199
2200&hlos1_vote_aggre_noc_mmu_pcie_tbu_gdsc {
2201 status = "ok";
2202};
2203
2204&hlos1_vote_aggre_noc_mmu_tbu1_gdsc {
2205 status = "ok";
2206};
2207
2208&hlos1_vote_aggre_noc_mmu_tbu2_gdsc {
2209 status = "ok";
2210};
2211
2212&hlos1_vote_mmnoc_mmu_tbu_hf0_gdsc {
2213 status = "ok";
2214};
2215
2216&hlos1_vote_mmnoc_mmu_tbu_hf1_gdsc {
2217 status = "ok";
2218};
2219
2220&hlos1_vote_mmnoc_mmu_tbu_sf_gdsc {
2221 status = "ok";
2222};
2223
2224&bps_gdsc {
2225 status = "ok";
2226};
2227
2228&ife_0_gdsc {
2229 status = "ok";
2230};
2231
2232&ife_1_gdsc {
2233 status = "ok";
2234};
2235
2236&ipe_0_gdsc {
2237 status = "ok";
2238};
2239
2240&ipe_1_gdsc {
2241 status = "ok";
2242};
2243
2244&titan_top_gdsc {
2245 status = "ok";
2246};
2247
2248&mdss_core_gdsc {
2249 status = "ok";
2250};
2251
2252&gpu_cx_gdsc {
2253 status = "ok";
2254};
2255
Deepak Katragadda8d77fbb2016-10-17 13:04:17 -07002256&gpu_gx_gdsc {
Deepak Katragadda6c7e8e12017-04-05 13:21:16 -07002257 clock-names = "core_root_clk";
2258 clocks = <&clock_gfx GPU_CC_GX_GFX3D_CLK_SRC>;
2259 qcom,force-enable-root-clk;
Deepak Katragadda8d77fbb2016-10-17 13:04:17 -07002260 parent-supply = <&pm8005_s1_level>;
2261 status = "ok";
2262};
2263
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07002264&vcodec0_gdsc {
2265 status = "ok";
2266};
2267
2268&vcodec1_gdsc {
2269 status = "ok";
2270};
2271
2272&venus_gdsc {
2273 status = "ok";
2274};
David Collins5ab42b92016-07-07 17:38:51 -07002275
David Collins516e41e2017-03-10 11:58:17 -08002276#include "pm8998.dtsi"
2277#include "pmi8998.dtsi"
2278#include "pm8005.dtsi"
Kyle Yan6a20fae2017-02-14 13:34:41 -08002279#include "sdm845-regulator.dtsi"
2280#include "sdm845-coresight.dtsi"
2281#include "msm-arm-smmu-sdm845.dtsi"
2282#include "sdm845-ion.dtsi"
2283#include "sdm845-smp2p.dtsi"
2284#include "sdm845-camera.dtsi"
2285#include "sdm845-bus.dtsi"
Saurabh Kothawade78041ee2017-01-16 16:38:09 -08002286#include "sdm845-vidc.dtsi"
Mahesh Sivasubramanian7a7b3c72016-11-04 14:31:59 -06002287#include "sdm845-pm.dtsi"
Banajit Goswami7885c692017-03-16 16:00:34 -07002288#include "sdm845-pinctrl.dtsi"
Banajit Goswamic0b75812017-03-16 16:14:17 -07002289#include "sdm845-audio.dtsi"
Lokesh Batraf7f72ff2016-10-13 11:51:59 -07002290#include "sdm845-gpu.dtsi"
Jack Phamf2b61c42017-04-07 10:28:34 -07002291#include "sdm845-usb.dtsi"