blob: 9a54aafe840506c518b15a42232ae234339dc773 [file] [log] [blame]
Peter Korsgaard238b8722006-12-06 20:35:17 -08001/*
2 * uartlite.c: Serial driver for Xilinx uartlite serial controller
3 *
Grant Likely852e1ea2007-10-02 12:16:04 +10004 * Copyright (C) 2006 Peter Korsgaard <jacmet@sunsite.dk>
5 * Copyright (C) 2007 Secret Lab Technologies Ltd.
Peter Korsgaard238b8722006-12-06 20:35:17 -08006 *
7 * This file is licensed under the terms of the GNU General Public License
8 * version 2. This program is licensed "as is" without any warranty of any
9 * kind, whether express or implied.
10 */
11
12#include <linux/platform_device.h>
13#include <linux/module.h>
14#include <linux/console.h>
15#include <linux/serial.h>
16#include <linux/serial_core.h>
17#include <linux/tty.h>
Jiri Slabyee160a32011-09-01 16:20:57 +020018#include <linux/tty_flip.h>
Peter Korsgaard238b8722006-12-06 20:35:17 -080019#include <linux/delay.h>
20#include <linux/interrupt.h>
Stephen Neuendorffer0e349b02008-01-09 06:35:05 +110021#include <linux/init.h>
Michal Simek3240b482013-02-11 19:04:33 +010022#include <linux/io.h>
Stephen Neuendorffer0e349b02008-01-09 06:35:05 +110023#include <linux/of.h>
Grant Likely22ae7822010-07-29 11:49:01 -060024#include <linux/of_address.h>
Grant Likely852e1ea2007-10-02 12:16:04 +100025#include <linux/of_device.h>
26#include <linux/of_platform.h>
Stephen Neuendorffer0e349b02008-01-09 06:35:05 +110027
Grant Likely00775822007-10-02 12:15:49 +100028#define ULITE_NAME "ttyUL"
Peter Korsgaard238b8722006-12-06 20:35:17 -080029#define ULITE_MAJOR 204
30#define ULITE_MINOR 187
Maarten Brockacf5e6c2016-02-16 18:59:01 +010031#define ULITE_NR_UARTS 16
Peter Korsgaard238b8722006-12-06 20:35:17 -080032
Grant Likely435706b2007-10-02 12:15:59 +100033/* ---------------------------------------------------------------------
34 * Register definitions
35 *
36 * For register details see datasheet:
Michal Simek6d53c3b2013-02-11 19:04:34 +010037 * http://www.xilinx.com/support/documentation/ip_documentation/opb_uartlite.pdf
Grant Likely435706b2007-10-02 12:15:59 +100038 */
39
Peter Korsgaard238b8722006-12-06 20:35:17 -080040#define ULITE_RX 0x00
41#define ULITE_TX 0x04
42#define ULITE_STATUS 0x08
43#define ULITE_CONTROL 0x0c
44
45#define ULITE_REGION 16
46
47#define ULITE_STATUS_RXVALID 0x01
48#define ULITE_STATUS_RXFULL 0x02
49#define ULITE_STATUS_TXEMPTY 0x04
50#define ULITE_STATUS_TXFULL 0x08
51#define ULITE_STATUS_IE 0x10
52#define ULITE_STATUS_OVERRUN 0x20
53#define ULITE_STATUS_FRAME 0x40
54#define ULITE_STATUS_PARITY 0x80
55
56#define ULITE_CONTROL_RST_TX 0x01
57#define ULITE_CONTROL_RST_RX 0x02
58#define ULITE_CONTROL_IE 0x10
59
Michal Simek6d53c3b2013-02-11 19:04:34 +010060struct uartlite_reg_ops {
61 u32 (*in)(void __iomem *addr);
62 void (*out)(u32 val, void __iomem *addr);
63};
64
65static u32 uartlite_inbe32(void __iomem *addr)
66{
67 return ioread32be(addr);
68}
69
70static void uartlite_outbe32(u32 val, void __iomem *addr)
71{
72 iowrite32be(val, addr);
73}
74
Maarten Brock973ea592016-04-22 18:19:33 +020075static const struct uartlite_reg_ops uartlite_be = {
Michal Simek6d53c3b2013-02-11 19:04:34 +010076 .in = uartlite_inbe32,
77 .out = uartlite_outbe32,
78};
79
80static u32 uartlite_inle32(void __iomem *addr)
81{
82 return ioread32(addr);
83}
84
85static void uartlite_outle32(u32 val, void __iomem *addr)
86{
87 iowrite32(val, addr);
88}
89
Maarten Brock973ea592016-04-22 18:19:33 +020090static const struct uartlite_reg_ops uartlite_le = {
Michal Simek6d53c3b2013-02-11 19:04:34 +010091 .in = uartlite_inle32,
92 .out = uartlite_outle32,
93};
94
95static inline u32 uart_in32(u32 offset, struct uart_port *port)
96{
Maarten Brock973ea592016-04-22 18:19:33 +020097 const struct uartlite_reg_ops *reg_ops = port->private_data;
Michal Simek6d53c3b2013-02-11 19:04:34 +010098
99 return reg_ops->in(port->membase + offset);
100}
101
102static inline void uart_out32(u32 val, u32 offset, struct uart_port *port)
103{
Maarten Brock973ea592016-04-22 18:19:33 +0200104 const struct uartlite_reg_ops *reg_ops = port->private_data;
Michal Simek6d53c3b2013-02-11 19:04:34 +0100105
106 reg_ops->out(val, port->membase + offset);
107}
Peter Korsgaard238b8722006-12-06 20:35:17 -0800108
Grant Likely483c79d2007-10-02 12:15:44 +1000109static struct uart_port ulite_ports[ULITE_NR_UARTS];
Peter Korsgaard238b8722006-12-06 20:35:17 -0800110
Grant Likely435706b2007-10-02 12:15:59 +1000111/* ---------------------------------------------------------------------
112 * Core UART driver operations
113 */
114
Peter Korsgaard238b8722006-12-06 20:35:17 -0800115static int ulite_receive(struct uart_port *port, int stat)
116{
Jiri Slaby92a19f92013-01-03 15:53:03 +0100117 struct tty_port *tport = &port->state->port;
Peter Korsgaard238b8722006-12-06 20:35:17 -0800118 unsigned char ch = 0;
119 char flag = TTY_NORMAL;
120
121 if ((stat & (ULITE_STATUS_RXVALID | ULITE_STATUS_OVERRUN
122 | ULITE_STATUS_FRAME)) == 0)
123 return 0;
124
125 /* stats */
126 if (stat & ULITE_STATUS_RXVALID) {
127 port->icount.rx++;
Michal Simek6d53c3b2013-02-11 19:04:34 +0100128 ch = uart_in32(ULITE_RX, port);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800129
130 if (stat & ULITE_STATUS_PARITY)
131 port->icount.parity++;
132 }
133
134 if (stat & ULITE_STATUS_OVERRUN)
135 port->icount.overrun++;
136
137 if (stat & ULITE_STATUS_FRAME)
138 port->icount.frame++;
139
140
141 /* drop byte with parity error if IGNPAR specificed */
142 if (stat & port->ignore_status_mask & ULITE_STATUS_PARITY)
143 stat &= ~ULITE_STATUS_RXVALID;
144
145 stat &= port->read_status_mask;
146
147 if (stat & ULITE_STATUS_PARITY)
148 flag = TTY_PARITY;
149
150
151 stat &= ~port->ignore_status_mask;
152
153 if (stat & ULITE_STATUS_RXVALID)
Jiri Slaby92a19f92013-01-03 15:53:03 +0100154 tty_insert_flip_char(tport, ch, flag);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800155
156 if (stat & ULITE_STATUS_FRAME)
Jiri Slaby92a19f92013-01-03 15:53:03 +0100157 tty_insert_flip_char(tport, 0, TTY_FRAME);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800158
159 if (stat & ULITE_STATUS_OVERRUN)
Jiri Slaby92a19f92013-01-03 15:53:03 +0100160 tty_insert_flip_char(tport, 0, TTY_OVERRUN);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800161
162 return 1;
163}
164
165static int ulite_transmit(struct uart_port *port, int stat)
166{
Alan Coxebd2c8f2009-09-19 13:13:28 -0700167 struct circ_buf *xmit = &port->state->xmit;
Peter Korsgaard238b8722006-12-06 20:35:17 -0800168
169 if (stat & ULITE_STATUS_TXFULL)
170 return 0;
171
172 if (port->x_char) {
Michal Simek6d53c3b2013-02-11 19:04:34 +0100173 uart_out32(port->x_char, ULITE_TX, port);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800174 port->x_char = 0;
175 port->icount.tx++;
176 return 1;
177 }
178
179 if (uart_circ_empty(xmit) || uart_tx_stopped(port))
180 return 0;
181
Michal Simek6d53c3b2013-02-11 19:04:34 +0100182 uart_out32(xmit->buf[xmit->tail], ULITE_TX, port);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800183 xmit->tail = (xmit->tail + 1) & (UART_XMIT_SIZE-1);
184 port->icount.tx++;
185
186 /* wake up */
187 if (uart_circ_chars_pending(xmit) < WAKEUP_CHARS)
188 uart_write_wakeup(port);
189
190 return 1;
191}
192
193static irqreturn_t ulite_isr(int irq, void *dev_id)
194{
Jeff Garzik15aafa22008-02-06 01:36:20 -0800195 struct uart_port *port = dev_id;
Maarten Brock19606ea2016-02-16 18:59:03 +0100196 int stat, busy, n = 0;
Rich Felker9e370d22016-01-08 15:33:50 -0500197 unsigned long flags;
Peter Korsgaard238b8722006-12-06 20:35:17 -0800198
199 do {
Maarten Brock19606ea2016-02-16 18:59:03 +0100200 spin_lock_irqsave(&port->lock, flags);
201 stat = uart_in32(ULITE_STATUS, port);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800202 busy = ulite_receive(port, stat);
203 busy |= ulite_transmit(port, stat);
Maarten Brock19606ea2016-02-16 18:59:03 +0100204 spin_unlock_irqrestore(&port->lock, flags);
Peter Korsgaardd2cfe962009-09-09 16:54:04 +0200205 n++;
Peter Korsgaard238b8722006-12-06 20:35:17 -0800206 } while (busy);
207
Peter Korsgaardd2cfe962009-09-09 16:54:04 +0200208 /* work done? */
209 if (n > 1) {
Jiri Slaby2e124b42013-01-03 15:53:06 +0100210 tty_flip_buffer_push(&port->state->port);
Peter Korsgaardd2cfe962009-09-09 16:54:04 +0200211 return IRQ_HANDLED;
212 } else {
213 return IRQ_NONE;
214 }
Peter Korsgaard238b8722006-12-06 20:35:17 -0800215}
216
217static unsigned int ulite_tx_empty(struct uart_port *port)
218{
219 unsigned long flags;
220 unsigned int ret;
221
222 spin_lock_irqsave(&port->lock, flags);
Michal Simek6d53c3b2013-02-11 19:04:34 +0100223 ret = uart_in32(ULITE_STATUS, port);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800224 spin_unlock_irqrestore(&port->lock, flags);
225
226 return ret & ULITE_STATUS_TXEMPTY ? TIOCSER_TEMT : 0;
227}
228
229static unsigned int ulite_get_mctrl(struct uart_port *port)
230{
231 return TIOCM_CTS | TIOCM_DSR | TIOCM_CAR;
232}
233
234static void ulite_set_mctrl(struct uart_port *port, unsigned int mctrl)
235{
236 /* N/A */
237}
238
239static void ulite_stop_tx(struct uart_port *port)
240{
241 /* N/A */
242}
243
244static void ulite_start_tx(struct uart_port *port)
245{
Michal Simek6d53c3b2013-02-11 19:04:34 +0100246 ulite_transmit(port, uart_in32(ULITE_STATUS, port));
Peter Korsgaard238b8722006-12-06 20:35:17 -0800247}
248
249static void ulite_stop_rx(struct uart_port *port)
250{
251 /* don't forward any more data (like !CREAD) */
252 port->ignore_status_mask = ULITE_STATUS_RXVALID | ULITE_STATUS_PARITY
253 | ULITE_STATUS_FRAME | ULITE_STATUS_OVERRUN;
254}
255
Peter Korsgaard238b8722006-12-06 20:35:17 -0800256static void ulite_break_ctl(struct uart_port *port, int ctl)
257{
258 /* N/A */
259}
260
261static int ulite_startup(struct uart_port *port)
262{
263 int ret;
264
Maarten Brock106020c2016-02-16 18:59:04 +0100265 ret = request_irq(port->irq, ulite_isr, IRQF_SHARED | IRQF_TRIGGER_RISING,
266 "uartlite", port);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800267 if (ret)
268 return ret;
269
Michal Simek6d53c3b2013-02-11 19:04:34 +0100270 uart_out32(ULITE_CONTROL_RST_RX | ULITE_CONTROL_RST_TX,
271 ULITE_CONTROL, port);
272 uart_out32(ULITE_CONTROL_IE, ULITE_CONTROL, port);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800273
274 return 0;
275}
276
277static void ulite_shutdown(struct uart_port *port)
278{
Michal Simek6d53c3b2013-02-11 19:04:34 +0100279 uart_out32(0, ULITE_CONTROL, port);
280 uart_in32(ULITE_CONTROL, port); /* dummy */
Peter Korsgaard238b8722006-12-06 20:35:17 -0800281 free_irq(port->irq, port);
282}
283
Alan Cox606d0992006-12-08 02:38:45 -0800284static void ulite_set_termios(struct uart_port *port, struct ktermios *termios,
285 struct ktermios *old)
Peter Korsgaard238b8722006-12-06 20:35:17 -0800286{
287 unsigned long flags;
288 unsigned int baud;
289
290 spin_lock_irqsave(&port->lock, flags);
291
292 port->read_status_mask = ULITE_STATUS_RXVALID | ULITE_STATUS_OVERRUN
293 | ULITE_STATUS_TXFULL;
294
295 if (termios->c_iflag & INPCK)
296 port->read_status_mask |=
297 ULITE_STATUS_PARITY | ULITE_STATUS_FRAME;
298
299 port->ignore_status_mask = 0;
300 if (termios->c_iflag & IGNPAR)
301 port->ignore_status_mask |= ULITE_STATUS_PARITY
302 | ULITE_STATUS_FRAME | ULITE_STATUS_OVERRUN;
303
304 /* ignore all characters if CREAD is not set */
305 if ((termios->c_cflag & CREAD) == 0)
306 port->ignore_status_mask |=
307 ULITE_STATUS_RXVALID | ULITE_STATUS_PARITY
308 | ULITE_STATUS_FRAME | ULITE_STATUS_OVERRUN;
309
310 /* update timeout */
311 baud = uart_get_baud_rate(port, termios, old, 0, 460800);
312 uart_update_timeout(port, termios->c_cflag, baud);
313
314 spin_unlock_irqrestore(&port->lock, flags);
315}
316
317static const char *ulite_type(struct uart_port *port)
318{
319 return port->type == PORT_UARTLITE ? "uartlite" : NULL;
320}
321
322static void ulite_release_port(struct uart_port *port)
323{
324 release_mem_region(port->mapbase, ULITE_REGION);
325 iounmap(port->membase);
Al Virob81831c2007-02-09 16:38:25 +0000326 port->membase = NULL;
Peter Korsgaard238b8722006-12-06 20:35:17 -0800327}
328
329static int ulite_request_port(struct uart_port *port)
330{
Michal Simek6d53c3b2013-02-11 19:04:34 +0100331 int ret;
332
Grant Likelya1080962008-11-14 09:59:48 -0700333 pr_debug("ulite console: port=%p; port->mapbase=%llx\n",
334 port, (unsigned long long) port->mapbase);
Stephen Neuendorffer0e349b02008-01-09 06:35:05 +1100335
Peter Korsgaard238b8722006-12-06 20:35:17 -0800336 if (!request_mem_region(port->mapbase, ULITE_REGION, "uartlite")) {
337 dev_err(port->dev, "Memory region busy\n");
338 return -EBUSY;
339 }
340
341 port->membase = ioremap(port->mapbase, ULITE_REGION);
342 if (!port->membase) {
343 dev_err(port->dev, "Unable to map registers\n");
344 release_mem_region(port->mapbase, ULITE_REGION);
345 return -EBUSY;
346 }
347
Maarten Brock973ea592016-04-22 18:19:33 +0200348 port->private_data = (void *)&uartlite_be;
Michal Simek6d53c3b2013-02-11 19:04:34 +0100349 ret = uart_in32(ULITE_CONTROL, port);
350 uart_out32(ULITE_CONTROL_RST_TX, ULITE_CONTROL, port);
351 ret = uart_in32(ULITE_STATUS, port);
352 /* Endianess detection */
353 if ((ret & ULITE_STATUS_TXEMPTY) != ULITE_STATUS_TXEMPTY)
Maarten Brock973ea592016-04-22 18:19:33 +0200354 port->private_data = (void *)&uartlite_le;
Michal Simek6d53c3b2013-02-11 19:04:34 +0100355
Peter Korsgaard238b8722006-12-06 20:35:17 -0800356 return 0;
357}
358
359static void ulite_config_port(struct uart_port *port, int flags)
360{
Peter Korsgaarde21654a2006-12-22 16:38:40 +0100361 if (!ulite_request_port(port))
362 port->type = PORT_UARTLITE;
Peter Korsgaard238b8722006-12-06 20:35:17 -0800363}
364
365static int ulite_verify_port(struct uart_port *port, struct serial_struct *ser)
366{
367 /* we don't want the core code to modify any port params */
368 return -EINVAL;
369}
370
Michal Simek8a28af72010-08-17 10:42:05 +0200371#ifdef CONFIG_CONSOLE_POLL
372static int ulite_get_poll_char(struct uart_port *port)
373{
Michal Simek6d53c3b2013-02-11 19:04:34 +0100374 if (!(uart_in32(ULITE_STATUS, port) & ULITE_STATUS_RXVALID))
Michal Simek8a28af72010-08-17 10:42:05 +0200375 return NO_POLL_CHAR;
376
Michal Simek6d53c3b2013-02-11 19:04:34 +0100377 return uart_in32(ULITE_RX, port);
Michal Simek8a28af72010-08-17 10:42:05 +0200378}
379
380static void ulite_put_poll_char(struct uart_port *port, unsigned char ch)
381{
Michal Simek6d53c3b2013-02-11 19:04:34 +0100382 while (uart_in32(ULITE_STATUS, port) & ULITE_STATUS_TXFULL)
Michal Simek8a28af72010-08-17 10:42:05 +0200383 cpu_relax();
384
385 /* write char to device */
Michal Simek6d53c3b2013-02-11 19:04:34 +0100386 uart_out32(ch, ULITE_TX, port);
Michal Simek8a28af72010-08-17 10:42:05 +0200387}
388#endif
389
Julia Lawall31d054d2016-09-01 19:51:37 +0200390static const struct uart_ops ulite_ops = {
Peter Korsgaard238b8722006-12-06 20:35:17 -0800391 .tx_empty = ulite_tx_empty,
392 .set_mctrl = ulite_set_mctrl,
393 .get_mctrl = ulite_get_mctrl,
394 .stop_tx = ulite_stop_tx,
395 .start_tx = ulite_start_tx,
396 .stop_rx = ulite_stop_rx,
Peter Korsgaard238b8722006-12-06 20:35:17 -0800397 .break_ctl = ulite_break_ctl,
398 .startup = ulite_startup,
399 .shutdown = ulite_shutdown,
400 .set_termios = ulite_set_termios,
401 .type = ulite_type,
402 .release_port = ulite_release_port,
403 .request_port = ulite_request_port,
404 .config_port = ulite_config_port,
Michal Simek8a28af72010-08-17 10:42:05 +0200405 .verify_port = ulite_verify_port,
406#ifdef CONFIG_CONSOLE_POLL
407 .poll_get_char = ulite_get_poll_char,
408 .poll_put_char = ulite_put_poll_char,
409#endif
Peter Korsgaard238b8722006-12-06 20:35:17 -0800410};
411
Grant Likely435706b2007-10-02 12:15:59 +1000412/* ---------------------------------------------------------------------
413 * Console driver operations
414 */
415
Peter Korsgaard238b8722006-12-06 20:35:17 -0800416#ifdef CONFIG_SERIAL_UARTLITE_CONSOLE
417static void ulite_console_wait_tx(struct uart_port *port)
418{
Grant Likely1d6b6982007-10-23 14:27:46 +1000419 u8 val;
Michal Simekd3352152014-05-06 06:46:15 +0200420 unsigned long timeout;
Peter Korsgaard238b8722006-12-06 20:35:17 -0800421
Michal Simekd3352152014-05-06 06:46:15 +0200422 /*
423 * Spin waiting for TX fifo to have space available.
424 * When using the Microblaze Debug Module this can take up to 1s
425 */
426 timeout = jiffies + msecs_to_jiffies(1000);
427 while (1) {
Michal Simek6d53c3b2013-02-11 19:04:34 +0100428 val = uart_in32(ULITE_STATUS, port);
Grant Likely1d6b6982007-10-23 14:27:46 +1000429 if ((val & ULITE_STATUS_TXFULL) == 0)
Peter Korsgaard238b8722006-12-06 20:35:17 -0800430 break;
Michal Simekd3352152014-05-06 06:46:15 +0200431 if (time_after(jiffies, timeout)) {
432 dev_warn(port->dev,
433 "timeout waiting for TX buffer empty\n");
434 break;
435 }
Grant Likely1d6b6982007-10-23 14:27:46 +1000436 cpu_relax();
Peter Korsgaard238b8722006-12-06 20:35:17 -0800437 }
438}
439
440static void ulite_console_putchar(struct uart_port *port, int ch)
441{
442 ulite_console_wait_tx(port);
Michal Simek6d53c3b2013-02-11 19:04:34 +0100443 uart_out32(ch, ULITE_TX, port);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800444}
445
446static void ulite_console_write(struct console *co, const char *s,
447 unsigned int count)
448{
Grant Likely483c79d2007-10-02 12:15:44 +1000449 struct uart_port *port = &ulite_ports[co->index];
Peter Korsgaard238b8722006-12-06 20:35:17 -0800450 unsigned long flags;
451 unsigned int ier;
452 int locked = 1;
453
454 if (oops_in_progress) {
455 locked = spin_trylock_irqsave(&port->lock, flags);
456 } else
457 spin_lock_irqsave(&port->lock, flags);
458
459 /* save and disable interrupt */
Michal Simek6d53c3b2013-02-11 19:04:34 +0100460 ier = uart_in32(ULITE_STATUS, port) & ULITE_STATUS_IE;
461 uart_out32(0, ULITE_CONTROL, port);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800462
463 uart_console_write(port, s, count, ulite_console_putchar);
464
465 ulite_console_wait_tx(port);
466
467 /* restore interrupt state */
468 if (ier)
Michal Simek6d53c3b2013-02-11 19:04:34 +0100469 uart_out32(ULITE_CONTROL_IE, ULITE_CONTROL, port);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800470
471 if (locked)
472 spin_unlock_irqrestore(&port->lock, flags);
473}
474
Bill Pemberton9671f092012-11-19 13:21:50 -0500475static int ulite_console_setup(struct console *co, char *options)
Peter Korsgaard238b8722006-12-06 20:35:17 -0800476{
477 struct uart_port *port;
478 int baud = 9600;
479 int bits = 8;
480 int parity = 'n';
481 int flow = 'n';
482
483 if (co->index < 0 || co->index >= ULITE_NR_UARTS)
484 return -EINVAL;
485
Grant Likely483c79d2007-10-02 12:15:44 +1000486 port = &ulite_ports[co->index];
Peter Korsgaard238b8722006-12-06 20:35:17 -0800487
Grant Likely3de66a12008-02-06 10:23:41 -0700488 /* Has the device been initialized yet? */
Grant Likelyfb4e6e62007-10-02 12:16:09 +1000489 if (!port->mapbase) {
490 pr_debug("console on ttyUL%i not present\n", co->index);
491 return -ENODEV;
492 }
493
Peter Korsgaard238b8722006-12-06 20:35:17 -0800494 /* not initialized yet? */
Grant Likely852e1ea2007-10-02 12:16:04 +1000495 if (!port->membase) {
Grant Likelyfb4e6e62007-10-02 12:16:09 +1000496 if (ulite_request_port(port))
497 return -ENODEV;
Grant Likely852e1ea2007-10-02 12:16:04 +1000498 }
Peter Korsgaard238b8722006-12-06 20:35:17 -0800499
500 if (options)
501 uart_parse_options(options, &baud, &parity, &bits, &flow);
502
503 return uart_set_options(port, co, baud, parity, bits, flow);
504}
505
506static struct uart_driver ulite_uart_driver;
507
508static struct console ulite_console = {
Grant Likely00775822007-10-02 12:15:49 +1000509 .name = ULITE_NAME,
Peter Korsgaard238b8722006-12-06 20:35:17 -0800510 .write = ulite_console_write,
511 .device = uart_console_device,
512 .setup = ulite_console_setup,
513 .flags = CON_PRINTBUFFER,
514 .index = -1, /* Specified on the cmdline (e.g. console=ttyUL0 ) */
515 .data = &ulite_uart_driver,
516};
517
518static int __init ulite_console_init(void)
519{
520 register_console(&ulite_console);
521 return 0;
522}
523
524console_initcall(ulite_console_init);
525
Rich Felker7cdcc292016-01-08 15:34:05 -0500526static void early_uartlite_putc(struct uart_port *port, int c)
527{
528 /*
529 * Limit how many times we'll spin waiting for TX FIFO status.
530 * This will prevent lockups if the base address is incorrectly
531 * set, or any other issue on the UARTLITE.
532 * This limit is pretty arbitrary, unless we are at about 10 baud
533 * we'll never timeout on a working UART.
534 */
535
536 unsigned retries = 1000000;
537 /* read status bit - 0x8 offset */
538 while (--retries && (readl(port->membase + 8) & (1 << 3)))
539 ;
540
541 /* Only attempt the iowrite if we didn't timeout */
542 /* write to TX_FIFO - 0x4 offset */
543 if (retries)
544 writel(c & 0xff, port->membase + 4);
545}
546
547static void early_uartlite_write(struct console *console,
548 const char *s, unsigned n)
549{
550 struct earlycon_device *device = console->data;
551 uart_console_write(&device->port, s, n, early_uartlite_putc);
552}
553
554static int __init early_uartlite_setup(struct earlycon_device *device,
555 const char *options)
556{
557 if (!device->port.membase)
558 return -ENODEV;
559
560 device->con->write = early_uartlite_write;
561 return 0;
562}
563EARLYCON_DECLARE(uartlite, early_uartlite_setup);
564OF_EARLYCON_DECLARE(uartlite_b, "xlnx,opb-uartlite-1.00.b", early_uartlite_setup);
565OF_EARLYCON_DECLARE(uartlite_a, "xlnx,xps-uartlite-1.00.a", early_uartlite_setup);
566
Peter Korsgaard238b8722006-12-06 20:35:17 -0800567#endif /* CONFIG_SERIAL_UARTLITE_CONSOLE */
568
569static struct uart_driver ulite_uart_driver = {
570 .owner = THIS_MODULE,
571 .driver_name = "uartlite",
Grant Likely00775822007-10-02 12:15:49 +1000572 .dev_name = ULITE_NAME,
Peter Korsgaard238b8722006-12-06 20:35:17 -0800573 .major = ULITE_MAJOR,
574 .minor = ULITE_MINOR,
575 .nr = ULITE_NR_UARTS,
576#ifdef CONFIG_SERIAL_UARTLITE_CONSOLE
577 .cons = &ulite_console,
578#endif
579};
580
Grant Likely435706b2007-10-02 12:15:59 +1000581/* ---------------------------------------------------------------------
582 * Port assignment functions (mapping devices to uart_port structures)
583 */
584
585/** ulite_assign: register a uartlite device with the driver
586 *
587 * @dev: pointer to device structure
588 * @id: requested id number. Pass -1 for automatic port assignment
589 * @base: base address of uartlite registers
590 * @irq: irq number for uartlite
591 *
592 * Returns: 0 on success, <0 otherwise
593 */
Bill Pemberton9671f092012-11-19 13:21:50 -0500594static int ulite_assign(struct device *dev, int id, u32 base, int irq)
Grant Likely8fa7b612007-10-02 12:15:54 +1000595{
596 struct uart_port *port;
597 int rc;
598
599 /* if id = -1; then scan for a free id and use that */
600 if (id < 0) {
601 for (id = 0; id < ULITE_NR_UARTS; id++)
602 if (ulite_ports[id].mapbase == 0)
603 break;
604 }
605 if (id < 0 || id >= ULITE_NR_UARTS) {
606 dev_err(dev, "%s%i too large\n", ULITE_NAME, id);
607 return -EINVAL;
608 }
609
Grant Likelyfb4e6e62007-10-02 12:16:09 +1000610 if ((ulite_ports[id].mapbase) && (ulite_ports[id].mapbase != base)) {
Grant Likely8fa7b612007-10-02 12:15:54 +1000611 dev_err(dev, "cannot assign to %s%i; it is already in use\n",
612 ULITE_NAME, id);
613 return -EBUSY;
614 }
615
616 port = &ulite_ports[id];
617
618 spin_lock_init(&port->lock);
619 port->fifosize = 16;
620 port->regshift = 2;
621 port->iotype = UPIO_MEM;
622 port->iobase = 1; /* mark port in use */
623 port->mapbase = base;
624 port->membase = NULL;
625 port->ops = &ulite_ops;
626 port->irq = irq;
627 port->flags = UPF_BOOT_AUTOCONF;
628 port->dev = dev;
629 port->type = PORT_UNKNOWN;
630 port->line = id;
631
632 dev_set_drvdata(dev, port);
633
634 /* Register the port */
635 rc = uart_add_one_port(&ulite_uart_driver, port);
636 if (rc) {
637 dev_err(dev, "uart_add_one_port() failed; err=%i\n", rc);
638 port->mapbase = 0;
639 dev_set_drvdata(dev, NULL);
640 return rc;
641 }
642
643 return 0;
644}
645
Grant Likely435706b2007-10-02 12:15:59 +1000646/** ulite_release: register a uartlite device with the driver
647 *
648 * @dev: pointer to device structure
649 */
Bill Pembertonae8d8a12012-11-19 13:26:18 -0500650static int ulite_release(struct device *dev)
Grant Likely8fa7b612007-10-02 12:15:54 +1000651{
652 struct uart_port *port = dev_get_drvdata(dev);
653 int rc = 0;
654
655 if (port) {
656 rc = uart_remove_one_port(&ulite_uart_driver, port);
657 dev_set_drvdata(dev, NULL);
658 port->mapbase = 0;
659 }
660
661 return rc;
662}
663
Grant Likely435706b2007-10-02 12:15:59 +1000664/* ---------------------------------------------------------------------
665 * Platform bus binding
666 */
667
Grant Likelye5263a52011-02-22 20:16:13 -0700668#if defined(CONFIG_OF)
669/* Match table for of_platform binding */
Fabian Fredericked0bb232015-03-16 20:17:11 +0100670static const struct of_device_id ulite_of_match[] = {
Grant Likelye5263a52011-02-22 20:16:13 -0700671 { .compatible = "xlnx,opb-uartlite-1.00.b", },
672 { .compatible = "xlnx,xps-uartlite-1.00.a", },
673 {}
674};
675MODULE_DEVICE_TABLE(of, ulite_of_match);
Grant Likelye5263a52011-02-22 20:16:13 -0700676#endif /* CONFIG_OF */
677
Bill Pemberton9671f092012-11-19 13:21:50 -0500678static int ulite_probe(struct platform_device *pdev)
Peter Korsgaard238b8722006-12-06 20:35:17 -0800679{
Michal Simek5c90c072015-04-13 16:34:21 +0200680 struct resource *res;
681 int irq;
Grant Likelye5263a52011-02-22 20:16:13 -0700682 int id = pdev->id;
683#ifdef CONFIG_OF
684 const __be32 *prop;
685
686 prop = of_get_property(pdev->dev.of_node, "port-number", NULL);
687 if (prop)
688 id = be32_to_cpup(prop);
689#endif
Peter Korsgaard238b8722006-12-06 20:35:17 -0800690
691 res = platform_get_resource(pdev, IORESOURCE_MEM, 0);
692 if (!res)
693 return -ENODEV;
694
Michal Simek5c90c072015-04-13 16:34:21 +0200695 irq = platform_get_irq(pdev, 0);
696 if (irq <= 0)
697 return -ENXIO;
Peter Korsgaard238b8722006-12-06 20:35:17 -0800698
Michal Simek5c90c072015-04-13 16:34:21 +0200699 return ulite_assign(&pdev->dev, id, res->start, irq);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800700}
701
Bill Pembertonae8d8a12012-11-19 13:26:18 -0500702static int ulite_remove(struct platform_device *pdev)
Peter Korsgaard238b8722006-12-06 20:35:17 -0800703{
Grant Likely8fa7b612007-10-02 12:15:54 +1000704 return ulite_release(&pdev->dev);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800705}
706
Kay Sieverse169c132008-04-15 14:34:35 -0700707/* work with hotplug and coldplug */
708MODULE_ALIAS("platform:uartlite");
709
Peter Korsgaard238b8722006-12-06 20:35:17 -0800710static struct platform_driver ulite_platform_driver = {
Grant Likelye5263a52011-02-22 20:16:13 -0700711 .probe = ulite_probe,
Bill Pemberton2d47b712012-11-19 13:21:34 -0500712 .remove = ulite_remove,
Grant Likely852e1ea2007-10-02 12:16:04 +1000713 .driver = {
Grant Likelye5263a52011-02-22 20:16:13 -0700714 .name = "uartlite",
Ben Dooks85888062011-08-03 10:11:43 +0100715 .of_match_table = of_match_ptr(ulite_of_match),
Grant Likely852e1ea2007-10-02 12:16:04 +1000716 },
717};
718
Grant Likely852e1ea2007-10-02 12:16:04 +1000719/* ---------------------------------------------------------------------
Grant Likely435706b2007-10-02 12:15:59 +1000720 * Module setup/teardown
721 */
722
Michal Simek3240b482013-02-11 19:04:33 +0100723static int __init ulite_init(void)
Peter Korsgaard238b8722006-12-06 20:35:17 -0800724{
725 int ret;
726
Grant Likely852e1ea2007-10-02 12:16:04 +1000727 pr_debug("uartlite: calling uart_register_driver()\n");
Peter Korsgaard238b8722006-12-06 20:35:17 -0800728 ret = uart_register_driver(&ulite_uart_driver);
729 if (ret)
Grant Likely852e1ea2007-10-02 12:16:04 +1000730 goto err_uart;
Peter Korsgaard238b8722006-12-06 20:35:17 -0800731
Grant Likely852e1ea2007-10-02 12:16:04 +1000732 pr_debug("uartlite: calling platform_driver_register()\n");
Peter Korsgaard238b8722006-12-06 20:35:17 -0800733 ret = platform_driver_register(&ulite_platform_driver);
734 if (ret)
Grant Likely852e1ea2007-10-02 12:16:04 +1000735 goto err_plat;
Peter Korsgaard238b8722006-12-06 20:35:17 -0800736
Grant Likely852e1ea2007-10-02 12:16:04 +1000737 return 0;
738
739err_plat:
Grant Likely852e1ea2007-10-02 12:16:04 +1000740 uart_unregister_driver(&ulite_uart_driver);
741err_uart:
Michal Simek3240b482013-02-11 19:04:33 +0100742 pr_err("registering uartlite driver failed: err=%i", ret);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800743 return ret;
744}
745
Michal Simek3240b482013-02-11 19:04:33 +0100746static void __exit ulite_exit(void)
Peter Korsgaard238b8722006-12-06 20:35:17 -0800747{
748 platform_driver_unregister(&ulite_platform_driver);
Randy Dunlap091c0f12019-09-16 16:12:23 -0700749 if (ulite_uart_driver.state)
750 uart_unregister_driver(&ulite_uart_driver);
Peter Korsgaard238b8722006-12-06 20:35:17 -0800751}
752
753module_init(ulite_init);
754module_exit(ulite_exit);
755
756MODULE_AUTHOR("Peter Korsgaard <jacmet@sunsite.dk>");
757MODULE_DESCRIPTION("Xilinx uartlite serial driver");
758MODULE_LICENSE("GPL");