blob: c2cb8af4bbf8982f7343198ce93609cd256b6459 [file] [log] [blame]
Nguyen Anh Quynh26ee41a2013-11-27 12:11:31 +08001/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
2|* *|
3|*Assembly Writer Source Fragment *|
4|* *|
5|* Automatically generated file, do not edit! *|
6|* *|
7\*===----------------------------------------------------------------------===*/
8
9/* Capstone Disassembler Engine */
10/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
11
12/// printInstruction - This method is automatically generated by tablegen
13/// from the instruction set description.
14static void printInstruction(MCInst *MI, SStream *O)
15{
16 static const uint32_t OpInfo[] = {
17 0U, // PHI
18 0U, // INLINEASM
19 0U, // PROLOG_LABEL
20 0U, // EH_LABEL
21 0U, // GC_LABEL
22 0U, // KILL
23 0U, // EXTRACT_SUBREG
24 0U, // INSERT_SUBREG
25 0U, // IMPLICIT_DEF
26 0U, // SUBREG_TO_REG
27 0U, // COPY_TO_REGCLASS
28 9360U, // DBG_VALUE
29 0U, // REG_SEQUENCE
30 0U, // COPY
31 9353U, // BUNDLE
32 9425U, // LIFETIME_START
33 9340U, // LIFETIME_END
34 9440U, // AAA
35 17364U, // AAD8i8
36 19932U, // AAM8i8
37 10160U, // AAS
38 10168U, // ABS_F
39 0U, // ABS_Fp32
40 0U, // ABS_Fp64
41 0U, // ABS_Fp80
42 9060U, // ACQUIRE_MOV16rm
43 9060U, // ACQUIRE_MOV32rm
44 9060U, // ACQUIRE_MOV64rm
45 9060U, // ACQUIRE_MOV8rm
46 23945U, // ADC16i16
47 1082255U, // ADC16mi
48 1082255U, // ADC16mi8
49 1082255U, // ADC16mr
50 35701647U, // ADC16ri
51 35701647U, // ADC16ri8
52 3195791U, // ADC16rm
53 35701647U, // ADC16rr
54 68174735U, // ADC16rr_REV
55 24059U, // ADC32i32
56 1115023U, // ADC32mi
57 1115023U, // ADC32mi8
58 1115023U, // ADC32mr
59 35701647U, // ADC32ri
60 35701647U, // ADC32ri8
61 4244367U, // ADC32rm
62 35701647U, // ADC32rr
63 68174735U, // ADC32rr_REV
64 24183U, // ADC64i32
65 1131407U, // ADC64mi32
66 1131407U, // ADC64mi8
67 1131407U, // ADC64mr
68 35701647U, // ADC64ri32
69 35701647U, // ADC64ri8
70 5292943U, // ADC64rm
71 35701647U, // ADC64rr
72 68174735U, // ADC64rr_REV
73 23843U, // ADC8i8
74 1147791U, // ADC8mi
75 1147791U, // ADC8mr
76 35701647U, // ADC8ri
77 6341519U, // ADC8rm
78 35701647U, // ADC8rr
79 68174735U, // ADC8rr_REV
80 101735458U, // ADCX32rm
81 135289890U, // ADCX32rr
82 168844322U, // ADCX64rm
83 135289890U, // ADCX64rr
84 23954U, // ADD16i16
85 1082415U, // ADD16mi
86 1082415U, // ADD16mi8
87 1082415U, // ADD16mr
88 35701807U, // ADD16ri
89 35701807U, // ADD16ri8
90 0U, // ADD16ri8_DB
91 0U, // ADD16ri_DB
92 3195951U, // ADD16rm
93 35701807U, // ADD16rr
94 0U, // ADD16rr_DB
95 68174895U, // ADD16rr_REV
96 24069U, // ADD32i32
97 1115183U, // ADD32mi
98 1115183U, // ADD32mi8
99 1115183U, // ADD32mr
100 35701807U, // ADD32ri
101 35701807U, // ADD32ri8
102 0U, // ADD32ri8_DB
103 0U, // ADD32ri_DB
104 4244527U, // ADD32rm
105 35701807U, // ADD32rr
106 0U, // ADD32rr_DB
107 68174895U, // ADD32rr_REV
108 24193U, // ADD64i32
109 1131567U, // ADD64mi32
110 1131567U, // ADD64mi8
111 1131567U, // ADD64mr
112 35701807U, // ADD64ri32
113 0U, // ADD64ri32_DB
114 35701807U, // ADD64ri8
115 0U, // ADD64ri8_DB
116 5293103U, // ADD64rm
117 35701807U, // ADD64rr
118 0U, // ADD64rr_DB
119 68174895U, // ADD64rr_REV
120 23852U, // ADD8i8
121 1147951U, // ADD8mi
122 1147951U, // ADD8mr
123 35701807U, // ADD8ri
124 6341679U, // ADD8rm
125 35701807U, // ADD8rr
126 68174895U, // ADD8rr_REV
127 202393348U, // ADDPDrm
128 68175620U, // ADDPDrr
129 202396850U, // ADDPSrm
130 68179122U, // ADDPSrr
131 235948506U, // ADDSDrm
132 235948506U, // ADDSDrm_Int
133 68176346U, // ADDSDrr
134 68176346U, // ADDSDrr_Int
135 269506381U, // ADDSSrm
136 269506381U, // ADDSSrm_Int
137 68179789U, // ADDSSrr
138 68179789U, // ADDSSrr_Int
139 202393283U, // ADDSUBPDrm
140 68175555U, // ADDSUBPDrr
141 202396785U, // ADDSUBPSrm
142 68179057U, // ADDSUBPSrr
143 115758U, // ADD_F32m
144 132142U, // ADD_F64m
145 33844U, // ADD_FI16m
146 66612U, // ADD_FI32m
147 20077U, // ADD_FPrST0
148 17454U, // ADD_FST0r
149 0U, // ADD_Fp32
150 0U, // ADD_Fp32m
151 0U, // ADD_Fp64
152 0U, // ADD_Fp64m
153 0U, // ADD_Fp64m32
154 0U, // ADD_Fp80
155 0U, // ADD_Fp80m32
156 0U, // ADD_Fp80m64
157 0U, // ADD_FpI16m32
158 0U, // ADD_FpI16m64
159 0U, // ADD_FpI16m80
160 0U, // ADD_FpI32m32
161 0U, // ADD_FpI32m64
162 0U, // ADD_FpI32m80
163 7357486U, // ADD_FrST0
164 9379U, // ADJCALLSTACKDOWN32
165 9379U, // ADJCALLSTACKDOWN64
166 9397U, // ADJCALLSTACKUP32
167 9397U, // ADJCALLSTACKUP64
168 101735476U, // ADOX32rm
169 135289908U, // ADOX32rr
170 168844340U, // ADOX64rm
171 135289908U, // ADOX64rr
172 303061135U, // AESDECLASTrm
173 68180111U, // AESDECLASTrr
174 303055765U, // AESDECrm
175 68174741U, // AESDECrr
176 303061148U, // AESENCLASTrm
177 68180124U, // AESENCLASTrr
178 303055783U, // AESENCrm
179 68174759U, // AESENCrr
180 336610206U, // AESIMCrm
181 135283614U, // AESIMCrr
182 1410357436U, // AESKEYGENASSIST128rm
183 1209030844U, // AESKEYGENASSIST128rr
184 23963U, // AND16i16
185 1082661U, // AND16mi
186 1082661U, // AND16mi8
187 1082661U, // AND16mr
188 35702053U, // AND16ri
189 35702053U, // AND16ri8
190 3196197U, // AND16rm
191 35702053U, // AND16rr
192 68175141U, // AND16rr_REV
193 24079U, // AND32i32
194 1115429U, // AND32mi
195 1115429U, // AND32mi8
196 1115429U, // AND32mr
197 35702053U, // AND32ri
198 35702053U, // AND32ri8
199 4244773U, // AND32rm
200 35702053U, // AND32rr
201 68175141U, // AND32rr_REV
202 24203U, // AND64i32
203 1131813U, // AND64mi32
204 1131813U, // AND64mi8
205 1131813U, // AND64mr
206 35702053U, // AND64ri32
207 35702053U, // AND64ri8
208 5293349U, // AND64rm
209 35702053U, // AND64rr
210 68175141U, // AND64rr_REV
211 23861U, // AND8i8
212 1148197U, // AND8mi
213 1148197U, // AND8mr
214 35702053U, // AND8ri
215 6341925U, // AND8rm
216 35702053U, // AND8rr
217 68175141U, // AND8rr_REV
218 1209028119U, // ANDN32rm
219 1209028119U, // ANDN32rr
220 1209028119U, // ANDN64rm
221 1209028119U, // ANDN64rr
222 202393567U, // ANDNPDrm
223 68175839U, // ANDNPDrr
224 202397081U, // ANDNPSrm
225 68179353U, // ANDNPSrr
226 202393394U, // ANDPDrm
227 68175666U, // ANDPDrr
228 202396896U, // ANDPSrm
229 68179168U, // ANDPSrr
230 1084831U, // ARPL16mr
231 135286175U, // ARPL16rr
232 8023U, // ATOMADD6432
233 8742U, // ATOMAND16
234 8236U, // ATOMAND32
235 8469U, // ATOMAND64
236 8044U, // ATOMAND6432
237 8896U, // ATOMAND8
238 8857U, // ATOMMAX16
239 8430U, // ATOMMAX32
240 8703U, // ATOMMAX64
241 8193U, // ATOMMAX6432
242 9023U, // ATOMMAX8
243 8781U, // ATOMMIN16
244 8315U, // ATOMMIN32
245 8588U, // ATOMMIN64
246 8087U, // ATOMMIN6432
247 8933U, // ATOMMIN8
248 8761U, // ATOMNAND16
249 8255U, // ATOMNAND32
250 8488U, // ATOMNAND64
251 8065U, // ATOMNAND6432
252 8914U, // ATOMNAND8
253 8820U, // ATOMOR16
254 8393U, // ATOMOR32
255 8666U, // ATOMOR64
256 8152U, // ATOMOR6432
257 8988U, // ATOMOR8
258 8002U, // ATOMSUB6432
259 8130U, // ATOMSWAP6432
260 8876U, // ATOMUMAX16
261 8449U, // ATOMUMAX32
262 8722U, // ATOMUMAX64
263 8214U, // ATOMUMAX6432
264 9041U, // ATOMUMAX8
265 8800U, // ATOMUMIN16
266 8334U, // ATOMUMIN32
267 8607U, // ATOMUMIN64
268 8108U, // ATOMUMIN6432
269 8951U, // ATOMUMIN8
270 8838U, // ATOMXOR16
271 8411U, // ATOMXOR32
272 8684U, // ATOMXOR64
273 8172U, // ATOMXOR6432
274 9005U, // ATOMXOR8
275 0U, // AVX2_SETALLONES
276 0U, // AVX512_512_SET0
277 0U, // AVX_SET0
278 1175474869U, // BEXTR32rm
279 1209029301U, // BEXTR32rr
280 1242583733U, // BEXTR64rm
281 1209029301U, // BEXTR64rr
282 1276135226U, // BLENDPDrmi
283 1141917498U, // BLENDPDrri
284 1276138728U, // BLENDPSrmi
285 1141921000U, // BLENDPSrri
286 202393677U, // BLENDVPDrm0
287 68175949U, // BLENDVPDrr0
288 202397232U, // BLENDVPSrm0
289 68179504U, // BLENDVPSrr0
290 101731695U, // BLSI32rm
291 135286127U, // BLSI32rr
292 168840559U, // BLSI64rm
293 135286127U, // BLSI64rr
294 101731709U, // BLSMSK32rm
295 135286141U, // BLSMSK32rr
296 168840573U, // BLSMSK64rm
297 135286141U, // BLSMSK64rr
298 101733024U, // BLSR32rm
299 135287456U, // BLSR32rr
300 168841888U, // BLSR64rm
301 135287456U, // BLSR64rr
302 370165069U, // BOUNDS16rm
303 101729613U, // BOUNDS32rm
304 370166886U, // BSF16rm
305 135285862U, // BSF16rr
306 101731430U, // BSF32rm
307 135285862U, // BSF32rr
308 168840294U, // BSF64rm
309 135285862U, // BSF64rr
310 370168455U, // BSR16rm
311 135287431U, // BSR16rr
312 101732999U, // BSR32rm
313 135287431U, // BSR32rr
314 168841863U, // BSR64rm
315 135287431U, // BSR64rr
316 20056U, // BSWAP32r
317 20056U, // BSWAP64r
318 1087502U, // BT16mi8
319 1087502U, // BT16mr
320 135288846U, // BT16ri8
321 135288846U, // BT16rr
322 1120270U, // BT32mi8
323 1120270U, // BT32mr
324 135288846U, // BT32ri8
325 135288846U, // BT32rr
326 1136654U, // BT64mi8
327 1136654U, // BT64mr
328 135288846U, // BT64ri8
329 135288846U, // BT64rr
330 1082292U, // BTC16mi8
331 1082292U, // BTC16mr
332 135283636U, // BTC16ri8
333 135283636U, // BTC16rr
334 1115060U, // BTC32mi8
335 1115060U, // BTC32mr
336 135283636U, // BTC32ri8
337 135283636U, // BTC32rr
338 1131444U, // BTC64mi8
339 1131444U, // BTC64mr
340 135283636U, // BTC64ri8
341 135283636U, // BTC64rr
342 1086118U, // BTR16mi8
343 1086118U, // BTR16mr
344 135287462U, // BTR16ri8
345 135287462U, // BTR16rr
346 1118886U, // BTR32mi8
347 1118886U, // BTR32mr
348 135287462U, // BTR32ri8
349 135287462U, // BTR32rr
350 1135270U, // BTR64mi8
351 1135270U, // BTR64mr
352 135287462U, // BTR64ri8
353 135287462U, // BTR64rr
354 1087484U, // BTS16mi8
355 1087484U, // BTS16mr
356 135288828U, // BTS16ri8
357 135288828U, // BTS16rr
358 1120252U, // BTS32mi8
359 1120252U, // BTS32mr
360 135288828U, // BTS32ri8
361 135288828U, // BTS32rr
362 1136636U, // BTS64mi8
363 1136636U, // BTS64mr
364 135288828U, // BTS64ri8
365 135288828U, // BTS64rr
366 1175473369U, // BZHI32rm
367 1209027801U, // BZHI32rr
368 1242582233U, // BZHI64rm
369 1209027801U, // BZHI64rr
370 69012U, // CALL32m
371 19860U, // CALL32r
372 85396U, // CALL64m
373 150932U, // CALL64pcrel32
374 19860U, // CALL64r
375 154218U, // CALLpcrel16
376 150932U, // CALLpcrel32
377 10432U, // CBW
378 10049U, // CDQ
379 9743U, // CDQE
380 10248U, // CHS_F
381 0U, // CHS_Fp32
382 0U, // CHS_Fp64
383 0U, // CHS_Fp80
384 9544U, // CLAC
385 9564U, // CLC
386 9614U, // CLD
387 101578U, // CLFLUSH
388 9827U, // CLGI
389 9837U, // CLI
390 10336U, // CLTS
391 9568U, // CMC
392 403718635U, // CMOVA16rm
393 68174315U, // CMOVA16rr
394 437273067U, // CMOVA32rm
395 68174315U, // CMOVA32rr
396 470827499U, // CMOVA64rm
397 68174315U, // CMOVA64rr
398 403721106U, // CMOVAE16rm
399 68176786U, // CMOVAE16rr
400 437275538U, // CMOVAE32rm
401 68176786U, // CMOVAE32rr
402 470829970U, // CMOVAE64rm
403 68176786U, // CMOVAE64rr
404 403719002U, // CMOVB16rm
405 68174682U, // CMOVB16rr
406 437273434U, // CMOVB32rm
407 68174682U, // CMOVB32rr
408 470827866U, // CMOVB64rm
409 68174682U, // CMOVB64rr
410 403721126U, // CMOVBE16rm
411 68176806U, // CMOVBE16rr
412 437275558U, // CMOVBE32rm
413 68176806U, // CMOVBE32rr
414 470829990U, // CMOVBE64rm
415 68176806U, // CMOVBE64rr
416 23747U, // CMOVBE_F
417 0U, // CMOVBE_Fp32
418 0U, // CMOVBE_Fp64
419 0U, // CMOVBE_Fp80
420 23715U, // CMOVB_F
421 0U, // CMOVB_Fp32
422 0U, // CMOVB_Fp64
423 0U, // CMOVB_Fp80
424 403721311U, // CMOVE16rm
425 68176991U, // CMOVE16rr
426 437275743U, // CMOVE32rm
427 68176991U, // CMOVE32rr
428 470830175U, // CMOVE64rm
429 68176991U, // CMOVE64rr
430 23779U, // CMOVE_F
431 0U, // CMOVE_Fp32
432 0U, // CMOVE_Fp64
433 0U, // CMOVE_Fp80
434 403721361U, // CMOVG16rm
435 68177041U, // CMOVG16rr
436 437275793U, // CMOVG32rm
437 68177041U, // CMOVG32rr
438 470830225U, // CMOVG64rm
439 68177041U, // CMOVG64rr
440 403721162U, // CMOVGE16rm
441 68176842U, // CMOVGE16rr
442 437275594U, // CMOVGE32rm
443 68176842U, // CMOVGE32rr
444 470830026U, // CMOVGE64rm
445 68176842U, // CMOVGE64rr
446 403721685U, // CMOVL16rm
447 68177365U, // CMOVL16rr
448 437276117U, // CMOVL32rm
449 68177365U, // CMOVL32rr
450 470830549U, // CMOVL64rm
451 68177365U, // CMOVL64rr
452 403721186U, // CMOVLE16rm
453 68176866U, // CMOVLE16rr
454 437275618U, // CMOVLE32rm
455 68176866U, // CMOVLE32rr
456 470830050U, // CMOVLE64rm
457 68176866U, // CMOVLE64rr
458 23730U, // CMOVNBE_F
459 0U, // CMOVNBE_Fp32
460 0U, // CMOVNBE_Fp64
461 0U, // CMOVNBE_Fp80
462 23699U, // CMOVNB_F
463 0U, // CMOVNB_Fp32
464 0U, // CMOVNB_Fp64
465 0U, // CMOVNB_Fp80
466 403721214U, // CMOVNE16rm
467 68176894U, // CMOVNE16rr
468 437275646U, // CMOVNE32rm
469 68176894U, // CMOVNE32rr
470 470830078U, // CMOVNE64rm
471 68176894U, // CMOVNE64rr
472 23763U, // CMOVNE_F
473 0U, // CMOVNE_Fp32
474 0U, // CMOVNE_Fp64
475 0U, // CMOVNE_Fp80
476 403721795U, // CMOVNO16rm
477 68177475U, // CMOVNO16rr
478 437276227U, // CMOVNO32rm
479 68177475U, // CMOVNO32rr
480 470830659U, // CMOVNO64rm
481 68177475U, // CMOVNO64rr
482 403721907U, // CMOVNP16rm
483 68177587U, // CMOVNP16rr
484 437276339U, // CMOVNP32rm
485 68177587U, // CMOVNP32rr
486 470830771U, // CMOVNP64rm
487 68177587U, // CMOVNP64rr
488 23794U, // CMOVNP_F
489 0U, // CMOVNP_Fp32
490 0U, // CMOVNP_Fp64
491 0U, // CMOVNP_Fp80
492 403722999U, // CMOVNS16rm
493 68178679U, // CMOVNS16rr
494 437277431U, // CMOVNS32rm
495 68178679U, // CMOVNS32rr
496 470831863U, // CMOVNS64rm
497 68178679U, // CMOVNS64rr
498 403721809U, // CMOVO16rm
499 68177489U, // CMOVO16rr
500 437276241U, // CMOVO32rm
501 68177489U, // CMOVO32rr
502 470830673U, // CMOVO64rm
503 68177489U, // CMOVO64rr
504 403722036U, // CMOVP16rm
505 68177716U, // CMOVP16rr
506 437276468U, // CMOVP32rm
507 68177716U, // CMOVP32rr
508 470830900U, // CMOVP64rm
509 68177716U, // CMOVP64rr
510 23810U, // CMOVP_F
511 0U, // CMOVP_Fp32
512 0U, // CMOVP_Fp64
513 0U, // CMOVP_Fp80
514 403724295U, // CMOVS16rm
515 68179975U, // CMOVS16rr
516 437278727U, // CMOVS32rm
517 68179975U, // CMOVS32rr
518 470833159U, // CMOVS64rm
519 68179975U, // CMOVS64rr
520 8374U, // CMOV_FR32
521 8647U, // CMOV_FR64
522 7962U, // CMOV_GR16
523 7942U, // CMOV_GR32
524 8970U, // CMOV_GR8
525 8354U, // CMOV_RFP32
526 8627U, // CMOV_RFP64
527 7982U, // CMOV_RFP80
528 8508U, // CMOV_V2F64
529 8548U, // CMOV_V2I64
530 8275U, // CMOV_V4F32
531 8528U, // CMOV_V4F64
532 8568U, // CMOV_V4I64
533 8295U, // CMOV_V8F32
534 23990U, // CMP16i16
535 1085061U, // CMP16mi
536 1085061U, // CMP16mi8
537 1085061U, // CMP16mr
538 135286405U, // CMP16ri
539 135286405U, // CMP16ri8
540 370167429U, // CMP16rm
541 135286405U, // CMP16rr
542 135286405U, // CMP16rr_REV
543 24109U, // CMP32i32
544 1117829U, // CMP32mi
545 1117829U, // CMP32mi8
546 1117829U, // CMP32mr
547 135286405U, // CMP32ri
548 135286405U, // CMP32ri8
549 101731973U, // CMP32rm
550 135286405U, // CMP32rr
551 135286405U, // CMP32rr_REV
552 24224U, // CMP64i32
553 1134213U, // CMP64mi32
554 1134213U, // CMP64mi8
555 1134213U, // CMP64mr
556 135286405U, // CMP64ri32
557 135286405U, // CMP64ri8
558 168840837U, // CMP64rm
559 135286405U, // CMP64rr
560 135286405U, // CMP64rr_REV
561 23878U, // CMP8i8
562 1150597U, // CMP8mi
563 1150597U, // CMP8mr
564 135286405U, // CMP8ri
565 504385157U, // CMP8rm
566 135286405U, // CMP8rr
567 135286405U, // CMP8rr_REV
568 209889044U, // CMPPDrmi
569 1276135416U, // CMPPDrmi_alt
570 75687700U, // CMPPDrri
571 1141917688U, // CMPPDrri_alt
572 210937620U, // CMPPSrmi
573 1276138938U, // CMPPSrmi_alt
574 76736276U, // CMPPSrri
575 1141921210U, // CMPPSrri_alt
576 10458U, // CMPS16
577 9645U, // CMPS32
578 10088U, // CMPS64
579 9516U, // CMPS8
580 245540628U, // CMPSDrm
581 1309690424U, // CMPSDrm_alt
582 77784852U, // CMPSDrr
583 1141918264U, // CMPSDrr_alt
584 280143636U, // CMPSSrm
585 1343248298U, // CMPSSrm_alt
586 78833428U, // CMPSSrr
587 1141921706U, // CMPSSrr_alt
588 197106U, // CMPXCHG16B
589 1084534U, // CMPXCHG16rm
590 135285878U, // CMPXCHG16rr
591 1117302U, // CMPXCHG32rm
592 135285878U, // CMPXCHG32rr
593 1133686U, // CMPXCHG64rm
594 135285878U, // CMPXCHG64rr
595 82430U, // CMPXCHG8B
596 1150070U, // CMPXCHG8rm
597 135285878U, // CMPXCHG8rr
598 537938445U, // COMISDrm
599 135285261U, // COMISDrr
600 537941888U, // COMISSrm
601 135288704U, // COMISSrr
602 20112U, // COMP_FST0r
603 19736U, // COM_FIPr
604 19679U, // COM_FIr
605 19937U, // COM_FST0r
606 10308U, // COS_F
607 0U, // COS_Fp32
608 0U, // COS_Fp64
609 0U, // COS_Fp80
610 9608U, // CPUID
611 9982U, // CQO
612 3194958U, // CRC32r32m16
613 4243534U, // CRC32r32m32
614 6340686U, // CRC32r32m8
615 35700814U, // CRC32r32r16
616 35700814U, // CRC32r32r32
617 35700814U, // CRC32r32r8
618 5292110U, // CRC32r64m64
619 6340686U, // CRC32r64m8
620 35700814U, // CRC32r64r64
621 35700814U, // CRC32r64r8
622 10178U, // CS_PREFIX
623 168838690U, // CVTDQ2PDrm
624 135284258U, // CVTDQ2PDrr
625 336614371U, // CVTDQ2PSrm
626 135287779U, // CVTDQ2PSrr
627 537939887U, // CVTPD2DQrm
628 135286703U, // CVTPD2DQrr
629 537940908U, // CVTPD2PSrm
630 135287724U, // CVTPD2PSrr
631 537939919U, // CVTPS2DQrm
632 135286735U, // CVTPS2DQrr
633 571491897U, // CVTPS2PDrm
634 135284281U, // CVTPS2PDrr
635 571493710U, // CVTSD2SI64rm
636 135286094U, // CVTSD2SI64rr
637 571493710U, // CVTSD2SIrm
638 135286094U, // CVTSD2SIrr
639 571496140U, // CVTSD2SSrm
640 135288524U, // CVTSD2SSrr
641 168839505U, // CVTSI2SD64rm
642 135285073U, // CVTSI2SD64rr
643 101730641U, // CVTSI2SDrm
644 135285073U, // CVTSI2SDrr
645 168842967U, // CVTSI2SS64rm
646 135288535U, // CVTSI2SS64rr
647 101734103U, // CVTSI2SSrm
648 135288535U, // CVTSI2SSrr
649 605047132U, // CVTSS2SDrm
650 135285084U, // CVTSS2SDrr
651 605048165U, // CVTSS2SI64rm
652 135286117U, // CVTSS2SI64rr
653 605048165U, // CVTSS2SIrm
654 135286117U, // CVTSS2SIrr
655 537939875U, // CVTTPD2DQrm
656 135286691U, // CVTTPD2DQrr
657 537939907U, // CVTTPS2DQrm
658 135286723U, // CVTTPS2DQrr
659 571493698U, // CVTTSD2SI64rm
660 135286082U, // CVTTSD2SI64rr
661 571493698U, // CVTTSD2SIrm
662 135286082U, // CVTTSD2SIrr
663 605048153U, // CVTTSS2SI64rm
664 135286105U, // CVTTSS2SI64rr
665 605048153U, // CVTTSS2SIrm
666 135286105U, // CVTTSS2SIrr
667 9684U, // CWD
668 9716U, // CWDE
669 9444U, // DAA
670 10164U, // DAS
671 9325U, // DATA16_PREFIX
672 33688U, // DEC16m
673 17304U, // DEC16r
674 66456U, // DEC32m
675 17304U, // DEC32r
676 33688U, // DEC64_16m
677 17304U, // DEC64_16r
678 66456U, // DEC64_32m
679 17304U, // DEC64_32r
680 82840U, // DEC64m
681 17304U, // DEC64r
682 99224U, // DEC8m
683 17304U, // DEC8r
684 39168U, // DIV16m
685 22784U, // DIV16r
686 71936U, // DIV32m
687 22784U, // DIV32r
688 88320U, // DIV64m
689 22784U, // DIV64r
690 104704U, // DIV8m
691 22784U, // DIV8r
692 202393688U, // DIVPDrm
693 68175960U, // DIVPDrr
694 202397243U, // DIVPSrm
695 68179515U, // DIVPSrr
696 119484U, // DIVR_F32m
697 135868U, // DIVR_F64m
698 37571U, // DIVR_FI16m
699 70339U, // DIVR_FI32m
700 20179U, // DIVR_FPrST0
701 21180U, // DIVR_FST0r
702 0U, // DIVR_Fp32m
703 0U, // DIVR_Fp64m
704 0U, // DIVR_Fp64m32
705 0U, // DIVR_Fp80m32
706 0U, // DIVR_Fp80m64
707 0U, // DIVR_FpI16m32
708 0U, // DIVR_FpI16m64
709 0U, // DIVR_FpI16m80
710 0U, // DIVR_FpI32m32
711 0U, // DIVR_FpI32m64
712 0U, // DIVR_FpI32m80
713 7361212U, // DIVR_FrST0
714 235948640U, // DIVSDrm
715 235948640U, // DIVSDrm_Int
716 68176480U, // DIVSDrr
717 68176480U, // DIVSDrr_Int
718 269506524U, // DIVSSrm
719 269506524U, // DIVSSrm_Int
720 68179932U, // DIVSSrr
721 68179932U, // DIVSSrr_Int
722 121087U, // DIV_F32m
723 137471U, // DIV_F64m
724 39173U, // DIV_FI16m
725 71941U, // DIV_FI32m
726 20269U, // DIV_FPrST0
727 22783U, // DIV_FST0r
728 0U, // DIV_Fp32
729 0U, // DIV_Fp32m
730 0U, // DIV_Fp64
731 0U, // DIV_Fp64m
732 0U, // DIV_Fp64m32
733 0U, // DIV_Fp80
734 0U, // DIV_Fp80m32
735 0U, // DIV_Fp80m64
736 0U, // DIV_FpI16m32
737 0U, // DIV_FpI16m64
738 0U, // DIV_FpI16m80
739 0U, // DIV_FpI32m32
740 0U, // DIV_FpI32m64
741 0U, // DIV_FpI32m80
742 7362815U, // DIV_FrST0
743 1276135408U, // DPPDrmi
744 1141917680U, // DPPDrri
745 1276138930U, // DPPSrmi
746 1141921202U, // DPPSrri
747 10186U, // DS_PREFIX
748 24279U, // EH_RETURN
749 24279U, // EH_RETURN64
750 9134U, // EH_SjLj_LongJmp32
751 9238U, // EH_SjLj_LongJmp64
752 9153U, // EH_SjLj_SetJmp32
753 9257U, // EH_SjLj_SetJmp64
754 151325U, // EH_SjLj_Setup
755 135287368U, // ENTER
756 10201U, // ES_PREFIX
757 1074910698U, // EXTRACTPSmr
758 1209030122U, // EXTRACTPSrr
759 35705204U, // EXTRQ
760 639684980U, // EXTRQI
761 9120U, // F2XM1
762 135286163U, // FARCALL16i
763 216467U, // FARCALL16m
764 135286163U, // FARCALL32i
765 216467U, // FARCALL32m
766 216467U, // FARCALL64
767 135286410U, // FARJMP16i
768 216714U, // FARJMP16m
769 135286410U, // FARJMP32i
770 216714U, // FARJMP32m
771 216714U, // FARJMP64
772 115907U, // FBLDm
773 118497U, // FBSTPm
774 118241U, // FCOM32m
775 134625U, // FCOM64m
776 118416U, // FCOMP32m
777 134800U, // FCOMP64m
778 10018U, // FCOMPP
779 10033U, // FDECSTP
780 10298U, // FEMMS
781 19374U, // FFREE
782 36327U, // FICOM16m
783 69095U, // FICOM32m
784 36503U, // FICOMP16m
785 69271U, // FICOMP32m
786 10041U, // FINCSTP
787 39344U, // FLDCW16m
788 121100U, // FLDENVm
789 9688U, // FLDL2E
790 10341U, // FLDL2T
791 9219U, // FLDLG2
792 9226U, // FLDLN2
793 9841U, // FLDPI
794 10659U, // FNCLEX
795 10374U, // FNINIT
796 10013U, // FNOP
797 39351U, // FNSTCW16m
798 10486U, // FNSTSW16r
799 121711U, // FNSTSWm
800 0U, // FP32_TO_INT16_IN_MEM
801 0U, // FP32_TO_INT32_IN_MEM
802 0U, // FP32_TO_INT64_IN_MEM
803 0U, // FP64_TO_INT16_IN_MEM
804 0U, // FP64_TO_INT32_IN_MEM
805 0U, // FP64_TO_INT64_IN_MEM
806 0U, // FP80_TO_INT16_IN_MEM
807 0U, // FP80_TO_INT32_IN_MEM
808 0U, // FP80_TO_INT64_IN_MEM
809 9937U, // FPATAN
810 9927U, // FPREM
811 9113U, // FPREM1
812 9944U, // FPTAN
813 10393U, // FRNDINT
814 119401U, // FRSTORm
815 117839U, // FSAVEm
816 9721U, // FSCALE
817 10313U, // FSINCOS
818 121108U, // FSTENVm
819 10216U, // FS_PREFIX
820 9922U, // FXAM
821 217713U, // FXRSTOR
822 217431U, // FXRSTOR64
823 216151U, // FXSAVE
824 217287U, // FXSAVE64
825 10348U, // FXTRACT
826 10480U, // FYL2X
827 9126U, // FYL2XP1
828 0U, // FpPOP_RETVAL
829 202393567U, // FsANDNPDrm
830 68175839U, // FsANDNPDrr
831 202397081U, // FsANDNPSrm
832 68179353U, // FsANDNPSrr
833 202393394U, // FsANDPDrm
834 68175666U, // FsANDPDrr
835 202396896U, // FsANDPSrm
836 68179168U, // FsANDPSrr
837 0U, // FsFLD0SD
838 0U, // FsFLD0SS
839 537937584U, // FsMOVAPDrm
840 135284400U, // FsMOVAPDrr
841 537941094U, // FsMOVAPSrm
842 135287910U, // FsMOVAPSrr
843 202393625U, // FsORPDrm
844 68175897U, // FsORPDrr
845 202397147U, // FsORPSrm
846 68179419U, // FsORPSrr
847 537937583U, // FsVMOVAPDrm
848 135284399U, // FsVMOVAPDrr
849 537941093U, // FsVMOVAPSrm
850 135287909U, // FsVMOVAPSrr
851 202393632U, // FsXORPDrm
852 68175904U, // FsXORPDrr
853 202397154U, // FsXORPSrm
854 68179426U, // FsXORPSrr
855 10231U, // GS_PREFIX
856 202393356U, // HADDPDrm
857 68175628U, // HADDPDrr
858 202396858U, // HADDPSrm
859 68179130U, // HADDPSrr
860 10389U, // HLT
861 202393305U, // HSUBPDrm
862 68175577U, // HSUBPDrr
863 202396807U, // HSUBPSrm
864 68179079U, // HSUBPSrr
865 39174U, // IDIV16m
866 22790U, // IDIV16r
867 71942U, // IDIV32m
868 22790U, // IDIV32r
869 88326U, // IDIV64m
870 22790U, // IDIV64r
871 104710U, // IDIV8m
872 22790U, // IDIV8r
873 34006U, // ILD_F16m
874 66774U, // ILD_F32m
875 83158U, // ILD_F64m
876 0U, // ILD_Fp16m32
877 0U, // ILD_Fp16m64
878 0U, // ILD_Fp16m80
879 0U, // ILD_Fp32m32
880 0U, // ILD_Fp32m64
881 0U, // ILD_Fp32m80
882 0U, // ILD_Fp64m32
883 0U, // ILD_Fp64m64
884 0U, // ILD_Fp64m80
885 36303U, // IMUL16m
886 19919U, // IMUL16r
887 403721679U, // IMUL16rm
888 1443909071U, // IMUL16rmi
889 1443909071U, // IMUL16rmi8
890 68177359U, // IMUL16rr
891 1209028047U, // IMUL16rri
892 1209028047U, // IMUL16rri8
893 69071U, // IMUL32m
894 19919U, // IMUL32r
895 437276111U, // IMUL32rm
896 1175473615U, // IMUL32rmi
897 1175473615U, // IMUL32rmi8
898 68177359U, // IMUL32rr
899 1209028047U, // IMUL32rri
900 1209028047U, // IMUL32rri8
901 85455U, // IMUL64m
902 19919U, // IMUL64r
903 470830543U, // IMUL64rm
904 1242582479U, // IMUL64rmi32
905 1242582479U, // IMUL64rmi8
906 68177359U, // IMUL64rr
907 1209028047U, // IMUL64rri32
908 1209028047U, // IMUL64rri8
909 101839U, // IMUL8m
910 19919U, // IMUL8r
911 10304U, // IN16
912 23982U, // IN16ri
913 10638U, // IN16rr
914 10304U, // IN32
915 24100U, // IN32ri
916 10648U, // IN32rr
917 10304U, // IN8
918 23870U, // IN8ri
919 10628U, // IN8rr
920 33711U, // INC16m
921 17327U, // INC16r
922 66479U, // INC32m
923 17327U, // INC32r
924 33711U, // INC64_16m
925 17327U, // INC64_16r
926 66479U, // INC64_32m
927 17327U, // INC64_32r
928 82863U, // INC64m
929 17327U, // INC64r
930 99247U, // INC8m
931 17327U, // INC8r
932 1343247872U, // INSERTPSrm
933 1141921280U, // INSERTPSrr
934 35705245U, // INSERTQ
935 1713426845U, // INSERTQI
936 22618U, // INT
937 9233U, // INT3
938 9986U, // INTO
939 9679U, // INVD
940 336615524U, // INVEPT32
941 336615524U, // INVEPT64
942 101507U, // INVLPG
943 10594U, // INVLPGA32
944 10611U, // INVLPGA64
945 336610481U, // INVPCID32
946 336610481U, // INVPCID64
947 336610490U, // INVVPID32
948 336610490U, // INVVPID64
949 10356U, // IRET16
950 9667U, // IRET32
951 10104U, // IRET64
952 36597U, // ISTT_FP16m
953 69365U, // ISTT_FP32m
954 85749U, // ISTT_FP64m
955 0U, // ISTT_Fp16m32
956 0U, // ISTT_Fp16m64
957 0U, // ISTT_Fp16m80
958 0U, // ISTT_Fp32m32
959 0U, // ISTT_Fp32m64
960 0U, // ISTT_Fp32m80
961 0U, // ISTT_Fp64m32
962 0U, // ISTT_Fp64m64
963 0U, // ISTT_Fp64m80
964 39093U, // IST_F16m
965 71861U, // IST_F32m
966 36590U, // IST_FP16m
967 69358U, // IST_FP32m
968 85742U, // IST_FP64m
969 0U, // IST_Fp16m32
970 0U, // IST_Fp16m64
971 0U, // IST_Fp16m80
972 0U, // IST_Fp32m32
973 0U, // IST_Fp32m64
974 0U, // IST_Fp32m80
975 0U, // IST_Fp64m32
976 0U, // IST_Fp64m64
977 0U, // IST_Fp64m80
978 245540628U, // Int_CMPSDrm
979 77784852U, // Int_CMPSDrr
980 280143636U, // Int_CMPSSrm
981 78833428U, // Int_CMPSSrr
982 537938445U, // Int_COMISDrm
983 135285261U, // Int_COMISDrr
984 537941888U, // Int_COMISSrm
985 135288704U, // Int_COMISSrr
986 1209030348U, // Int_CVTSD2SSrm
987 1209030348U, // Int_CVTSD2SSrr
988 470829393U, // Int_CVTSI2SD64rm
989 68176209U, // Int_CVTSI2SD64rr
990 437274961U, // Int_CVTSI2SDrm
991 68176209U, // Int_CVTSI2SDrr
992 470832855U, // Int_CVTSI2SS64rm
993 68179671U, // Int_CVTSI2SS64rr
994 437278423U, // Int_CVTSI2SSrm
995 68179671U, // Int_CVTSI2SSrr
996 269502812U, // Int_CVTSS2SDrm
997 68176220U, // Int_CVTSS2SDrr
998 571493698U, // Int_CVTTSD2SI64rm
999 135286082U, // Int_CVTTSD2SI64rr
1000 571493698U, // Int_CVTTSD2SIrm
1001 135286082U, // Int_CVTTSD2SIrr
1002 605048153U, // Int_CVTTSS2SI64rm
1003 135286105U, // Int_CVTTSS2SI64rr
1004 605048153U, // Int_CVTTSS2SIrm
1005 135286105U, // Int_CVTTSS2SIrr
1006 9413U, // Int_MemBarrier
1007 537938444U, // Int_UCOMISDrm
1008 135285260U, // Int_UCOMISDrr
1009 537941887U, // Int_UCOMISSrm
1010 135288703U, // Int_UCOMISSrr
1011 1218684696U, // Int_VCMPSDrm
1012 1218701080U, // Int_VCMPSDrr
1013 1219733272U, // Int_VCMPSSrm
1014 1219749656U, // Int_VCMPSSrr
1015 537938453U, // Int_VCOMISDZrm
1016 135285269U, // Int_VCOMISDZrr
1017 537938453U, // Int_VCOMISDrm
1018 135285269U, // Int_VCOMISDrr
1019 537941896U, // Int_VCOMISSZrm
1020 135288712U, // Int_VCOMISSZrr
1021 537941896U, // Int_VCOMISSrm
1022 135288712U, // Int_VCOMISSrr
1023 1209030347U, // Int_VCVTSD2SSrm
1024 1209030347U, // Int_VCVTSD2SSrr
1025 1209026896U, // Int_VCVTSI2SD64rm
1026 1209026896U, // Int_VCVTSI2SD64rr
1027 1209026896U, // Int_VCVTSI2SDrm
1028 1209026896U, // Int_VCVTSI2SDrr
1029 1209030358U, // Int_VCVTSI2SS64rm
1030 1209030358U, // Int_VCVTSI2SS64rr
1031 1209030358U, // Int_VCVTSI2SSrm
1032 1209030358U, // Int_VCVTSI2SSrr
1033 1209026907U, // Int_VCVTSS2SDrm
1034 1209026907U, // Int_VCVTSS2SDrr
1035 571493697U, // Int_VCVTTSD2SI64rm
1036 135286081U, // Int_VCVTTSD2SI64rr
1037 571493697U, // Int_VCVTTSD2SIrm
1038 135286081U, // Int_VCVTTSD2SIrr
1039 605048152U, // Int_VCVTTSS2SI64rm
1040 135286104U, // Int_VCVTTSS2SI64rr
1041 605048152U, // Int_VCVTTSS2SIrm
1042 135286104U, // Int_VCVTTSS2SIrr
1043 537938443U, // Int_VUCOMISDZrm
1044 135285259U, // Int_VUCOMISDZrr
1045 537938443U, // Int_VUCOMISDrm
1046 135285259U, // Int_VUCOMISDrr
1047 537941886U, // Int_VUCOMISSZrm
1048 135288702U, // Int_VUCOMISSZrr
1049 537941886U, // Int_VUCOMISSrm
1050 135288702U, // Int_VUCOMISSrr
1051 150406U, // JAE_1
1052 150406U, // JAE_4
1053 147904U, // JA_1
1054 147904U, // JA_4
1055 150426U, // JBE_1
1056 150426U, // JBE_4
1057 148053U, // JB_1
1058 148053U, // JB_4
1059 154758U, // JCXZ
1060 154751U, // JECXZ_32
1061 154751U, // JECXZ_64
1062 150482U, // JE_1
1063 150482U, // JE_4
1064 150453U, // JGE_1
1065 150453U, // JGE_4
1066 150655U, // JG_1
1067 150655U, // JG_4
1068 150486U, // JLE_1
1069 150486U, // JLE_4
1070 150927U, // JL_1
1071 150927U, // JL_4
1072 69259U, // JMP32m
1073 20107U, // JMP32r
1074 85643U, // JMP64m
1075 151847U, // JMP64pcrel32
1076 20107U, // JMP64r
1077 151179U, // JMP_1
1078 151179U, // JMP_4
1079 150506U, // JNE_1
1080 150506U, // JNE_4
1081 151095U, // JNO_1
1082 151095U, // JNO_4
1083 151207U, // JNP_1
1084 151207U, // JNP_4
1085 152299U, // JNS_1
1086 152299U, // JNS_4
1087 151091U, // JO_1
1088 151091U, // JO_4
1089 151162U, // JP_1
1090 151162U, // JP_4
1091 154764U, // JRCXZ
1092 152295U, // JS_1
1093 152295U, // JS_4
1094 1209031112U, // KADDWrr
1095 1209031324U, // KANDNWrr
1096 1209031127U, // KANDWrr
1097 135289855U, // KMOVWkk
1098 370170879U, // KMOVWkm
1099 135289855U, // KMOVWkr
1100 1088511U, // KMOVWmk
1101 135289855U, // KMOVWrk
1102 135289758U, // KNOTWrr
1103 135289795U, // KORTESTWrr
1104 1209031396U, // KORWrr
1105 0U, // KSET0B
1106 0U, // KSET0W
1107 0U, // KSET1B
1108 0U, // KSET1W
1109 1209031306U, // KSHIFTLWri
1110 1209031426U, // KSHIFTRWri
1111 135289787U, // KTESTWrr
1112 1209031016U, // KUNPCKBWrr
1113 1209031402U, // KXNORWrr
1114 1209031410U, // KXORWrr
1115 9792U, // LAHF
1116 370168361U, // LAR16rm
1117 135287337U, // LAR16rr
1118 370168361U, // LAR32rm
1119 135287337U, // LAR32rr
1120 370168361U, // LAR64rm
1121 135287337U, // LAR64rr
1122 1084534U, // LCMPXCHG16
1123 197106U, // LCMPXCHG16B
1124 1117302U, // LCMPXCHG32
1125 1133686U, // LCMPXCHG64
1126 1150070U, // LCMPXCHG8
1127 82430U, // LCMPXCHG8B
1128 336615650U, // LDDQUrm
1129 70285U, // LDMXCSR
1130 672158419U, // LDS16rm
1131 672158419U, // LDS32rm
1132 10666U, // LD_F0
1133 9108U, // LD_F1
1134 115913U, // LD_F32m
1135 132297U, // LD_F64m
1136 263369U, // LD_F80m
1137 0U, // LD_Fp032
1138 0U, // LD_Fp064
1139 0U, // LD_Fp080
1140 0U, // LD_Fp132
1141 0U, // LD_Fp164
1142 0U, // LD_Fp180
1143 0U, // LD_Fp32m
1144 0U, // LD_Fp32m64
1145 0U, // LD_Fp32m80
1146 0U, // LD_Fp64m
1147 0U, // LD_Fp64m80
1148 0U, // LD_Fp80m
1149 17609U, // LD_Frr
1150 101728699U, // LEA16r
1151 101728699U, // LEA32r
1152 101728699U, // LEA64_32r
1153 168837563U, // LEA64r
1154 9779U, // LEAVE
1155 9779U, // LEAVE64
1156 672158424U, // LES16rm
1157 672158424U, // LES32rm
1158 9695U, // LFENCE
1159 672158429U, // LFS16rm
1160 672158429U, // LFS32rm
1161 672158429U, // LFS64rm
1162 219154U, // LGDT16m
1163 219154U, // LGDTm
1164 672158434U, // LGS16rm
1165 672158434U, // LGS32rm
1166 672158434U, // LGS64rm
1167 219166U, // LIDT16m
1168 219166U, // LIDTm
1169 38954U, // LLDT16m
1170 22570U, // LLDT16r
1171 39759U, // LMSW16m
1172 23375U, // LMSW16r
1173 1082415U, // LOCK_ADD16mi
1174 1082415U, // LOCK_ADD16mi8
1175 1082415U, // LOCK_ADD16mr
1176 1115183U, // LOCK_ADD32mi
1177 1115183U, // LOCK_ADD32mi8
1178 1115183U, // LOCK_ADD32mr
1179 1131567U, // LOCK_ADD64mi32
1180 1131567U, // LOCK_ADD64mi8
1181 1131567U, // LOCK_ADD64mr
1182 1147951U, // LOCK_ADD8mi
1183 1147951U, // LOCK_ADD8mr
1184 1082661U, // LOCK_AND16mi
1185 1082661U, // LOCK_AND16mi8
1186 1082661U, // LOCK_AND16mr
1187 1115429U, // LOCK_AND32mi
1188 1115429U, // LOCK_AND32mi8
1189 1115429U, // LOCK_AND32mr
1190 1131813U, // LOCK_AND64mi32
1191 1131813U, // LOCK_AND64mi8
1192 1131813U, // LOCK_AND64mr
1193 1148197U, // LOCK_AND8mi
1194 1148197U, // LOCK_AND8mr
1195 33688U, // LOCK_DEC16m
1196 66456U, // LOCK_DEC32m
1197 82840U, // LOCK_DEC64m
1198 99224U, // LOCK_DEC8m
1199 33711U, // LOCK_INC16m
1200 66479U, // LOCK_INC32m
1201 82863U, // LOCK_INC64m
1202 99247U, // LOCK_INC8m
1203 1086048U, // LOCK_OR16mi
1204 1086048U, // LOCK_OR16mi8
1205 1086048U, // LOCK_OR16mr
1206 1118816U, // LOCK_OR32mi
1207 1118816U, // LOCK_OR32mi8
1208 1118816U, // LOCK_OR32mr
1209 1135200U, // LOCK_OR64mi32
1210 1135200U, // LOCK_OR64mi8
1211 1135200U, // LOCK_OR64mr
1212 1151584U, // LOCK_OR8mi
1213 1151584U, // LOCK_OR8mr
1214 9866U, // LOCK_PREFIX
1215 1082170U, // LOCK_SUB16mi
1216 1082170U, // LOCK_SUB16mi8
1217 1082170U, // LOCK_SUB16mr
1218 1114938U, // LOCK_SUB32mi
1219 1114938U, // LOCK_SUB32mi8
1220 1114938U, // LOCK_SUB32mr
1221 1131322U, // LOCK_SUB64mi32
1222 1131322U, // LOCK_SUB64mi8
1223 1131322U, // LOCK_SUB64mr
1224 1147706U, // LOCK_SUB8mi
1225 1147706U, // LOCK_SUB8mr
1226 1086076U, // LOCK_XOR16mi
1227 1086076U, // LOCK_XOR16mi8
1228 1086076U, // LOCK_XOR16mr
1229 1118844U, // LOCK_XOR32mi
1230 1118844U, // LOCK_XOR32mi8
1231 1118844U, // LOCK_XOR32mr
1232 1135228U, // LOCK_XOR64mi32
1233 1135228U, // LOCK_XOR64mi8
1234 1135228U, // LOCK_XOR64mr
1235 1151612U, // LOCK_XOR8mi
1236 1151612U, // LOCK_XOR8mr
1237 9500U, // LODSB
1238 9629U, // LODSD
1239 10072U, // LODSQ
1240 10442U, // LODSW
1241 151232U, // LOOP
1242 150534U, // LOOPE
1243 150511U, // LOOPNE
1244 19563U, // LRETI
1245 19563U, // LRETIW
1246 9813U, // LRETL
1247 9813U, // LRETQ
1248 9813U, // LRETW
1249 370167228U, // LSL16rm
1250 135286204U, // LSL16rr
1251 101731772U, // LSL32rm
1252 135286204U, // LSL32rr
1253 168840636U, // LSL64rm
1254 135286204U, // LSL64rr
1255 672159636U, // LSS16rm
1256 672159636U, // LSS32rm
1257 672159636U, // LSS64rm
1258 37547U, // LTRm
1259 21163U, // LTRr
1260 279611U, // LXADD16
1261 295995U, // LXADD32
1262 312379U, // LXADD64
1263 328763U, // LXADD8
1264 370169932U, // LZCNT16rm
1265 135288908U, // LZCNT16rr
1266 101734476U, // LZCNT32rm
1267 135288908U, // LZCNT32rr
1268 168843340U, // LZCNT64rm
1269 135288908U, // LZCNT64rr
1270 135289066U, // MASKMOVDQU
1271 135289066U, // MASKMOVDQU64
1272 202393708U, // MAXCPDrm
1273 68175980U, // MAXCPDrr
1274 202397263U, // MAXCPSrm
1275 68179535U, // MAXCPSrr
1276 235948657U, // MAXCSDrm
1277 68176497U, // MAXCSDrr
1278 269506540U, // MAXCSSrm
1279 68179948U, // MAXCSSrr
1280 202393708U, // MAXPDrm
1281 68175980U, // MAXPDrr
1282 202397263U, // MAXPSrm
1283 68179535U, // MAXPSrr
1284 235948657U, // MAXSDrm
1285 235948657U, // MAXSDrm_Int
1286 68176497U, // MAXSDrr
1287 68176497U, // MAXSDrr_Int
1288 269506540U, // MAXSSrm
1289 269506540U, // MAXSSrm_Int
1290 68179948U, // MAXSSrr
1291 68179948U, // MAXSSrr_Int
1292 9702U, // MFENCE
1293 202393576U, // MINCPDrm
1294 68175848U, // MINCPDrr
1295 202397090U, // MINCPSrm
1296 68179362U, // MINCPSrr
1297 235948584U, // MINCSDrm
1298 68176424U, // MINCSDrr
1299 269506458U, // MINCSSrm
1300 68179866U, // MINCSSrr
1301 202393576U, // MINPDrm
1302 68175848U, // MINPDrr
1303 202397090U, // MINPSrm
1304 68179362U, // MINPSrr
1305 235948584U, // MINSDrm
1306 235948584U, // MINSDrm_Int
1307 68176424U, // MINSDrr
1308 68176424U, // MINSDrr_Int
1309 269506458U, // MINSSrm
1310 269506458U, // MINSSrm_Int
1311 68179866U, // MINSSrr
1312 68179866U, // MINSSrr_Int
1313 537939193U, // MMX_CVTPD2PIirm
1314 135286009U, // MMX_CVTPD2PIirr
1315 168838667U, // MMX_CVTPI2PDirm
1316 135284235U, // MMX_CVTPI2PDirr
1317 470832076U, // MMX_CVTPI2PSirm
1318 68178892U, // MMX_CVTPI2PSirr
1319 571493646U, // MMX_CVTPS2PIirm
1320 135286030U, // MMX_CVTPS2PIirr
1321 537939182U, // MMX_CVTTPD2PIirm
1322 135285998U, // MMX_CVTTPD2PIirr
1323 571493635U, // MMX_CVTTPS2PIirm
1324 135286019U, // MMX_CVTTPS2PIirr
1325 10299U, // MMX_EMMS
1326 135287267U, // MMX_MASKMOVQ
1327 135287267U, // MMX_MASKMOVQ64
1328 135285486U, // MMX_MOVD64from64rr
1329 135285486U, // MMX_MOVD64grr
1330 1116910U, // MMX_MOVD64mr
1331 101731054U, // MMX_MOVD64rm
1332 135285486U, // MMX_MOVD64rr
1333 135285486U, // MMX_MOVD64rrv164
1334 135285486U, // MMX_MOVD64to64rr
1335 135286614U, // MMX_MOVDQ2Qrr
1336 135286614U, // MMX_MOVFR642Qrr
1337 1134989U, // MMX_MOVNTQmr
1338 135286713U, // MMX_MOVQ2DQrr
1339 135286713U, // MMX_MOVQ2FR64rr
1340 1135079U, // MMX_MOVQ64mr
1341 168841703U, // MMX_MOVQ64rm
1342 135287271U, // MMX_MOVQ64rr
1343 101731054U, // MMX_MOVZDI2PDIrm
1344 135285486U, // MMX_MOVZDI2PDIrr
1345 168837816U, // MMX_PABSBrm64
1346 135283384U, // MMX_PABSBrr64
1347 168839603U, // MMX_PABSDrm64
1348 135285171U, // MMX_PABSDrr64
1349 168844054U, // MMX_PABSWrm64
1350 135289622U, // MMX_PABSWrr64
1351 470833641U, // MMX_PACKSSDWirm
1352 68180457U, // MMX_PACKSSDWirr
1353 470827874U, // MMX_PACKSSWBirm
1354 68174690U, // MMX_PACKSSWBirr
1355 470827885U, // MMX_PACKUSWBirm
1356 68174701U, // MMX_PACKUSWBirr
1357 470827551U, // MMX_PADDBirm
1358 68174367U, // MMX_PADDBirr
1359 470828107U, // MMX_PADDDirm
1360 68174923U, // MMX_PADDDirr
1361 470831076U, // MMX_PADDQirm
1362 68177892U, // MMX_PADDQirr
1363 470827721U, // MMX_PADDSBirm
1364 68174537U, // MMX_PADDSBirr
1365 470833991U, // MMX_PADDSWirm
1366 68180807U, // MMX_PADDSWirr
1367 470827749U, // MMX_PADDUSBirm
1368 68174565U, // MMX_PADDUSBirr
1369 470834050U, // MMX_PADDUSWirm
1370 68180866U, // MMX_PADDUSWirr
1371 470833616U, // MMX_PADDWirm
1372 68180432U, // MMX_PADDWirr
1373 1544573525U, // MMX_PALIGNR64irm
1374 1141920341U, // MMX_PALIGNR64irr
1375 470830614U, // MMX_PANDNirm
1376 68177430U, // MMX_PANDNirr
1377 470828324U, // MMX_PANDirm
1378 68175140U, // MMX_PANDirr
1379 470827598U, // MMX_PAVGBirm
1380 68174414U, // MMX_PAVGBirr
1381 470833708U, // MMX_PAVGWirm
1382 68180524U, // MMX_PAVGWirr
1383 470827646U, // MMX_PCMPEQBirm
1384 68174462U, // MMX_PCMPEQBirr
1385 470829181U, // MMX_PCMPEQDirm
1386 68175997U, // MMX_PCMPEQDirr
1387 470833838U, // MMX_PCMPEQWirm
1388 68180654U, // MMX_PCMPEQWirr
1389 470827783U, // MMX_PCMPGTBirm
1390 68174599U, // MMX_PCMPGTBirr
1391 470829706U, // MMX_PCMPGTDirm
1392 68176522U, // MMX_PCMPGTDirr
1393 470834069U, // MMX_PCMPGTWirm
1394 68180885U, // MMX_PCMPGTWirr
1395 1209031437U, // MMX_PEXTRWirri
1396 470833981U, // MMX_PHADDSWrm64
1397 68180797U, // MMX_PHADDSWrr64
1398 470833600U, // MMX_PHADDWrm64
1399 68180416U, // MMX_PHADDWrr64
1400 470828098U, // MMX_PHADDrm64
1401 68174914U, // MMX_PHADDrr64
1402 470828039U, // MMX_PHSUBDrm64
1403 68174855U, // MMX_PHSUBDrr64
1404 470833962U, // MMX_PHSUBSWrm64
1405 68180778U, // MMX_PHSUBSWrr64
1406 470833546U, // MMX_PHSUBWrm64
1407 68180362U, // MMX_PHSUBWrr64
1408 1477466874U, // MMX_PINSRWirmi
1409 1141922554U, // MMX_PINSRWirri
1410 470833950U, // MMX_PMADDUBSWrm64
1411 68180766U, // MMX_PMADDUBSWrr64
1412 470829840U, // MMX_PMADDWDirm
1413 68176656U, // MMX_PMADDWDirr
1414 470834060U, // MMX_PMAXSWirm
1415 68180876U, // MMX_PMAXSWirr
1416 470827847U, // MMX_PMAXUBirm
1417 68174663U, // MMX_PMAXUBirr
1418 470834012U, // MMX_PMINSWirm
1419 68180828U, // MMX_PMINSWirr
1420 470827824U, // MMX_PMINUBirm
1421 68174640U, // MMX_PMINUBirr
1422 135283290U, // MMX_PMOVMSKBrr
1423 470834021U, // MMX_PMULHRSWrm64
1424 68180837U, // MMX_PMULHRSWrr64
1425 470834126U, // MMX_PMULHUWirm
1426 68180942U, // MMX_PMULHUWirr
1427 470833737U, // MMX_PMULHWirm
1428 68180553U, // MMX_PMULHWirr
1429 470833786U, // MMX_PMULLWirm
1430 68180602U, // MMX_PMULLWirr
1431 470831263U, // MMX_PMULUDQirm
1432 68178079U, // MMX_PMULUDQirr
1433 470831711U, // MMX_PORirm
1434 68178527U, // MMX_PORirr
1435 470833473U, // MMX_PSADBWirm
1436 68180289U, // MMX_PSADBWirr
1437 470827589U, // MMX_PSHUFBrm64
1438 68174405U, // MMX_PSHUFBrr64
1439 1242585635U, // MMX_PSHUFWmi
1440 1209031203U, // MMX_PSHUFWri
1441 470827637U, // MMX_PSIGNBrm64
1442 68174453U, // MMX_PSIGNBrr64
1443 470828357U, // MMX_PSIGNDrm64
1444 68175173U, // MMX_PSIGNDrr64
1445 470833829U, // MMX_PSIGNWrm64
1446 68180645U, // MMX_PSIGNWrr64
1447 68175069U, // MMX_PSLLDri
1448 470828253U, // MMX_PSLLDrm
1449 68175069U, // MMX_PSLLDrr
1450 68178137U, // MMX_PSLLQri
1451 470831321U, // MMX_PSLLQrm
1452 68178137U, // MMX_PSLLQrr
1453 68180594U, // MMX_PSLLWri
1454 470833778U, // MMX_PSLLWrm
1455 68180594U, // MMX_PSLLWrr
1456 68174826U, // MMX_PSRADri
1457 470828010U, // MMX_PSRADrm
1458 68174826U, // MMX_PSRADrr
1459 68180270U, // MMX_PSRAWri
1460 470833454U, // MMX_PSRAWrm
1461 68180270U, // MMX_PSRAWrr
1462 68175086U, // MMX_PSRLDri
1463 470828270U, // MMX_PSRLDrm
1464 68175086U, // MMX_PSRLDrr
1465 68178145U, // MMX_PSRLQri
1466 470831329U, // MMX_PSRLQrm
1467 68178145U, // MMX_PSRLQrr
1468 68180611U, // MMX_PSRLWri
1469 470833795U, // MMX_PSRLWrm
1470 68180611U, // MMX_PSRLWrr
1471 470827543U, // MMX_PSUBBirm
1472 68174359U, // MMX_PSUBBirr
1473 470828048U, // MMX_PSUBDirm
1474 68174864U, // MMX_PSUBDirr
1475 470830981U, // MMX_PSUBQirm
1476 68177797U, // MMX_PSUBQirr
1477 470827712U, // MMX_PSUBSBirm
1478 68174528U, // MMX_PSUBSBirr
1479 470833972U, // MMX_PSUBSWirm
1480 68180788U, // MMX_PSUBSWirr
1481 470827739U, // MMX_PSUBUSBirm
1482 68174555U, // MMX_PSUBUSBirr
1483 470834040U, // MMX_PSUBUSWirm
1484 68180856U, // MMX_PSUBUSWirr
1485 470833555U, // MMX_PSUBWirm
1486 68180371U, // MMX_PSUBWirr
1487 470833501U, // MMX_PUNPCKHBWirm
1488 68180317U, // MMX_PUNPCKHBWirr
1489 470831094U, // MMX_PUNPCKHDQirm
1490 68177910U, // MMX_PUNPCKHDQirr
1491 470829850U, // MMX_PUNPCKHWDirm
1492 68176666U, // MMX_PUNPCKHWDirr
1493 470833523U, // MMX_PUNPCKLBWirm
1494 68180339U, // MMX_PUNPCKLBWirr
1495 470831106U, // MMX_PUNPCKLDQirm
1496 68177922U, // MMX_PUNPCKLDQirr
1497 470829862U, // MMX_PUNPCKLWDirm
1498 68176678U, // MMX_PUNPCKLWDirr
1499 470831739U, // MMX_PXORirm
1500 68178555U, // MMX_PXORirr
1501 0U, // MONITOR
1502 10130U, // MONITORrrr
1503 9914U, // MONTMUL
1504 0U, // MORESTACK_RET
1505 0U, // MORESTACK_RET_RESTORE_R10
1506 12933408U, // MOV16ao16
1507 1087776U, // MOV16mi
1508 1087776U, // MOV16mr
1509 1087776U, // MOV16ms
1510 351710U, // MOV16o16a
1511 135289120U, // MOV16ri
1512 370170144U, // MOV16rm
1513 135289120U, // MOV16rr
1514 135289120U, // MOV16rr_REV
1515 135289120U, // MOV16rs
1516 370170144U, // MOV16sm
1517 135289120U, // MOV16sr
1518 13998368U, // MOV32ao32
1519 135289120U, // MOV32cr
1520 135289120U, // MOV32dr
1521 1120544U, // MOV32mi
1522 1120544U, // MOV32mr
1523 1120544U, // MOV32ms
1524 368217U, // MOV32o32a
1525 0U, // MOV32r0
1526 135289120U, // MOV32rc
1527 135289120U, // MOV32rd
1528 135289120U, // MOV32ri
1529 0U, // MOV32ri64
1530 101734688U, // MOV32rm
1531 135289120U, // MOV32rr
1532 135289120U, // MOV32rr_REV
1533 135289120U, // MOV32rs
1534 101734688U, // MOV32sm
1535 135289120U, // MOV32sr
1536 12931787U, // MOV64ao16
1537 13996747U, // MOV64ao32
1538 15061707U, // MOV64ao64
1539 16126667U, // MOV64ao8
1540 135289120U, // MOV64cr
1541 135289120U, // MOV64dr
1542 1136928U, // MOV64mi32
1543 1136928U, // MOV64mr
1544 1136928U, // MOV64ms
1545 351688U, // MOV64o16a
1546 368193U, // MOV64o32a
1547 384692U, // MOV64o64a
1548 400728U, // MOV64o8a
1549 135289120U, // MOV64rc
1550 135289120U, // MOV64rd
1551 135287499U, // MOV64ri
1552 135289120U, // MOV64ri32
1553 168843552U, // MOV64rm
1554 135289120U, // MOV64rr
1555 135289120U, // MOV64rr_REV
1556 135289120U, // MOV64rs
1557 168843552U, // MOV64sm
1558 135289120U, // MOV64sr
1559 135287271U, // MOV64toPQIrr
1560 168841703U, // MOV64toSDrm
1561 135287271U, // MOV64toSDrr
1562 16128288U, // MOV8ao8
1563 1153312U, // MOV8mi
1564 1153312U, // MOV8mr
1565 2148636960U, // MOV8mr_NOREX
1566 400750U, // MOV8o8a
1567 135289120U, // MOV8ri
1568 504387872U, // MOV8rm
1569 2651871520U, // MOV8rm_NOREX
1570 135289120U, // MOV8rr
1571 2282772768U, // MOV8rr_NOREX
1572 135289120U, // MOV8rr_REV
1573 411312U, // MOVAPDmr
1574 537937584U, // MOVAPDrm
1575 135284400U, // MOVAPDrr
1576 135284400U, // MOVAPDrr_REV
1577 414822U, // MOVAPSmr
1578 537941094U, // MOVAPSrm
1579 135287910U, // MOVAPSrr
1580 135287910U, // MOVAPSrr_REV
1581 1084327U, // MOVBE16mr
1582 370166695U, // MOVBE16rm
1583 1117095U, // MOVBE32mr
1584 101731239U, // MOVBE32rm
1585 1133479U, // MOVBE64mr
1586 168840103U, // MOVBE64rm
1587 571494142U, // MOVDDUPrm
1588 135286526U, // MOVDDUPrr
1589 101731054U, // MOVDI2PDIrm
1590 135285486U, // MOVDI2PDIrr
1591 101731054U, // MOVDI2SSrm
1592 135285486U, // MOVDI2SSrr
1593 1245648U, // MOVDQAmr
1594 336609744U, // MOVDQArm
1595 135283152U, // MOVDQArr
1596 135283152U, // MOVDQArr_REV
1597 1251566U, // MOVDQUmr
1598 336615662U, // MOVDQUrm
1599 135289070U, // MOVDQUrr
1600 135289070U, // MOVDQUrr_REV
1601 68179284U, // MOVHLPSrr
1602 1181576U, // MOVHPDmr
1603 235947912U, // MOVHPDrm
1604 1185088U, // MOVHPSmr
1605 235951424U, // MOVHPSrm
1606 68179254U, // MOVLHPSrr
1607 1181626U, // MOVLPDmr
1608 235947962U, // MOVLPDrm
1609 1185148U, // MOVLPSmr
1610 235951484U, // MOVLPSrm
1611 1135079U, // MOVLQ128mr
1612 135284625U, // MOVMSKPDrr32
1613 135284625U, // MOVMSKPDrr64
1614 135288137U, // MOVMSKPSrr32
1615 135288137U, // MOVMSKPSrr64
1616 336609733U, // MOVNTDQArm
1617 413808U, // MOVNTDQmr
1618 1133941U, // MOVNTI_64mr
1619 1117557U, // MOVNTImr
1620 411688U, // MOVNTPDmr
1621 415222U, // MOVNTPSmr
1622 1182271U, // MOVNTSD
1623 1169329U, // MOVNTSS
1624 0U, // MOVPC32r
1625 1116910U, // MOVPDI2DImr
1626 135285486U, // MOVPDI2DIrr
1627 1135079U, // MOVPQI2QImr
1628 135287271U, // MOVPQIto64rr
1629 168841703U, // MOVQI2PQIrm
1630 135287271U, // MOVQxrxr
1631 9532U, // MOVSB
1632 9661U, // MOVSD
1633 1182312U, // MOVSDmr
1634 571492968U, // MOVSDrm
1635 68176488U, // MOVSDrr
1636 68176488U, // MOVSDrr_REV
1637 1135079U, // MOVSDto64mr
1638 135287271U, // MOVSDto64rr
1639 537939720U, // MOVSHDUPrm
1640 135286536U, // MOVSHDUPrr
1641 537939731U, // MOVSLDUPrm
1642 135286547U, // MOVSLDUPrr
1643 10098U, // MOVSQ
1644 1116910U, // MOVSS2DImr
1645 135285486U, // MOVSS2DIrr
1646 1169380U, // MOVSSmr
1647 605050852U, // MOVSSrm
1648 68179940U, // MOVSSrr
1649 68179940U, // MOVSSrr_REV
1650 10474U, // MOVSW
1651 504388721U, // MOVSX16rm8
1652 135289969U, // MOVSX16rr8
1653 370170993U, // MOVSX32rm16
1654 504388721U, // MOVSX32rm8
1655 135289969U, // MOVSX32rr16
1656 135289969U, // MOVSX32rr8
1657 370170993U, // MOVSX64rm16
1658 101731198U, // MOVSX64rm32
1659 504388721U, // MOVSX64rm8
1660 135289969U, // MOVSX64rr16
1661 135285630U, // MOVSX64rr32
1662 135289969U, // MOVSX64rr8
1663 411716U, // MOVUPDmr
1664 537937988U, // MOVUPDrm
1665 135284804U, // MOVUPDrr
1666 135284804U, // MOVUPDrr_REV
1667 415271U, // MOVUPSmr
1668 537941543U, // MOVUPSrm
1669 135288359U, // MOVUPSrr
1670 135288359U, // MOVUPSrr_REV
1671 101731054U, // MOVZDI2PDIrm
1672 135285486U, // MOVZDI2PDIrr
1673 336613863U, // MOVZPQILo2PQIrm
1674 135287271U, // MOVZPQILo2PQIrr
1675 168841703U, // MOVZQI2PQIrm
1676 135287271U, // MOVZQI2PQIrr
1677 504388728U, // MOVZX16rm8
1678 135289976U, // MOVZX16rr8
1679 504388728U, // MOVZX32_NOREXrm8
1680 135289976U, // MOVZX32_NOREXrr8
1681 370171000U, // MOVZX32rm16
1682 504388728U, // MOVZX32rm8
1683 135289976U, // MOVZX32rr16
1684 135289976U, // MOVZX32rr8
1685 370171000U, // MOVZX64rm16_Q
1686 504388728U, // MOVZX64rm8_Q
1687 135289976U, // MOVZX64rr16_Q
1688 135289976U, // MOVZX64rr8_Q
1689 1376803136U, // MPSADBWrmi
1690 1141922112U, // MPSADBWrri
1691 36297U, // MUL16m
1692 19913U, // MUL16r
1693 69065U, // MUL32m
1694 19913U, // MUL32r
1695 85449U, // MUL64m
1696 19913U, // MUL64r
1697 101833U, // MUL8m
1698 19913U, // MUL8r
1699 202393522U, // MULPDrm
1700 68175794U, // MULPDrr
1701 202397044U, // MULPSrm
1702 68179316U, // MULPSrr
1703 235948575U, // MULSDrm
1704 235948575U, // MULSDrm_Int
1705 68176415U, // MULSDrr
1706 68176415U, // MULSDrr_Int
1707 269506450U, // MULSSrm
1708 269506450U, // MULSSrm_Int
1709 68179858U, // MULSSrr
1710 68179858U, // MULSSrr_Int
1711 1209031726U, // MULX32rm
1712 1209031726U, // MULX32rr
1713 1209031726U, // MULX64rm
1714 1209031726U, // MULX64rr
1715 118216U, // MUL_F32m
1716 134600U, // MUL_F64m
1717 36302U, // MUL_FI16m
1718 69070U, // MUL_FI32m
1719 20094U, // MUL_FPrST0
1720 19912U, // MUL_FST0r
1721 0U, // MUL_Fp32
1722 0U, // MUL_Fp32m
1723 0U, // MUL_Fp64
1724 0U, // MUL_Fp64m
1725 0U, // MUL_Fp64m32
1726 0U, // MUL_Fp80
1727 0U, // MUL_Fp80m32
1728 0U, // MUL_Fp80m64
1729 0U, // MUL_FpI16m32
1730 0U, // MUL_FpI16m64
1731 0U, // MUL_FpI16m80
1732 0U, // MUL_FpI32m32
1733 0U, // MUL_FpI32m64
1734 0U, // MUL_FpI32m80
1735 7359944U, // MUL_FrST0
1736 10368U, // MWAITrr
1737 35953U, // NEG16m
1738 19569U, // NEG16r
1739 68721U, // NEG32m
1740 19569U, // NEG32r
1741 85105U, // NEG64m
1742 19569U, // NEG64r
1743 101489U, // NEG8m
1744 19569U, // NEG8r
1745 10014U, // NOOP
1746 69307U, // NOOPL
1747 36539U, // NOOPW
1748 39007U, // NOT16m
1749 22623U, // NOT16r
1750 71775U, // NOT32m
1751 22623U, // NOT32r
1752 88159U, // NOT64m
1753 22623U, // NOT64r
1754 104543U, // NOT8m
1755 22623U, // NOT8r
1756 24000U, // OR16i16
1757 1086048U, // OR16mi
1758 1086048U, // OR16mi8
1759 1086048U, // OR16mr
1760 35705440U, // OR16ri
1761 35705440U, // OR16ri8
1762 3199584U, // OR16rm
1763 35705440U, // OR16rr
1764 68178528U, // OR16rr_REV
1765 24120U, // OR32i32
1766 1118816U, // OR32mi
1767 1118816U, // OR32mi8
1768 1118816U, // OR32mr
1769 1118816U, // OR32mrLocked
1770 35705440U, // OR32ri
1771 35705440U, // OR32ri8
1772 4248160U, // OR32rm
1773 35705440U, // OR32rr
1774 68178528U, // OR32rr_REV
1775 24235U, // OR64i32
1776 1135200U, // OR64mi32
1777 1135200U, // OR64mi8
1778 1135200U, // OR64mr
1779 35705440U, // OR64ri32
1780 35705440U, // OR64ri8
1781 5296736U, // OR64rm
1782 35705440U, // OR64rr
1783 68178528U, // OR64rr_REV
1784 23888U, // OR8i8
1785 1151584U, // OR8mi
1786 1151584U, // OR8mr
1787 35705440U, // OR8ri
1788 6345312U, // OR8rm
1789 35705440U, // OR8rr
1790 68178528U, // OR8rr_REV
1791 202393625U, // ORPDrm
1792 68175897U, // ORPDrr
1793 202397147U, // ORPSrm
1794 68179419U, // ORPSrr
1795 12605654U, // OUT16ir
1796 10496U, // OUT16rr
1797 13654230U, // OUT32ir
1798 10550U, // OUT32rr
1799 15751382U, // OUT8ir
1800 9871U, // OUT8rr
1801 9522U, // OUTSB
1802 9651U, // OUTSD
1803 10464U, // OUTSW
1804 336609976U, // PABSBrm128
1805 135283384U, // PABSBrr128
1806 336611763U, // PABSDrm128
1807 135285171U, // PABSDrr128
1808 336616214U, // PABSWrm128
1809 135289622U, // PABSWrr128
1810 303061481U, // PACKSSDWrm
1811 68180457U, // PACKSSDWrr
1812 303055714U, // PACKSSWBrm
1813 68174690U, // PACKSSWBrr
1814 303061492U, // PACKUSDWrm
1815 68180468U, // PACKUSDWrr
1816 303055725U, // PACKUSWBrm
1817 68174701U, // PACKUSWBrr
1818 303055391U, // PADDBrm
1819 68174367U, // PADDBrr
1820 303055947U, // PADDDrm
1821 68174923U, // PADDDrr
1822 303058916U, // PADDQrm
1823 68177892U, // PADDQrr
1824 303055561U, // PADDSBrm
1825 68174537U, // PADDSBrr
1826 303061831U, // PADDSWrm
1827 68180807U, // PADDSWrr
1828 303055589U, // PADDUSBrm
1829 68174565U, // PADDUSBrr
1830 303061890U, // PADDUSWrm
1831 68180866U, // PADDUSWrr
1832 303061456U, // PADDWrm
1833 68180432U, // PADDWrr
1834 1376801365U, // PALIGNR128rm
1835 1141920341U, // PALIGNR128rr
1836 303058454U, // PANDNrm
1837 68177430U, // PANDNrr
1838 303056164U, // PANDrm
1839 68175140U, // PANDrr
1840 9773U, // PAUSE
1841 303055438U, // PAVGBrm
1842 68174414U, // PAVGBrr
1843 470827758U, // PAVGUSBrm
1844 68174574U, // PAVGUSBrr
1845 303061548U, // PAVGWrm
1846 68180524U, // PAVGWrr
1847 303055696U, // PBLENDVBrm0
1848 68174672U, // PBLENDVBrr0
1849 1376803295U, // PBLENDWrmi
1850 1141922271U, // PBLENDWrri
1851 1376800843U, // PCLMULQDQrm
1852 1141919819U, // PCLMULQDQrr
1853 303055486U, // PCMPEQBrm
1854 68174462U, // PCMPEQBrr
1855 303057021U, // PCMPEQDrm
1856 68175997U, // PCMPEQDrr
1857 303059246U, // PCMPEQQrm
1858 68178222U, // PCMPEQQrr
1859 303061678U, // PCMPEQWrm
1860 68180654U, // PCMPEQWrr
1861 0U, // PCMPESTRIMEM
1862 0U, // PCMPESTRIREG
1863 1410354474U, // PCMPESTRIrm
1864 1209027882U, // PCMPESTRIrr
1865 0U, // PCMPESTRM128MEM
1866 0U, // PCMPESTRM128REG
1867 1410354686U, // PCMPESTRM128rm
1868 1209028094U, // PCMPESTRM128rr
1869 303055623U, // PCMPGTBrm
1870 68174599U, // PCMPGTBrr
1871 303057546U, // PCMPGTDrm
1872 68176522U, // PCMPGTDrr
1873 303059332U, // PCMPGTQrm
1874 68178308U, // PCMPGTQrr
1875 303061909U, // PCMPGTWrm
1876 68180885U, // PCMPGTWrr
1877 0U, // PCMPISTRIMEM
1878 0U, // PCMPISTRIREG
1879 1410354486U, // PCMPISTRIrm
1880 1209027894U, // PCMPISTRIrr
1881 0U, // PCMPISTRM128MEM
1882 0U, // PCMPISTRM128REG
1883 1410354698U, // PCMPISTRM128rm
1884 1209028106U, // PCMPISTRM128rr
1885 1209028212U, // PDEP32rm
1886 1209028212U, // PDEP32rr
1887 1209028212U, // PDEP64rm
1888 1209028212U, // PDEP64rr
1889 1209030875U, // PEXT32rm
1890 1209030875U, // PEXT32rr
1891 1209030875U, // PEXT64rm
1892 1209030875U, // PEXT64rr
1893 1074889391U, // PEXTRBmr
1894 1209025199U, // PEXTRBrr
1895 1074858204U, // PEXTRDmr
1896 1209026780U, // PEXTRDrr
1897 1074876787U, // PEXTRQmr
1898 1209028979U, // PEXTRQrr
1899 1074830093U, // PEXTRWmr
1900 1209031437U, // PEXTRWri
1901 168838314U, // PF2IDrm
1902 135283882U, // PF2IDrr
1903 168843857U, // PF2IWrm
1904 135289425U, // PF2IWrr
1905 470827895U, // PFACCrm
1906 68174711U, // PFACCrr
1907 470828077U, // PFADDrm
1908 68174893U, // PFADDrr
1909 470831294U, // PFCMPEQrm
1910 68178110U, // PFCMPEQrr
1911 470830010U, // PFCMPGErm
1912 68176826U, // PFCMPGErr
1913 470833211U, // PFCMPGTrm
1914 68180027U, // PFCMPGTrr
1915 470834203U, // PFMAXrm
1916 68181019U, // PFMAXrr
1917 470830629U, // PFMINrm
1918 68177445U, // PFMINrr
1919 470830535U, // PFMULrm
1920 68177351U, // PFMULrr
1921 470827902U, // PFNACCrm
1922 68174718U, // PFNACCrr
1923 470827910U, // PFPNACCrm
1924 68174726U, // PFPNACCrr
1925 470827055U, // PFRCPIT1rm
1926 68173871U, // PFRCPIT1rr
1927 470827151U, // PFRCPIT2rm
1928 68173967U, // PFRCPIT2rr
1929 168840806U, // PFRCPrm
1930 135286374U, // PFRCPrr
1931 470827065U, // PFRSQIT1rm
1932 68173881U, // PFRSQIT1rr
1933 168843390U, // PFRSQRTrm
1934 135288958U, // PFRSQRTrr
1935 470831667U, // PFSUBRrm
1936 68178483U, // PFSUBRrr
1937 470827832U, // PFSUBrm
1938 68174648U, // PFSUBrr
1939 303055938U, // PHADDDrm
1940 68174914U, // PHADDDrr
1941 303061821U, // PHADDSWrm128
1942 68180797U, // PHADDSWrr128
1943 303061440U, // PHADDWrm
1944 68180416U, // PHADDWrr
1945 336616426U, // PHMINPOSUWrm128
1946 135289834U, // PHMINPOSUWrr128
1947 303055879U, // PHSUBDrm
1948 68174855U, // PHSUBDrr
1949 303061802U, // PHSUBSWrm128
1950 68180778U, // PHSUBSWrr128
1951 303061386U, // PHSUBWrm
1952 68180362U, // PHSUBWrr
1953 168838298U, // PI2FDrm
1954 135283866U, // PI2FDrr
1955 168843804U, // PI2FWrm
1956 135289372U, // PI2FWrr
1957 705708710U, // PINSRBrm
1958 1141916326U, // PINSRBrr
1959 1511016659U, // PINSRDrm
1960 1141917907U, // PINSRDrr
1961 1544573290U, // PINSRQrm
1962 1141920106U, // PINSRQrr
1963 1477466874U, // PINSRWrmi
1964 1141922554U, // PINSRWrri
1965 303061790U, // PMADDUBSWrm128
1966 68180766U, // PMADDUBSWrr128
1967 303057680U, // PMADDWDrm
1968 68176656U, // PMADDWDrr
1969 303055608U, // PMAXSBrm
1970 68174584U, // PMAXSBrr
1971 303057520U, // PMAXSDrm
1972 68176496U, // PMAXSDrr
1973 303061900U, // PMAXSWrm
1974 68180876U, // PMAXSWrr
1975 303055687U, // PMAXUBrm
1976 68174663U, // PMAXUBrr
1977 303057605U, // PMAXUDrm
1978 68176581U, // PMAXUDrr
1979 303062007U, // PMAXUWrm
1980 68180983U, // PMAXUWrr
1981 303055570U, // PMINSBrm
1982 68174546U, // PMINSBrr
1983 303057447U, // PMINSDrm
1984 68176423U, // PMINSDrr
1985 303061852U, // PMINSWrm
1986 68180828U, // PMINSWrr
1987 303055664U, // PMINUBrm
1988 68174640U, // PMINUBrr
1989 303057587U, // PMINUDrm
1990 68176563U, // PMINUDrr
1991 303061985U, // PMINUWrm
1992 68180961U, // PMINUWrr
1993 135283290U, // PMOVMSKBrr
1994 101729304U, // PMOVSXBDrm
1995 135283736U, // PMOVSXBDrr
1996 370167693U, // PMOVSXBQrm
1997 135286669U, // PMOVSXBQrr
1998 168843675U, // PMOVSXBWrm
1999 135289243U, // PMOVSXBWrr
2000 168841385U, // PMOVSXDQrm
2001 135286953U, // PMOVSXDQrr
2002 168840041U, // PMOVSXWDrm
2003 135285609U, // PMOVSXWDrr
2004 101732875U, // PMOVSXWQrm
2005 135287307U, // PMOVSXWQrr
2006 101729315U, // PMOVZXBDrm
2007 135283747U, // PMOVZXBDrr
2008 370167704U, // PMOVZXBQrm
2009 135286680U, // PMOVZXBQrr
2010 168843686U, // PMOVZXBWrm
2011 135289254U, // PMOVZXBWrr
2012 168841396U, // PMOVZXDQrm
2013 135286964U, // PMOVZXDQrr
2014 168840052U, // PMOVZXWDrm
2015 135285620U, // PMOVZXWDrr
2016 101732886U, // PMOVZXWQrm
2017 135287318U, // PMOVZXWQrr
2018 303058976U, // PMULDQrm
2019 68177952U, // PMULDQrr
2020 303061861U, // PMULHRSWrm128
2021 68180837U, // PMULHRSWrr128
2022 470833883U, // PMULHRWrm
2023 68180699U, // PMULHRWrr
2024 303061966U, // PMULHUWrm
2025 68180942U, // PMULHUWrr
2026 303061577U, // PMULHWrm
2027 68180553U, // PMULHWrr
2028 303056101U, // PMULLDrm
2029 68175077U, // PMULLDrr
2030 303061626U, // PMULLWrm
2031 68180602U, // PMULLWrr
2032 303059103U, // PMULUDQrm
2033 68178079U, // PMULUDQrr
2034 20166U, // POP16r
2035 36550U, // POP16rmm
2036 20166U, // POP16rmr
2037 20166U, // POP32r
2038 69318U, // POP32rmm
2039 20166U, // POP32rmr
2040 20166U, // POP64r
2041 85702U, // POP64rmm
2042 20166U, // POP64rmr
2043 9454U, // POPA32
2044 370169924U, // POPCNT16rm
2045 135288900U, // POPCNT16rr
2046 101734468U, // POPCNT32rm
2047 135288900U, // POPCNT32rr
2048 168843332U, // POPCNT64rm
2049 135288900U, // POPCNT64rr
2050 10189U, // POPDS16
2051 10189U, // POPDS32
2052 10204U, // POPES16
2053 10204U, // POPES32
2054 9808U, // POPF16
2055 9602U, // POPF32
2056 10060U, // POPF64
2057 10219U, // POPFS16
2058 10219U, // POPFS32
2059 10219U, // POPFS64
2060 10234U, // POPGS16
2061 10234U, // POPGS32
2062 10234U, // POPGS64
2063 10329U, // POPSS16
2064 10329U, // POPSS32
2065 303059551U, // PORrm
2066 68178527U, // PORrr
2067 101528U, // PREFETCH
2068 98782U, // PREFETCHNTA
2069 98305U, // PREFETCHT0
2070 98339U, // PREFETCHT1
2071 98435U, // PREFETCHT2
2072 105011U, // PREFETCHW
2073 303061313U, // PSADBWrm
2074 68180289U, // PSADBWrr
2075 303055429U, // PSHUFBrm
2076 68174405U, // PSHUFBrr
2077 1410352290U, // PSHUFDmi
2078 1209025698U, // PSHUFDri
2079 1410357823U, // PSHUFHWmi
2080 1209031231U, // PSHUFHWri
2081 1410357849U, // PSHUFLWmi
2082 1209031257U, // PSHUFLWri
2083 303055477U, // PSIGNBrm
2084 68174453U, // PSIGNBrr
2085 303056197U, // PSIGNDrm
2086 68175173U, // PSIGNDrr
2087 303061669U, // PSIGNWrm
2088 68180645U, // PSIGNWrr
2089 68177934U, // PSLLDQri
2090 68175069U, // PSLLDri
2091 303056093U, // PSLLDrm
2092 68175069U, // PSLLDrr
2093 68178137U, // PSLLQri
2094 303059161U, // PSLLQrm
2095 68178137U, // PSLLQrr
2096 68180594U, // PSLLWri
2097 303061618U, // PSLLWrm
2098 68180594U, // PSLLWrr
2099 68174826U, // PSRADri
2100 303055850U, // PSRADrm
2101 68174826U, // PSRADrr
2102 68180270U, // PSRAWri
2103 303061294U, // PSRAWrm
2104 68180270U, // PSRAWrr
2105 68177943U, // PSRLDQri
2106 68175086U, // PSRLDri
2107 303056110U, // PSRLDrm
2108 68175086U, // PSRLDrr
2109 68178145U, // PSRLQri
2110 303059169U, // PSRLQrm
2111 68178145U, // PSRLQrr
2112 68180611U, // PSRLWri
2113 303061635U, // PSRLWrm
2114 68180611U, // PSRLWrr
2115 303055383U, // PSUBBrm
2116 68174359U, // PSUBBrr
2117 303055888U, // PSUBDrm
2118 68174864U, // PSUBDrr
2119 303058821U, // PSUBQrm
2120 68177797U, // PSUBQrr
2121 303055552U, // PSUBSBrm
2122 68174528U, // PSUBSBrr
2123 303061812U, // PSUBSWrm
2124 68180788U, // PSUBSWrr
2125 303055579U, // PSUBUSBrm
2126 68174555U, // PSUBUSBrr
2127 303061880U, // PSUBUSWrm
2128 68180856U, // PSUBUSWrr
2129 303061395U, // PSUBWrm
2130 68180371U, // PSUBWrr
2131 168838840U, // PSWAPDrm
2132 135284408U, // PSWAPDrr
2133 537942185U, // PTESTrm
2134 135289001U, // PTESTrr
2135 303061341U, // PUNPCKHBWrm
2136 68180317U, // PUNPCKHBWrr
2137 303058934U, // PUNPCKHDQrm
2138 68177910U, // PUNPCKHDQrr
2139 303058993U, // PUNPCKHQDQrm
2140 68177969U, // PUNPCKHQDQrr
2141 303057690U, // PUNPCKHWDrm
2142 68176666U, // PUNPCKHWDrr
2143 303061363U, // PUNPCKLBWrm
2144 68180339U, // PUNPCKLBWrr
2145 303058946U, // PUNPCKLDQrm
2146 68177922U, // PUNPCKLDQrr
2147 303059006U, // PUNPCKLQDQrm
2148 68177982U, // PUNPCKLQDQrr
2149 303057702U, // PUNPCKLWDrm
2150 68176678U, // PUNPCKLWDrr
2151 19667U, // PUSH16r
2152 36051U, // PUSH16rmm
2153 19667U, // PUSH16rmr
2154 19667U, // PUSH32r
2155 68819U, // PUSH32rmm
2156 19667U, // PUSH32rmr
2157 19667U, // PUSH64i16
2158 19667U, // PUSH64i32
2159 19667U, // PUSH64i8
2160 19667U, // PUSH64r
2161 85203U, // PUSH64rmm
2162 19667U, // PUSH64rmr
2163 9448U, // PUSHA32
2164 10173U, // PUSHCS16
2165 10173U, // PUSHCS32
2166 10181U, // PUSHDS16
2167 10181U, // PUSHDS32
2168 10196U, // PUSHES16
2169 10196U, // PUSHES32
2170 9802U, // PUSHF16
2171 9595U, // PUSHF32
2172 10053U, // PUSHF64
2173 10211U, // PUSHFS16
2174 10211U, // PUSHFS32
2175 10211U, // PUSHFS64
2176 10226U, // PUSHGS16
2177 10226U, // PUSHGS32
2178 10226U, // PUSHGS64
2179 10321U, // PUSHSS16
2180 10321U, // PUSHSS32
2181 19667U, // PUSHi16
2182 19667U, // PUSHi32
2183 19667U, // PUSHi8
2184 303059579U, // PXORrm
2185 68178555U, // PXORrr
2186 36229U, // RCL16m1
2187 16813445U, // RCL16mCL
2188 1084805U, // RCL16mi
2189 19845U, // RCL16r1
2190 16797061U, // RCL16rCL
2191 68177285U, // RCL16ri
2192 68997U, // RCL32m1
2193 16846213U, // RCL32mCL
2194 1117573U, // RCL32mi
2195 19845U, // RCL32r1
2196 16797061U, // RCL32rCL
2197 68177285U, // RCL32ri
2198 85381U, // RCL64m1
2199 16862597U, // RCL64mCL
2200 1133957U, // RCL64mi
2201 19845U, // RCL64r1
2202 16797061U, // RCL64rCL
2203 68177285U, // RCL64ri
2204 101765U, // RCL8m1
2205 16878981U, // RCL8mCL
2206 1150341U, // RCL8mi
2207 19845U, // RCL8r1
2208 16797061U, // RCL8rCL
2209 68177285U, // RCL8ri
2210 537941418U, // RCPPSm
2211 537941418U, // RCPPSm_Int
2212 135288234U, // RCPPSr
2213 135288234U, // RCPPSr_Int
2214 605050786U, // RCPSSm
2215 269506466U, // RCPSSm_Int
2216 135288738U, // RCPSSr
2217 68179874U, // RCPSSr_Int
2218 37443U, // RCR16m1
2219 16814659U, // RCR16mCL
2220 1086019U, // RCR16mi
2221 21059U, // RCR16r1
2222 16798275U, // RCR16rCL
2223 68178499U, // RCR16ri
2224 70211U, // RCR32m1
2225 16847427U, // RCR32mCL
2226 1118787U, // RCR32mi
2227 21059U, // RCR32r1
2228 16798275U, // RCR32rCL
2229 68178499U, // RCR32ri
2230 86595U, // RCR64m1
2231 16863811U, // RCR64mCL
2232 1135171U, // RCR64mi
2233 21059U, // RCR64r1
2234 16798275U, // RCR64rCL
2235 68178499U, // RCR64ri
2236 102979U, // RCR8m1
2237 16880195U, // RCR8mCL
2238 1151555U, // RCR8mi
2239 21059U, // RCR8r1
2240 16798275U, // RCR8rCL
2241 68178499U, // RCR8ri
2242 19469U, // RDFSBASE
2243 19469U, // RDFSBASE64
2244 19489U, // RDGSBASE
2245 19489U, // RDGSBASE64
2246 10138U, // RDMSR
2247 9572U, // RDPMC
2248 17706U, // RDRAND16r
2249 17706U, // RDRAND32r
2250 17706U, // RDRAND64r
2251 17554U, // RDSEED16r
2252 17554U, // RDSEED32r
2253 17554U, // RDSEED64r
2254 9585U, // RDTSC
2255 9991U, // RDTSCP
2256 9081U, // RELEASE_MOV16mr
2257 9081U, // RELEASE_MOV32mr
2258 9081U, // RELEASE_MOV64mr
2259 9081U, // RELEASE_MOV8mr
2260 9737U, // REPNE_PREFIX
2261 9528U, // REP_MOVSB_32
2262 9528U, // REP_MOVSB_64
2263 9657U, // REP_MOVSD_32
2264 9657U, // REP_MOVSD_64
2265 10094U, // REP_MOVSQ_64
2266 10470U, // REP_MOVSW_32
2267 10470U, // REP_MOVSW_64
2268 9998U, // REP_PREFIX
2269 9506U, // REP_STOSB_32
2270 9506U, // REP_STOSB_64
2271 9635U, // REP_STOSD_32
2272 9635U, // REP_STOSD_64
2273 10078U, // REP_STOSQ_64
2274 10448U, // REP_STOSW_32
2275 10448U, // REP_STOSW_64
2276 10357U, // RET
2277 22582U, // RETI
2278 22582U, // RETIW
2279 10357U, // RETW
2280 9319U, // REX64_PREFIX
2281 36250U, // ROL16m1
2282 16813466U, // ROL16mCL
2283 1084826U, // ROL16mi
2284 19866U, // ROL16r1
2285 16797082U, // ROL16rCL
2286 68177306U, // ROL16ri
2287 69018U, // ROL32m1
2288 16846234U, // ROL32mCL
2289 1117594U, // ROL32mi
2290 19866U, // ROL32r1
2291 16797082U, // ROL32rCL
2292 68177306U, // ROL32ri
2293 85402U, // ROL64m1
2294 16862618U, // ROL64mCL
2295 1133978U, // ROL64mi
2296 19866U, // ROL64r1
2297 16797082U, // ROL64rCL
2298 68177306U, // ROL64ri
2299 101786U, // ROL8m1
2300 16879002U, // ROL8mCL
2301 1150362U, // ROL8mi
2302 19866U, // ROL8r1
2303 16797082U, // ROL8rCL
2304 68177306U, // ROL8ri
2305 37476U, // ROR16m1
2306 16814692U, // ROR16mCL
2307 1086052U, // ROR16mi
2308 21092U, // ROR16r1
2309 16798308U, // ROR16rCL
2310 68178532U, // ROR16ri
2311 70244U, // ROR32m1
2312 16847460U, // ROR32mCL
2313 1118820U, // ROR32mi
2314 21092U, // ROR32r1
2315 16798308U, // ROR32rCL
2316 68178532U, // ROR32ri
2317 86628U, // ROR64m1
2318 16863844U, // ROR64mCL
2319 1135204U, // ROR64mi
2320 21092U, // ROR64r1
2321 16798308U, // ROR64rCL
2322 68178532U, // ROR64ri
2323 103012U, // ROR8m1
2324 16880228U, // ROR8mCL
2325 1151588U, // ROR8mi
2326 21092U, // ROR8r1
2327 16798308U, // ROR8rCL
2328 68178532U, // ROR8ri
2329 1175477343U, // RORX32mi
2330 1209031775U, // RORX32ri
2331 1242586207U, // RORX64mi
2332 1209031775U, // RORX64ri
2333 1611679556U, // ROUNDPDm
2334 1209026372U, // ROUNDPDr
2335 1611683058U, // ROUNDPSm
2336 1209029874U, // ROUNDPSr
2337 1309690357U, // ROUNDSDm
2338 1141918197U, // ROUNDSDr
2339 1141918197U, // ROUNDSDr_Int
2340 1343248232U, // ROUNDSSm
2341 1141921640U, // ROUNDSSr
2342 1141921640U, // ROUNDSSr_Int
2343 9933U, // RSM
2344 537941515U, // RSQRTPSm
2345 537941515U, // RSQRTPSm_Int
2346 135288331U, // RSQRTPSr
2347 135288331U, // RSQRTPSr_Int
2348 605050811U, // RSQRTSSm
2349 269506491U, // RSQRTSSm_Int
2350 135288763U, // RSQRTSSr
2351 68179899U, // RSQRTSSr_Int
2352 9797U, // SAHF
2353 37422U, // SAR16m1
2354 16814638U, // SAR16mCL
2355 1085998U, // SAR16mi
2356 21038U, // SAR16r1
2357 16798254U, // SAR16rCL
2358 68178478U, // SAR16ri
2359 70190U, // SAR32m1
2360 16847406U, // SAR32mCL
2361 1118766U, // SAR32mi
2362 21038U, // SAR32r1
2363 16798254U, // SAR32rCL
2364 68178478U, // SAR32ri
2365 86574U, // SAR64m1
2366 16863790U, // SAR64mCL
2367 1135150U, // SAR64mi
2368 21038U, // SAR64r1
2369 16798254U, // SAR64rCL
2370 68178478U, // SAR64ri
2371 102958U, // SAR8m1
2372 16880174U, // SAR8mCL
2373 1151534U, // SAR8mi
2374 21038U, // SAR8r1
2375 16798254U, // SAR8rCL
2376 68178478U, // SAR8ri
2377 1175477331U, // SARX32rm
2378 1209031763U, // SARX32rr
2379 1242586195U, // SARX64rm
2380 1209031763U, // SARX64rr
2381 23927U, // SBB16i16
2382 1081873U, // SBB16mi
2383 1081873U, // SBB16mi8
2384 1081873U, // SBB16mr
2385 35701265U, // SBB16ri
2386 35701265U, // SBB16ri8
2387 3195409U, // SBB16rm
2388 35701265U, // SBB16rr
2389 68174353U, // SBB16rr_REV
2390 24039U, // SBB32i32
2391 1114641U, // SBB32mi
2392 1114641U, // SBB32mi8
2393 1114641U, // SBB32mr
2394 35701265U, // SBB32ri
2395 35701265U, // SBB32ri8
2396 4243985U, // SBB32rm
2397 35701265U, // SBB32rr
2398 68174353U, // SBB32rr_REV
2399 24163U, // SBB64i32
2400 1131025U, // SBB64mi32
2401 1131025U, // SBB64mi8
2402 1131025U, // SBB64mr
2403 35701265U, // SBB64ri32
2404 35701265U, // SBB64ri8
2405 5292561U, // SBB64rm
2406 35701265U, // SBB64rr
2407 68174353U, // SBB64rr_REV
2408 23825U, // SBB8i8
2409 1147409U, // SBB8mi
2410 1147409U, // SBB8mr
2411 35701265U, // SBB8ri
2412 6341137U, // SBB8rm
2413 35701265U, // SBB8rr
2414 68174353U, // SBB8rr_REV
2415 10436U, // SCAS16
2416 9623U, // SCAS32
2417 10066U, // SCAS64
2418 9494U, // SCAS8
2419 10253U, // SEG_ALLOCA_32
2420 10253U, // SEG_ALLOCA_64
2421 101259U, // SETAEm
2422 19339U, // SETAEr
2423 98776U, // SETAm
2424 16856U, // SETAr
2425 101279U, // SETBEm
2426 19359U, // SETBEr
2427 0U, // SETB_C16r
2428 0U, // SETB_C32r
2429 0U, // SETB_C64r
2430 0U, // SETB_C8r
2431 99072U, // SETBm
2432 17152U, // SETBr
2433 101429U, // SETEm
2434 19509U, // SETEr
2435 101315U, // SETGEm
2436 19395U, // SETGEr
2437 101515U, // SETGm
2438 19595U, // SETGr
2439 101339U, // SETLEm
2440 19419U, // SETLEr
2441 101825U, // SETLm
2442 19905U, // SETLr
2443 101367U, // SETNEm
2444 19447U, // SETNEr
2445 101948U, // SETNOm
2446 20028U, // SETNOr
2447 102060U, // SETNPm
2448 20140U, // SETNPr
2449 103152U, // SETNSm
2450 21232U, // SETNSr
2451 101963U, // SETOm
2452 20043U, // SETOr
2453 102107U, // SETPm
2454 20187U, // SETPr
2455 104449U, // SETSm
2456 22529U, // SETSr
2457 9709U, // SFENCE
2458 219160U, // SGDT16m
2459 219160U, // SGDTm
2460 303054861U, // SHA1MSG1rm
2461 68173837U, // SHA1MSG1rr
2462 303054944U, // SHA1MSG2rm
2463 68173920U, // SHA1MSG2rr
2464 303057988U, // SHA1NEXTErm
2465 68176964U, // SHA1NEXTErr
2466 1376796878U, // SHA1RNDS4rmi
2467 1141915854U, // SHA1RNDS4rri
2468 303054871U, // SHA256MSG1rm
2469 68173847U, // SHA256MSG1rr
2470 303054954U, // SHA256MSG2rm
2471 68173930U, // SHA256MSG2rr
2472 303054966U, // SHA256RNDS2rm
2473 68173942U, // SHA256RNDS2rr
2474 36234U, // SHL16m1
2475 16813450U, // SHL16mCL
2476 1084810U, // SHL16mi
2477 19850U, // SHL16r1
2478 16797066U, // SHL16rCL
2479 68177290U, // SHL16ri
2480 69002U, // SHL32m1
2481 16846218U, // SHL32mCL
2482 1117578U, // SHL32mi
2483 19850U, // SHL32r1
2484 16797066U, // SHL32rCL
2485 68177290U, // SHL32ri
2486 85386U, // SHL64m1
2487 16862602U, // SHL64mCL
2488 1133962U, // SHL64mi
2489 19850U, // SHL64r1
2490 16797066U, // SHL64rCL
2491 68177290U, // SHL64ri
2492 101770U, // SHL8m1
2493 16878986U, // SHL8mCL
2494 1150346U, // SHL8mi
2495 19850U, // SHL8r1
2496 16797066U, // SHL8rCL
2497 68177290U, // SHL8ri
2498 3222308048U, // SHLD16mrCL
2499 1074824400U, // SHLD16mri8
2500 3289400528U, // SHLD16rrCL
2501 1141916880U, // SHLD16rri8
2502 3222340816U, // SHLD32mrCL
2503 1074857168U, // SHLD32mri8
2504 3289400528U, // SHLD32rrCL
2505 1141916880U, // SHLD32rri8
2506 3222357200U, // SHLD64mrCL
2507 1074873552U, // SHLD64mri8
2508 3289400528U, // SHLD64rrCL
2509 1141916880U, // SHLD64rri8
2510 1175477288U, // SHLX32rm
2511 1209031720U, // SHLX32rr
2512 1242586152U, // SHLX64rm
2513 1209031720U, // SHLX64rr
2514 37455U, // SHR16m1
2515 16814671U, // SHR16mCL
2516 1086031U, // SHR16mi
2517 21071U, // SHR16r1
2518 16798287U, // SHR16rCL
2519 68178511U, // SHR16ri
2520 70223U, // SHR32m1
2521 16847439U, // SHR32mCL
2522 1118799U, // SHR32mi
2523 21071U, // SHR32r1
2524 16798287U, // SHR32rCL
2525 68178511U, // SHR32ri
2526 86607U, // SHR64m1
2527 16863823U, // SHR64mCL
2528 1135183U, // SHR64mi
2529 21071U, // SHR64r1
2530 16798287U, // SHR64rCL
2531 68178511U, // SHR64ri
2532 102991U, // SHR8m1
2533 16880207U, // SHR8mCL
2534 1151567U, // SHR8mi
2535 21071U, // SHR8r1
2536 16798287U, // SHR8rCL
2537 68178511U, // SHR8ri
2538 3222309053U, // SHRD16mrCL
2539 1074825405U, // SHRD16mri8
2540 3289401533U, // SHRD16rrCL
2541 1141917885U, // SHRD16rri8
2542 3222341821U, // SHRD32mrCL
2543 1074858173U, // SHRD32mri8
2544 3289401533U, // SHRD32rrCL
2545 1141917885U, // SHRD32rri8
2546 3222358205U, // SHRD64mrCL
2547 1074874557U, // SHRD64mri8
2548 3289401533U, // SHRD64rrCL
2549 1141917885U, // SHRD64rri8
2550 1175477337U, // SHRX32rm
2551 1209031769U, // SHRX32rr
2552 1242586201U, // SHRX64rm
2553 1209031769U, // SHRX64rr
2554 1276135284U, // SHUFPDrmi
2555 1141917556U, // SHUFPDrri
2556 1276138786U, // SHUFPSrmi
2557 1141921058U, // SHUFPSrri
2558 219172U, // SIDT16m
2559 219172U, // SIDTm
2560 9950U, // SIN_F
2561 0U, // SIN_Fp32
2562 0U, // SIN_Fp64
2563 0U, // SIN_Fp80
2564 10539U, // SKINIT
2565 38960U, // SLDT16m
2566 22576U, // SLDT16r
2567 22576U, // SLDT32r
2568 38960U, // SLDT64m
2569 22576U, // SLDT64r
2570 39765U, // SMSW16m
2571 23381U, // SMSW16r
2572 23381U, // SMSW32r
2573 23381U, // SMSW64r
2574 537937970U, // SQRTPDm
2575 135284786U, // SQRTPDr
2576 537941516U, // SQRTPSm
2577 135288332U, // SQRTPSr
2578 571492937U, // SQRTSDm
2579 571492937U, // SQRTSDm_Int
2580 135285321U, // SQRTSDr
2581 135285321U, // SQRTSDr_Int
2582 605050812U, // SQRTSSm
2583 605050812U, // SQRTSSm_Int
2584 135288764U, // SQRTSSr
2585 135288764U, // SQRTSSr_Int
2586 10401U, // SQRT_F
2587 0U, // SQRT_Fp32
2588 0U, // SQRT_Fp64
2589 0U, // SQRT_Fp80
2590 10326U, // SS_PREFIX
2591 9549U, // STAC
2592 9591U, // STC
2593 9673U, // STD
2594 9832U, // STGI
2595 9847U, // STI
2596 70295U, // STMXCSR
2597 9510U, // STOSB
2598 9639U, // STOSD
2599 10082U, // STOSQ
2600 10452U, // STOSW
2601 21168U, // STR16r
2602 21168U, // STR32r
2603 21168U, // STR64r
2604 37552U, // STRm
2605 121008U, // ST_F32m
2606 137392U, // ST_F64m
2607 118504U, // ST_FP32m
2608 134888U, // ST_FP64m
2609 265960U, // ST_FP80m
2610 20200U, // ST_FPrr
2611 0U, // ST_Fp32m
2612 0U, // ST_Fp64m
2613 0U, // ST_Fp64m32
2614 0U, // ST_Fp80m32
2615 0U, // ST_Fp80m64
2616 0U, // ST_FpP32m
2617 0U, // ST_FpP64m
2618 0U, // ST_FpP64m32
2619 0U, // ST_FpP80m
2620 0U, // ST_FpP80m32
2621 0U, // ST_FpP80m64
2622 22704U, // ST_Frr
2623 23936U, // SUB16i16
2624 1082170U, // SUB16mi
2625 1082170U, // SUB16mi8
2626 1082170U, // SUB16mr
2627 35701562U, // SUB16ri
2628 35701562U, // SUB16ri8
2629 3195706U, // SUB16rm
2630 35701562U, // SUB16rr
2631 68174650U, // SUB16rr_REV
2632 24049U, // SUB32i32
2633 1114938U, // SUB32mi
2634 1114938U, // SUB32mi8
2635 1114938U, // SUB32mr
2636 35701562U, // SUB32ri
2637 35701562U, // SUB32ri8
2638 4244282U, // SUB32rm
2639 35701562U, // SUB32rr
2640 68174650U, // SUB32rr_REV
2641 24173U, // SUB64i32
2642 1131322U, // SUB64mi32
2643 1131322U, // SUB64mi8
2644 1131322U, // SUB64mr
2645 35701562U, // SUB64ri32
2646 35701562U, // SUB64ri8
2647 5292858U, // SUB64rm
2648 35701562U, // SUB64rr
2649 68174650U, // SUB64rr_REV
2650 23834U, // SUB8i8
2651 1147706U, // SUB8mi
2652 1147706U, // SUB8mr
2653 35701562U, // SUB8ri
2654 6341434U, // SUB8rm
2655 35701562U, // SUB8rr
2656 68174650U, // SUB8rr_REV
2657 202393286U, // SUBPDrm
2658 68175558U, // SUBPDrr
2659 202396788U, // SUBPSrm
2660 68179060U, // SUBPSrr
2661 119348U, // SUBR_F32m
2662 135732U, // SUBR_F64m
2663 37435U, // SUBR_FI16m
2664 70203U, // SUBR_FI32m
2665 20171U, // SUBR_FPrST0
2666 21044U, // SUBR_FST0r
2667 0U, // SUBR_Fp32m
2668 0U, // SUBR_Fp64m
2669 0U, // SUBR_Fp64m32
2670 0U, // SUBR_Fp80m32
2671 0U, // SUBR_Fp80m64
2672 0U, // SUBR_FpI16m32
2673 0U, // SUBR_FpI16m64
2674 0U, // SUBR_FpI16m80
2675 0U, // SUBR_FpI32m32
2676 0U, // SUBR_FpI32m64
2677 0U, // SUBR_FpI32m80
2678 7361076U, // SUBR_FrST0
2679 235948477U, // SUBSDrm
2680 235948477U, // SUBSDrm_Int
2681 68176317U, // SUBSDrr
2682 68176317U, // SUBSDrr_Int
2683 269506352U, // SUBSSrm
2684 269506352U, // SUBSSrm_Int
2685 68179760U, // SUBSSrr
2686 68179760U, // SUBSSrr_Int
2687 115513U, // SUB_F32m
2688 131897U, // SUB_F64m
2689 33599U, // SUB_FI16m
2690 66367U, // SUB_FI32m
2691 20063U, // SUB_FPrST0
2692 17209U, // SUB_FST0r
2693 0U, // SUB_Fp32
2694 0U, // SUB_Fp32m
2695 0U, // SUB_Fp64
2696 0U, // SUB_Fp64m
2697 0U, // SUB_Fp64m32
2698 0U, // SUB_Fp80
2699 0U, // SUB_Fp80m32
2700 0U, // SUB_Fp80m64
2701 0U, // SUB_FpI16m32
2702 0U, // SUB_FpI16m64
2703 0U, // SUB_FpI16m80
2704 0U, // SUB_FpI32m32
2705 0U, // SUB_FpI32m64
2706 0U, // SUB_FpI32m80
2707 7357241U, // SUB_FrST0
2708 10241U, // SWAPGS
2709 9897U, // SYSCALL
2710 10121U, // SYSENTER
2711 10381U, // SYSEXIT
2712 10381U, // SYSEXIT64
2713 10361U, // SYSRET
2714 10361U, // SYSRET64
2715 17976971U, // TAILJMPd
2716 17976971U, // TAILJMPd64
2717 17895051U, // TAILJMPm
2718 17911435U, // TAILJMPm64
2719 0U, // TAILJMPr
2720 17845899U, // TAILJMPr64
2721 0U, // TCRETURNdi
2722 0U, // TCRETURNdi64
2723 0U, // TCRETURNmi
2724 0U, // TCRETURNmi64
2725 0U, // TCRETURNri
2726 0U, // TCRETURNri64
2727 24020U, // TEST16i16
2728 1087658U, // TEST16mi
2729 135289002U, // TEST16ri
2730 370170026U, // TEST16rm
2731 135289002U, // TEST16rr
2732 24142U, // TEST32i32
2733 1120426U, // TEST32mi
2734 135289002U, // TEST32ri
2735 101734570U, // TEST32rm
2736 135289002U, // TEST32rr
2737 24257U, // TEST64i32
2738 1136810U, // TEST64mi32
2739 135289002U, // TEST64ri32
2740 168843434U, // TEST64rm
2741 135289002U, // TEST64rr
2742 23908U, // TEST8i8
2743 1153194U, // TEST8mi
2744 135289002U, // TEST8ri
2745 0U, // TEST8ri_NOREX
2746 504387754U, // TEST8rm
2747 135289002U, // TEST8rr
2748 9171U, // TLSCall_32
2749 9275U, // TLSCall_64
2750 9184U, // TLS_addr32
2751 9288U, // TLS_addr64
2752 9197U, // TLS_base_addr32
2753 9301U, // TLS_base_addr64
2754 9215U, // TRAP
2755 10413U, // TST_F
2756 0U, // TST_Fp32
2757 0U, // TST_Fp64
2758 0U, // TST_Fp80
2759 370169939U, // TZCNT16rm
2760 135288915U, // TZCNT16rr
2761 101734483U, // TZCNT32rm
2762 135288915U, // TZCNT32rr
2763 168843347U, // TZCNT64rm
2764 135288915U, // TZCNT64rr
2765 571492876U, // UCOMISDrm
2766 135285260U, // UCOMISDrr
2767 605050751U, // UCOMISSrm
2768 135288703U, // UCOMISSrr
2769 19744U, // UCOM_FIPr
2770 19686U, // UCOM_FIr
2771 10025U, // UCOM_FPPr
2772 20127U, // UCOM_FPr
2773 0U, // UCOM_FpIr32
2774 0U, // UCOM_FpIr64
2775 0U, // UCOM_FpIr80
2776 0U, // UCOM_Fpr32
2777 0U, // UCOM_Fpr64
2778 0U, // UCOM_Fpr80
2779 19950U, // UCOM_Fr
2780 9459U, // UD2B
2781 202393469U, // UNPCKHPDrm
2782 68175741U, // UNPCKHPDrr
2783 202396971U, // UNPCKHPSrm
2784 68179243U, // UNPCKHPSrr
2785 202393511U, // UNPCKLPDrm
2786 68175783U, // UNPCKLPDrr
2787 202397033U, // UNPCKLPSrm
2788 68179305U, // UNPCKLPSrr
2789 1578131148U, // VAARG_64
2790 1209026345U, // VADDPDYrm
2791 1209026345U, // VADDPDYrr
2792 1209026345U, // VADDPDZrm
2793 1209026345U, // VADDPDZrmb
2794 1209026345U, // VADDPDZrr
2795 1209026345U, // VADDPDrm
2796 1209026345U, // VADDPDrr
2797 1209029847U, // VADDPSYrm
2798 1209029847U, // VADDPSYrr
2799 1209029847U, // VADDPSZrm
2800 1209029847U, // VADDPSZrmb
2801 1209029847U, // VADDPSZrr
2802 1209029847U, // VADDPSrm
2803 1209029847U, // VADDPSrr
2804 1209027052U, // VADDSDZrm
2805 1209027052U, // VADDSDZrr
2806 1209027052U, // VADDSDrm
2807 1209027052U, // VADDSDrm_Int
2808 1209027052U, // VADDSDrr
2809 1209027052U, // VADDSDrr_Int
2810 1209030495U, // VADDSSZrm
2811 1209030495U, // VADDSSZrr
2812 1209030495U, // VADDSSrm
2813 1209030495U, // VADDSSrm_Int
2814 1209030495U, // VADDSSrr
2815 1209030495U, // VADDSSrr_Int
2816 1209026253U, // VADDSUBPDYrm
2817 1209026253U, // VADDSUBPDYrr
2818 1209026253U, // VADDSUBPDrm
2819 1209026253U, // VADDSUBPDrr
2820 1209029755U, // VADDSUBPSYrm
2821 1209029755U, // VADDSUBPSYrr
2822 1209029755U, // VADDSUBPSrm
2823 1209029755U, // VADDSUBPSrr
2824 1209030798U, // VAESDECLASTrm
2825 1209030798U, // VAESDECLASTrr
2826 1209025428U, // VAESDECrm
2827 1209025428U, // VAESDECrr
2828 1209030811U, // VAESENCLASTrm
2829 1209030811U, // VAESENCLASTrr
2830 1209025446U, // VAESENCrm
2831 1209025446U, // VAESENCrr
2832 336610205U, // VAESIMCrm
2833 135283613U, // VAESIMCrr
2834 1410357435U, // VAESKEYGENASSIST128rm
2835 1209030843U, // VAESKEYGENASSIST128rr
2836 1209025851U, // VALIGNDrmi
2837 1209025851U, // VALIGNDrri
2838 1209028886U, // VALIGNQrmi
2839 1209028886U, // VALIGNQrri
2840 1209026526U, // VANDNPDYrm
2841 1209026526U, // VANDNPDYrr
2842 1209026526U, // VANDNPDrm
2843 1209026526U, // VANDNPDrr
2844 1209030040U, // VANDNPSYrm
2845 1209030040U, // VANDNPSYrr
2846 1209030040U, // VANDNPSrm
2847 1209030040U, // VANDNPSrr
2848 1209026353U, // VANDPDYrm
2849 1209026353U, // VANDPDYrr
2850 1209026353U, // VANDPDrm
2851 1209026353U, // VANDPDrr
2852 1209029855U, // VANDPSYrm
2853 1209029855U, // VANDPSYrr
2854 1209029855U, // VANDPSrm
2855 1209029855U, // VANDPSrr
2856 1209032430U, // VASTART_SAVE_XMM_REGS
2857 1209026506U, // VBLENDMPDZrm
2858 153110474U, // VBLENDMPDZrr
2859 1209030020U, // VBLENDMPSZrm
2860 153113988U, // VBLENDMPSZrr
2861 1209026361U, // VBLENDPDYrmi
2862 1209026361U, // VBLENDPDYrri
2863 1209026361U, // VBLENDPDrmi
2864 1209026361U, // VBLENDPDrri
2865 1209029863U, // VBLENDPSYrmi
2866 1209029863U, // VBLENDPSYrri
2867 1209029863U, // VBLENDPSrmi
2868 1209029863U, // VBLENDPSrri
2869 1209026636U, // VBLENDVPDYrm
2870 1209026636U, // VBLENDVPDYrr
2871 1209026636U, // VBLENDVPDrm
2872 1209026636U, // VBLENDVPDrr
2873 1209030191U, // VBLENDVPSYrm
2874 1209030191U, // VBLENDVPSYrr
2875 1209030191U, // VBLENDVPSrm
2876 1209030191U, // VBLENDVPSrr
2877 537936244U, // VBROADCASTF128
2878 336609707U, // VBROADCASTI128
2879 571492945U, // VBROADCASTSDYrm
2880 135285329U, // VBROADCASTSDYrr
2881 571492945U, // VBROADCASTSDZrm
2882 135285329U, // VBROADCASTSDZrr
2883 605050829U, // VBROADCASTSSYrm
2884 135288781U, // VBROADCASTSSYrr
2885 605050829U, // VBROADCASTSSZrm
2886 135288781U, // VBROADCASTSSZrr
2887 605050829U, // VBROADCASTSSrm
2888 135288781U, // VBROADCASTSSrr
2889 1216587544U, // VCMPPDYrmi
2890 1209026551U, // VCMPPDYrmi_alt
2891 1216603928U, // VCMPPDYrri
2892 1209026551U, // VCMPPDYrri_alt
2893 1216587544U, // VCMPPDZrmi
2894 1209026550U, // VCMPPDZrmi_alt
2895 1216603928U, // VCMPPDZrri
2896 1209026550U, // VCMPPDZrri_alt
2897 1216587544U, // VCMPPDrmi
2898 1209026551U, // VCMPPDrmi_alt
2899 1216603928U, // VCMPPDrri
2900 1209026551U, // VCMPPDrri_alt
2901 1217636120U, // VCMPPSYrmi
2902 1209030073U, // VCMPPSYrmi_alt
2903 1217652504U, // VCMPPSYrri
2904 1209030073U, // VCMPPSYrri_alt
2905 1217636120U, // VCMPPSZrmi
2906 1209030072U, // VCMPPSZrmi_alt
2907 1217652504U, // VCMPPSZrri
2908 1209030072U, // VCMPPSZrri_alt
2909 1217636120U, // VCMPPSrmi
2910 1209030073U, // VCMPPSrmi_alt
2911 1217652504U, // VCMPPSrri
2912 1209030073U, // VCMPPSrri_alt
2913 1218684696U, // VCMPSDrm
2914 1209027127U, // VCMPSDrm_alt
2915 1218701080U, // VCMPSDrr
2916 1209027127U, // VCMPSDrr_alt
2917 1219733272U, // VCMPSSrm
2918 1209030569U, // VCMPSSrm_alt
2919 1219749656U, // VCMPSSrr
2920 1209030569U, // VCMPSSrr_alt
2921 537938453U, // VCOMISDZrm
2922 135285269U, // VCOMISDZrr
2923 537938453U, // VCOMISDrm
2924 135285269U, // VCOMISDrr
2925 537941896U, // VCOMISSZrm
2926 135288712U, // VCOMISSZrr
2927 537941896U, // VCOMISSrm
2928 135288712U, // VCOMISSrr
2929 336610849U, // VCVTDQ2PDYrm
2930 135284257U, // VCVTDQ2PDYrr
2931 739264033U, // VCVTDQ2PDZrm
2932 135284257U, // VCVTDQ2PDZrr
2933 168838689U, // VCVTDQ2PDrm
2934 135284257U, // VCVTDQ2PDrr
2935 739267554U, // VCVTDQ2PSYrm
2936 135287778U, // VCVTDQ2PSYrr
2937 772821986U, // VCVTDQ2PSZrm
2938 135287778U, // VCVTDQ2PSZrr
2939 336614370U, // VCVTDQ2PSrm
2940 135287778U, // VCVTDQ2PSrr
2941 537943111U, // VCVTPD2DQXrm
2942 806375342U, // VCVTPD2DQYrm
2943 135286702U, // VCVTPD2DQYrr
2944 135286702U, // VCVTPD2DQrr
2945 537943141U, // VCVTPD2PSXrm
2946 806376363U, // VCVTPD2PSYrm
2947 135287723U, // VCVTPD2PSYrr
2948 839930795U, // VCVTPD2PSZrm
2949 135287723U, // VCVTPD2PSZrr
2950 135287723U, // VCVTPD2PSrr
2951 537940918U, // VCVTPH2PSYrm
2952 135287734U, // VCVTPH2PSYrr
2953 571495350U, // VCVTPH2PSrm
2954 135287734U, // VCVTPH2PSrr
2955 806375374U, // VCVTPS2DQYrm
2956 135286734U, // VCVTPS2DQYrr
2957 839929806U, // VCVTPS2DQZrm
2958 135286734U, // VCVTPS2DQZrr
2959 537939918U, // VCVTPS2DQrm
2960 135286734U, // VCVTPS2DQrr
2961 537937464U, // VCVTPS2PDYrm
2962 135284280U, // VCVTPS2PDYrr
2963 806372920U, // VCVTPS2PDZrm
2964 135284280U, // VCVTPS2PDZrr
2965 571491896U, // VCVTPS2PDrm
2966 135284280U, // VCVTPS2PDrr
2967 873876648U, // VCVTPS2PHYmr
2968 1209027752U, // VCVTPS2PHYrr
2969 1074924712U, // VCVTPS2PHmr
2970 1209027752U, // VCVTPS2PHrr
2971 571493709U, // VCVTSD2SI64rm
2972 135286093U, // VCVTSD2SI64rr
2973 571493709U, // VCVTSD2SIrm
2974 135286093U, // VCVTSD2SIrr
2975 1209030347U, // VCVTSD2SSZrm
2976 1209030347U, // VCVTSD2SSZrr
2977 1209030347U, // VCVTSD2SSrm
2978 1209030347U, // VCVTSD2SSrr
2979 1209026896U, // VCVTSI2SD64Zrm
2980 1209026896U, // VCVTSI2SD64Zrr
2981 1209026896U, // VCVTSI2SD64rm
2982 1209026896U, // VCVTSI2SD64rr
2983 1209026896U, // VCVTSI2SDZrm
2984 1209026896U, // VCVTSI2SDZrr
2985 1209026896U, // VCVTSI2SDrm
2986 1209026896U, // VCVTSI2SDrr
2987 1209030358U, // VCVTSI2SS64Zrm
2988 1209030358U, // VCVTSI2SS64Zrr
2989 1209030358U, // VCVTSI2SS64rm
2990 1209030358U, // VCVTSI2SS64rr
2991 1209030358U, // VCVTSI2SSZrm
2992 1209030358U, // VCVTSI2SSZrr
2993 1209030358U, // VCVTSI2SSrm
2994 1209030358U, // VCVTSI2SSrr
2995 1209026907U, // VCVTSS2SDZrm
2996 1209026907U, // VCVTSS2SDZrr
2997 1209026907U, // VCVTSS2SDrm
2998 1209026907U, // VCVTSS2SDrr
2999 605048164U, // VCVTSS2SI64rm
3000 135286116U, // VCVTSS2SI64rr
3001 605048164U, // VCVTSS2SIrm
3002 135286116U, // VCVTSS2SIrr
3003 537943098U, // VCVTTPD2DQXrm
3004 806375330U, // VCVTTPD2DQYrm
3005 135286690U, // VCVTTPD2DQYrr
3006 839929762U, // VCVTTPD2DQZrm
3007 135286690U, // VCVTTPD2DQZrr
3008 135286690U, // VCVTTPD2DQrr
3009 839929977U, // VCVTTPD2UDQZrm
3010 135286905U, // VCVTTPD2UDQZrr
3011 806375362U, // VCVTTPS2DQYrm
3012 135286722U, // VCVTTPS2DQYrr
3013 839929794U, // VCVTTPS2DQZrm
3014 135286722U, // VCVTTPS2DQZrr
3015 537939906U, // VCVTTPS2DQrm
3016 135286722U, // VCVTTPS2DQrr
3017 839929990U, // VCVTTPS2UDQZrm
3018 135286918U, // VCVTTPS2UDQZrr
3019 571493697U, // VCVTTSD2SI64rm
3020 135286081U, // VCVTTSD2SI64rr
3021 571493697U, // VCVTTSD2SIrm
3022 135286081U, // VCVTTSD2SIrr
3023 605048152U, // VCVTTSS2SI64rm
3024 135286104U, // VCVTTSS2SI64rr
3025 605048152U, // VCVTTSS2SIrm
3026 135286104U, // VCVTTSS2SIrr
3027 806372908U, // VCVTUDQ2PDZrm
3028 135284268U, // VCVTUDQ2PDZrr
3029 839930861U, // VCVTUDQ2PSZrm
3030 135287789U, // VCVTUDQ2PSZrr
3031 1209026647U, // VDIVPDYrm
3032 1209026647U, // VDIVPDYrr
3033 1209026647U, // VDIVPDZrm
3034 1209026647U, // VDIVPDZrmb
3035 1209026647U, // VDIVPDZrr
3036 1209026647U, // VDIVPDrm
3037 1209026647U, // VDIVPDrr
3038 1209030202U, // VDIVPSYrm
3039 1209030202U, // VDIVPSYrr
3040 1209030202U, // VDIVPSZrm
3041 1209030202U, // VDIVPSZrmb
3042 1209030202U, // VDIVPSZrr
3043 1209030202U, // VDIVPSrm
3044 1209030202U, // VDIVPSrr
3045 1209027167U, // VDIVSDZrm
3046 1209027167U, // VDIVSDZrr
3047 1209027167U, // VDIVSDrm
3048 1209027167U, // VDIVSDrm_Int
3049 1209027167U, // VDIVSDrr
3050 1209027167U, // VDIVSDrr_Int
3051 1209030619U, // VDIVSSZrm
3052 1209030619U, // VDIVSSZrr
3053 1209030619U, // VDIVSSrm
3054 1209030619U, // VDIVSSrm_Int
3055 1209030619U, // VDIVSSrr
3056 1209030619U, // VDIVSSrr_Int
3057 1209026543U, // VDPPDrmi
3058 1209026543U, // VDPPDrri
3059 1209030065U, // VDPPSYrmi
3060 1209030065U, // VDPPSYrri
3061 1209030065U, // VDPPSrmi
3062 1209030065U, // VDPPSrri
3063 37505U, // VERRm
3064 21121U, // VERRr
3065 39637U, // VERWm
3066 23253U, // VERWr
3067 873873753U, // VEXTRACTF128mr
3068 1209024857U, // VEXTRACTF128rr
3069 873873625U, // VEXTRACTF32x4mr
3070 1209024729U, // VEXTRACTF32x4rr
3071 873890067U, // VEXTRACTF64x4mr
3072 1209024787U, // VEXTRACTF64x4rr
3073 1074987408U, // VEXTRACTI128mr
3074 1209024912U, // VEXTRACTI128rr
3075 1074987254U, // VEXTRACTI32x4mr
3076 1209024758U, // VEXTRACTI32x4rr
3077 873906480U, // VEXTRACTI64x4mr
3078 1209024816U, // VEXTRACTI64x4rr
3079 1074910697U, // VEXTRACTPSmr
3080 1209030121U, // VEXTRACTPSrr
3081 1209030121U, // VEXTRACTPSrr64
3082 1074910697U, // VEXTRACTPSzmr
3083 1209030121U, // VEXTRACTPSzrr
3084 1141917157U, // VFMADD132PDZm
3085 1141917157U, // VFMADD132PDZmb
3086 1141920656U, // VFMADD132PSZm
3087 1141920656U, // VFMADD132PSZmb
3088 1141917310U, // VFMADD213PDZm
3089 1141917310U, // VFMADD213PDZmb
3090 1141917310U, // VFMADD213PDZr
3091 1141920820U, // VFMADD213PSZm
3092 1141920820U, // VFMADD213PSZmb
3093 1141920820U, // VFMADD213PSZr
3094 1209026324U, // VFMADDPD4mr
3095 1209026324U, // VFMADDPD4mrY
3096 1209026324U, // VFMADDPD4rm
3097 1209026324U, // VFMADDPD4rmY
3098 1209026324U, // VFMADDPD4rr
3099 1209026324U, // VFMADDPD4rrY
3100 1209026324U, // VFMADDPD4rrY_REV
3101 1209026324U, // VFMADDPD4rr_REV
3102 1141917157U, // VFMADDPDr132m
3103 1141917157U, // VFMADDPDr132mY
3104 1141917157U, // VFMADDPDr132r
3105 1141917157U, // VFMADDPDr132rY
3106 1141917310U, // VFMADDPDr213m
3107 1141917310U, // VFMADDPDr213mY
3108 1141917310U, // VFMADDPDr213r
3109 1141917310U, // VFMADDPDr213rY
3110 1141917071U, // VFMADDPDr231m
3111 1141917071U, // VFMADDPDr231mY
3112 1141917071U, // VFMADDPDr231r
3113 1141917071U, // VFMADDPDr231rY
3114 1209029826U, // VFMADDPS4mr
3115 1209029826U, // VFMADDPS4mrY
3116 1209029826U, // VFMADDPS4rm
3117 1209029826U, // VFMADDPS4rmY
3118 1209029826U, // VFMADDPS4rr
3119 1209029826U, // VFMADDPS4rrY
3120 1209029826U, // VFMADDPS4rrY_REV
3121 1209029826U, // VFMADDPS4rr_REV
3122 1141920656U, // VFMADDPSr132m
3123 1141920656U, // VFMADDPSr132mY
3124 1141920656U, // VFMADDPSr132r
3125 1141920656U, // VFMADDPSr132rY
3126 1141920820U, // VFMADDPSr213m
3127 1141920820U, // VFMADDPSr213mY
3128 1141920820U, // VFMADDPSr213r
3129 1141920820U, // VFMADDPSr213rY
3130 1141920570U, // VFMADDPSr231m
3131 1141920570U, // VFMADDPSr231mY
3132 1141920570U, // VFMADDPSr231r
3133 1141920570U, // VFMADDPSr231rY
3134 1209027031U, // VFMADDSD4mr
3135 1209027031U, // VFMADDSD4mr_Int
3136 1209027031U, // VFMADDSD4rm
3137 1209027031U, // VFMADDSD4rm_Int
3138 1209027031U, // VFMADDSD4rr
3139 1209027031U, // VFMADDSD4rr_Int
3140 1209027031U, // VFMADDSD4rr_REV
3141 1141918081U, // VFMADDSDZm
3142 1141918081U, // VFMADDSDZr
3143 1141918005U, // VFMADDSDr132m
3144 1141918005U, // VFMADDSDr132r
3145 1141918081U, // VFMADDSDr213m
3146 1141918081U, // VFMADDSDr213m_Int
3147 1141918081U, // VFMADDSDr213r
3148 1141918081U, // VFMADDSDr213r_Int
3149 1141917951U, // VFMADDSDr231m
3150 1141917951U, // VFMADDSDr231r
3151 1209030474U, // VFMADDSS4mr
3152 1209030474U, // VFMADDSS4mr_Int
3153 1209030474U, // VFMADDSS4rm
3154 1209030474U, // VFMADDSS4rm_Int
3155 1209030474U, // VFMADDSS4rr
3156 1209030474U, // VFMADDSS4rr_Int
3157 1209030474U, // VFMADDSS4rr_REV
3158 1141921532U, // VFMADDSSZm
3159 1141921532U, // VFMADDSSZr
3160 1141921456U, // VFMADDSSr132m
3161 1141921456U, // VFMADDSSr132r
3162 1141921532U, // VFMADDSSr213m
3163 1141921532U, // VFMADDSSr213m_Int
3164 1141921532U, // VFMADDSSr213r
3165 1141921532U, // VFMADDSSr213r_Int
3166 1141921402U, // VFMADDSSr231m
3167 1141921402U, // VFMADDSSr231r
3168 1141917098U, // VFMADDSUB132PDZm
3169 1141917098U, // VFMADDSUB132PDZmb
3170 1141920597U, // VFMADDSUB132PSZm
3171 1141920597U, // VFMADDSUB132PSZmb
3172 1141917251U, // VFMADDSUB213PDZm
3173 1141917251U, // VFMADDSUB213PDZmb
3174 1141917251U, // VFMADDSUB213PDZr
3175 1141920761U, // VFMADDSUB213PSZm
3176 1141920761U, // VFMADDSUB213PSZmb
3177 1141920761U, // VFMADDSUB213PSZr
3178 1209026240U, // VFMADDSUBPD4mr
3179 1209026240U, // VFMADDSUBPD4mrY
3180 1209026240U, // VFMADDSUBPD4rm
3181 1209026240U, // VFMADDSUBPD4rmY
3182 1209026240U, // VFMADDSUBPD4rr
3183 1209026240U, // VFMADDSUBPD4rrY
3184 1209026240U, // VFMADDSUBPD4rrY_REV
3185 1209026240U, // VFMADDSUBPD4rr_REV
3186 1141917098U, // VFMADDSUBPDr132m
3187 1141917098U, // VFMADDSUBPDr132mY
3188 1141917098U, // VFMADDSUBPDr132r
3189 1141917098U, // VFMADDSUBPDr132rY
3190 1141917251U, // VFMADDSUBPDr213m
3191 1141917251U, // VFMADDSUBPDr213mY
3192 1141917251U, // VFMADDSUBPDr213r
3193 1141917251U, // VFMADDSUBPDr213rY
3194 1141917012U, // VFMADDSUBPDr231m
3195 1141917012U, // VFMADDSUBPDr231mY
3196 1141917012U, // VFMADDSUBPDr231r
3197 1141917012U, // VFMADDSUBPDr231rY
3198 1209029742U, // VFMADDSUBPS4mr
3199 1209029742U, // VFMADDSUBPS4mrY
3200 1209029742U, // VFMADDSUBPS4rm
3201 1209029742U, // VFMADDSUBPS4rmY
3202 1209029742U, // VFMADDSUBPS4rr
3203 1209029742U, // VFMADDSUBPS4rrY
3204 1209029742U, // VFMADDSUBPS4rrY_REV
3205 1209029742U, // VFMADDSUBPS4rr_REV
3206 1141920597U, // VFMADDSUBPSr132m
3207 1141920597U, // VFMADDSUBPSr132mY
3208 1141920597U, // VFMADDSUBPSr132r
3209 1141920597U, // VFMADDSUBPSr132rY
3210 1141920761U, // VFMADDSUBPSr213m
3211 1141920761U, // VFMADDSUBPSr213mY
3212 1141920761U, // VFMADDSUBPSr213r
3213 1141920761U, // VFMADDSUBPSr213rY
3214 1141920511U, // VFMADDSUBPSr231m
3215 1141920511U, // VFMADDSUBPSr231mY
3216 1141920511U, // VFMADDSUBPSr231r
3217 1141920511U, // VFMADDSUBPSr231rY
3218 1141917114U, // VFMSUB132PDZm
3219 1141917114U, // VFMSUB132PDZmb
3220 1141920613U, // VFMSUB132PSZm
3221 1141920613U, // VFMSUB132PSZmb
3222 1141917267U, // VFMSUB213PDZm
3223 1141917267U, // VFMSUB213PDZmb
3224 1141917267U, // VFMSUB213PDZr
3225 1141920777U, // VFMSUB213PSZm
3226 1141920777U, // VFMSUB213PSZmb
3227 1141920777U, // VFMSUB213PSZr
3228 1141917141U, // VFMSUBADD132PDZm
3229 1141917141U, // VFMSUBADD132PDZmb
3230 1141920640U, // VFMSUBADD132PSZm
3231 1141920640U, // VFMSUBADD132PSZmb
3232 1141917294U, // VFMSUBADD213PDZm
3233 1141917294U, // VFMSUBADD213PDZmb
3234 1141917294U, // VFMSUBADD213PDZr
3235 1141920804U, // VFMSUBADD213PSZm
3236 1141920804U, // VFMSUBADD213PSZmb
3237 1141920804U, // VFMSUBADD213PSZr
3238 1209026302U, // VFMSUBADDPD4mr
3239 1209026302U, // VFMSUBADDPD4mrY
3240 1209026302U, // VFMSUBADDPD4rm
3241 1209026302U, // VFMSUBADDPD4rmY
3242 1209026302U, // VFMSUBADDPD4rr
3243 1209026302U, // VFMSUBADDPD4rrY
3244 1209026302U, // VFMSUBADDPD4rrY_REV
3245 1209026302U, // VFMSUBADDPD4rr_REV
3246 1141917141U, // VFMSUBADDPDr132m
3247 1141917141U, // VFMSUBADDPDr132mY
3248 1141917141U, // VFMSUBADDPDr132r
3249 1141917141U, // VFMSUBADDPDr132rY
3250 1141917294U, // VFMSUBADDPDr213m
3251 1141917294U, // VFMSUBADDPDr213mY
3252 1141917294U, // VFMSUBADDPDr213r
3253 1141917294U, // VFMSUBADDPDr213rY
3254 1141917055U, // VFMSUBADDPDr231m
3255 1141917055U, // VFMSUBADDPDr231mY
3256 1141917055U, // VFMSUBADDPDr231r
3257 1141917055U, // VFMSUBADDPDr231rY
3258 1209029804U, // VFMSUBADDPS4mr
3259 1209029804U, // VFMSUBADDPS4mrY
3260 1209029804U, // VFMSUBADDPS4rm
3261 1209029804U, // VFMSUBADDPS4rmY
3262 1209029804U, // VFMSUBADDPS4rr
3263 1209029804U, // VFMSUBADDPS4rrY
3264 1209029804U, // VFMSUBADDPS4rrY_REV
3265 1209029804U, // VFMSUBADDPS4rr_REV
3266 1141920640U, // VFMSUBADDPSr132m
3267 1141920640U, // VFMSUBADDPSr132mY
3268 1141920640U, // VFMSUBADDPSr132r
3269 1141920640U, // VFMSUBADDPSr132rY
3270 1141920804U, // VFMSUBADDPSr213m
3271 1141920804U, // VFMSUBADDPSr213mY
3272 1141920804U, // VFMSUBADDPSr213r
3273 1141920804U, // VFMSUBADDPSr213rY
3274 1141920554U, // VFMSUBADDPSr231m
3275 1141920554U, // VFMSUBADDPSr231mY
3276 1141920554U, // VFMSUBADDPSr231r
3277 1141920554U, // VFMSUBADDPSr231rY
3278 1209026273U, // VFMSUBPD4mr
3279 1209026273U, // VFMSUBPD4mrY
3280 1209026273U, // VFMSUBPD4rm
3281 1209026273U, // VFMSUBPD4rmY
3282 1209026273U, // VFMSUBPD4rr
3283 1209026273U, // VFMSUBPD4rrY
3284 1209026273U, // VFMSUBPD4rrY_REV
3285 1209026273U, // VFMSUBPD4rr_REV
3286 1141917114U, // VFMSUBPDr132m
3287 1141917114U, // VFMSUBPDr132mY
3288 1141917114U, // VFMSUBPDr132r
3289 1141917114U, // VFMSUBPDr132rY
3290 1141917267U, // VFMSUBPDr213m
3291 1141917267U, // VFMSUBPDr213mY
3292 1141917267U, // VFMSUBPDr213r
3293 1141917267U, // VFMSUBPDr213rY
3294 1141917028U, // VFMSUBPDr231m
3295 1141917028U, // VFMSUBPDr231mY
3296 1141917028U, // VFMSUBPDr231r
3297 1141917028U, // VFMSUBPDr231rY
3298 1209029775U, // VFMSUBPS4mr
3299 1209029775U, // VFMSUBPS4mrY
3300 1209029775U, // VFMSUBPS4rm
3301 1209029775U, // VFMSUBPS4rmY
3302 1209029775U, // VFMSUBPS4rr
3303 1209029775U, // VFMSUBPS4rrY
3304 1209029775U, // VFMSUBPS4rrY_REV
3305 1209029775U, // VFMSUBPS4rr_REV
3306 1141920613U, // VFMSUBPSr132m
3307 1141920613U, // VFMSUBPSr132mY
3308 1141920613U, // VFMSUBPSr132r
3309 1141920613U, // VFMSUBPSr132rY
3310 1141920777U, // VFMSUBPSr213m
3311 1141920777U, // VFMSUBPSr213mY
3312 1141920777U, // VFMSUBPSr213r
3313 1141920777U, // VFMSUBPSr213rY
3314 1141920527U, // VFMSUBPSr231m
3315 1141920527U, // VFMSUBPSr231mY
3316 1141920527U, // VFMSUBPSr231r
3317 1141920527U, // VFMSUBPSr231rY
3318 1209027002U, // VFMSUBSD4mr
3319 1209027002U, // VFMSUBSD4mr_Int
3320 1209027002U, // VFMSUBSD4rm
3321 1209027002U, // VFMSUBSD4rm_Int
3322 1209027002U, // VFMSUBSD4rr
3323 1209027002U, // VFMSUBSD4rr_Int
3324 1209027002U, // VFMSUBSD4rr_REV
3325 1141918054U, // VFMSUBSDZm
3326 1141918054U, // VFMSUBSDZr
3327 1141917978U, // VFMSUBSDr132m
3328 1141917978U, // VFMSUBSDr132r
3329 1141918054U, // VFMSUBSDr213m
3330 1141918054U, // VFMSUBSDr213m_Int
3331 1141918054U, // VFMSUBSDr213r
3332 1141918054U, // VFMSUBSDr213r_Int
3333 1141917924U, // VFMSUBSDr231m
3334 1141917924U, // VFMSUBSDr231r
3335 1209030445U, // VFMSUBSS4mr
3336 1209030445U, // VFMSUBSS4mr_Int
3337 1209030445U, // VFMSUBSS4rm
3338 1209030445U, // VFMSUBSS4rm_Int
3339 1209030445U, // VFMSUBSS4rr
3340 1209030445U, // VFMSUBSS4rr_Int
3341 1209030445U, // VFMSUBSS4rr_REV
3342 1141921505U, // VFMSUBSSZm
3343 1141921505U, // VFMSUBSSZr
3344 1141921429U, // VFMSUBSSr132m
3345 1141921429U, // VFMSUBSSr132r
3346 1141921505U, // VFMSUBSSr213m
3347 1141921505U, // VFMSUBSSr213m_Int
3348 1141921505U, // VFMSUBSSr213r
3349 1141921505U, // VFMSUBSSr213r_Int
3350 1141921375U, // VFMSUBSSr231m
3351 1141921375U, // VFMSUBSSr231r
3352 1141917170U, // VFNMADD132PDZm
3353 1141917170U, // VFNMADD132PDZmb
3354 1141920669U, // VFNMADD132PSZm
3355 1141920669U, // VFNMADD132PSZmb
3356 1141917323U, // VFNMADD213PDZm
3357 1141917323U, // VFNMADD213PDZmb
3358 1141917323U, // VFNMADD213PDZr
3359 1141920833U, // VFNMADD213PSZm
3360 1141920833U, // VFNMADD213PSZmb
3361 1141920833U, // VFNMADD213PSZr
3362 1209026334U, // VFNMADDPD4mr
3363 1209026334U, // VFNMADDPD4mrY
3364 1209026334U, // VFNMADDPD4rm
3365 1209026334U, // VFNMADDPD4rmY
3366 1209026334U, // VFNMADDPD4rr
3367 1209026334U, // VFNMADDPD4rrY
3368 1209026334U, // VFNMADDPD4rrY_REV
3369 1209026334U, // VFNMADDPD4rr_REV
3370 1141917170U, // VFNMADDPDr132m
3371 1141917170U, // VFNMADDPDr132mY
3372 1141917170U, // VFNMADDPDr132r
3373 1141917170U, // VFNMADDPDr132rY
3374 1141917323U, // VFNMADDPDr213m
3375 1141917323U, // VFNMADDPDr213mY
3376 1141917323U, // VFNMADDPDr213r
3377 1141917323U, // VFNMADDPDr213rY
3378 1141917084U, // VFNMADDPDr231m
3379 1141917084U, // VFNMADDPDr231mY
3380 1141917084U, // VFNMADDPDr231r
3381 1141917084U, // VFNMADDPDr231rY
3382 1209029836U, // VFNMADDPS4mr
3383 1209029836U, // VFNMADDPS4mrY
3384 1209029836U, // VFNMADDPS4rm
3385 1209029836U, // VFNMADDPS4rmY
3386 1209029836U, // VFNMADDPS4rr
3387 1209029836U, // VFNMADDPS4rrY
3388 1209029836U, // VFNMADDPS4rrY_REV
3389 1209029836U, // VFNMADDPS4rr_REV
3390 1141920669U, // VFNMADDPSr132m
3391 1141920669U, // VFNMADDPSr132mY
3392 1141920669U, // VFNMADDPSr132r
3393 1141920669U, // VFNMADDPSr132rY
3394 1141920833U, // VFNMADDPSr213m
3395 1141920833U, // VFNMADDPSr213mY
3396 1141920833U, // VFNMADDPSr213r
3397 1141920833U, // VFNMADDPSr213rY
3398 1141920583U, // VFNMADDPSr231m
3399 1141920583U, // VFNMADDPSr231mY
3400 1141920583U, // VFNMADDPSr231r
3401 1141920583U, // VFNMADDPSr231rY
3402 1209027041U, // VFNMADDSD4mr
3403 1209027041U, // VFNMADDSD4mr_Int
3404 1209027041U, // VFNMADDSD4rm
3405 1209027041U, // VFNMADDSD4rm_Int
3406 1209027041U, // VFNMADDSD4rr
3407 1209027041U, // VFNMADDSD4rr_Int
3408 1209027041U, // VFNMADDSD4rr_REV
3409 1141918094U, // VFNMADDSDZm
3410 1141918094U, // VFNMADDSDZr
3411 1141918018U, // VFNMADDSDr132m
3412 1141918018U, // VFNMADDSDr132r
3413 1141918094U, // VFNMADDSDr213m
3414 1141918094U, // VFNMADDSDr213m_Int
3415 1141918094U, // VFNMADDSDr213r
3416 1141918094U, // VFNMADDSDr213r_Int
3417 1141917964U, // VFNMADDSDr231m
3418 1141917964U, // VFNMADDSDr231r
3419 1209030484U, // VFNMADDSS4mr
3420 1209030484U, // VFNMADDSS4mr_Int
3421 1209030484U, // VFNMADDSS4rm
3422 1209030484U, // VFNMADDSS4rm_Int
3423 1209030484U, // VFNMADDSS4rr
3424 1209030484U, // VFNMADDSS4rr_Int
3425 1209030484U, // VFNMADDSS4rr_REV
3426 1141921545U, // VFNMADDSSZm
3427 1141921545U, // VFNMADDSSZr
3428 1141921469U, // VFNMADDSSr132m
3429 1141921469U, // VFNMADDSSr132r
3430 1141921545U, // VFNMADDSSr213m
3431 1141921545U, // VFNMADDSSr213m_Int
3432 1141921545U, // VFNMADDSSr213r
3433 1141921545U, // VFNMADDSSr213r_Int
3434 1141921415U, // VFNMADDSSr231m
3435 1141921415U, // VFNMADDSSr231r
3436 1141917127U, // VFNMSUB132PDZm
3437 1141917127U, // VFNMSUB132PDZmb
3438 1141920626U, // VFNMSUB132PSZm
3439 1141920626U, // VFNMSUB132PSZmb
3440 1141917280U, // VFNMSUB213PDZm
3441 1141917280U, // VFNMSUB213PDZmb
3442 1141917280U, // VFNMSUB213PDZr
3443 1141920790U, // VFNMSUB213PSZm
3444 1141920790U, // VFNMSUB213PSZmb
3445 1141920790U, // VFNMSUB213PSZr
3446 1209026283U, // VFNMSUBPD4mr
3447 1209026283U, // VFNMSUBPD4mrY
3448 1209026283U, // VFNMSUBPD4rm
3449 1209026283U, // VFNMSUBPD4rmY
3450 1209026283U, // VFNMSUBPD4rr
3451 1209026283U, // VFNMSUBPD4rrY
3452 1209026283U, // VFNMSUBPD4rrY_REV
3453 1209026283U, // VFNMSUBPD4rr_REV
3454 1141917127U, // VFNMSUBPDr132m
3455 1141917127U, // VFNMSUBPDr132mY
3456 1141917127U, // VFNMSUBPDr132r
3457 1141917127U, // VFNMSUBPDr132rY
3458 1141917280U, // VFNMSUBPDr213m
3459 1141917280U, // VFNMSUBPDr213mY
3460 1141917280U, // VFNMSUBPDr213r
3461 1141917280U, // VFNMSUBPDr213rY
3462 1141917041U, // VFNMSUBPDr231m
3463 1141917041U, // VFNMSUBPDr231mY
3464 1141917041U, // VFNMSUBPDr231r
3465 1141917041U, // VFNMSUBPDr231rY
3466 1209029785U, // VFNMSUBPS4mr
3467 1209029785U, // VFNMSUBPS4mrY
3468 1209029785U, // VFNMSUBPS4rm
3469 1209029785U, // VFNMSUBPS4rmY
3470 1209029785U, // VFNMSUBPS4rr
3471 1209029785U, // VFNMSUBPS4rrY
3472 1209029785U, // VFNMSUBPS4rrY_REV
3473 1209029785U, // VFNMSUBPS4rr_REV
3474 1141920626U, // VFNMSUBPSr132m
3475 1141920626U, // VFNMSUBPSr132mY
3476 1141920626U, // VFNMSUBPSr132r
3477 1141920626U, // VFNMSUBPSr132rY
3478 1141920790U, // VFNMSUBPSr213m
3479 1141920790U, // VFNMSUBPSr213mY
3480 1141920790U, // VFNMSUBPSr213r
3481 1141920790U, // VFNMSUBPSr213rY
3482 1141920540U, // VFNMSUBPSr231m
3483 1141920540U, // VFNMSUBPSr231mY
3484 1141920540U, // VFNMSUBPSr231r
3485 1141920540U, // VFNMSUBPSr231rY
3486 1209027012U, // VFNMSUBSD4mr
3487 1209027012U, // VFNMSUBSD4mr_Int
3488 1209027012U, // VFNMSUBSD4rm
3489 1209027012U, // VFNMSUBSD4rm_Int
3490 1209027012U, // VFNMSUBSD4rr
3491 1209027012U, // VFNMSUBSD4rr_Int
3492 1209027012U, // VFNMSUBSD4rr_REV
3493 1141918067U, // VFNMSUBSDZm
3494 1141918067U, // VFNMSUBSDZr
3495 1141917991U, // VFNMSUBSDr132m
3496 1141917991U, // VFNMSUBSDr132r
3497 1141918067U, // VFNMSUBSDr213m
3498 1141918067U, // VFNMSUBSDr213m_Int
3499 1141918067U, // VFNMSUBSDr213r
3500 1141918067U, // VFNMSUBSDr213r_Int
3501 1141917937U, // VFNMSUBSDr231m
3502 1141917937U, // VFNMSUBSDr231r
3503 1209030455U, // VFNMSUBSS4mr
3504 1209030455U, // VFNMSUBSS4mr_Int
3505 1209030455U, // VFNMSUBSS4rm
3506 1209030455U, // VFNMSUBSS4rm_Int
3507 1209030455U, // VFNMSUBSS4rr
3508 1209030455U, // VFNMSUBSS4rr_Int
3509 1209030455U, // VFNMSUBSS4rr_REV
3510 1141921518U, // VFNMSUBSSZm
3511 1141921518U, // VFNMSUBSSZr
3512 1141921442U, // VFNMSUBSSr132m
3513 1141921442U, // VFNMSUBSSr132r
3514 1141921518U, // VFNMSUBSSr213m
3515 1141921518U, // VFNMSUBSSr213m_Int
3516 1141921518U, // VFNMSUBSSr213r
3517 1141921518U, // VFNMSUBSSr213r_Int
3518 1141921388U, // VFNMSUBSSr231m
3519 1141921388U, // VFNMSUBSSr231r
3520 537938035U, // VFRCZPDrm
3521 806373491U, // VFRCZPDrmY
3522 135284851U, // VFRCZPDrr
3523 135284851U, // VFRCZPDrrY
3524 537941590U, // VFRCZPSrm
3525 806377046U, // VFRCZPSrmY
3526 135288406U, // VFRCZPSrr
3527 135288406U, // VFRCZPSrrY
3528 571492992U, // VFRCZSDrm
3529 135285376U, // VFRCZSDrr
3530 605050867U, // VFRCZSSrm
3531 135288819U, // VFRCZSSrr
3532 1209026526U, // VFsANDNPDrm
3533 1209026526U, // VFsANDNPDrr
3534 1209030040U, // VFsANDNPSrm
3535 1209030040U, // VFsANDNPSrr
3536 1209026353U, // VFsANDPDrm
3537 1209026353U, // VFsANDPDrr
3538 1209029855U, // VFsANDPSrm
3539 1209029855U, // VFsANDPSrr
3540 1209026584U, // VFsORPDrm
3541 1209026584U, // VFsORPDrr
3542 1209030106U, // VFsORPSrm
3543 1209030106U, // VFsORPSrr
3544 1209026591U, // VFsXORPDrm
3545 1209026591U, // VFsXORPDrr
3546 1209030113U, // VFsXORPSrm
3547 1209030113U, // VFsXORPSrr
3548 907036493U, // VGATHERDPDYrm
3549 2032158541U, // VGATHERDPDZrm
3550 907036493U, // VGATHERDPDrm
3551 974148859U, // VGATHERDPSYrm
3552 3105903867U, // VGATHERDPSZrm
3553 974148859U, // VGATHERDPSrm
3554 907036671U, // VGATHERQPDYrm
3555 2032158719U, // VGATHERQPDZrm
3556 907036671U, // VGATHERQPDrm
3557 974149057U, // VGATHERQPSYrm
3558 2032162241U, // VGATHERQPSZrm
3559 974149057U, // VGATHERQPSrm
3560 1209026315U, // VHADDPDYrm
3561 1209026315U, // VHADDPDYrr
3562 1209026315U, // VHADDPDrm
3563 1209026315U, // VHADDPDrr
3564 1209029817U, // VHADDPSYrm
3565 1209029817U, // VHADDPSYrr
3566 1209029817U, // VHADDPSrm
3567 1209029817U, // VHADDPSrr
3568 1209026264U, // VHSUBPDYrm
3569 1209026264U, // VHSUBPDYrr
3570 1209026264U, // VHSUBPDrm
3571 1209026264U, // VHSUBPDrr
3572 1209029766U, // VHSUBPSYrm
3573 1209029766U, // VHSUBPSYrr
3574 1209029766U, // VHSUBPSrm
3575 1209029766U, // VHSUBPSrr
3576 1209024871U, // VINSERTF128rm
3577 1209024871U, // VINSERTF128rr
3578 1209024744U, // VINSERTF32x4rm
3579 1209024744U, // VINSERTF32x4rr
3580 1209024802U, // VINSERTF64x4rm
3581 1209024802U, // VINSERTF64x4rr
3582 1209024926U, // VINSERTI128rm
3583 1209024926U, // VINSERTI128rr
3584 1209024773U, // VINSERTI32x4rm
3585 1209024773U, // VINSERTI32x4rr
3586 1209024831U, // VINSERTI64x4rm
3587 1209024831U, // VINSERTI64x4rr
3588 1209030143U, // VINSERTPSrm
3589 1209030143U, // VINSERTPSrr
3590 1209030143U, // VINSERTPSzrm
3591 1209030143U, // VINSERTPSzrr
3592 739268833U, // VLDDQUYrm
3593 336615649U, // VLDDQUrm
3594 70284U, // VLDMXCSR
3595 135289065U, // VMASKMOVDQU
3596 135289065U, // VMASKMOVDQU64
3597 873891935U, // VMASKMOVPDYmr
3598 1209026655U, // VMASKMOVPDYrm
3599 873875551U, // VMASKMOVPDmr
3600 1209026655U, // VMASKMOVPDrm
3601 873895490U, // VMASKMOVPSYmr
3602 1209030210U, // VMASKMOVPSYrm
3603 873879106U, // VMASKMOVPSmr
3604 1209030210U, // VMASKMOVPSrm
3605 1209026667U, // VMAXCPDYrm
3606 1209026667U, // VMAXCPDYrr
3607 1209026667U, // VMAXCPDrm
3608 1209026667U, // VMAXCPDrr
3609 1209030222U, // VMAXCPSYrm
3610 1209030222U, // VMAXCPSYrr
3611 1209030222U, // VMAXCPSrm
3612 1209030222U, // VMAXCPSrr
3613 1209027192U, // VMAXCSDrm
3614 1209027192U, // VMAXCSDrr
3615 1209030635U, // VMAXCSSrm
3616 1209030635U, // VMAXCSSrr
3617 1209026667U, // VMAXPDYrm
3618 1209026667U, // VMAXPDYrr
3619 1209026667U, // VMAXPDZrm
3620 1209026667U, // VMAXPDZrmb
3621 1209026667U, // VMAXPDZrr
3622 1209026667U, // VMAXPDrm
3623 1209026667U, // VMAXPDrr
3624 1209030222U, // VMAXPSYrm
3625 1209030222U, // VMAXPSYrr
3626 1209030222U, // VMAXPSZrm
3627 1209030222U, // VMAXPSZrmb
3628 1209030222U, // VMAXPSZrr
3629 1209030222U, // VMAXPSrm
3630 1209030222U, // VMAXPSrr
3631 1209027192U, // VMAXSDZrm
3632 1209027192U, // VMAXSDZrr
3633 1209027192U, // VMAXSDrm
3634 1209027192U, // VMAXSDrm_Int
3635 1209027192U, // VMAXSDrr
3636 1209027192U, // VMAXSDrr_Int
3637 1209030635U, // VMAXSSZrm
3638 1209030635U, // VMAXSSZrr
3639 1209030635U, // VMAXSSrm
3640 1209030635U, // VMAXSSrm_Int
3641 1209030635U, // VMAXSSrr
3642 1209030635U, // VMAXSSrr_Int
3643 9890U, // VMCALL
3644 86560U, // VMCLEARm
3645 9578U, // VMFUNC
3646 1209026535U, // VMINCPDYrm
3647 1209026535U, // VMINCPDYrr
3648 1209026535U, // VMINCPDrm
3649 1209026535U, // VMINCPDrr
3650 1209030049U, // VMINCPSYrm
3651 1209030049U, // VMINCPSYrr
3652 1209030049U, // VMINCPSrm
3653 1209030049U, // VMINCPSrr
3654 1209027119U, // VMINCSDrm
3655 1209027119U, // VMINCSDrr
3656 1209030553U, // VMINCSSrm
3657 1209030553U, // VMINCSSrr
3658 1209026535U, // VMINPDYrm
3659 1209026535U, // VMINPDYrr
3660 1209026535U, // VMINPDZrm
3661 1209026535U, // VMINPDZrmb
3662 1209026535U, // VMINPDZrr
3663 1209026535U, // VMINPDrm
3664 1209026535U, // VMINPDrr
3665 1209030049U, // VMINPSYrm
3666 1209030049U, // VMINPSYrr
3667 1209030049U, // VMINPSZrm
3668 1209030049U, // VMINPSZrmb
3669 1209030049U, // VMINPSZrr
3670 1209030049U, // VMINPSrm
3671 1209030049U, // VMINPSrr
3672 1209027119U, // VMINSDZrm
3673 1209027119U, // VMINSDZrr
3674 1209027119U, // VMINSDrm
3675 1209027119U, // VMINSDrm_Int
3676 1209027119U, // VMINSDrr
3677 1209027119U, // VMINSDrr_Int
3678 1209030553U, // VMINSSZrm
3679 1209030553U, // VMINSSZrr
3680 1209030553U, // VMINSSrm
3681 1209030553U, // VMINSSrm_Int
3682 1209030553U, // VMINSSrr
3683 1209030553U, // VMINSSrr_Int
3684 9818U, // VMLAUNCH
3685 10507U, // VMLOAD32
3686 10562U, // VMLOAD64
3687 9882U, // VMMCALL
3688 135287278U, // VMOV64toPQIZrr
3689 135287278U, // VMOV64toPQIrr
3690 135287278U, // VMOV64toSDZrr
3691 168841709U, // VMOV64toSDrm
3692 135287278U, // VMOV64toSDrr
3693 427695U, // VMOVAPDYmr
3694 806373039U, // VMOVAPDYrm
3695 135284399U, // VMOVAPDYrr
3696 135284399U, // VMOVAPDYrr_REV
3697 460463U, // VMOVAPDZmr
3698 839927471U, // VMOVAPDZrm
3699 86001327U, // VMOVAPDZrmk
3700 135284399U, // VMOVAPDZrr
3701 86001327U, // VMOVAPDZrrk
3702 411311U, // VMOVAPDmr
3703 537937583U, // VMOVAPDrm
3704 135284399U, // VMOVAPDrr
3705 135284399U, // VMOVAPDrr_REV
3706 431205U, // VMOVAPSYmr
3707 806376549U, // VMOVAPSYrm
3708 135287909U, // VMOVAPSYrr
3709 135287909U, // VMOVAPSYrr_REV
3710 463973U, // VMOVAPSZmr
3711 839930981U, // VMOVAPSZrm
3712 86004837U, // VMOVAPSZrmk
3713 135287909U, // VMOVAPSZrr
3714 86004837U, // VMOVAPSZrrk
3715 414821U, // VMOVAPSmr
3716 537941093U, // VMOVAPSrm
3717 135287909U, // VMOVAPSrr
3718 135287909U, // VMOVAPSrr_REV
3719 806375165U, // VMOVDDUPYrm
3720 135286525U, // VMOVDDUPYrr
3721 839929597U, // VMOVDDUPZrm
3722 135286525U, // VMOVDDUPZrr
3723 571494141U, // VMOVDDUPrm
3724 135286525U, // VMOVDDUPrr
3725 101731060U, // VMOVDI2PDIZrm
3726 135285492U, // VMOVDI2PDIZrr
3727 101731060U, // VMOVDI2PDIrm
3728 135285492U, // VMOVDI2PDIrr
3729 101731060U, // VMOVDI2SSZrm
3730 135285492U, // VMOVDI2SSZrr
3731 101731060U, // VMOVDI2SSrm
3732 135285492U, // VMOVDI2SSrr
3733 475203U, // VMOVDQA32mr
3734 772816963U, // VMOVDQA32rm
3735 135282755U, // VMOVDQA32rr
3736 475289U, // VMOVDQA64mr
3737 772817049U, // VMOVDQA64rm
3738 135282841U, // VMOVDQA64rr
3739 442831U, // VMOVDQAYmr
3740 739262927U, // VMOVDQAYrm
3741 135283151U, // VMOVDQAYrr
3742 135283151U, // VMOVDQAYrr_REV
3743 1245647U, // VMOVDQAmr
3744 336609743U, // VMOVDQArm
3745 135283151U, // VMOVDQArr
3746 135283151U, // VMOVDQArr_REV
3747 772816981U, // VMOVDQU32rm
3748 85999701U, // VMOVDQU32rmk
3749 135282773U, // VMOVDQU32rr
3750 85999701U, // VMOVDQU32rrk
3751 772817091U, // VMOVDQU64rm
3752 85999811U, // VMOVDQU64rmk
3753 135282883U, // VMOVDQU64rr
3754 85999811U, // VMOVDQU64rrk
3755 448758U, // VMOVDQUYmr
3756 739268854U, // VMOVDQUYrm
3757 135289078U, // VMOVDQUYrr
3758 135289078U, // VMOVDQUYrr_REV
3759 1251574U, // VMOVDQUmr
3760 336615670U, // VMOVDQUrm
3761 135289078U, // VMOVDQUrr
3762 135289078U, // VMOVDQUrr_REV
3763 1209029971U, // VMOVHLPSZrr
3764 1209029971U, // VMOVHLPSrr
3765 1181575U, // VMOVHPDmr
3766 1209026439U, // VMOVHPDrm
3767 1185087U, // VMOVHPSmr
3768 1209029951U, // VMOVHPSrm
3769 1209029941U, // VMOVLHPSZrr
3770 1209029941U, // VMOVLHPSrr
3771 1181625U, // VMOVLPDmr
3772 1209026489U, // VMOVLPDrm
3773 1185147U, // VMOVLPSmr
3774 1209030011U, // VMOVLPSrm
3775 1135086U, // VMOVLQ128mr
3776 135284624U, // VMOVMSKPDYr64r
3777 135284624U, // VMOVMSKPDYrr32
3778 135284624U, // VMOVMSKPDYrr64
3779 135284624U, // VMOVMSKPDr64r
3780 135284624U, // VMOVMSKPDrr32
3781 135284624U, // VMOVMSKPDrr64
3782 135288136U, // VMOVMSKPSYr64r
3783 135288136U, // VMOVMSKPSYrr32
3784 135288136U, // VMOVMSKPSYrr64
3785 135288136U, // VMOVMSKPSr64r
3786 135288136U, // VMOVMSKPSrr32
3787 135288136U, // VMOVMSKPSrr64
3788 739262916U, // VMOVNTDQAYrm
3789 336609732U, // VMOVNTDQArm
3790 430191U, // VMOVNTDQYmr
3791 413807U, // VMOVNTDQmr
3792 428071U, // VMOVNTPDYmr
3793 411687U, // VMOVNTPDmr
3794 431605U, // VMOVNTPSYmr
3795 415221U, // VMOVNTPSmr
3796 1116916U, // VMOVPDI2DIZmr
3797 135285492U, // VMOVPDI2DIZrr
3798 1116916U, // VMOVPDI2DImr
3799 135285492U, // VMOVPDI2DIrr
3800 1135086U, // VMOVPQI2QImr
3801 1135086U, // VMOVPQIto64Zmr
3802 135287278U, // VMOVPQIto64Zrr
3803 135287278U, // VMOVPQIto64rr
3804 168841710U, // VMOVQI2PQIZrm
3805 168841710U, // VMOVQI2PQIrm
3806 135287278U, // VMOVQd64rr
3807 135287278U, // VMOVQd64rr_alt
3808 135287278U, // VMOVQs64rr
3809 135287278U, // VMOVQxrxr
3810 1182311U, // VMOVSDZmr
3811 571492967U, // VMOVSDZrm
3812 1209027175U, // VMOVSDZrr
3813 1209027175U, // VMOVSDZrr_REV
3814 1182311U, // VMOVSDmr
3815 571492967U, // VMOVSDrm
3816 1209027175U, // VMOVSDrr
3817 1209027175U, // VMOVSDrr_REV
3818 1135086U, // VMOVSDto64Zmr
3819 135287278U, // VMOVSDto64Zrr
3820 1135086U, // VMOVSDto64mr
3821 135287278U, // VMOVSDto64rr
3822 806375175U, // VMOVSHDUPYrm
3823 135286535U, // VMOVSHDUPYrr
3824 537939719U, // VMOVSHDUPrm
3825 135286535U, // VMOVSHDUPrr
3826 806375186U, // VMOVSLDUPYrm
3827 135286546U, // VMOVSLDUPYrr
3828 537939730U, // VMOVSLDUPrm
3829 135286546U, // VMOVSLDUPrr
3830 1116916U, // VMOVSS2DIZmr
3831 135285492U, // VMOVSS2DIZrr
3832 1116916U, // VMOVSS2DImr
3833 135285492U, // VMOVSS2DIrr
3834 1169379U, // VMOVSSZmr
3835 605050851U, // VMOVSSZrm
3836 1209030627U, // VMOVSSZrr
3837 1209030627U, // VMOVSSZrr_REV
3838 1169379U, // VMOVSSmr
3839 605050851U, // VMOVSSrm
3840 1209030627U, // VMOVSSrr
3841 1209030627U, // VMOVSSrr_REV
3842 428099U, // VMOVUPDYmr
3843 806373443U, // VMOVUPDYrm
3844 135284803U, // VMOVUPDYrr
3845 135284803U, // VMOVUPDYrr_REV
3846 460867U, // VMOVUPDZmr
3847 839927875U, // VMOVUPDZrm
3848 86001731U, // VMOVUPDZrmk
3849 135284803U, // VMOVUPDZrr
3850 86001731U, // VMOVUPDZrrk
3851 411715U, // VMOVUPDmr
3852 537937987U, // VMOVUPDrm
3853 135284803U, // VMOVUPDrr
3854 135284803U, // VMOVUPDrr_REV
3855 431654U, // VMOVUPSYmr
3856 806376998U, // VMOVUPSYrm
3857 135288358U, // VMOVUPSYrr
3858 135288358U, // VMOVUPSYrr_REV
3859 464422U, // VMOVUPSZmr
3860 839931430U, // VMOVUPSZrm
3861 86005286U, // VMOVUPSZrmk
3862 135288358U, // VMOVUPSZrr
3863 86005286U, // VMOVUPSZrrk
3864 415270U, // VMOVUPSmr
3865 537941542U, // VMOVUPSrm
3866 135288358U, // VMOVUPSrr
3867 135288358U, // VMOVUPSrr_REV
3868 101731060U, // VMOVZDI2PDIrm
3869 135285492U, // VMOVZDI2PDIrr
3870 336613870U, // VMOVZPQILo2PQIZrm
3871 135287278U, // VMOVZPQILo2PQIZrr
3872 336613870U, // VMOVZPQILo2PQIrm
3873 135287278U, // VMOVZPQILo2PQIrr
3874 168841710U, // VMOVZQI2PQIrm
3875 135287278U, // VMOVZQI2PQIrr
3876 1209030975U, // VMPSADBWYrmi
3877 1209030975U, // VMPSADBWYrri
3878 1209030975U, // VMPSADBWrmi
3879 1209030975U, // VMPSADBWrri
3880 83189U, // VMPTRLDm
3881 88269U, // VMPTRSTm
3882 1115097U, // VMREAD32rm
3883 135283673U, // VMREAD32rr
3884 1131481U, // VMREAD64rm
3885 135283673U, // VMREAD64rr
3886 9728U, // VMRESUME
3887 10529U, // VMRUN32
3888 10584U, // VMRUN64
3889 10518U, // VMSAVE32
3890 10573U, // VMSAVE64
3891 1209026481U, // VMULPDYrm
3892 1209026481U, // VMULPDYrr
3893 1209026481U, // VMULPDZrm
3894 1209026481U, // VMULPDZrmb
3895 1209026481U, // VMULPDZrr
3896 1209026481U, // VMULPDrm
3897 1209026481U, // VMULPDrr
3898 1209030003U, // VMULPSYrm
3899 1209030003U, // VMULPSYrr
3900 1209030003U, // VMULPSZrm
3901 1209030003U, // VMULPSZrmb
3902 1209030003U, // VMULPSZrr
3903 1209030003U, // VMULPSrm
3904 1209030003U, // VMULPSrr
3905 1209027102U, // VMULSDZrm
3906 1209027102U, // VMULSDZrr
3907 1209027102U, // VMULSDrm
3908 1209027102U, // VMULSDrm_Int
3909 1209027102U, // VMULSDrr
3910 1209027102U, // VMULSDrr_Int
3911 1209030545U, // VMULSSZrm
3912 1209030545U, // VMULSSZrr
3913 1209030545U, // VMULSSrm
3914 1209030545U, // VMULSSrm_Int
3915 1209030545U, // VMULSSrr
3916 1209030545U, // VMULSSrr_Int
3917 101731387U, // VMWRITE32rm
3918 135285819U, // VMWRITE32rr
3919 168840251U, // VMWRITE64rm
3920 135285819U, // VMWRITE64rr
3921 9785U, // VMXOFF
3922 85548U, // VMXON
3923 1209026584U, // VORPDYrm
3924 1209026584U, // VORPDYrr
3925 1209026584U, // VORPDrm
3926 1209026584U, // VORPDrr
3927 1209030106U, // VORPSYrm
3928 1209030106U, // VORPSYrr
3929 1209030106U, // VORPSrm
3930 1209030106U, // VORPSrr
3931 336609975U, // VPABSBrm128
3932 739263159U, // VPABSBrm256
3933 135283383U, // VPABSBrr128
3934 135283383U, // VPABSBrr256
3935 772819378U, // VPABSDrm
3936 336611762U, // VPABSDrm128
3937 739264946U, // VPABSDrm256
3938 135285170U, // VPABSDrr
3939 135285170U, // VPABSDrr128
3940 135285170U, // VPABSDrr256
3941 772821371U, // VPABSQrm
3942 135287163U, // VPABSQrr
3943 336616213U, // VPABSWrm128
3944 739269397U, // VPABSWrm256
3945 135289621U, // VPABSWrr128
3946 135289621U, // VPABSWrr256
3947 1209031144U, // VPACKSSDWYrm
3948 1209031144U, // VPACKSSDWYrr
3949 1209031144U, // VPACKSSDWrm
3950 1209031144U, // VPACKSSDWrr
3951 1209025377U, // VPACKSSWBYrm
3952 1209025377U, // VPACKSSWBYrr
3953 1209025377U, // VPACKSSWBrm
3954 1209025377U, // VPACKSSWBrr
3955 1209031155U, // VPACKUSDWYrm
3956 1209031155U, // VPACKUSDWYrr
3957 1209031155U, // VPACKUSDWrm
3958 1209031155U, // VPACKUSDWrr
3959 1209025388U, // VPACKUSWBYrm
3960 1209025388U, // VPACKUSWBYrr
3961 1209025388U, // VPACKUSWBrm
3962 1209025388U, // VPACKUSWBrr
3963 1209025054U, // VPADDBYrm
3964 1209025054U, // VPADDBYrr
3965 1209025054U, // VPADDBrm
3966 1209025054U, // VPADDBrr
3967 1209025610U, // VPADDDYrm
3968 1209025610U, // VPADDDYrr
3969 1209025610U, // VPADDDZrm
3970 1209025610U, // VPADDDZrmb
3971 1209025610U, // VPADDDZrr
3972 1209025610U, // VPADDDrm
3973 1209025610U, // VPADDDrr
3974 1209028579U, // VPADDQYrm
3975 1209028579U, // VPADDQYrr
3976 1209028579U, // VPADDQZrm
3977 1209028579U, // VPADDQZrmb
3978 1209028579U, // VPADDQZrr
3979 1209028579U, // VPADDQrm
3980 1209028579U, // VPADDQrr
3981 1209025224U, // VPADDSBYrm
3982 1209025224U, // VPADDSBYrr
3983 1209025224U, // VPADDSBrm
3984 1209025224U, // VPADDSBrr
3985 1209031494U, // VPADDSWYrm
3986 1209031494U, // VPADDSWYrr
3987 1209031494U, // VPADDSWrm
3988 1209031494U, // VPADDSWrr
3989 1209025252U, // VPADDUSBYrm
3990 1209025252U, // VPADDUSBYrr
3991 1209025252U, // VPADDUSBrm
3992 1209025252U, // VPADDUSBrr
3993 1209031553U, // VPADDUSWYrm
3994 1209031553U, // VPADDUSWYrr
3995 1209031553U, // VPADDUSWrm
3996 1209031553U, // VPADDUSWrr
3997 1209031119U, // VPADDWYrm
3998 1209031119U, // VPADDWYrr
3999 1209031119U, // VPADDWrm
4000 1209031119U, // VPADDWrr
4001 1209029204U, // VPALIGNR128rm
4002 1209029204U, // VPALIGNR128rr
4003 1209029204U, // VPALIGNR256rm
4004 1209029204U, // VPALIGNR256rr
4005 1209025618U, // VPANDDZrm
4006 1209025618U, // VPANDDZrmb
4007 1209025618U, // VPANDDZrr
4008 1209025842U, // VPANDNDZrm
4009 1209025842U, // VPANDNDZrmb
4010 1209025842U, // VPANDNDZrr
4011 1209028877U, // VPANDNQZrm
4012 1209028877U, // VPANDNQZrmb
4013 1209028877U, // VPANDNQZrr
4014 1209028117U, // VPANDNYrm
4015 1209028117U, // VPANDNYrr
4016 1209028117U, // VPANDNrm
4017 1209028117U, // VPANDNrr
4018 1209028648U, // VPANDQZrm
4019 1209028648U, // VPANDQZrmb
4020 1209028648U, // VPANDQZrr
4021 1209025827U, // VPANDYrm
4022 1209025827U, // VPANDYrr
4023 1209025827U, // VPANDrm
4024 1209025827U, // VPANDrr
4025 1209025101U, // VPAVGBYrm
4026 1209025101U, // VPAVGBYrr
4027 1209025101U, // VPAVGBrm
4028 1209025101U, // VPAVGBrr
4029 1209031211U, // VPAVGWYrm
4030 1209031211U, // VPAVGWYrr
4031 1209031211U, // VPAVGWrm
4032 1209031211U, // VPAVGWrr
4033 1209025626U, // VPBLENDDYrmi
4034 1209025626U, // VPBLENDDYrri
4035 1209025626U, // VPBLENDDrmi
4036 1209025626U, // VPBLENDDrri
4037 1209025790U, // VPBLENDMDZrm
4038 153109758U, // VPBLENDMDZrr
4039 1209028840U, // VPBLENDMQZrm
4040 153112808U, // VPBLENDMQZrr
4041 1209025359U, // VPBLENDVBYrm
4042 1209025359U, // VPBLENDVBYrr
4043 1209025359U, // VPBLENDVBrm
4044 1209025359U, // VPBLENDVBrr
4045 1209031134U, // VPBLENDWYrmi
4046 1209031134U, // VPBLENDWYrri
4047 1209031134U, // VPBLENDWrmi
4048 1209031134U, // VPBLENDWrri
4049 504382232U, // VPBROADCASTBYrm
4050 135283480U, // VPBROADCASTBYrr
4051 504382232U, // VPBROADCASTBrm
4052 135283480U, // VPBROADCASTBrr
4053 101730971U, // VPBROADCASTDYrm
4054 135285403U, // VPBROADCASTDYrr
4055 3374336667U, // VPBROADCASTDZkrm
4056 3374336667U, // VPBROADCASTDZkrr
4057 101730971U, // VPBROADCASTDZrm
4058 135285403U, // VPBROADCASTDZrr
4059 3374336667U, // VPBROADCASTDrZkrr
4060 135285403U, // VPBROADCASTDrZrr
4061 101730971U, // VPBROADCASTDrm
4062 135285403U, // VPBROADCASTDrr
4063 135286587U, // VPBROADCASTMB2Qrr
4064 135283651U, // VPBROADCASTMW2Drr
4065 168841638U, // VPBROADCASTQYrm
4066 135287206U, // VPBROADCASTQYrr
4067 3374338470U, // VPBROADCASTQZkrm
4068 3374338470U, // VPBROADCASTQZkrr
4069 168841638U, // VPBROADCASTQZrm
4070 135287206U, // VPBROADCASTQZrr
4071 3374338470U, // VPBROADCASTQrZkrr
4072 135287206U, // VPBROADCASTQrZrr
4073 168841638U, // VPBROADCASTQrm
4074 135287206U, // VPBROADCASTQrr
4075 370170797U, // VPBROADCASTWYrm
4076 135289773U, // VPBROADCASTWYrr
4077 370170797U, // VPBROADCASTWrm
4078 135289773U, // VPBROADCASTWrr
4079 1209028682U, // VPCLMULQDQrm
4080 1209028682U, // VPCLMULQDQrr
4081 1209030941U, // VPCMOVmr
4082 1209030941U, // VPCMOVmrY
4083 1209030941U, // VPCMOVrm
4084 1209030941U, // VPCMOVrmY
4085 1209030941U, // VPCMOVrr
4086 1209030941U, // VPCMOVrrY
4087 1026795282U, // VPCMPDZrmi
4088 1209026498U, // VPCMPDZrmi_alt
4089 87287570U, // VPCMPDZrri
4090 1209026498U, // VPCMPDZrri_alt
4091 1209025149U, // VPCMPEQBYrm
4092 1209025149U, // VPCMPEQBYrr
4093 1209025149U, // VPCMPEQBrm
4094 1209025149U, // VPCMPEQBrr
4095 1209026684U, // VPCMPEQDYrm
4096 1209026684U, // VPCMPEQDYrr
4097 1209026684U, // VPCMPEQDZrm
4098 1209026684U, // VPCMPEQDZrr
4099 1209026684U, // VPCMPEQDrm
4100 1209026684U, // VPCMPEQDrr
4101 1209028909U, // VPCMPEQQYrm
4102 1209028909U, // VPCMPEQQYrr
4103 1209028909U, // VPCMPEQQZrm
4104 1209028909U, // VPCMPEQQZrr
4105 1209028909U, // VPCMPEQQrm
4106 1209028909U, // VPCMPEQQrr
4107 1209031341U, // VPCMPEQWYrm
4108 1209031341U, // VPCMPEQWYrr
4109 1209031341U, // VPCMPEQWrm
4110 1209031341U, // VPCMPEQWrr
4111 0U, // VPCMPESTRIMEM
4112 0U, // VPCMPESTRIREG
4113 1410354473U, // VPCMPESTRIrm
4114 1209027881U, // VPCMPESTRIrr
4115 0U, // VPCMPESTRM128MEM
4116 0U, // VPCMPESTRM128REG
4117 1410354685U, // VPCMPESTRM128rm
4118 1209028093U, // VPCMPESTRM128rr
4119 1209025286U, // VPCMPGTBYrm
4120 1209025286U, // VPCMPGTBYrr
4121 1209025286U, // VPCMPGTBrm
4122 1209025286U, // VPCMPGTBrr
4123 1209027209U, // VPCMPGTDYrm
4124 1209027209U, // VPCMPGTDYrr
4125 1209027209U, // VPCMPGTDZrm
4126 1209027209U, // VPCMPGTDZrr
4127 1209027209U, // VPCMPGTDrm
4128 1209027209U, // VPCMPGTDrr
4129 1209028995U, // VPCMPGTQYrm
4130 1209028995U, // VPCMPGTQYrr
4131 1209028995U, // VPCMPGTQZrm
4132 1209028995U, // VPCMPGTQZrr
4133 1209028995U, // VPCMPGTQrm
4134 1209028995U, // VPCMPGTQrr
4135 1209031572U, // VPCMPGTWYrm
4136 1209031572U, // VPCMPGTWYrr
4137 1209031572U, // VPCMPGTWrm
4138 1209031572U, // VPCMPGTWrr
4139 0U, // VPCMPISTRIMEM
4140 0U, // VPCMPISTRIREG
4141 1410354485U, // VPCMPISTRIrm
4142 1209027893U, // VPCMPISTRIrr
4143 0U, // VPCMPISTRM128MEM
4144 0U, // VPCMPISTRM128REG
4145 1410354697U, // VPCMPISTRM128rm
4146 1209028105U, // VPCMPISTRM128rr
4147 1027843858U, // VPCMPQZrmi
4148 1209028895U, // VPCMPQZrmi_alt
4149 88336146U, // VPCMPQZrri
4150 1209028895U, // VPCMPQZrri_alt
4151 1028892434U, // VPCMPUDZrmi
4152 1209027259U, // VPCMPUDZrmi_alt
4153 89384722U, // VPCMPUDZrri
4154 1209027259U, // VPCMPUDZrri_alt
4155 1029941010U, // VPCMPUQZrmi
4156 1209029053U, // VPCMPUQZrmi_alt
4157 90433298U, // VPCMPUQZrri
4158 1209029053U, // VPCMPUQZrri_alt
4159 1209025132U, // VPCOMBmi
4160 1209025132U, // VPCOMBri
4161 1209025801U, // VPCOMDmi
4162 1209025801U, // VPCOMDri
4163 1209028851U, // VPCOMQmi
4164 1209028851U, // VPCOMQri
4165 1209025318U, // VPCOMUBmi
4166 1209025318U, // VPCOMUBri
4167 1209027241U, // VPCOMUDmi
4168 1209027241U, // VPCOMUDri
4169 1209029044U, // VPCOMUQmi
4170 1209029044U, // VPCOMUQri
4171 1209031639U, // VPCOMUWmi
4172 1209031639U, // VPCOMUWri
4173 1209031316U, // VPCOMWmi
4174 1209031316U, // VPCOMWri
4175 1209024845U, // VPERM2F128rm
4176 1209024845U, // VPERM2F128rr
4177 1209024900U, // VPERM2I128rm
4178 1209024900U, // VPERM2I128rr
4179 1209025809U, // VPERMDYrm
4180 1209025809U, // VPERMDYrr
4181 1209025809U, // VPERMDZrm
4182 1209025809U, // VPERMDZrr
4183 1141916601U, // VPERMI2Drm
4184 1141916601U, // VPERMI2Drr
4185 1141917184U, // VPERMI2PDrm
4186 1141917184U, // VPERMI2PDrr
4187 1141920705U, // VPERMI2PSrm
4188 1141920705U, // VPERMI2PSrr
4189 1141919564U, // VPERMI2Qrm
4190 1141919564U, // VPERMI2Qrr
4191 1209026069U, // VPERMIL2PDmr
4192 1209026069U, // VPERMIL2PDmrY
4193 1209026069U, // VPERMIL2PDrm
4194 1209026069U, // VPERMIL2PDrmY
4195 1209026069U, // VPERMIL2PDrr
4196 1209026069U, // VPERMIL2PDrrY
4197 1209029590U, // VPERMIL2PSmr
4198 1209029590U, // VPERMIL2PSmrY
4199 1209029590U, // VPERMIL2PSrm
4200 1209029590U, // VPERMIL2PSrmY
4201 1209029590U, // VPERMIL2PSrr
4202 1209029590U, // VPERMIL2PSrrY
4203 1880115099U, // VPERMILPDYmi
4204 1209026459U, // VPERMILPDYri
4205 1209026459U, // VPERMILPDYrm
4206 1209026459U, // VPERMILPDYrr
4207 1846560667U, // VPERMILPDZmi
4208 1209026459U, // VPERMILPDZri
4209 1611679643U, // VPERMILPDmi
4210 1209026459U, // VPERMILPDri
4211 1209026459U, // VPERMILPDrm
4212 1209026459U, // VPERMILPDrr
4213 1880118621U, // VPERMILPSYmi
4214 1209029981U, // VPERMILPSYri
4215 1209029981U, // VPERMILPSYrm
4216 1209029981U, // VPERMILPSYrr
4217 1846564189U, // VPERMILPSZmi
4218 1209029981U, // VPERMILPSZri
4219 1611683165U, // VPERMILPSmi
4220 1209029981U, // VPERMILPSri
4221 1209029981U, // VPERMILPSrm
4222 1209029981U, // VPERMILPSrr
4223 1813006293U, // VPERMPDYmi
4224 1209026517U, // VPERMPDYri
4225 1913669589U, // VPERMPDZmi
4226 1209026517U, // VPERMPDZri
4227 1209026517U, // VPERMPDZrm
4228 1209026517U, // VPERMPDZrr
4229 1209030031U, // VPERMPSYrm
4230 1209030031U, // VPERMPSYrr
4231 1209030031U, // VPERMPSZrm
4232 1209030031U, // VPERMPSZrr
4233 1813008635U, // VPERMQYmi
4234 1209028859U, // VPERMQYri
4235 1846563067U, // VPERMQZmi
4236 1209028859U, // VPERMQZri
4237 1209028859U, // VPERMQZrm
4238 1209028859U, // VPERMQZrr
4239 1074889390U, // VPEXTRBmr
4240 1209025198U, // VPEXTRBrr
4241 1209025198U, // VPEXTRBrr64
4242 1074858203U, // VPEXTRDmr
4243 1209026779U, // VPEXTRDrr
4244 1074876786U, // VPEXTRQmr
4245 1209028978U, // VPEXTRQrr
4246 1074830092U, // VPEXTRWmr
4247 1209031436U, // VPEXTRWri
4248 974144612U, // VPGATHERDDYrm
4249 3105899620U, // VPGATHERDDZrm
4250 974144612U, // VPGATHERDDrm
4251 907038806U, // VPGATHERDQYrm
4252 2032160854U, // VPGATHERDQZrm
4253 907038806U, // VPGATHERDQrm
4254 974145670U, // VPGATHERQDYrm
4255 2032158854U, // VPGATHERQDZrm
4256 974145670U, // VPGATHERQDrm
4257 907039031U, // VPGATHERQQYrm
4258 2032161079U, // VPGATHERQQZrm
4259 907039031U, // VPGATHERQQrm
4260 336610289U, // VPHADDBDrm
4261 135283697U, // VPHADDBDrr
4262 336613231U, // VPHADDBQrm
4263 135286639U, // VPHADDBQrr
4264 336615762U, // VPHADDBWrm
4265 135289170U, // VPHADDBWrr
4266 336613355U, // VPHADDDQrm
4267 135286763U, // VPHADDDQrr
4268 1209025601U, // VPHADDDYrm
4269 1209025601U, // VPHADDDYrr
4270 1209025601U, // VPHADDDrm
4271 1209025601U, // VPHADDDrr
4272 1209031484U, // VPHADDSWrm128
4273 1209031484U, // VPHADDSWrm256
4274 1209031484U, // VPHADDSWrr128
4275 1209031484U, // VPHADDSWrr256
4276 336610299U, // VPHADDUBDrm
4277 135283707U, // VPHADDUBDrr
4278 336613241U, // VPHADDUBQrm
4279 135286649U, // VPHADDUBQrr
4280 336615806U, // VPHADDUBWrm
4281 135289214U, // VPHADDUBWrr
4282 336613523U, // VPHADDUDQrm
4283 135286931U, // VPHADDUDQrr
4284 336612189U, // VPHADDUWDrm
4285 135285597U, // VPHADDUWDrr
4286 336613887U, // VPHADDUWQrm
4287 135287295U, // VPHADDUWQrr
4288 336612101U, // VPHADDWDrm
4289 135285509U, // VPHADDWDrr
4290 336613877U, // VPHADDWQrm
4291 135287285U, // VPHADDWQrr
4292 1209031103U, // VPHADDWYrm
4293 1209031103U, // VPHADDWYrr
4294 1209031103U, // VPHADDWrm
4295 1209031103U, // VPHADDWrr
4296 336616425U, // VPHMINPOSUWrm128
4297 135289833U, // VPHMINPOSUWrr128
4298 336615733U, // VPHSUBBWrm
4299 135289141U, // VPHSUBBWrr
4300 336613337U, // VPHSUBDQrm
4301 135286745U, // VPHSUBDQrr
4302 1209025542U, // VPHSUBDYrm
4303 1209025542U, // VPHSUBDYrr
4304 1209025542U, // VPHSUBDrm
4305 1209025542U, // VPHSUBDrr
4306 1209031465U, // VPHSUBSWrm128
4307 1209031465U, // VPHSUBSWrm256
4308 1209031465U, // VPHSUBSWrr128
4309 1209031465U, // VPHSUBSWrr256
4310 336612091U, // VPHSUBWDrm
4311 135285499U, // VPHSUBWDrr
4312 1209031049U, // VPHSUBWYrm
4313 1209031049U, // VPHSUBWYrr
4314 1209031049U, // VPHSUBWrm
4315 1209031049U, // VPHSUBWrr
4316 1209025189U, // VPINSRBrm
4317 1209025189U, // VPINSRBrr
4318 1209026770U, // VPINSRDrm
4319 1209026770U, // VPINSRDrr
4320 1209028969U, // VPINSRQrm
4321 1209028969U, // VPINSRQrr
4322 1209031417U, // VPINSRWrmi
4323 1209031417U, // VPINSRWrr64i
4324 1209031417U, // VPINSRWrri
4325 1209025661U, // VPMACSDDrm
4326 1209025661U, // VPMACSDDrr
4327 1209027763U, // VPMACSDQHrm
4328 1209027763U, // VPMACSDQHrr
4329 1209028005U, // VPMACSDQLrm
4330 1209028005U, // VPMACSDQLrr
4331 1209025671U, // VPMACSSDDrm
4332 1209025671U, // VPMACSSDDrr
4333 1209027774U, // VPMACSSDQHrm
4334 1209027774U, // VPMACSSDQHrr
4335 1209028016U, // VPMACSSDQLrm
4336 1209028016U, // VPMACSSDQLrr
4337 1209027398U, // VPMACSSWDrm
4338 1209027398U, // VPMACSSWDrr
4339 1209031696U, // VPMACSSWWrm
4340 1209031696U, // VPMACSSWWrr
4341 1209027377U, // VPMACSWDrm
4342 1209027377U, // VPMACSWDrr
4343 1209031686U, // VPMACSWWrm
4344 1209031686U, // VPMACSWWrr
4345 1209027409U, // VPMADCSSWDrm
4346 1209027409U, // VPMADCSSWDrr
4347 1209027387U, // VPMADCSWDrm
4348 1209027387U, // VPMADCSWDrr
4349 1209031453U, // VPMADDUBSWrm128
4350 1209031453U, // VPMADDUBSWrm256
4351 1209031453U, // VPMADDUBSWrr128
4352 1209031453U, // VPMADDUBSWrr256
4353 1209027343U, // VPMADDWDYrm
4354 1209027343U, // VPMADDWDYrr
4355 1209027343U, // VPMADDWDrm
4356 1209027343U, // VPMADDWDrr
4357 873908968U, // VPMASKMOVDYmr
4358 1209027304U, // VPMASKMOVDYrm
4359 1074989800U, // VPMASKMOVDmr
4360 1209027304U, // VPMASKMOVDrm
4361 873910753U, // VPMASKMOVQYmr
4362 1209029089U, // VPMASKMOVQYrm
4363 1074991585U, // VPMASKMOVQmr
4364 1209029089U, // VPMASKMOVQrm
4365 1209025271U, // VPMAXSBYrm
4366 1209025271U, // VPMAXSBYrr
4367 1209025271U, // VPMAXSBrm
4368 1209025271U, // VPMAXSBrr
4369 1209027183U, // VPMAXSDYrm
4370 1209027183U, // VPMAXSDYrr
4371 1209027183U, // VPMAXSDrm
4372 1209027183U, // VPMAXSDrr
4373 1209031563U, // VPMAXSWYrm
4374 1209031563U, // VPMAXSWYrr
4375 1209031563U, // VPMAXSWrm
4376 1209031563U, // VPMAXSWrr
4377 1209025350U, // VPMAXUBYrm
4378 1209025350U, // VPMAXUBYrr
4379 1209025350U, // VPMAXUBrm
4380 1209025350U, // VPMAXUBrr
4381 1209027268U, // VPMAXUDYrm
4382 1209027268U, // VPMAXUDYrr
4383 1209027268U, // VPMAXUDrm
4384 1209027268U, // VPMAXUDrr
4385 1209031670U, // VPMAXUWYrm
4386 1209031670U, // VPMAXUWYrr
4387 1209031670U, // VPMAXUWrm
4388 1209031670U, // VPMAXUWrr
4389 1209025233U, // VPMINSBYrm
4390 1209025233U, // VPMINSBYrr
4391 1209025233U, // VPMINSBrm
4392 1209025233U, // VPMINSBrr
4393 1209027110U, // VPMINSDYrm
4394 1209027110U, // VPMINSDYrr
4395 1209027110U, // VPMINSDrm
4396 1209027110U, // VPMINSDrr
4397 1209031515U, // VPMINSWYrm
4398 1209031515U, // VPMINSWYrr
4399 1209031515U, // VPMINSWrm
4400 1209031515U, // VPMINSWrr
4401 1209025327U, // VPMINUBYrm
4402 1209025327U, // VPMINUBYrr
4403 1209025327U, // VPMINUBrm
4404 1209025327U, // VPMINUBrr
4405 1209027250U, // VPMINUDYrm
4406 1209027250U, // VPMINUDYrr
4407 1209027250U, // VPMINUDrm
4408 1209027250U, // VPMINUDrr
4409 1209031648U, // VPMINUWYrm
4410 1209031648U, // VPMINUWYrr
4411 1209031648U, // VPMINUWrm
4412 1209031648U, // VPMINUWrr
4413 3374334523U, // VPMOVDBkrr
4414 1245755U, // VPMOVDBmr
4415 135283259U, // VPMOVDBrr
4416 3374340627U, // VPMOVDWkrr
4417 449043U, // VPMOVDWmr
4418 135289363U, // VPMOVDWrr
4419 135283289U, // VPMOVMSKBYr64r
4420 135283289U, // VPMOVMSKBYrr
4421 135283289U, // VPMOVMSKBr64r
4422 135283289U, // VPMOVMSKBrr
4423 3374334620U, // VPMOVQBkrr
4424 1245852U, // VPMOVQBmr
4425 135283356U, // VPMOVQBrr
4426 3374336180U, // VPMOVQDkrr
4427 444596U, // VPMOVQDmr
4428 135284916U, // VPMOVQDrr
4429 3374340812U, // VPMOVQWkrr
4430 1252044U, // VPMOVQWmr
4431 135289548U, // VPMOVQWrr
4432 3374334513U, // VPMOVSDBkrr
4433 1245745U, // VPMOVSDBmr
4434 135283249U, // VPMOVSDBrr
4435 3374340617U, // VPMOVSDWkrr
4436 449033U, // VPMOVSDWmr
4437 135289353U, // VPMOVSDWrr
4438 3374334610U, // VPMOVSQBkrr
4439 1245842U, // VPMOVSQBmr
4440 135283346U, // VPMOVSQBrr
4441 3374336170U, // VPMOVSQDkrr
4442 444586U, // VPMOVSQDmr
4443 135284906U, // VPMOVSQDrr
4444 3374340802U, // VPMOVSQWkrr
4445 1252034U, // VPMOVSQWmr
4446 135289538U, // VPMOVSQWrr
4447 101729303U, // VPMOVSXBDYrm
4448 135283735U, // VPMOVSXBDYrr
4449 336610327U, // VPMOVSXBDZrm
4450 135283735U, // VPMOVSXBDZrr
4451 101729303U, // VPMOVSXBDrm
4452 135283735U, // VPMOVSXBDrr
4453 370167692U, // VPMOVSXBQYrm
4454 135286668U, // VPMOVSXBQYrr
4455 336613260U, // VPMOVSXBQZrm
4456 135286668U, // VPMOVSXBQZrr
4457 370167692U, // VPMOVSXBQrm
4458 135286668U, // VPMOVSXBQrr
4459 336615834U, // VPMOVSXBWYrm
4460 135289242U, // VPMOVSXBWYrr
4461 168843674U, // VPMOVSXBWrm
4462 135289242U, // VPMOVSXBWrr
4463 336613544U, // VPMOVSXDQYrm
4464 135286952U, // VPMOVSXDQYrr
4465 739266728U, // VPMOVSXDQZrm
4466 135286952U, // VPMOVSXDQZrr
4467 168841384U, // VPMOVSXDQrm
4468 135286952U, // VPMOVSXDQrr
4469 336612200U, // VPMOVSXWDYrm
4470 135285608U, // VPMOVSXWDYrr
4471 739265384U, // VPMOVSXWDZrm
4472 135285608U, // VPMOVSXWDZrr
4473 168840040U, // VPMOVSXWDrm
4474 135285608U, // VPMOVSXWDrr
4475 101732874U, // VPMOVSXWQYrm
4476 135287306U, // VPMOVSXWQYrr
4477 336613898U, // VPMOVSXWQZrm
4478 135287306U, // VPMOVSXWQZrr
4479 101732874U, // VPMOVSXWQrm
4480 135287306U, // VPMOVSXWQrr
4481 3374334502U, // VPMOVUSDBkrr
4482 1245734U, // VPMOVUSDBmr
4483 135283238U, // VPMOVUSDBrr
4484 3374340606U, // VPMOVUSDWkrr
4485 449022U, // VPMOVUSDWmr
4486 135289342U, // VPMOVUSDWrr
4487 3374334599U, // VPMOVUSQBkrr
4488 1245831U, // VPMOVUSQBmr
4489 135283335U, // VPMOVUSQBrr
4490 3374336159U, // VPMOVUSQDkrr
4491 444575U, // VPMOVUSQDmr
4492 135284895U, // VPMOVUSQDrr
4493 3374340791U, // VPMOVUSQWkrr
4494 1252023U, // VPMOVUSQWmr
4495 135289527U, // VPMOVUSQWrr
4496 101729314U, // VPMOVZXBDYrm
4497 135283746U, // VPMOVZXBDYrr
4498 336610338U, // VPMOVZXBDZrm
4499 135283746U, // VPMOVZXBDZrr
4500 101729314U, // VPMOVZXBDrm
4501 135283746U, // VPMOVZXBDrr
4502 370167703U, // VPMOVZXBQYrm
4503 135286679U, // VPMOVZXBQYrr
4504 336613271U, // VPMOVZXBQZrm
4505 135286679U, // VPMOVZXBQZrr
4506 370167703U, // VPMOVZXBQrm
4507 135286679U, // VPMOVZXBQrr
4508 336615845U, // VPMOVZXBWYrm
4509 135289253U, // VPMOVZXBWYrr
4510 168843685U, // VPMOVZXBWrm
4511 135289253U, // VPMOVZXBWrr
4512 336613555U, // VPMOVZXDQYrm
4513 135286963U, // VPMOVZXDQYrr
4514 739266739U, // VPMOVZXDQZrm
4515 135286963U, // VPMOVZXDQZrr
4516 168841395U, // VPMOVZXDQrm
4517 135286963U, // VPMOVZXDQrr
4518 336612211U, // VPMOVZXWDYrm
4519 135285619U, // VPMOVZXWDYrr
4520 739265395U, // VPMOVZXWDZrm
4521 135285619U, // VPMOVZXWDZrr
4522 168840051U, // VPMOVZXWDrm
4523 135285619U, // VPMOVZXWDrr
4524 101732885U, // VPMOVZXWQYrm
4525 135287317U, // VPMOVZXWQYrr
4526 336613909U, // VPMOVZXWQZrm
4527 135287317U, // VPMOVZXWQZrr
4528 101732885U, // VPMOVZXWQrm
4529 135287317U, // VPMOVZXWQrr
4530 1209028639U, // VPMULDQYrm
4531 1209028639U, // VPMULDQYrr
4532 1209028639U, // VPMULDQZrm
4533 1209028639U, // VPMULDQZrr
4534 1209028639U, // VPMULDQrm
4535 1209028639U, // VPMULDQrr
4536 1209031524U, // VPMULHRSWrm128
4537 1209031524U, // VPMULHRSWrm256
4538 1209031524U, // VPMULHRSWrr128
4539 1209031524U, // VPMULHRSWrr256
4540 1209031629U, // VPMULHUWYrm
4541 1209031629U, // VPMULHUWYrr
4542 1209031629U, // VPMULHUWrm
4543 1209031629U, // VPMULHUWrr
4544 1209031240U, // VPMULHWYrm
4545 1209031240U, // VPMULHWYrr
4546 1209031240U, // VPMULHWrm
4547 1209031240U, // VPMULHWrr
4548 1209025764U, // VPMULLDYrm
4549 1209025764U, // VPMULLDYrr
4550 1209025764U, // VPMULLDZrm
4551 1209025764U, // VPMULLDZrmb
4552 1209025764U, // VPMULLDZrr
4553 1209025764U, // VPMULLDrm
4554 1209025764U, // VPMULLDrr
4555 1209031289U, // VPMULLWYrm
4556 1209031289U, // VPMULLWYrr
4557 1209031289U, // VPMULLWrm
4558 1209031289U, // VPMULLWrr
4559 1209028766U, // VPMULUDQYrm
4560 1209028766U, // VPMULUDQYrr
4561 1209028766U, // VPMULUDQZrm
4562 1209028766U, // VPMULUDQZrr
4563 1209028766U, // VPMULUDQrm
4564 1209028766U, // VPMULUDQrr
4565 1209026755U, // VPORDZrm
4566 1209026755U, // VPORDZrmb
4567 1209026755U, // VPORDZrr
4568 1209028944U, // VPORQZrm
4569 1209028944U, // VPORQZrmb
4570 1209028944U, // VPORQZrr
4571 1209029214U, // VPORYrm
4572 1209029214U, // VPORYrr
4573 1209029214U, // VPORrm
4574 1209029214U, // VPORrr
4575 1209028085U, // VPPERMmr
4576 1209028085U, // VPPERMrm
4577 1209028085U, // VPPERMrr
4578 1410351888U, // VPROTBmi
4579 1410351888U, // VPROTBmr
4580 1209025296U, // VPROTBri
4581 1209025296U, // VPROTBrm
4582 1209025296U, // VPROTBrr
4583 1410353811U, // VPROTDmi
4584 1410353811U, // VPROTDmr
4585 1209027219U, // VPROTDri
4586 1209027219U, // VPROTDrm
4587 1209027219U, // VPROTDrr
4588 1410355605U, // VPROTQmi
4589 1410355605U, // VPROTQmr
4590 1209029013U, // VPROTQri
4591 1209029013U, // VPROTQrm
4592 1209029013U, // VPROTQrr
4593 1410358181U, // VPROTWmi
4594 1410358181U, // VPROTWmr
4595 1209031589U, // VPROTWri
4596 1209031589U, // VPROTWrm
4597 1209031589U, // VPROTWrr
4598 1209030985U, // VPSADBWYrm
4599 1209030985U, // VPSADBWYrr
4600 1209030985U, // VPSADBWrm
4601 1209030985U, // VPSADBWrr
4602 492656U, // VPSCATTERDDZmr
4603 512098U, // VPSCATTERDQZmr
4604 510098U, // VPSCATTERQDZmr
4605 512323U, // VPSCATTERQQZmr
4606 1410351625U, // VPSHABmr
4607 1209025033U, // VPSHABrm
4608 1209025033U, // VPSHABrr
4609 1410352097U, // VPSHADmr
4610 1209025505U, // VPSHADrm
4611 1209025505U, // VPSHADrr
4612 1410355039U, // VPSHAQmr
4613 1209028447U, // VPSHAQrm
4614 1209028447U, // VPSHAQrr
4615 1410357541U, // VPSHAWmr
4616 1209030949U, // VPSHAWrm
4617 1209030949U, // VPSHAWrr
4618 1410351716U, // VPSHLBmr
4619 1209025124U, // VPSHLBrm
4620 1209025124U, // VPSHLBrr
4621 1410352334U, // VPSHLDmr
4622 1209025742U, // VPSHLDrm
4623 1209025742U, // VPSHLDrr
4624 1410355408U, // VPSHLQmr
4625 1209028816U, // VPSHLQrm
4626 1209028816U, // VPSHLQrr
4627 1410357858U, // VPSHLWmr
4628 1209031266U, // VPSHLWrm
4629 1209031266U, // VPSHLWrr
4630 1209025092U, // VPSHUFBYrm
4631 1209025092U, // VPSHUFBYrr
4632 1209025092U, // VPSHUFBrm
4633 1209025092U, // VPSHUFBrr
4634 1813005473U, // VPSHUFDYmi
4635 1209025697U, // VPSHUFDYri
4636 1846559905U, // VPSHUFDZmi
4637 1209025697U, // VPSHUFDZri
4638 1410352289U, // VPSHUFDmi
4639 1209025697U, // VPSHUFDri
4640 1813011006U, // VPSHUFHWYmi
4641 1209031230U, // VPSHUFHWYri
4642 1410357822U, // VPSHUFHWmi
4643 1209031230U, // VPSHUFHWri
4644 1813011032U, // VPSHUFLWYmi
4645 1209031256U, // VPSHUFLWYri
4646 1410357848U, // VPSHUFLWmi
4647 1209031256U, // VPSHUFLWri
4648 1209025140U, // VPSIGNBYrm
4649 1209025140U, // VPSIGNBYrr
4650 1209025140U, // VPSIGNBrm
4651 1209025140U, // VPSIGNBrr
4652 1209025860U, // VPSIGNDYrm
4653 1209025860U, // VPSIGNDYrr
4654 1209025860U, // VPSIGNDrm
4655 1209025860U, // VPSIGNDrr
4656 1209031332U, // VPSIGNWYrm
4657 1209031332U, // VPSIGNWYrr
4658 1209031332U, // VPSIGNWrm
4659 1209031332U, // VPSIGNWrr
4660 1209028621U, // VPSLLDQYri
4661 1209028621U, // VPSLLDQri
4662 1209025756U, // VPSLLDYri
4663 1209025756U, // VPSLLDYrm
4664 1209025756U, // VPSLLDYrr
4665 1846559964U, // VPSLLDZmi
4666 153109724U, // VPSLLDZmik
4667 1209025756U, // VPSLLDZri
4668 153109724U, // VPSLLDZrik
4669 1209025756U, // VPSLLDZrm
4670 153109724U, // VPSLLDZrmk
4671 1209025756U, // VPSLLDZrr
4672 153109724U, // VPSLLDZrrk
4673 1209025756U, // VPSLLDri
4674 1209025756U, // VPSLLDrm
4675 1209025756U, // VPSLLDrr
4676 1209028824U, // VPSLLQYri
4677 1209028824U, // VPSLLQYrm
4678 1209028824U, // VPSLLQYrr
4679 1846563032U, // VPSLLQZmi
4680 153112792U, // VPSLLQZmik
4681 1209028824U, // VPSLLQZri
4682 153112792U, // VPSLLQZrik
4683 1209028824U, // VPSLLQZrm
4684 153112792U, // VPSLLQZrmk
4685 1209028824U, // VPSLLQZrr
4686 153112792U, // VPSLLQZrrk
4687 1209028824U, // VPSLLQri
4688 1209028824U, // VPSLLQrm
4689 1209028824U, // VPSLLQrr
4690 1209027286U, // VPSLLVDYrm
4691 1209027286U, // VPSLLVDYrr
4692 1209027286U, // VPSLLVDZrm
4693 1209027286U, // VPSLLVDZrr
4694 1209027286U, // VPSLLVDrm
4695 1209027286U, // VPSLLVDrr
4696 1209029071U, // VPSLLVQYrm
4697 1209029071U, // VPSLLVQYrr
4698 1209029071U, // VPSLLVQZrm
4699 1209029071U, // VPSLLVQZrr
4700 1209029071U, // VPSLLVQrm
4701 1209029071U, // VPSLLVQrr
4702 1209031281U, // VPSLLWYri
4703 1209031281U, // VPSLLWYrm
4704 1209031281U, // VPSLLWYrr
4705 1209031281U, // VPSLLWri
4706 1209031281U, // VPSLLWrm
4707 1209031281U, // VPSLLWrr
4708 1209025513U, // VPSRADYri
4709 1209025513U, // VPSRADYrm
4710 1209025513U, // VPSRADYrr
4711 1846559721U, // VPSRADZmi
4712 153109481U, // VPSRADZmik
4713 1209025513U, // VPSRADZri
4714 153109481U, // VPSRADZrik
4715 1209025513U, // VPSRADZrm
4716 153109481U, // VPSRADZrmk
4717 1209025513U, // VPSRADZrr
4718 153109481U, // VPSRADZrrk
4719 1209025513U, // VPSRADri
4720 1209025513U, // VPSRADrm
4721 1209025513U, // VPSRADrr
4722 1846562663U, // VPSRAQZmi
4723 153112423U, // VPSRAQZmik
4724 1209028455U, // VPSRAQZri
4725 153112423U, // VPSRAQZrik
4726 1209028455U, // VPSRAQZrm
4727 153112423U, // VPSRAQZrmk
4728 1209028455U, // VPSRAQZrr
4729 153112423U, // VPSRAQZrrk
4730 1209027277U, // VPSRAVDYrm
4731 1209027277U, // VPSRAVDYrr
4732 1209027277U, // VPSRAVDZrm
4733 1209027277U, // VPSRAVDZrr
4734 1209027277U, // VPSRAVDrm
4735 1209027277U, // VPSRAVDrr
4736 1209029062U, // VPSRAVQZrm
4737 1209029062U, // VPSRAVQZrr
4738 1209030957U, // VPSRAWYri
4739 1209030957U, // VPSRAWYrm
4740 1209030957U, // VPSRAWYrr
4741 1209030957U, // VPSRAWri
4742 1209030957U, // VPSRAWrm
4743 1209030957U, // VPSRAWrr
4744 1209028630U, // VPSRLDQYri
4745 1209028630U, // VPSRLDQri
4746 1209025773U, // VPSRLDYri
4747 1209025773U, // VPSRLDYrm
4748 1209025773U, // VPSRLDYrr
4749 1846559981U, // VPSRLDZmi
4750 153109741U, // VPSRLDZmik
4751 1209025773U, // VPSRLDZri
4752 153109741U, // VPSRLDZrik
4753 1209025773U, // VPSRLDZrm
4754 153109741U, // VPSRLDZrmk
4755 1209025773U, // VPSRLDZrr
4756 153109741U, // VPSRLDZrrk
4757 1209025773U, // VPSRLDri
4758 1209025773U, // VPSRLDrm
4759 1209025773U, // VPSRLDrr
4760 1209028832U, // VPSRLQYri
4761 1209028832U, // VPSRLQYrm
4762 1209028832U, // VPSRLQYrr
4763 1846563040U, // VPSRLQZmi
4764 153112800U, // VPSRLQZmik
4765 1209028832U, // VPSRLQZri
4766 153112800U, // VPSRLQZrik
4767 1209028832U, // VPSRLQZrm
4768 153112800U, // VPSRLQZrmk
4769 1209028832U, // VPSRLQZrr
4770 153112800U, // VPSRLQZrrk
4771 1209028832U, // VPSRLQri
4772 1209028832U, // VPSRLQrm
4773 1209028832U, // VPSRLQrr
4774 1209027295U, // VPSRLVDYrm
4775 1209027295U, // VPSRLVDYrr
4776 1209027295U, // VPSRLVDZrm
4777 1209027295U, // VPSRLVDZrr
4778 1209027295U, // VPSRLVDrm
4779 1209027295U, // VPSRLVDrr
4780 1209029080U, // VPSRLVQYrm
4781 1209029080U, // VPSRLVQYrr
4782 1209029080U, // VPSRLVQZrm
4783 1209029080U, // VPSRLVQZrr
4784 1209029080U, // VPSRLVQrm
4785 1209029080U, // VPSRLVQrr
4786 1209031298U, // VPSRLWYri
4787 1209031298U, // VPSRLWYrm
4788 1209031298U, // VPSRLWYrr
4789 1209031298U, // VPSRLWri
4790 1209031298U, // VPSRLWrm
4791 1209031298U, // VPSRLWrr
4792 1209025046U, // VPSUBBYrm
4793 1209025046U, // VPSUBBYrr
4794 1209025046U, // VPSUBBrm
4795 1209025046U, // VPSUBBrr
4796 1209025551U, // VPSUBDYrm
4797 1209025551U, // VPSUBDYrr
4798 1209025551U, // VPSUBDZrm
4799 1209025551U, // VPSUBDZrmb
4800 1209025551U, // VPSUBDZrr
4801 1209025551U, // VPSUBDrm
4802 1209025551U, // VPSUBDrr
4803 1209028484U, // VPSUBQYrm
4804 1209028484U, // VPSUBQYrr
4805 1209028484U, // VPSUBQZrm
4806 1209028484U, // VPSUBQZrmb
4807 1209028484U, // VPSUBQZrr
4808 1209028484U, // VPSUBQrm
4809 1209028484U, // VPSUBQrr
4810 1209025215U, // VPSUBSBYrm
4811 1209025215U, // VPSUBSBYrr
4812 1209025215U, // VPSUBSBrm
4813 1209025215U, // VPSUBSBrr
4814 1209031475U, // VPSUBSWYrm
4815 1209031475U, // VPSUBSWYrr
4816 1209031475U, // VPSUBSWrm
4817 1209031475U, // VPSUBSWrr
4818 1209025242U, // VPSUBUSBYrm
4819 1209025242U, // VPSUBUSBYrr
4820 1209025242U, // VPSUBUSBrm
4821 1209025242U, // VPSUBUSBrr
4822 1209031543U, // VPSUBUSWYrm
4823 1209031543U, // VPSUBUSWYrr
4824 1209031543U, // VPSUBUSWrm
4825 1209031543U, // VPSUBUSWrr
4826 1209031058U, // VPSUBWYrm
4827 1209031058U, // VPSUBWYrr
4828 1209031058U, // VPSUBWrm
4829 1209031058U, // VPSUBWrr
4830 1209025817U, // VPTESTMDZrm
4831 1209025817U, // VPTESTMDZrr
4832 1209028867U, // VPTESTMQZrm
4833 1209028867U, // VPTESTMQZrr
4834 739268776U, // VPTESTYrm
4835 135289000U, // VPTESTYrr
4836 537942184U, // VPTESTrm
4837 135289000U, // VPTESTrr
4838 1209031004U, // VPUNPCKHBWYrm
4839 1209031004U, // VPUNPCKHBWYrr
4840 1209031004U, // VPUNPCKHBWrm
4841 1209031004U, // VPUNPCKHBWrr
4842 1209028597U, // VPUNPCKHDQYrm
4843 1209028597U, // VPUNPCKHDQYrr
4844 1209028597U, // VPUNPCKHDQZrm
4845 1209028597U, // VPUNPCKHDQZrr
4846 1209028597U, // VPUNPCKHDQrm
4847 1209028597U, // VPUNPCKHDQrr
4848 1209028656U, // VPUNPCKHQDQYrm
4849 1209028656U, // VPUNPCKHQDQYrr
4850 1209028656U, // VPUNPCKHQDQZrm
4851 1209028656U, // VPUNPCKHQDQZrr
4852 1209028656U, // VPUNPCKHQDQrm
4853 1209028656U, // VPUNPCKHQDQrr
4854 1209027353U, // VPUNPCKHWDYrm
4855 1209027353U, // VPUNPCKHWDYrr
4856 1209027353U, // VPUNPCKHWDrm
4857 1209027353U, // VPUNPCKHWDrr
4858 1209031026U, // VPUNPCKLBWYrm
4859 1209031026U, // VPUNPCKLBWYrr
4860 1209031026U, // VPUNPCKLBWrm
4861 1209031026U, // VPUNPCKLBWrr
4862 1209028609U, // VPUNPCKLDQYrm
4863 1209028609U, // VPUNPCKLDQYrr
4864 1209028609U, // VPUNPCKLDQZrm
4865 1209028609U, // VPUNPCKLDQZrr
4866 1209028609U, // VPUNPCKLDQrm
4867 1209028609U, // VPUNPCKLDQrr
4868 1209028669U, // VPUNPCKLQDQYrm
4869 1209028669U, // VPUNPCKLQDQYrr
4870 1209028669U, // VPUNPCKLQDQZrm
4871 1209028669U, // VPUNPCKLQDQZrr
4872 1209028669U, // VPUNPCKLQDQrm
4873 1209028669U, // VPUNPCKLQDQrr
4874 1209027365U, // VPUNPCKLWDYrm
4875 1209027365U, // VPUNPCKLWDYrr
4876 1209027365U, // VPUNPCKLWDrm
4877 1209027365U, // VPUNPCKLWDrr
4878 1209026762U, // VPXORDZrm
4879 1209026762U, // VPXORDZrmb
4880 1209026762U, // VPXORDZrr
4881 1209028961U, // VPXORQZrm
4882 1209028961U, // VPXORQZrmb
4883 1209028961U, // VPXORQZrr
4884 1209029242U, // VPXORYrm
4885 1209029242U, // VPXORYrr
4886 1209029242U, // VPXORrm
4887 1209029242U, // VPXORrr
4888 839927449U, // VRCP14PDZm
4889 839927449U, // VRCP14PDZm_Int
4890 135284377U, // VRCP14PDZr
4891 135284377U, // VRCP14PDZr_Int
4892 806376527U, // VRCP14PSZm
4893 839930959U, // VRCP14PSZm_Int
4894 135287887U, // VRCP14PSZr
4895 135287887U, // VRCP14PSZr_Int
4896 1209026972U, // VRCP14SDZm
4897 1209026972U, // VRCP14SDZm_Int
4898 1209026972U, // VRCP14SDZr
4899 1209030423U, // VRCP14SSZm
4900 1209030423U, // VRCP14SSZm_Int
4901 1209030423U, // VRCP14SSZr
4902 806376873U, // VRCPPSYm
4903 806376873U, // VRCPPSYm_Int
4904 135288233U, // VRCPPSYr
4905 135288233U, // VRCPPSYr_Int
4906 537941417U, // VRCPPSm
4907 537941417U, // VRCPPSm_Int
4908 135288233U, // VRCPPSr
4909 135288233U, // VRCPPSr_Int
4910 1209030561U, // VRCPSSm
4911 1209030561U, // VRCPSSm_Int
4912 1209030561U, // VRCPSSr
4913 1209027070U, // VRNDSCALESDm
4914 1209027070U, // VRNDSCALESDr
4915 1209027070U, // VRNDSCALESDr_Int
4916 1209030513U, // VRNDSCALESSm
4917 1209030513U, // VRNDSCALESSr
4918 1209030513U, // VRNDSCALESSr_Int
4919 1880115046U, // VRNDSCALEZPDm
4920 1209026406U, // VRNDSCALEZPDr
4921 1880118548U, // VRNDSCALEZPSm
4922 1209029908U, // VRNDSCALEZPSr
4923 1611679555U, // VROUNDPDm
4924 1209026371U, // VROUNDPDr
4925 1611683057U, // VROUNDPSm
4926 1209029873U, // VROUNDPSr
4927 1209027060U, // VROUNDSDm
4928 1209027060U, // VROUNDSDr
4929 1209027060U, // VROUNDSDr_Int
4930 1209030503U, // VROUNDSSm
4931 1209030503U, // VROUNDSSr
4932 1209030503U, // VROUNDSSr_Int
4933 1880115011U, // VROUNDYPDm
4934 1209026371U, // VROUNDYPDr
4935 1880118513U, // VROUNDYPSm
4936 1209029873U, // VROUNDYPSr
4937 839927459U, // VRSQRT14PDZm
4938 839927459U, // VRSQRT14PDZm_Int
4939 135284387U, // VRSQRT14PDZr
4940 135284387U, // VRSQRT14PDZr_Int
4941 806376537U, // VRSQRT14PSZm
4942 839930969U, // VRSQRT14PSZm_Int
4943 135287897U, // VRSQRT14PSZr
4944 135287897U, // VRSQRT14PSZr_Int
4945 1209026982U, // VRSQRT14SDZm
4946 1209026982U, // VRSQRT14SDZm_Int
4947 1209026982U, // VRSQRT14SDZr
4948 1209030433U, // VRSQRT14SSZm
4949 1209030433U, // VRSQRT14SSZm_Int
4950 1209030433U, // VRSQRT14SSZr
4951 806376970U, // VRSQRTPSYm
4952 806376970U, // VRSQRTPSYm_Int
4953 135288330U, // VRSQRTPSYr
4954 135288330U, // VRSQRTPSYr_Int
4955 537941514U, // VRSQRTPSm
4956 537941514U, // VRSQRTPSm_Int
4957 135288330U, // VRSQRTPSr
4958 135288330U, // VRSQRTPSr_Int
4959 1209030586U, // VRSQRTSSm
4960 1209030586U, // VRSQRTSSm_Int
4961 1209030586U, // VRSQRTSSr
4962 509785U, // VSCATTERDPDZmr
4963 496903U, // VSCATTERDPSZmr
4964 509963U, // VSCATTERQPDZmr
4965 513485U, // VSCATTERQPSZmr
4966 1041254259U, // VSHUFPDYrmi
4967 1141917555U, // VSHUFPDYrri
4968 1209026419U, // VSHUFPDZrmi
4969 1209026419U, // VSHUFPDZrri
4970 1276135283U, // VSHUFPDrmi
4971 1141917555U, // VSHUFPDrri
4972 1209029921U, // VSHUFPSYrmi
4973 1209029921U, // VSHUFPSYrri
4974 1209029921U, // VSHUFPSZrmi
4975 1209029921U, // VSHUFPSZrri
4976 1209029921U, // VSHUFPSrmi
4977 1209029921U, // VSHUFPSrri
4978 806373425U, // VSQRTPDYm
4979 135284785U, // VSQRTPDYr
4980 839927857U, // VSQRTPDZm_Int
4981 135284785U, // VSQRTPDZr_Int
4982 839932039U, // VSQRTPDZrm
4983 135288967U, // VSQRTPDZrr
4984 537937969U, // VSQRTPDm
4985 135284785U, // VSQRTPDr
4986 806376980U, // VSQRTPSYm
4987 135288340U, // VSQRTPSYr
4988 839931412U, // VSQRTPSZm_Int
4989 135288340U, // VSQRTPSZr_Int
4990 839932039U, // VSQRTPSZrm
4991 135288967U, // VSQRTPSZrr
4992 537941524U, // VSQRTPSm
4993 135288340U, // VSQRTPSr
4994 1209027144U, // VSQRTSDZm
4995 1209027144U, // VSQRTSDZm_Int
4996 1209027144U, // VSQRTSDZr
4997 1209027144U, // VSQRTSDZr_Int
4998 1209027144U, // VSQRTSDm
4999 1209027144U, // VSQRTSDm_Int
5000 1209027144U, // VSQRTSDr
5001 1209030596U, // VSQRTSSZm
5002 1209030596U, // VSQRTSSZm_Int
5003 1209030596U, // VSQRTSSZr
5004 1209030596U, // VSQRTSSZr_Int
5005 1209030596U, // VSQRTSSm
5006 1209030596U, // VSQRTSSm_Int
5007 1209030596U, // VSQRTSSr
5008 70294U, // VSTMXCSR
5009 1209026294U, // VSUBPDYrm
5010 1209026294U, // VSUBPDYrr
5011 1209026294U, // VSUBPDZrm
5012 1209026294U, // VSUBPDZrmb
5013 1209026294U, // VSUBPDZrr
5014 1209026294U, // VSUBPDrm
5015 1209026294U, // VSUBPDrr
5016 1209029796U, // VSUBPSYrm
5017 1209029796U, // VSUBPSYrr
5018 1209029796U, // VSUBPSZrm
5019 1209029796U, // VSUBPSZrmb
5020 1209029796U, // VSUBPSZrr
5021 1209029796U, // VSUBPSrm
5022 1209029796U, // VSUBPSrr
5023 1209027023U, // VSUBSDZrm
5024 1209027023U, // VSUBSDZrr
5025 1209027023U, // VSUBSDrm
5026 1209027023U, // VSUBSDrm_Int
5027 1209027023U, // VSUBSDrr
5028 1209027023U, // VSUBSDrr_Int
5029 1209030466U, // VSUBSSZrm
5030 1209030466U, // VSUBSSZrr
5031 1209030466U, // VSUBSSrm
5032 1209030466U, // VSUBSSrm_Int
5033 1209030466U, // VSUBSSrr
5034 1209030466U, // VSUBSSrr_Int
5035 806373434U, // VTESTPDYrm
5036 135284794U, // VTESTPDYrr
5037 537937978U, // VTESTPDrm
5038 135284794U, // VTESTPDrr
5039 806376989U, // VTESTPSYrm
5040 135288349U, // VTESTPSYrr
5041 537941533U, // VTESTPSrm
5042 135288349U, // VTESTPSrr
5043 571492875U, // VUCOMISDZrm
5044 135285259U, // VUCOMISDZrr
5045 571492875U, // VUCOMISDrm
5046 135285259U, // VUCOMISDrr
5047 605050750U, // VUCOMISSZrm
5048 135288702U, // VUCOMISSZrr
5049 605050750U, // VUCOMISSrm
5050 135288702U, // VUCOMISSrr
5051 1209026428U, // VUNPCKHPDYrm
5052 1209026428U, // VUNPCKHPDYrr
5053 1209026428U, // VUNPCKHPDZrm
5054 1209026428U, // VUNPCKHPDZrr
5055 1209026428U, // VUNPCKHPDrm
5056 1209026428U, // VUNPCKHPDrr
5057 1209029930U, // VUNPCKHPSYrm
5058 1209029930U, // VUNPCKHPSYrr
5059 1209029930U, // VUNPCKHPSZrm
5060 1209029930U, // VUNPCKHPSZrr
5061 1209029930U, // VUNPCKHPSrm
5062 1209029930U, // VUNPCKHPSrr
5063 1209026470U, // VUNPCKLPDYrm
5064 1209026470U, // VUNPCKLPDYrr
5065 1209026470U, // VUNPCKLPDZrm
5066 1209026470U, // VUNPCKLPDZrr
5067 1209026470U, // VUNPCKLPDrm
5068 1209026470U, // VUNPCKLPDrr
5069 1209029992U, // VUNPCKLPSYrm
5070 1209029992U, // VUNPCKLPSYrr
5071 1209029992U, // VUNPCKLPSZrm
5072 1209029992U, // VUNPCKLPSZrr
5073 1209029992U, // VUNPCKLPSrm
5074 1209029992U, // VUNPCKLPSrr
5075 1209026591U, // VXORPDYrm
5076 1209026591U, // VXORPDYrr
5077 1209026591U, // VXORPDrm
5078 1209026591U, // VXORPDrr
5079 1209030113U, // VXORPSYrm
5080 1209030113U, // VXORPSYrr
5081 1209030113U, // VXORPSrm
5082 1209030113U, // VXORPSrr
5083 9905U, // VZEROALL
5084 10110U, // VZEROUPPER
5085 0U, // V_SET0
5086 0U, // V_SETALLONES
5087 150932U, // W64ALLOCA
5088 10369U, // WAIT
5089 9677U, // WBINVD
5090 9955U, // WIN_ALLOCA
5091 9851U, // WIN_FTOL_32
5092 9851U, // WIN_FTOL_64
5093 19479U, // WRFSBASE
5094 19479U, // WRFSBASE64
5095 19499U, // WRGSBASE
5096 19499U, // WRGSBASE64
5097 10144U, // WRMSR
5098 22646U, // XABORT
5099 9748U, // XACQUIRE_PREFIX
5100 1082427U, // XADD16rm
5101 135283771U, // XADD16rr
5102 1115195U, // XADD32rm
5103 135283771U, // XADD32rr
5104 1131579U, // XADD64rm
5105 135283771U, // XADD64rr
5106 1147963U, // XADD8rm
5107 135283771U, // XADD8rr
5108 9370U, // XBEGIN
5109 151069U, // XBEGIN_4
5110 23972U, // XCHG16ar
5111 281721U, // XCHG16rm
5112 527481U, // XCHG16rr
5113 24089U, // XCHG32ar
5114 24089U, // XCHG32ar64
5115 298105U, // XCHG32rm
5116 527481U, // XCHG32rr
5117 24213U, // XCHG64ar
5118 314489U, // XCHG64rm
5119 527481U, // XCHG64rr
5120 330873U, // XCHG8rm
5121 527481U, // XCHG8rr
5122 19618U, // XCH_F
5123 9554U, // XCRYPTCBC
5124 9474U, // XCRYPTCFB
5125 10150U, // XCRYPTCTR
5126 9464U, // XCRYPTECB
5127 9484U, // XCRYPTOFB
5128 9618U, // XEND
5129 10418U, // XGETBV
5130 9538U, // XLAT
5131 23999U, // XOR16i16
5132 1086076U, // XOR16mi
5133 1086076U, // XOR16mi8
5134 1086076U, // XOR16mr
5135 35705468U, // XOR16ri
5136 35705468U, // XOR16ri8
5137 3199612U, // XOR16rm
5138 35705468U, // XOR16rr
5139 68178556U, // XOR16rr_REV
5140 24119U, // XOR32i32
5141 1118844U, // XOR32mi
5142 1118844U, // XOR32mi8
5143 1118844U, // XOR32mr
5144 35705468U, // XOR32ri
5145 35705468U, // XOR32ri8
5146 4248188U, // XOR32rm
5147 35705468U, // XOR32rr
5148 68178556U, // XOR32rr_REV
5149 24234U, // XOR64i32
5150 1135228U, // XOR64mi32
5151 1135228U, // XOR64mi8
5152 1135228U, // XOR64mr
5153 35705468U, // XOR64ri32
5154 35705468U, // XOR64ri8
5155 5296764U, // XOR64rm
5156 35705468U, // XOR64rr
5157 68178556U, // XOR64rr_REV
5158 23887U, // XOR8i8
5159 1151612U, // XOR8mi
5160 1151612U, // XOR8mr
5161 35705468U, // XOR8ri
5162 6345340U, // XOR8rm
5163 35705468U, // XOR8rr
5164 68178556U, // XOR8rr_REV
5165 202393632U, // XORPDrm
5166 68175904U, // XORPDrr
5167 202397154U, // XORPSrm
5168 68179426U, // XORPSrr
5169 9764U, // XRELEASE_PREFIX
5170 217714U, // XRSTOR
5171 213165U, // XRSTOR64
5172 216152U, // XSAVE
5173 213156U, // XSAVE64
5174 219244U, // XSAVEOPT
5175 213175U, // XSAVEOPT64
5176 10425U, // XSETBV
5177 9102U, // XSHA1
5178 9332U, // XSHA256
5179 9757U, // XSTORE
5180 10407U, // XTEST
5181 0U
5182 };
5183
5184 static const uint16_t OpInfo2[] = {
5185 0U, // PHI
5186 0U, // INLINEASM
5187 0U, // PROLOG_LABEL
5188 0U, // EH_LABEL
5189 0U, // GC_LABEL
5190 0U, // KILL
5191 0U, // EXTRACT_SUBREG
5192 0U, // INSERT_SUBREG
5193 0U, // IMPLICIT_DEF
5194 0U, // SUBREG_TO_REG
5195 0U, // COPY_TO_REGCLASS
5196 0U, // DBG_VALUE
5197 0U, // REG_SEQUENCE
5198 0U, // COPY
5199 0U, // BUNDLE
5200 0U, // LIFETIME_START
5201 0U, // LIFETIME_END
5202 0U, // AAA
5203 0U, // AAD8i8
5204 0U, // AAM8i8
5205 0U, // AAS
5206 0U, // ABS_F
5207 0U, // ABS_Fp32
5208 0U, // ABS_Fp64
5209 0U, // ABS_Fp80
5210 0U, // ACQUIRE_MOV16rm
5211 0U, // ACQUIRE_MOV32rm
5212 0U, // ACQUIRE_MOV64rm
5213 0U, // ACQUIRE_MOV8rm
5214 0U, // ADC16i16
5215 0U, // ADC16mi
5216 0U, // ADC16mi8
5217 0U, // ADC16mr
5218 0U, // ADC16ri
5219 0U, // ADC16ri8
5220 0U, // ADC16rm
5221 0U, // ADC16rr
5222 0U, // ADC16rr_REV
5223 0U, // ADC32i32
5224 0U, // ADC32mi
5225 0U, // ADC32mi8
5226 0U, // ADC32mr
5227 0U, // ADC32ri
5228 0U, // ADC32ri8
5229 0U, // ADC32rm
5230 0U, // ADC32rr
5231 0U, // ADC32rr_REV
5232 0U, // ADC64i32
5233 0U, // ADC64mi32
5234 0U, // ADC64mi8
5235 0U, // ADC64mr
5236 0U, // ADC64ri32
5237 0U, // ADC64ri8
5238 0U, // ADC64rm
5239 0U, // ADC64rr
5240 0U, // ADC64rr_REV
5241 0U, // ADC8i8
5242 0U, // ADC8mi
5243 0U, // ADC8mr
5244 0U, // ADC8ri
5245 0U, // ADC8rm
5246 0U, // ADC8rr
5247 0U, // ADC8rr_REV
5248 0U, // ADCX32rm
5249 0U, // ADCX32rr
5250 0U, // ADCX64rm
5251 0U, // ADCX64rr
5252 0U, // ADD16i16
5253 0U, // ADD16mi
5254 0U, // ADD16mi8
5255 0U, // ADD16mr
5256 0U, // ADD16ri
5257 0U, // ADD16ri8
5258 0U, // ADD16ri8_DB
5259 0U, // ADD16ri_DB
5260 0U, // ADD16rm
5261 0U, // ADD16rr
5262 0U, // ADD16rr_DB
5263 0U, // ADD16rr_REV
5264 0U, // ADD32i32
5265 0U, // ADD32mi
5266 0U, // ADD32mi8
5267 0U, // ADD32mr
5268 0U, // ADD32ri
5269 0U, // ADD32ri8
5270 0U, // ADD32ri8_DB
5271 0U, // ADD32ri_DB
5272 0U, // ADD32rm
5273 0U, // ADD32rr
5274 0U, // ADD32rr_DB
5275 0U, // ADD32rr_REV
5276 0U, // ADD64i32
5277 0U, // ADD64mi32
5278 0U, // ADD64mi8
5279 0U, // ADD64mr
5280 0U, // ADD64ri32
5281 0U, // ADD64ri32_DB
5282 0U, // ADD64ri8
5283 0U, // ADD64ri8_DB
5284 0U, // ADD64rm
5285 0U, // ADD64rr
5286 0U, // ADD64rr_DB
5287 0U, // ADD64rr_REV
5288 0U, // ADD8i8
5289 0U, // ADD8mi
5290 0U, // ADD8mr
5291 0U, // ADD8ri
5292 0U, // ADD8rm
5293 0U, // ADD8rr
5294 0U, // ADD8rr_REV
5295 0U, // ADDPDrm
5296 0U, // ADDPDrr
5297 0U, // ADDPSrm
5298 0U, // ADDPSrr
5299 0U, // ADDSDrm
5300 0U, // ADDSDrm_Int
5301 0U, // ADDSDrr
5302 0U, // ADDSDrr_Int
5303 0U, // ADDSSrm
5304 0U, // ADDSSrm_Int
5305 0U, // ADDSSrr
5306 0U, // ADDSSrr_Int
5307 0U, // ADDSUBPDrm
5308 0U, // ADDSUBPDrr
5309 0U, // ADDSUBPSrm
5310 0U, // ADDSUBPSrr
5311 0U, // ADD_F32m
5312 0U, // ADD_F64m
5313 0U, // ADD_FI16m
5314 0U, // ADD_FI32m
5315 0U, // ADD_FPrST0
5316 0U, // ADD_FST0r
5317 0U, // ADD_Fp32
5318 0U, // ADD_Fp32m
5319 0U, // ADD_Fp64
5320 0U, // ADD_Fp64m
5321 0U, // ADD_Fp64m32
5322 0U, // ADD_Fp80
5323 0U, // ADD_Fp80m32
5324 0U, // ADD_Fp80m64
5325 0U, // ADD_FpI16m32
5326 0U, // ADD_FpI16m64
5327 0U, // ADD_FpI16m80
5328 0U, // ADD_FpI32m32
5329 0U, // ADD_FpI32m64
5330 0U, // ADD_FpI32m80
5331 0U, // ADD_FrST0
5332 0U, // ADJCALLSTACKDOWN32
5333 0U, // ADJCALLSTACKDOWN64
5334 0U, // ADJCALLSTACKUP32
5335 0U, // ADJCALLSTACKUP64
5336 0U, // ADOX32rm
5337 0U, // ADOX32rr
5338 0U, // ADOX64rm
5339 0U, // ADOX64rr
5340 0U, // AESDECLASTrm
5341 0U, // AESDECLASTrr
5342 0U, // AESDECrm
5343 0U, // AESDECrr
5344 0U, // AESENCLASTrm
5345 0U, // AESENCLASTrr
5346 0U, // AESENCrm
5347 0U, // AESENCrr
5348 0U, // AESIMCrm
5349 0U, // AESIMCrr
5350 0U, // AESKEYGENASSIST128rm
5351 2U, // AESKEYGENASSIST128rr
5352 0U, // AND16i16
5353 0U, // AND16mi
5354 0U, // AND16mi8
5355 0U, // AND16mr
5356 0U, // AND16ri
5357 0U, // AND16ri8
5358 0U, // AND16rm
5359 0U, // AND16rr
5360 0U, // AND16rr_REV
5361 0U, // AND32i32
5362 0U, // AND32mi
5363 0U, // AND32mi8
5364 0U, // AND32mr
5365 0U, // AND32ri
5366 0U, // AND32ri8
5367 0U, // AND32rm
5368 0U, // AND32rr
5369 0U, // AND32rr_REV
5370 0U, // AND64i32
5371 0U, // AND64mi32
5372 0U, // AND64mi8
5373 0U, // AND64mr
5374 0U, // AND64ri32
5375 0U, // AND64ri8
5376 0U, // AND64rm
5377 0U, // AND64rr
5378 0U, // AND64rr_REV
5379 0U, // AND8i8
5380 0U, // AND8mi
5381 0U, // AND8mr
5382 0U, // AND8ri
5383 0U, // AND8rm
5384 0U, // AND8rr
5385 0U, // AND8rr_REV
5386 4U, // ANDN32rm
5387 2U, // ANDN32rr
5388 6U, // ANDN64rm
5389 2U, // ANDN64rr
5390 0U, // ANDNPDrm
5391 0U, // ANDNPDrr
5392 0U, // ANDNPSrm
5393 0U, // ANDNPSrr
5394 0U, // ANDPDrm
5395 0U, // ANDPDrr
5396 0U, // ANDPSrm
5397 0U, // ANDPSrr
5398 0U, // ARPL16mr
5399 0U, // ARPL16rr
5400 0U, // ATOMADD6432
5401 0U, // ATOMAND16
5402 0U, // ATOMAND32
5403 0U, // ATOMAND64
5404 0U, // ATOMAND6432
5405 0U, // ATOMAND8
5406 0U, // ATOMMAX16
5407 0U, // ATOMMAX32
5408 0U, // ATOMMAX64
5409 0U, // ATOMMAX6432
5410 0U, // ATOMMAX8
5411 0U, // ATOMMIN16
5412 0U, // ATOMMIN32
5413 0U, // ATOMMIN64
5414 0U, // ATOMMIN6432
5415 0U, // ATOMMIN8
5416 0U, // ATOMNAND16
5417 0U, // ATOMNAND32
5418 0U, // ATOMNAND64
5419 0U, // ATOMNAND6432
5420 0U, // ATOMNAND8
5421 0U, // ATOMOR16
5422 0U, // ATOMOR32
5423 0U, // ATOMOR64
5424 0U, // ATOMOR6432
5425 0U, // ATOMOR8
5426 0U, // ATOMSUB6432
5427 0U, // ATOMSWAP6432
5428 0U, // ATOMUMAX16
5429 0U, // ATOMUMAX32
5430 0U, // ATOMUMAX64
5431 0U, // ATOMUMAX6432
5432 0U, // ATOMUMAX8
5433 0U, // ATOMUMIN16
5434 0U, // ATOMUMIN32
5435 0U, // ATOMUMIN64
5436 0U, // ATOMUMIN6432
5437 0U, // ATOMUMIN8
5438 0U, // ATOMXOR16
5439 0U, // ATOMXOR32
5440 0U, // ATOMXOR64
5441 0U, // ATOMXOR6432
5442 0U, // ATOMXOR8
5443 0U, // AVX2_SETALLONES
5444 0U, // AVX512_512_SET0
5445 0U, // AVX_SET0
5446 0U, // BEXTR32rm
5447 2U, // BEXTR32rr
5448 0U, // BEXTR64rm
5449 2U, // BEXTR64rr
5450 8U, // BLENDPDrmi
5451 10U, // BLENDPDrri
5452 8U, // BLENDPSrmi
5453 10U, // BLENDPSrri
5454 0U, // BLENDVPDrm0
5455 0U, // BLENDVPDrr0
5456 0U, // BLENDVPSrm0
5457 0U, // BLENDVPSrr0
5458 0U, // BLSI32rm
5459 0U, // BLSI32rr
5460 0U, // BLSI64rm
5461 0U, // BLSI64rr
5462 0U, // BLSMSK32rm
5463 0U, // BLSMSK32rr
5464 0U, // BLSMSK64rm
5465 0U, // BLSMSK64rr
5466 0U, // BLSR32rm
5467 0U, // BLSR32rr
5468 0U, // BLSR64rm
5469 0U, // BLSR64rr
5470 0U, // BOUNDS16rm
5471 0U, // BOUNDS32rm
5472 0U, // BSF16rm
5473 0U, // BSF16rr
5474 0U, // BSF32rm
5475 0U, // BSF32rr
5476 0U, // BSF64rm
5477 0U, // BSF64rr
5478 0U, // BSR16rm
5479 0U, // BSR16rr
5480 0U, // BSR32rm
5481 0U, // BSR32rr
5482 0U, // BSR64rm
5483 0U, // BSR64rr
5484 0U, // BSWAP32r
5485 0U, // BSWAP64r
5486 0U, // BT16mi8
5487 0U, // BT16mr
5488 0U, // BT16ri8
5489 0U, // BT16rr
5490 0U, // BT32mi8
5491 0U, // BT32mr
5492 0U, // BT32ri8
5493 0U, // BT32rr
5494 0U, // BT64mi8
5495 0U, // BT64mr
5496 0U, // BT64ri8
5497 0U, // BT64rr
5498 0U, // BTC16mi8
5499 0U, // BTC16mr
5500 0U, // BTC16ri8
5501 0U, // BTC16rr
5502 0U, // BTC32mi8
5503 0U, // BTC32mr
5504 0U, // BTC32ri8
5505 0U, // BTC32rr
5506 0U, // BTC64mi8
5507 0U, // BTC64mr
5508 0U, // BTC64ri8
5509 0U, // BTC64rr
5510 0U, // BTR16mi8
5511 0U, // BTR16mr
5512 0U, // BTR16ri8
5513 0U, // BTR16rr
5514 0U, // BTR32mi8
5515 0U, // BTR32mr
5516 0U, // BTR32ri8
5517 0U, // BTR32rr
5518 0U, // BTR64mi8
5519 0U, // BTR64mr
5520 0U, // BTR64ri8
5521 0U, // BTR64rr
5522 0U, // BTS16mi8
5523 0U, // BTS16mr
5524 0U, // BTS16ri8
5525 0U, // BTS16rr
5526 0U, // BTS32mi8
5527 0U, // BTS32mr
5528 0U, // BTS32ri8
5529 0U, // BTS32rr
5530 0U, // BTS64mi8
5531 0U, // BTS64mr
5532 0U, // BTS64ri8
5533 0U, // BTS64rr
5534 0U, // BZHI32rm
5535 2U, // BZHI32rr
5536 0U, // BZHI64rm
5537 2U, // BZHI64rr
5538 0U, // CALL32m
5539 0U, // CALL32r
5540 0U, // CALL64m
5541 0U, // CALL64pcrel32
5542 0U, // CALL64r
5543 0U, // CALLpcrel16
5544 0U, // CALLpcrel32
5545 0U, // CBW
5546 0U, // CDQ
5547 0U, // CDQE
5548 0U, // CHS_F
5549 0U, // CHS_Fp32
5550 0U, // CHS_Fp64
5551 0U, // CHS_Fp80
5552 0U, // CLAC
5553 0U, // CLC
5554 0U, // CLD
5555 0U, // CLFLUSH
5556 0U, // CLGI
5557 0U, // CLI
5558 0U, // CLTS
5559 0U, // CMC
5560 0U, // CMOVA16rm
5561 0U, // CMOVA16rr
5562 0U, // CMOVA32rm
5563 0U, // CMOVA32rr
5564 0U, // CMOVA64rm
5565 0U, // CMOVA64rr
5566 0U, // CMOVAE16rm
5567 0U, // CMOVAE16rr
5568 0U, // CMOVAE32rm
5569 0U, // CMOVAE32rr
5570 0U, // CMOVAE64rm
5571 0U, // CMOVAE64rr
5572 0U, // CMOVB16rm
5573 0U, // CMOVB16rr
5574 0U, // CMOVB32rm
5575 0U, // CMOVB32rr
5576 0U, // CMOVB64rm
5577 0U, // CMOVB64rr
5578 0U, // CMOVBE16rm
5579 0U, // CMOVBE16rr
5580 0U, // CMOVBE32rm
5581 0U, // CMOVBE32rr
5582 0U, // CMOVBE64rm
5583 0U, // CMOVBE64rr
5584 0U, // CMOVBE_F
5585 0U, // CMOVBE_Fp32
5586 0U, // CMOVBE_Fp64
5587 0U, // CMOVBE_Fp80
5588 0U, // CMOVB_F
5589 0U, // CMOVB_Fp32
5590 0U, // CMOVB_Fp64
5591 0U, // CMOVB_Fp80
5592 0U, // CMOVE16rm
5593 0U, // CMOVE16rr
5594 0U, // CMOVE32rm
5595 0U, // CMOVE32rr
5596 0U, // CMOVE64rm
5597 0U, // CMOVE64rr
5598 0U, // CMOVE_F
5599 0U, // CMOVE_Fp32
5600 0U, // CMOVE_Fp64
5601 0U, // CMOVE_Fp80
5602 0U, // CMOVG16rm
5603 0U, // CMOVG16rr
5604 0U, // CMOVG32rm
5605 0U, // CMOVG32rr
5606 0U, // CMOVG64rm
5607 0U, // CMOVG64rr
5608 0U, // CMOVGE16rm
5609 0U, // CMOVGE16rr
5610 0U, // CMOVGE32rm
5611 0U, // CMOVGE32rr
5612 0U, // CMOVGE64rm
5613 0U, // CMOVGE64rr
5614 0U, // CMOVL16rm
5615 0U, // CMOVL16rr
5616 0U, // CMOVL32rm
5617 0U, // CMOVL32rr
5618 0U, // CMOVL64rm
5619 0U, // CMOVL64rr
5620 0U, // CMOVLE16rm
5621 0U, // CMOVLE16rr
5622 0U, // CMOVLE32rm
5623 0U, // CMOVLE32rr
5624 0U, // CMOVLE64rm
5625 0U, // CMOVLE64rr
5626 0U, // CMOVNBE_F
5627 0U, // CMOVNBE_Fp32
5628 0U, // CMOVNBE_Fp64
5629 0U, // CMOVNBE_Fp80
5630 0U, // CMOVNB_F
5631 0U, // CMOVNB_Fp32
5632 0U, // CMOVNB_Fp64
5633 0U, // CMOVNB_Fp80
5634 0U, // CMOVNE16rm
5635 0U, // CMOVNE16rr
5636 0U, // CMOVNE32rm
5637 0U, // CMOVNE32rr
5638 0U, // CMOVNE64rm
5639 0U, // CMOVNE64rr
5640 0U, // CMOVNE_F
5641 0U, // CMOVNE_Fp32
5642 0U, // CMOVNE_Fp64
5643 0U, // CMOVNE_Fp80
5644 0U, // CMOVNO16rm
5645 0U, // CMOVNO16rr
5646 0U, // CMOVNO32rm
5647 0U, // CMOVNO32rr
5648 0U, // CMOVNO64rm
5649 0U, // CMOVNO64rr
5650 0U, // CMOVNP16rm
5651 0U, // CMOVNP16rr
5652 0U, // CMOVNP32rm
5653 0U, // CMOVNP32rr
5654 0U, // CMOVNP64rm
5655 0U, // CMOVNP64rr
5656 0U, // CMOVNP_F
5657 0U, // CMOVNP_Fp32
5658 0U, // CMOVNP_Fp64
5659 0U, // CMOVNP_Fp80
5660 0U, // CMOVNS16rm
5661 0U, // CMOVNS16rr
5662 0U, // CMOVNS32rm
5663 0U, // CMOVNS32rr
5664 0U, // CMOVNS64rm
5665 0U, // CMOVNS64rr
5666 0U, // CMOVO16rm
5667 0U, // CMOVO16rr
5668 0U, // CMOVO32rm
5669 0U, // CMOVO32rr
5670 0U, // CMOVO64rm
5671 0U, // CMOVO64rr
5672 0U, // CMOVP16rm
5673 0U, // CMOVP16rr
5674 0U, // CMOVP32rm
5675 0U, // CMOVP32rr
5676 0U, // CMOVP64rm
5677 0U, // CMOVP64rr
5678 0U, // CMOVP_F
5679 0U, // CMOVP_Fp32
5680 0U, // CMOVP_Fp64
5681 0U, // CMOVP_Fp80
5682 0U, // CMOVS16rm
5683 0U, // CMOVS16rr
5684 0U, // CMOVS32rm
5685 0U, // CMOVS32rr
5686 0U, // CMOVS64rm
5687 0U, // CMOVS64rr
5688 0U, // CMOV_FR32
5689 0U, // CMOV_FR64
5690 0U, // CMOV_GR16
5691 0U, // CMOV_GR32
5692 0U, // CMOV_GR8
5693 0U, // CMOV_RFP32
5694 0U, // CMOV_RFP64
5695 0U, // CMOV_RFP80
5696 0U, // CMOV_V2F64
5697 0U, // CMOV_V2I64
5698 0U, // CMOV_V4F32
5699 0U, // CMOV_V4F64
5700 0U, // CMOV_V4I64
5701 0U, // CMOV_V8F32
5702 0U, // CMP16i16
5703 0U, // CMP16mi
5704 0U, // CMP16mi8
5705 0U, // CMP16mr
5706 0U, // CMP16ri
5707 0U, // CMP16ri8
5708 0U, // CMP16rm
5709 0U, // CMP16rr
5710 0U, // CMP16rr_REV
5711 0U, // CMP32i32
5712 0U, // CMP32mi
5713 0U, // CMP32mi8
5714 0U, // CMP32mr
5715 0U, // CMP32ri
5716 0U, // CMP32ri8
5717 0U, // CMP32rm
5718 0U, // CMP32rr
5719 0U, // CMP32rr_REV
5720 0U, // CMP64i32
5721 0U, // CMP64mi32
5722 0U, // CMP64mi8
5723 0U, // CMP64mr
5724 0U, // CMP64ri32
5725 0U, // CMP64ri8
5726 0U, // CMP64rm
5727 0U, // CMP64rr
5728 0U, // CMP64rr_REV
5729 0U, // CMP8i8
5730 0U, // CMP8mi
5731 0U, // CMP8mr
5732 0U, // CMP8ri
5733 0U, // CMP8rm
5734 0U, // CMP8rr
5735 0U, // CMP8rr_REV
5736 0U, // CMPPDrmi
5737 8U, // CMPPDrmi_alt
5738 0U, // CMPPDrri
5739 10U, // CMPPDrri_alt
5740 0U, // CMPPSrmi
5741 8U, // CMPPSrmi_alt
5742 0U, // CMPPSrri
5743 10U, // CMPPSrri_alt
5744 0U, // CMPS16
5745 0U, // CMPS32
5746 0U, // CMPS64
5747 0U, // CMPS8
5748 0U, // CMPSDrm
5749 8U, // CMPSDrm_alt
5750 0U, // CMPSDrr
5751 10U, // CMPSDrr_alt
5752 0U, // CMPSSrm
5753 8U, // CMPSSrm_alt
5754 0U, // CMPSSrr
5755 10U, // CMPSSrr_alt
5756 0U, // CMPXCHG16B
5757 0U, // CMPXCHG16rm
5758 0U, // CMPXCHG16rr
5759 0U, // CMPXCHG32rm
5760 0U, // CMPXCHG32rr
5761 0U, // CMPXCHG64rm
5762 0U, // CMPXCHG64rr
5763 0U, // CMPXCHG8B
5764 0U, // CMPXCHG8rm
5765 0U, // CMPXCHG8rr
5766 0U, // COMISDrm
5767 0U, // COMISDrr
5768 0U, // COMISSrm
5769 0U, // COMISSrr
5770 0U, // COMP_FST0r
5771 0U, // COM_FIPr
5772 0U, // COM_FIr
5773 0U, // COM_FST0r
5774 0U, // COS_F
5775 0U, // COS_Fp32
5776 0U, // COS_Fp64
5777 0U, // COS_Fp80
5778 0U, // CPUID
5779 0U, // CQO
5780 0U, // CRC32r32m16
5781 0U, // CRC32r32m32
5782 0U, // CRC32r32m8
5783 0U, // CRC32r32r16
5784 0U, // CRC32r32r32
5785 0U, // CRC32r32r8
5786 0U, // CRC32r64m64
5787 0U, // CRC32r64m8
5788 0U, // CRC32r64r64
5789 0U, // CRC32r64r8
5790 0U, // CS_PREFIX
5791 0U, // CVTDQ2PDrm
5792 0U, // CVTDQ2PDrr
5793 0U, // CVTDQ2PSrm
5794 0U, // CVTDQ2PSrr
5795 0U, // CVTPD2DQrm
5796 0U, // CVTPD2DQrr
5797 0U, // CVTPD2PSrm
5798 0U, // CVTPD2PSrr
5799 0U, // CVTPS2DQrm
5800 0U, // CVTPS2DQrr
5801 0U, // CVTPS2PDrm
5802 0U, // CVTPS2PDrr
5803 0U, // CVTSD2SI64rm
5804 0U, // CVTSD2SI64rr
5805 0U, // CVTSD2SIrm
5806 0U, // CVTSD2SIrr
5807 0U, // CVTSD2SSrm
5808 0U, // CVTSD2SSrr
5809 0U, // CVTSI2SD64rm
5810 0U, // CVTSI2SD64rr
5811 0U, // CVTSI2SDrm
5812 0U, // CVTSI2SDrr
5813 0U, // CVTSI2SS64rm
5814 0U, // CVTSI2SS64rr
5815 0U, // CVTSI2SSrm
5816 0U, // CVTSI2SSrr
5817 0U, // CVTSS2SDrm
5818 0U, // CVTSS2SDrr
5819 0U, // CVTSS2SI64rm
5820 0U, // CVTSS2SI64rr
5821 0U, // CVTSS2SIrm
5822 0U, // CVTSS2SIrr
5823 0U, // CVTTPD2DQrm
5824 0U, // CVTTPD2DQrr
5825 0U, // CVTTPS2DQrm
5826 0U, // CVTTPS2DQrr
5827 0U, // CVTTSD2SI64rm
5828 0U, // CVTTSD2SI64rr
5829 0U, // CVTTSD2SIrm
5830 0U, // CVTTSD2SIrr
5831 0U, // CVTTSS2SI64rm
5832 0U, // CVTTSS2SI64rr
5833 0U, // CVTTSS2SIrm
5834 0U, // CVTTSS2SIrr
5835 0U, // CWD
5836 0U, // CWDE
5837 0U, // DAA
5838 0U, // DAS
5839 0U, // DATA16_PREFIX
5840 0U, // DEC16m
5841 0U, // DEC16r
5842 0U, // DEC32m
5843 0U, // DEC32r
5844 0U, // DEC64_16m
5845 0U, // DEC64_16r
5846 0U, // DEC64_32m
5847 0U, // DEC64_32r
5848 0U, // DEC64m
5849 0U, // DEC64r
5850 0U, // DEC8m
5851 0U, // DEC8r
5852 0U, // DIV16m
5853 0U, // DIV16r
5854 0U, // DIV32m
5855 0U, // DIV32r
5856 0U, // DIV64m
5857 0U, // DIV64r
5858 0U, // DIV8m
5859 0U, // DIV8r
5860 0U, // DIVPDrm
5861 0U, // DIVPDrr
5862 0U, // DIVPSrm
5863 0U, // DIVPSrr
5864 0U, // DIVR_F32m
5865 0U, // DIVR_F64m
5866 0U, // DIVR_FI16m
5867 0U, // DIVR_FI32m
5868 0U, // DIVR_FPrST0
5869 0U, // DIVR_FST0r
5870 0U, // DIVR_Fp32m
5871 0U, // DIVR_Fp64m
5872 0U, // DIVR_Fp64m32
5873 0U, // DIVR_Fp80m32
5874 0U, // DIVR_Fp80m64
5875 0U, // DIVR_FpI16m32
5876 0U, // DIVR_FpI16m64
5877 0U, // DIVR_FpI16m80
5878 0U, // DIVR_FpI32m32
5879 0U, // DIVR_FpI32m64
5880 0U, // DIVR_FpI32m80
5881 0U, // DIVR_FrST0
5882 0U, // DIVSDrm
5883 0U, // DIVSDrm_Int
5884 0U, // DIVSDrr
5885 0U, // DIVSDrr_Int
5886 0U, // DIVSSrm
5887 0U, // DIVSSrm_Int
5888 0U, // DIVSSrr
5889 0U, // DIVSSrr_Int
5890 0U, // DIV_F32m
5891 0U, // DIV_F64m
5892 0U, // DIV_FI16m
5893 0U, // DIV_FI32m
5894 0U, // DIV_FPrST0
5895 0U, // DIV_FST0r
5896 0U, // DIV_Fp32
5897 0U, // DIV_Fp32m
5898 0U, // DIV_Fp64
5899 0U, // DIV_Fp64m
5900 0U, // DIV_Fp64m32
5901 0U, // DIV_Fp80
5902 0U, // DIV_Fp80m32
5903 0U, // DIV_Fp80m64
5904 0U, // DIV_FpI16m32
5905 0U, // DIV_FpI16m64
5906 0U, // DIV_FpI16m80
5907 0U, // DIV_FpI32m32
5908 0U, // DIV_FpI32m64
5909 0U, // DIV_FpI32m80
5910 0U, // DIV_FrST0
5911 8U, // DPPDrmi
5912 10U, // DPPDrri
5913 8U, // DPPSrmi
5914 10U, // DPPSrri
5915 0U, // DS_PREFIX
5916 0U, // EH_RETURN
5917 0U, // EH_RETURN64
5918 0U, // EH_SjLj_LongJmp32
5919 0U, // EH_SjLj_LongJmp64
5920 0U, // EH_SjLj_SetJmp32
5921 0U, // EH_SjLj_SetJmp64
5922 0U, // EH_SjLj_Setup
5923 0U, // ENTER
5924 0U, // ES_PREFIX
5925 0U, // EXTRACTPSmr
5926 2U, // EXTRACTPSrr
5927 0U, // EXTRQ
5928 0U, // EXTRQI
5929 0U, // F2XM1
5930 0U, // FARCALL16i
5931 0U, // FARCALL16m
5932 0U, // FARCALL32i
5933 0U, // FARCALL32m
5934 0U, // FARCALL64
5935 0U, // FARJMP16i
5936 0U, // FARJMP16m
5937 0U, // FARJMP32i
5938 0U, // FARJMP32m
5939 0U, // FARJMP64
5940 0U, // FBLDm
5941 0U, // FBSTPm
5942 0U, // FCOM32m
5943 0U, // FCOM64m
5944 0U, // FCOMP32m
5945 0U, // FCOMP64m
5946 0U, // FCOMPP
5947 0U, // FDECSTP
5948 0U, // FEMMS
5949 0U, // FFREE
5950 0U, // FICOM16m
5951 0U, // FICOM32m
5952 0U, // FICOMP16m
5953 0U, // FICOMP32m
5954 0U, // FINCSTP
5955 0U, // FLDCW16m
5956 0U, // FLDENVm
5957 0U, // FLDL2E
5958 0U, // FLDL2T
5959 0U, // FLDLG2
5960 0U, // FLDLN2
5961 0U, // FLDPI
5962 0U, // FNCLEX
5963 0U, // FNINIT
5964 0U, // FNOP
5965 0U, // FNSTCW16m
5966 0U, // FNSTSW16r
5967 0U, // FNSTSWm
5968 0U, // FP32_TO_INT16_IN_MEM
5969 0U, // FP32_TO_INT32_IN_MEM
5970 0U, // FP32_TO_INT64_IN_MEM
5971 0U, // FP64_TO_INT16_IN_MEM
5972 0U, // FP64_TO_INT32_IN_MEM
5973 0U, // FP64_TO_INT64_IN_MEM
5974 0U, // FP80_TO_INT16_IN_MEM
5975 0U, // FP80_TO_INT32_IN_MEM
5976 0U, // FP80_TO_INT64_IN_MEM
5977 0U, // FPATAN
5978 0U, // FPREM
5979 0U, // FPREM1
5980 0U, // FPTAN
5981 0U, // FRNDINT
5982 0U, // FRSTORm
5983 0U, // FSAVEm
5984 0U, // FSCALE
5985 0U, // FSINCOS
5986 0U, // FSTENVm
5987 0U, // FS_PREFIX
5988 0U, // FXAM
5989 0U, // FXRSTOR
5990 0U, // FXRSTOR64
5991 0U, // FXSAVE
5992 0U, // FXSAVE64
5993 0U, // FXTRACT
5994 0U, // FYL2X
5995 0U, // FYL2XP1
5996 0U, // FpPOP_RETVAL
5997 0U, // FsANDNPDrm
5998 0U, // FsANDNPDrr
5999 0U, // FsANDNPSrm
6000 0U, // FsANDNPSrr
6001 0U, // FsANDPDrm
6002 0U, // FsANDPDrr
6003 0U, // FsANDPSrm
6004 0U, // FsANDPSrr
6005 0U, // FsFLD0SD
6006 0U, // FsFLD0SS
6007 0U, // FsMOVAPDrm
6008 0U, // FsMOVAPDrr
6009 0U, // FsMOVAPSrm
6010 0U, // FsMOVAPSrr
6011 0U, // FsORPDrm
6012 0U, // FsORPDrr
6013 0U, // FsORPSrm
6014 0U, // FsORPSrr
6015 0U, // FsVMOVAPDrm
6016 0U, // FsVMOVAPDrr
6017 0U, // FsVMOVAPSrm
6018 0U, // FsVMOVAPSrr
6019 0U, // FsXORPDrm
6020 0U, // FsXORPDrr
6021 0U, // FsXORPSrm
6022 0U, // FsXORPSrr
6023 0U, // GS_PREFIX
6024 0U, // HADDPDrm
6025 0U, // HADDPDrr
6026 0U, // HADDPSrm
6027 0U, // HADDPSrr
6028 0U, // HLT
6029 0U, // HSUBPDrm
6030 0U, // HSUBPDrr
6031 0U, // HSUBPSrm
6032 0U, // HSUBPSrr
6033 0U, // IDIV16m
6034 0U, // IDIV16r
6035 0U, // IDIV32m
6036 0U, // IDIV32r
6037 0U, // IDIV64m
6038 0U, // IDIV64r
6039 0U, // IDIV8m
6040 0U, // IDIV8r
6041 0U, // ILD_F16m
6042 0U, // ILD_F32m
6043 0U, // ILD_F64m
6044 0U, // ILD_Fp16m32
6045 0U, // ILD_Fp16m64
6046 0U, // ILD_Fp16m80
6047 0U, // ILD_Fp32m32
6048 0U, // ILD_Fp32m64
6049 0U, // ILD_Fp32m80
6050 0U, // ILD_Fp64m32
6051 0U, // ILD_Fp64m64
6052 0U, // ILD_Fp64m80
6053 0U, // IMUL16m
6054 0U, // IMUL16r
6055 0U, // IMUL16rm
6056 0U, // IMUL16rmi
6057 0U, // IMUL16rmi8
6058 0U, // IMUL16rr
6059 2U, // IMUL16rri
6060 2U, // IMUL16rri8
6061 0U, // IMUL32m
6062 0U, // IMUL32r
6063 0U, // IMUL32rm
6064 0U, // IMUL32rmi
6065 0U, // IMUL32rmi8
6066 0U, // IMUL32rr
6067 2U, // IMUL32rri
6068 2U, // IMUL32rri8
6069 0U, // IMUL64m
6070 0U, // IMUL64r
6071 0U, // IMUL64rm
6072 0U, // IMUL64rmi32
6073 0U, // IMUL64rmi8
6074 0U, // IMUL64rr
6075 2U, // IMUL64rri32
6076 2U, // IMUL64rri8
6077 0U, // IMUL8m
6078 0U, // IMUL8r
6079 0U, // IN16
6080 0U, // IN16ri
6081 0U, // IN16rr
6082 0U, // IN32
6083 0U, // IN32ri
6084 0U, // IN32rr
6085 0U, // IN8
6086 0U, // IN8ri
6087 0U, // IN8rr
6088 0U, // INC16m
6089 0U, // INC16r
6090 0U, // INC32m
6091 0U, // INC32r
6092 0U, // INC64_16m
6093 0U, // INC64_16r
6094 0U, // INC64_32m
6095 0U, // INC64_32r
6096 0U, // INC64m
6097 0U, // INC64r
6098 0U, // INC8m
6099 0U, // INC8r
6100 8U, // INSERTPSrm
6101 10U, // INSERTPSrr
6102 0U, // INSERTQ
6103 12U, // INSERTQI
6104 0U, // INT
6105 0U, // INT3
6106 0U, // INTO
6107 0U, // INVD
6108 0U, // INVEPT32
6109 0U, // INVEPT64
6110 0U, // INVLPG
6111 0U, // INVLPGA32
6112 0U, // INVLPGA64
6113 0U, // INVPCID32
6114 0U, // INVPCID64
6115 0U, // INVVPID32
6116 0U, // INVVPID64
6117 0U, // IRET16
6118 0U, // IRET32
6119 0U, // IRET64
6120 0U, // ISTT_FP16m
6121 0U, // ISTT_FP32m
6122 0U, // ISTT_FP64m
6123 0U, // ISTT_Fp16m32
6124 0U, // ISTT_Fp16m64
6125 0U, // ISTT_Fp16m80
6126 0U, // ISTT_Fp32m32
6127 0U, // ISTT_Fp32m64
6128 0U, // ISTT_Fp32m80
6129 0U, // ISTT_Fp64m32
6130 0U, // ISTT_Fp64m64
6131 0U, // ISTT_Fp64m80
6132 0U, // IST_F16m
6133 0U, // IST_F32m
6134 0U, // IST_FP16m
6135 0U, // IST_FP32m
6136 0U, // IST_FP64m
6137 0U, // IST_Fp16m32
6138 0U, // IST_Fp16m64
6139 0U, // IST_Fp16m80
6140 0U, // IST_Fp32m32
6141 0U, // IST_Fp32m64
6142 0U, // IST_Fp32m80
6143 0U, // IST_Fp64m32
6144 0U, // IST_Fp64m64
6145 0U, // IST_Fp64m80
6146 0U, // Int_CMPSDrm
6147 0U, // Int_CMPSDrr
6148 0U, // Int_CMPSSrm
6149 0U, // Int_CMPSSrr
6150 0U, // Int_COMISDrm
6151 0U, // Int_COMISDrr
6152 0U, // Int_COMISSrm
6153 0U, // Int_COMISSrr
6154 14U, // Int_CVTSD2SSrm
6155 2U, // Int_CVTSD2SSrr
6156 0U, // Int_CVTSI2SD64rm
6157 0U, // Int_CVTSI2SD64rr
6158 0U, // Int_CVTSI2SDrm
6159 0U, // Int_CVTSI2SDrr
6160 0U, // Int_CVTSI2SS64rm
6161 0U, // Int_CVTSI2SS64rr
6162 0U, // Int_CVTSI2SSrm
6163 0U, // Int_CVTSI2SSrr
6164 0U, // Int_CVTSS2SDrm
6165 0U, // Int_CVTSS2SDrr
6166 0U, // Int_CVTTSD2SI64rm
6167 0U, // Int_CVTTSD2SI64rr
6168 0U, // Int_CVTTSD2SIrm
6169 0U, // Int_CVTTSD2SIrr
6170 0U, // Int_CVTTSS2SI64rm
6171 0U, // Int_CVTTSS2SI64rr
6172 0U, // Int_CVTTSS2SIrm
6173 0U, // Int_CVTTSS2SIrr
6174 0U, // Int_MemBarrier
6175 0U, // Int_UCOMISDrm
6176 0U, // Int_UCOMISDrr
6177 0U, // Int_UCOMISSrm
6178 0U, // Int_UCOMISSrr
6179 14U, // Int_VCMPSDrm
6180 2U, // Int_VCMPSDrr
6181 16U, // Int_VCMPSSrm
6182 2U, // Int_VCMPSSrr
6183 0U, // Int_VCOMISDZrm
6184 0U, // Int_VCOMISDZrr
6185 0U, // Int_VCOMISDrm
6186 0U, // Int_VCOMISDrr
6187 0U, // Int_VCOMISSZrm
6188 0U, // Int_VCOMISSZrr
6189 0U, // Int_VCOMISSrm
6190 0U, // Int_VCOMISSrr
6191 14U, // Int_VCVTSD2SSrm
6192 2U, // Int_VCVTSD2SSrr
6193 6U, // Int_VCVTSI2SD64rm
6194 2U, // Int_VCVTSI2SD64rr
6195 4U, // Int_VCVTSI2SDrm
6196 2U, // Int_VCVTSI2SDrr
6197 6U, // Int_VCVTSI2SS64rm
6198 2U, // Int_VCVTSI2SS64rr
6199 4U, // Int_VCVTSI2SSrm
6200 2U, // Int_VCVTSI2SSrr
6201 16U, // Int_VCVTSS2SDrm
6202 2U, // Int_VCVTSS2SDrr
6203 0U, // Int_VCVTTSD2SI64rm
6204 0U, // Int_VCVTTSD2SI64rr
6205 0U, // Int_VCVTTSD2SIrm
6206 0U, // Int_VCVTTSD2SIrr
6207 0U, // Int_VCVTTSS2SI64rm
6208 0U, // Int_VCVTTSS2SI64rr
6209 0U, // Int_VCVTTSS2SIrm
6210 0U, // Int_VCVTTSS2SIrr
6211 0U, // Int_VUCOMISDZrm
6212 0U, // Int_VUCOMISDZrr
6213 0U, // Int_VUCOMISDrm
6214 0U, // Int_VUCOMISDrr
6215 0U, // Int_VUCOMISSZrm
6216 0U, // Int_VUCOMISSZrr
6217 0U, // Int_VUCOMISSrm
6218 0U, // Int_VUCOMISSrr
6219 0U, // JAE_1
6220 0U, // JAE_4
6221 0U, // JA_1
6222 0U, // JA_4
6223 0U, // JBE_1
6224 0U, // JBE_4
6225 0U, // JB_1
6226 0U, // JB_4
6227 0U, // JCXZ
6228 0U, // JECXZ_32
6229 0U, // JECXZ_64
6230 0U, // JE_1
6231 0U, // JE_4
6232 0U, // JGE_1
6233 0U, // JGE_4
6234 0U, // JG_1
6235 0U, // JG_4
6236 0U, // JLE_1
6237 0U, // JLE_4
6238 0U, // JL_1
6239 0U, // JL_4
6240 0U, // JMP32m
6241 0U, // JMP32r
6242 0U, // JMP64m
6243 0U, // JMP64pcrel32
6244 0U, // JMP64r
6245 0U, // JMP_1
6246 0U, // JMP_4
6247 0U, // JNE_1
6248 0U, // JNE_4
6249 0U, // JNO_1
6250 0U, // JNO_4
6251 0U, // JNP_1
6252 0U, // JNP_4
6253 0U, // JNS_1
6254 0U, // JNS_4
6255 0U, // JO_1
6256 0U, // JO_4
6257 0U, // JP_1
6258 0U, // JP_4
6259 0U, // JRCXZ
6260 0U, // JS_1
6261 0U, // JS_4
6262 2U, // KADDWrr
6263 2U, // KANDNWrr
6264 2U, // KANDWrr
6265 0U, // KMOVWkk
6266 0U, // KMOVWkm
6267 0U, // KMOVWkr
6268 0U, // KMOVWmk
6269 0U, // KMOVWrk
6270 0U, // KNOTWrr
6271 0U, // KORTESTWrr
6272 2U, // KORWrr
6273 0U, // KSET0B
6274 0U, // KSET0W
6275 0U, // KSET1B
6276 0U, // KSET1W
6277 2U, // KSHIFTLWri
6278 2U, // KSHIFTRWri
6279 0U, // KTESTWrr
6280 2U, // KUNPCKBWrr
6281 2U, // KXNORWrr
6282 2U, // KXORWrr
6283 0U, // LAHF
6284 0U, // LAR16rm
6285 0U, // LAR16rr
6286 0U, // LAR32rm
6287 0U, // LAR32rr
6288 0U, // LAR64rm
6289 0U, // LAR64rr
6290 0U, // LCMPXCHG16
6291 0U, // LCMPXCHG16B
6292 0U, // LCMPXCHG32
6293 0U, // LCMPXCHG64
6294 0U, // LCMPXCHG8
6295 0U, // LCMPXCHG8B
6296 0U, // LDDQUrm
6297 0U, // LDMXCSR
6298 0U, // LDS16rm
6299 0U, // LDS32rm
6300 0U, // LD_F0
6301 0U, // LD_F1
6302 0U, // LD_F32m
6303 0U, // LD_F64m
6304 0U, // LD_F80m
6305 0U, // LD_Fp032
6306 0U, // LD_Fp064
6307 0U, // LD_Fp080
6308 0U, // LD_Fp132
6309 0U, // LD_Fp164
6310 0U, // LD_Fp180
6311 0U, // LD_Fp32m
6312 0U, // LD_Fp32m64
6313 0U, // LD_Fp32m80
6314 0U, // LD_Fp64m
6315 0U, // LD_Fp64m80
6316 0U, // LD_Fp80m
6317 0U, // LD_Frr
6318 0U, // LEA16r
6319 0U, // LEA32r
6320 0U, // LEA64_32r
6321 0U, // LEA64r
6322 0U, // LEAVE
6323 0U, // LEAVE64
6324 0U, // LES16rm
6325 0U, // LES32rm
6326 0U, // LFENCE
6327 0U, // LFS16rm
6328 0U, // LFS32rm
6329 0U, // LFS64rm
6330 0U, // LGDT16m
6331 0U, // LGDTm
6332 0U, // LGS16rm
6333 0U, // LGS32rm
6334 0U, // LGS64rm
6335 0U, // LIDT16m
6336 0U, // LIDTm
6337 0U, // LLDT16m
6338 0U, // LLDT16r
6339 0U, // LMSW16m
6340 0U, // LMSW16r
6341 0U, // LOCK_ADD16mi
6342 0U, // LOCK_ADD16mi8
6343 0U, // LOCK_ADD16mr
6344 0U, // LOCK_ADD32mi
6345 0U, // LOCK_ADD32mi8
6346 0U, // LOCK_ADD32mr
6347 0U, // LOCK_ADD64mi32
6348 0U, // LOCK_ADD64mi8
6349 0U, // LOCK_ADD64mr
6350 0U, // LOCK_ADD8mi
6351 0U, // LOCK_ADD8mr
6352 0U, // LOCK_AND16mi
6353 0U, // LOCK_AND16mi8
6354 0U, // LOCK_AND16mr
6355 0U, // LOCK_AND32mi
6356 0U, // LOCK_AND32mi8
6357 0U, // LOCK_AND32mr
6358 0U, // LOCK_AND64mi32
6359 0U, // LOCK_AND64mi8
6360 0U, // LOCK_AND64mr
6361 0U, // LOCK_AND8mi
6362 0U, // LOCK_AND8mr
6363 0U, // LOCK_DEC16m
6364 0U, // LOCK_DEC32m
6365 0U, // LOCK_DEC64m
6366 0U, // LOCK_DEC8m
6367 0U, // LOCK_INC16m
6368 0U, // LOCK_INC32m
6369 0U, // LOCK_INC64m
6370 0U, // LOCK_INC8m
6371 0U, // LOCK_OR16mi
6372 0U, // LOCK_OR16mi8
6373 0U, // LOCK_OR16mr
6374 0U, // LOCK_OR32mi
6375 0U, // LOCK_OR32mi8
6376 0U, // LOCK_OR32mr
6377 0U, // LOCK_OR64mi32
6378 0U, // LOCK_OR64mi8
6379 0U, // LOCK_OR64mr
6380 0U, // LOCK_OR8mi
6381 0U, // LOCK_OR8mr
6382 0U, // LOCK_PREFIX
6383 0U, // LOCK_SUB16mi
6384 0U, // LOCK_SUB16mi8
6385 0U, // LOCK_SUB16mr
6386 0U, // LOCK_SUB32mi
6387 0U, // LOCK_SUB32mi8
6388 0U, // LOCK_SUB32mr
6389 0U, // LOCK_SUB64mi32
6390 0U, // LOCK_SUB64mi8
6391 0U, // LOCK_SUB64mr
6392 0U, // LOCK_SUB8mi
6393 0U, // LOCK_SUB8mr
6394 0U, // LOCK_XOR16mi
6395 0U, // LOCK_XOR16mi8
6396 0U, // LOCK_XOR16mr
6397 0U, // LOCK_XOR32mi
6398 0U, // LOCK_XOR32mi8
6399 0U, // LOCK_XOR32mr
6400 0U, // LOCK_XOR64mi32
6401 0U, // LOCK_XOR64mi8
6402 0U, // LOCK_XOR64mr
6403 0U, // LOCK_XOR8mi
6404 0U, // LOCK_XOR8mr
6405 0U, // LODSB
6406 0U, // LODSD
6407 0U, // LODSQ
6408 0U, // LODSW
6409 0U, // LOOP
6410 0U, // LOOPE
6411 0U, // LOOPNE
6412 0U, // LRETI
6413 0U, // LRETIW
6414 0U, // LRETL
6415 0U, // LRETQ
6416 0U, // LRETW
6417 0U, // LSL16rm
6418 0U, // LSL16rr
6419 0U, // LSL32rm
6420 0U, // LSL32rr
6421 0U, // LSL64rm
6422 0U, // LSL64rr
6423 0U, // LSS16rm
6424 0U, // LSS32rm
6425 0U, // LSS64rm
6426 0U, // LTRm
6427 0U, // LTRr
6428 0U, // LXADD16
6429 0U, // LXADD32
6430 0U, // LXADD64
6431 0U, // LXADD8
6432 0U, // LZCNT16rm
6433 0U, // LZCNT16rr
6434 0U, // LZCNT32rm
6435 0U, // LZCNT32rr
6436 0U, // LZCNT64rm
6437 0U, // LZCNT64rr
6438 0U, // MASKMOVDQU
6439 0U, // MASKMOVDQU64
6440 0U, // MAXCPDrm
6441 0U, // MAXCPDrr
6442 0U, // MAXCPSrm
6443 0U, // MAXCPSrr
6444 0U, // MAXCSDrm
6445 0U, // MAXCSDrr
6446 0U, // MAXCSSrm
6447 0U, // MAXCSSrr
6448 0U, // MAXPDrm
6449 0U, // MAXPDrr
6450 0U, // MAXPSrm
6451 0U, // MAXPSrr
6452 0U, // MAXSDrm
6453 0U, // MAXSDrm_Int
6454 0U, // MAXSDrr
6455 0U, // MAXSDrr_Int
6456 0U, // MAXSSrm
6457 0U, // MAXSSrm_Int
6458 0U, // MAXSSrr
6459 0U, // MAXSSrr_Int
6460 0U, // MFENCE
6461 0U, // MINCPDrm
6462 0U, // MINCPDrr
6463 0U, // MINCPSrm
6464 0U, // MINCPSrr
6465 0U, // MINCSDrm
6466 0U, // MINCSDrr
6467 0U, // MINCSSrm
6468 0U, // MINCSSrr
6469 0U, // MINPDrm
6470 0U, // MINPDrr
6471 0U, // MINPSrm
6472 0U, // MINPSrr
6473 0U, // MINSDrm
6474 0U, // MINSDrm_Int
6475 0U, // MINSDrr
6476 0U, // MINSDrr_Int
6477 0U, // MINSSrm
6478 0U, // MINSSrm_Int
6479 0U, // MINSSrr
6480 0U, // MINSSrr_Int
6481 0U, // MMX_CVTPD2PIirm
6482 0U, // MMX_CVTPD2PIirr
6483 0U, // MMX_CVTPI2PDirm
6484 0U, // MMX_CVTPI2PDirr
6485 0U, // MMX_CVTPI2PSirm
6486 0U, // MMX_CVTPI2PSirr
6487 0U, // MMX_CVTPS2PIirm
6488 0U, // MMX_CVTPS2PIirr
6489 0U, // MMX_CVTTPD2PIirm
6490 0U, // MMX_CVTTPD2PIirr
6491 0U, // MMX_CVTTPS2PIirm
6492 0U, // MMX_CVTTPS2PIirr
6493 0U, // MMX_EMMS
6494 0U, // MMX_MASKMOVQ
6495 0U, // MMX_MASKMOVQ64
6496 0U, // MMX_MOVD64from64rr
6497 0U, // MMX_MOVD64grr
6498 0U, // MMX_MOVD64mr
6499 0U, // MMX_MOVD64rm
6500 0U, // MMX_MOVD64rr
6501 0U, // MMX_MOVD64rrv164
6502 0U, // MMX_MOVD64to64rr
6503 0U, // MMX_MOVDQ2Qrr
6504 0U, // MMX_MOVFR642Qrr
6505 0U, // MMX_MOVNTQmr
6506 0U, // MMX_MOVQ2DQrr
6507 0U, // MMX_MOVQ2FR64rr
6508 0U, // MMX_MOVQ64mr
6509 0U, // MMX_MOVQ64rm
6510 0U, // MMX_MOVQ64rr
6511 0U, // MMX_MOVZDI2PDIrm
6512 0U, // MMX_MOVZDI2PDIrr
6513 0U, // MMX_PABSBrm64
6514 0U, // MMX_PABSBrr64
6515 0U, // MMX_PABSDrm64
6516 0U, // MMX_PABSDrr64
6517 0U, // MMX_PABSWrm64
6518 0U, // MMX_PABSWrr64
6519 0U, // MMX_PACKSSDWirm
6520 0U, // MMX_PACKSSDWirr
6521 0U, // MMX_PACKSSWBirm
6522 0U, // MMX_PACKSSWBirr
6523 0U, // MMX_PACKUSWBirm
6524 0U, // MMX_PACKUSWBirr
6525 0U, // MMX_PADDBirm
6526 0U, // MMX_PADDBirr
6527 0U, // MMX_PADDDirm
6528 0U, // MMX_PADDDirr
6529 0U, // MMX_PADDQirm
6530 0U, // MMX_PADDQirr
6531 0U, // MMX_PADDSBirm
6532 0U, // MMX_PADDSBirr
6533 0U, // MMX_PADDSWirm
6534 0U, // MMX_PADDSWirr
6535 0U, // MMX_PADDUSBirm
6536 0U, // MMX_PADDUSBirr
6537 0U, // MMX_PADDUSWirm
6538 0U, // MMX_PADDUSWirr
6539 0U, // MMX_PADDWirm
6540 0U, // MMX_PADDWirr
6541 8U, // MMX_PALIGNR64irm
6542 10U, // MMX_PALIGNR64irr
6543 0U, // MMX_PANDNirm
6544 0U, // MMX_PANDNirr
6545 0U, // MMX_PANDirm
6546 0U, // MMX_PANDirr
6547 0U, // MMX_PAVGBirm
6548 0U, // MMX_PAVGBirr
6549 0U, // MMX_PAVGWirm
6550 0U, // MMX_PAVGWirr
6551 0U, // MMX_PCMPEQBirm
6552 0U, // MMX_PCMPEQBirr
6553 0U, // MMX_PCMPEQDirm
6554 0U, // MMX_PCMPEQDirr
6555 0U, // MMX_PCMPEQWirm
6556 0U, // MMX_PCMPEQWirr
6557 0U, // MMX_PCMPGTBirm
6558 0U, // MMX_PCMPGTBirr
6559 0U, // MMX_PCMPGTDirm
6560 0U, // MMX_PCMPGTDirr
6561 0U, // MMX_PCMPGTWirm
6562 0U, // MMX_PCMPGTWirr
6563 2U, // MMX_PEXTRWirri
6564 0U, // MMX_PHADDSWrm64
6565 0U, // MMX_PHADDSWrr64
6566 0U, // MMX_PHADDWrm64
6567 0U, // MMX_PHADDWrr64
6568 0U, // MMX_PHADDrm64
6569 0U, // MMX_PHADDrr64
6570 0U, // MMX_PHSUBDrm64
6571 0U, // MMX_PHSUBDrr64
6572 0U, // MMX_PHSUBSWrm64
6573 0U, // MMX_PHSUBSWrr64
6574 0U, // MMX_PHSUBWrm64
6575 0U, // MMX_PHSUBWrr64
6576 8U, // MMX_PINSRWirmi
6577 10U, // MMX_PINSRWirri
6578 0U, // MMX_PMADDUBSWrm64
6579 0U, // MMX_PMADDUBSWrr64
6580 0U, // MMX_PMADDWDirm
6581 0U, // MMX_PMADDWDirr
6582 0U, // MMX_PMAXSWirm
6583 0U, // MMX_PMAXSWirr
6584 0U, // MMX_PMAXUBirm
6585 0U, // MMX_PMAXUBirr
6586 0U, // MMX_PMINSWirm
6587 0U, // MMX_PMINSWirr
6588 0U, // MMX_PMINUBirm
6589 0U, // MMX_PMINUBirr
6590 0U, // MMX_PMOVMSKBrr
6591 0U, // MMX_PMULHRSWrm64
6592 0U, // MMX_PMULHRSWrr64
6593 0U, // MMX_PMULHUWirm
6594 0U, // MMX_PMULHUWirr
6595 0U, // MMX_PMULHWirm
6596 0U, // MMX_PMULHWirr
6597 0U, // MMX_PMULLWirm
6598 0U, // MMX_PMULLWirr
6599 0U, // MMX_PMULUDQirm
6600 0U, // MMX_PMULUDQirr
6601 0U, // MMX_PORirm
6602 0U, // MMX_PORirr
6603 0U, // MMX_PSADBWirm
6604 0U, // MMX_PSADBWirr
6605 0U, // MMX_PSHUFBrm64
6606 0U, // MMX_PSHUFBrr64
6607 0U, // MMX_PSHUFWmi
6608 2U, // MMX_PSHUFWri
6609 0U, // MMX_PSIGNBrm64
6610 0U, // MMX_PSIGNBrr64
6611 0U, // MMX_PSIGNDrm64
6612 0U, // MMX_PSIGNDrr64
6613 0U, // MMX_PSIGNWrm64
6614 0U, // MMX_PSIGNWrr64
6615 0U, // MMX_PSLLDri
6616 0U, // MMX_PSLLDrm
6617 0U, // MMX_PSLLDrr
6618 0U, // MMX_PSLLQri
6619 0U, // MMX_PSLLQrm
6620 0U, // MMX_PSLLQrr
6621 0U, // MMX_PSLLWri
6622 0U, // MMX_PSLLWrm
6623 0U, // MMX_PSLLWrr
6624 0U, // MMX_PSRADri
6625 0U, // MMX_PSRADrm
6626 0U, // MMX_PSRADrr
6627 0U, // MMX_PSRAWri
6628 0U, // MMX_PSRAWrm
6629 0U, // MMX_PSRAWrr
6630 0U, // MMX_PSRLDri
6631 0U, // MMX_PSRLDrm
6632 0U, // MMX_PSRLDrr
6633 0U, // MMX_PSRLQri
6634 0U, // MMX_PSRLQrm
6635 0U, // MMX_PSRLQrr
6636 0U, // MMX_PSRLWri
6637 0U, // MMX_PSRLWrm
6638 0U, // MMX_PSRLWrr
6639 0U, // MMX_PSUBBirm
6640 0U, // MMX_PSUBBirr
6641 0U, // MMX_PSUBDirm
6642 0U, // MMX_PSUBDirr
6643 0U, // MMX_PSUBQirm
6644 0U, // MMX_PSUBQirr
6645 0U, // MMX_PSUBSBirm
6646 0U, // MMX_PSUBSBirr
6647 0U, // MMX_PSUBSWirm
6648 0U, // MMX_PSUBSWirr
6649 0U, // MMX_PSUBUSBirm
6650 0U, // MMX_PSUBUSBirr
6651 0U, // MMX_PSUBUSWirm
6652 0U, // MMX_PSUBUSWirr
6653 0U, // MMX_PSUBWirm
6654 0U, // MMX_PSUBWirr
6655 0U, // MMX_PUNPCKHBWirm
6656 0U, // MMX_PUNPCKHBWirr
6657 0U, // MMX_PUNPCKHDQirm
6658 0U, // MMX_PUNPCKHDQirr
6659 0U, // MMX_PUNPCKHWDirm
6660 0U, // MMX_PUNPCKHWDirr
6661 0U, // MMX_PUNPCKLBWirm
6662 0U, // MMX_PUNPCKLBWirr
6663 0U, // MMX_PUNPCKLDQirm
6664 0U, // MMX_PUNPCKLDQirr
6665 0U, // MMX_PUNPCKLWDirm
6666 0U, // MMX_PUNPCKLWDirr
6667 0U, // MMX_PXORirm
6668 0U, // MMX_PXORirr
6669 0U, // MONITOR
6670 0U, // MONITORrrr
6671 0U, // MONTMUL
6672 0U, // MORESTACK_RET
6673 0U, // MORESTACK_RET_RESTORE_R10
6674 0U, // MOV16ao16
6675 0U, // MOV16mi
6676 0U, // MOV16mr
6677 0U, // MOV16ms
6678 0U, // MOV16o16a
6679 0U, // MOV16ri
6680 0U, // MOV16rm
6681 0U, // MOV16rr
6682 0U, // MOV16rr_REV
6683 0U, // MOV16rs
6684 0U, // MOV16sm
6685 0U, // MOV16sr
6686 0U, // MOV32ao32
6687 0U, // MOV32cr
6688 0U, // MOV32dr
6689 0U, // MOV32mi
6690 0U, // MOV32mr
6691 0U, // MOV32ms
6692 0U, // MOV32o32a
6693 0U, // MOV32r0
6694 0U, // MOV32rc
6695 0U, // MOV32rd
6696 0U, // MOV32ri
6697 0U, // MOV32ri64
6698 0U, // MOV32rm
6699 0U, // MOV32rr
6700 0U, // MOV32rr_REV
6701 0U, // MOV32rs
6702 0U, // MOV32sm
6703 0U, // MOV32sr
6704 0U, // MOV64ao16
6705 0U, // MOV64ao32
6706 0U, // MOV64ao64
6707 0U, // MOV64ao8
6708 0U, // MOV64cr
6709 0U, // MOV64dr
6710 0U, // MOV64mi32
6711 0U, // MOV64mr
6712 0U, // MOV64ms
6713 0U, // MOV64o16a
6714 0U, // MOV64o32a
6715 0U, // MOV64o64a
6716 0U, // MOV64o8a
6717 0U, // MOV64rc
6718 0U, // MOV64rd
6719 0U, // MOV64ri
6720 0U, // MOV64ri32
6721 0U, // MOV64rm
6722 0U, // MOV64rr
6723 0U, // MOV64rr_REV
6724 0U, // MOV64rs
6725 0U, // MOV64sm
6726 0U, // MOV64sr
6727 0U, // MOV64toPQIrr
6728 0U, // MOV64toSDrm
6729 0U, // MOV64toSDrr
6730 0U, // MOV8ao8
6731 0U, // MOV8mi
6732 0U, // MOV8mr
6733 0U, // MOV8mr_NOREX
6734 0U, // MOV8o8a
6735 0U, // MOV8ri
6736 0U, // MOV8rm
6737 0U, // MOV8rm_NOREX
6738 0U, // MOV8rr
6739 0U, // MOV8rr_NOREX
6740 0U, // MOV8rr_REV
6741 0U, // MOVAPDmr
6742 0U, // MOVAPDrm
6743 0U, // MOVAPDrr
6744 0U, // MOVAPDrr_REV
6745 0U, // MOVAPSmr
6746 0U, // MOVAPSrm
6747 0U, // MOVAPSrr
6748 0U, // MOVAPSrr_REV
6749 0U, // MOVBE16mr
6750 0U, // MOVBE16rm
6751 0U, // MOVBE32mr
6752 0U, // MOVBE32rm
6753 0U, // MOVBE64mr
6754 0U, // MOVBE64rm
6755 0U, // MOVDDUPrm
6756 0U, // MOVDDUPrr
6757 0U, // MOVDI2PDIrm
6758 0U, // MOVDI2PDIrr
6759 0U, // MOVDI2SSrm
6760 0U, // MOVDI2SSrr
6761 0U, // MOVDQAmr
6762 0U, // MOVDQArm
6763 0U, // MOVDQArr
6764 0U, // MOVDQArr_REV
6765 0U, // MOVDQUmr
6766 0U, // MOVDQUrm
6767 0U, // MOVDQUrr
6768 0U, // MOVDQUrr_REV
6769 0U, // MOVHLPSrr
6770 0U, // MOVHPDmr
6771 0U, // MOVHPDrm
6772 0U, // MOVHPSmr
6773 0U, // MOVHPSrm
6774 0U, // MOVLHPSrr
6775 0U, // MOVLPDmr
6776 0U, // MOVLPDrm
6777 0U, // MOVLPSmr
6778 0U, // MOVLPSrm
6779 0U, // MOVLQ128mr
6780 0U, // MOVMSKPDrr32
6781 0U, // MOVMSKPDrr64
6782 0U, // MOVMSKPSrr32
6783 0U, // MOVMSKPSrr64
6784 0U, // MOVNTDQArm
6785 0U, // MOVNTDQmr
6786 0U, // MOVNTI_64mr
6787 0U, // MOVNTImr
6788 0U, // MOVNTPDmr
6789 0U, // MOVNTPSmr
6790 0U, // MOVNTSD
6791 0U, // MOVNTSS
6792 0U, // MOVPC32r
6793 0U, // MOVPDI2DImr
6794 0U, // MOVPDI2DIrr
6795 0U, // MOVPQI2QImr
6796 0U, // MOVPQIto64rr
6797 0U, // MOVQI2PQIrm
6798 0U, // MOVQxrxr
6799 0U, // MOVSB
6800 0U, // MOVSD
6801 0U, // MOVSDmr
6802 0U, // MOVSDrm
6803 0U, // MOVSDrr
6804 0U, // MOVSDrr_REV
6805 0U, // MOVSDto64mr
6806 0U, // MOVSDto64rr
6807 0U, // MOVSHDUPrm
6808 0U, // MOVSHDUPrr
6809 0U, // MOVSLDUPrm
6810 0U, // MOVSLDUPrr
6811 0U, // MOVSQ
6812 0U, // MOVSS2DImr
6813 0U, // MOVSS2DIrr
6814 0U, // MOVSSmr
6815 0U, // MOVSSrm
6816 0U, // MOVSSrr
6817 0U, // MOVSSrr_REV
6818 0U, // MOVSW
6819 0U, // MOVSX16rm8
6820 0U, // MOVSX16rr8
6821 0U, // MOVSX32rm16
6822 0U, // MOVSX32rm8
6823 0U, // MOVSX32rr16
6824 0U, // MOVSX32rr8
6825 0U, // MOVSX64rm16
6826 0U, // MOVSX64rm32
6827 0U, // MOVSX64rm8
6828 0U, // MOVSX64rr16
6829 0U, // MOVSX64rr32
6830 0U, // MOVSX64rr8
6831 0U, // MOVUPDmr
6832 0U, // MOVUPDrm
6833 0U, // MOVUPDrr
6834 0U, // MOVUPDrr_REV
6835 0U, // MOVUPSmr
6836 0U, // MOVUPSrm
6837 0U, // MOVUPSrr
6838 0U, // MOVUPSrr_REV
6839 0U, // MOVZDI2PDIrm
6840 0U, // MOVZDI2PDIrr
6841 0U, // MOVZPQILo2PQIrm
6842 0U, // MOVZPQILo2PQIrr
6843 0U, // MOVZQI2PQIrm
6844 0U, // MOVZQI2PQIrr
6845 0U, // MOVZX16rm8
6846 0U, // MOVZX16rr8
6847 0U, // MOVZX32_NOREXrm8
6848 0U, // MOVZX32_NOREXrr8
6849 0U, // MOVZX32rm16
6850 0U, // MOVZX32rm8
6851 0U, // MOVZX32rr16
6852 0U, // MOVZX32rr8
6853 0U, // MOVZX64rm16_Q
6854 0U, // MOVZX64rm8_Q
6855 0U, // MOVZX64rr16_Q
6856 0U, // MOVZX64rr8_Q
6857 8U, // MPSADBWrmi
6858 10U, // MPSADBWrri
6859 0U, // MUL16m
6860 0U, // MUL16r
6861 0U, // MUL32m
6862 0U, // MUL32r
6863 0U, // MUL64m
6864 0U, // MUL64r
6865 0U, // MUL8m
6866 0U, // MUL8r
6867 0U, // MULPDrm
6868 0U, // MULPDrr
6869 0U, // MULPSrm
6870 0U, // MULPSrr
6871 0U, // MULSDrm
6872 0U, // MULSDrm_Int
6873 0U, // MULSDrr
6874 0U, // MULSDrr_Int
6875 0U, // MULSSrm
6876 0U, // MULSSrm_Int
6877 0U, // MULSSrr
6878 0U, // MULSSrr_Int
6879 4U, // MULX32rm
6880 2U, // MULX32rr
6881 6U, // MULX64rm
6882 2U, // MULX64rr
6883 0U, // MUL_F32m
6884 0U, // MUL_F64m
6885 0U, // MUL_FI16m
6886 0U, // MUL_FI32m
6887 0U, // MUL_FPrST0
6888 0U, // MUL_FST0r
6889 0U, // MUL_Fp32
6890 0U, // MUL_Fp32m
6891 0U, // MUL_Fp64
6892 0U, // MUL_Fp64m
6893 0U, // MUL_Fp64m32
6894 0U, // MUL_Fp80
6895 0U, // MUL_Fp80m32
6896 0U, // MUL_Fp80m64
6897 0U, // MUL_FpI16m32
6898 0U, // MUL_FpI16m64
6899 0U, // MUL_FpI16m80
6900 0U, // MUL_FpI32m32
6901 0U, // MUL_FpI32m64
6902 0U, // MUL_FpI32m80
6903 0U, // MUL_FrST0
6904 0U, // MWAITrr
6905 0U, // NEG16m
6906 0U, // NEG16r
6907 0U, // NEG32m
6908 0U, // NEG32r
6909 0U, // NEG64m
6910 0U, // NEG64r
6911 0U, // NEG8m
6912 0U, // NEG8r
6913 0U, // NOOP
6914 0U, // NOOPL
6915 0U, // NOOPW
6916 0U, // NOT16m
6917 0U, // NOT16r
6918 0U, // NOT32m
6919 0U, // NOT32r
6920 0U, // NOT64m
6921 0U, // NOT64r
6922 0U, // NOT8m
6923 0U, // NOT8r
6924 0U, // OR16i16
6925 0U, // OR16mi
6926 0U, // OR16mi8
6927 0U, // OR16mr
6928 0U, // OR16ri
6929 0U, // OR16ri8
6930 0U, // OR16rm
6931 0U, // OR16rr
6932 0U, // OR16rr_REV
6933 0U, // OR32i32
6934 0U, // OR32mi
6935 0U, // OR32mi8
6936 0U, // OR32mr
6937 0U, // OR32mrLocked
6938 0U, // OR32ri
6939 0U, // OR32ri8
6940 0U, // OR32rm
6941 0U, // OR32rr
6942 0U, // OR32rr_REV
6943 0U, // OR64i32
6944 0U, // OR64mi32
6945 0U, // OR64mi8
6946 0U, // OR64mr
6947 0U, // OR64ri32
6948 0U, // OR64ri8
6949 0U, // OR64rm
6950 0U, // OR64rr
6951 0U, // OR64rr_REV
6952 0U, // OR8i8
6953 0U, // OR8mi
6954 0U, // OR8mr
6955 0U, // OR8ri
6956 0U, // OR8rm
6957 0U, // OR8rr
6958 0U, // OR8rr_REV
6959 0U, // ORPDrm
6960 0U, // ORPDrr
6961 0U, // ORPSrm
6962 0U, // ORPSrr
6963 0U, // OUT16ir
6964 0U, // OUT16rr
6965 0U, // OUT32ir
6966 0U, // OUT32rr
6967 0U, // OUT8ir
6968 0U, // OUT8rr
6969 0U, // OUTSB
6970 0U, // OUTSD
6971 0U, // OUTSW
6972 0U, // PABSBrm128
6973 0U, // PABSBrr128
6974 0U, // PABSDrm128
6975 0U, // PABSDrr128
6976 0U, // PABSWrm128
6977 0U, // PABSWrr128
6978 0U, // PACKSSDWrm
6979 0U, // PACKSSDWrr
6980 0U, // PACKSSWBrm
6981 0U, // PACKSSWBrr
6982 0U, // PACKUSDWrm
6983 0U, // PACKUSDWrr
6984 0U, // PACKUSWBrm
6985 0U, // PACKUSWBrr
6986 0U, // PADDBrm
6987 0U, // PADDBrr
6988 0U, // PADDDrm
6989 0U, // PADDDrr
6990 0U, // PADDQrm
6991 0U, // PADDQrr
6992 0U, // PADDSBrm
6993 0U, // PADDSBrr
6994 0U, // PADDSWrm
6995 0U, // PADDSWrr
6996 0U, // PADDUSBrm
6997 0U, // PADDUSBrr
6998 0U, // PADDUSWrm
6999 0U, // PADDUSWrr
7000 0U, // PADDWrm
7001 0U, // PADDWrr
7002 8U, // PALIGNR128rm
7003 10U, // PALIGNR128rr
7004 0U, // PANDNrm
7005 0U, // PANDNrr
7006 0U, // PANDrm
7007 0U, // PANDrr
7008 0U, // PAUSE
7009 0U, // PAVGBrm
7010 0U, // PAVGBrr
7011 0U, // PAVGUSBrm
7012 0U, // PAVGUSBrr
7013 0U, // PAVGWrm
7014 0U, // PAVGWrr
7015 0U, // PBLENDVBrm0
7016 0U, // PBLENDVBrr0
7017 8U, // PBLENDWrmi
7018 10U, // PBLENDWrri
7019 8U, // PCLMULQDQrm
7020 10U, // PCLMULQDQrr
7021 0U, // PCMPEQBrm
7022 0U, // PCMPEQBrr
7023 0U, // PCMPEQDrm
7024 0U, // PCMPEQDrr
7025 0U, // PCMPEQQrm
7026 0U, // PCMPEQQrr
7027 0U, // PCMPEQWrm
7028 0U, // PCMPEQWrr
7029 0U, // PCMPESTRIMEM
7030 0U, // PCMPESTRIREG
7031 0U, // PCMPESTRIrm
7032 2U, // PCMPESTRIrr
7033 0U, // PCMPESTRM128MEM
7034 0U, // PCMPESTRM128REG
7035 0U, // PCMPESTRM128rm
7036 2U, // PCMPESTRM128rr
7037 0U, // PCMPGTBrm
7038 0U, // PCMPGTBrr
7039 0U, // PCMPGTDrm
7040 0U, // PCMPGTDrr
7041 0U, // PCMPGTQrm
7042 0U, // PCMPGTQrr
7043 0U, // PCMPGTWrm
7044 0U, // PCMPGTWrr
7045 0U, // PCMPISTRIMEM
7046 0U, // PCMPISTRIREG
7047 0U, // PCMPISTRIrm
7048 2U, // PCMPISTRIrr
7049 0U, // PCMPISTRM128MEM
7050 0U, // PCMPISTRM128REG
7051 0U, // PCMPISTRM128rm
7052 2U, // PCMPISTRM128rr
7053 4U, // PDEP32rm
7054 2U, // PDEP32rr
7055 6U, // PDEP64rm
7056 2U, // PDEP64rr
7057 4U, // PEXT32rm
7058 2U, // PEXT32rr
7059 6U, // PEXT64rm
7060 2U, // PEXT64rr
7061 0U, // PEXTRBmr
7062 2U, // PEXTRBrr
7063 0U, // PEXTRDmr
7064 2U, // PEXTRDrr
7065 0U, // PEXTRQmr
7066 2U, // PEXTRQrr
7067 0U, // PEXTRWmr
7068 2U, // PEXTRWri
7069 0U, // PF2IDrm
7070 0U, // PF2IDrr
7071 0U, // PF2IWrm
7072 0U, // PF2IWrr
7073 0U, // PFACCrm
7074 0U, // PFACCrr
7075 0U, // PFADDrm
7076 0U, // PFADDrr
7077 0U, // PFCMPEQrm
7078 0U, // PFCMPEQrr
7079 0U, // PFCMPGErm
7080 0U, // PFCMPGErr
7081 0U, // PFCMPGTrm
7082 0U, // PFCMPGTrr
7083 0U, // PFMAXrm
7084 0U, // PFMAXrr
7085 0U, // PFMINrm
7086 0U, // PFMINrr
7087 0U, // PFMULrm
7088 0U, // PFMULrr
7089 0U, // PFNACCrm
7090 0U, // PFNACCrr
7091 0U, // PFPNACCrm
7092 0U, // PFPNACCrr
7093 0U, // PFRCPIT1rm
7094 0U, // PFRCPIT1rr
7095 0U, // PFRCPIT2rm
7096 0U, // PFRCPIT2rr
7097 0U, // PFRCPrm
7098 0U, // PFRCPrr
7099 0U, // PFRSQIT1rm
7100 0U, // PFRSQIT1rr
7101 0U, // PFRSQRTrm
7102 0U, // PFRSQRTrr
7103 0U, // PFSUBRrm
7104 0U, // PFSUBRrr
7105 0U, // PFSUBrm
7106 0U, // PFSUBrr
7107 0U, // PHADDDrm
7108 0U, // PHADDDrr
7109 0U, // PHADDSWrm128
7110 0U, // PHADDSWrr128
7111 0U, // PHADDWrm
7112 0U, // PHADDWrr
7113 0U, // PHMINPOSUWrm128
7114 0U, // PHMINPOSUWrr128
7115 0U, // PHSUBDrm
7116 0U, // PHSUBDrr
7117 0U, // PHSUBSWrm128
7118 0U, // PHSUBSWrr128
7119 0U, // PHSUBWrm
7120 0U, // PHSUBWrr
7121 0U, // PI2FDrm
7122 0U, // PI2FDrr
7123 0U, // PI2FWrm
7124 0U, // PI2FWrr
7125 0U, // PINSRBrm
7126 10U, // PINSRBrr
7127 8U, // PINSRDrm
7128 10U, // PINSRDrr
7129 8U, // PINSRQrm
7130 10U, // PINSRQrr
7131 8U, // PINSRWrmi
7132 10U, // PINSRWrri
7133 0U, // PMADDUBSWrm128
7134 0U, // PMADDUBSWrr128
7135 0U, // PMADDWDrm
7136 0U, // PMADDWDrr
7137 0U, // PMAXSBrm
7138 0U, // PMAXSBrr
7139 0U, // PMAXSDrm
7140 0U, // PMAXSDrr
7141 0U, // PMAXSWrm
7142 0U, // PMAXSWrr
7143 0U, // PMAXUBrm
7144 0U, // PMAXUBrr
7145 0U, // PMAXUDrm
7146 0U, // PMAXUDrr
7147 0U, // PMAXUWrm
7148 0U, // PMAXUWrr
7149 0U, // PMINSBrm
7150 0U, // PMINSBrr
7151 0U, // PMINSDrm
7152 0U, // PMINSDrr
7153 0U, // PMINSWrm
7154 0U, // PMINSWrr
7155 0U, // PMINUBrm
7156 0U, // PMINUBrr
7157 0U, // PMINUDrm
7158 0U, // PMINUDrr
7159 0U, // PMINUWrm
7160 0U, // PMINUWrr
7161 0U, // PMOVMSKBrr
7162 0U, // PMOVSXBDrm
7163 0U, // PMOVSXBDrr
7164 0U, // PMOVSXBQrm
7165 0U, // PMOVSXBQrr
7166 0U, // PMOVSXBWrm
7167 0U, // PMOVSXBWrr
7168 0U, // PMOVSXDQrm
7169 0U, // PMOVSXDQrr
7170 0U, // PMOVSXWDrm
7171 0U, // PMOVSXWDrr
7172 0U, // PMOVSXWQrm
7173 0U, // PMOVSXWQrr
7174 0U, // PMOVZXBDrm
7175 0U, // PMOVZXBDrr
7176 0U, // PMOVZXBQrm
7177 0U, // PMOVZXBQrr
7178 0U, // PMOVZXBWrm
7179 0U, // PMOVZXBWrr
7180 0U, // PMOVZXDQrm
7181 0U, // PMOVZXDQrr
7182 0U, // PMOVZXWDrm
7183 0U, // PMOVZXWDrr
7184 0U, // PMOVZXWQrm
7185 0U, // PMOVZXWQrr
7186 0U, // PMULDQrm
7187 0U, // PMULDQrr
7188 0U, // PMULHRSWrm128
7189 0U, // PMULHRSWrr128
7190 0U, // PMULHRWrm
7191 0U, // PMULHRWrr
7192 0U, // PMULHUWrm
7193 0U, // PMULHUWrr
7194 0U, // PMULHWrm
7195 0U, // PMULHWrr
7196 0U, // PMULLDrm
7197 0U, // PMULLDrr
7198 0U, // PMULLWrm
7199 0U, // PMULLWrr
7200 0U, // PMULUDQrm
7201 0U, // PMULUDQrr
7202 0U, // POP16r
7203 0U, // POP16rmm
7204 0U, // POP16rmr
7205 0U, // POP32r
7206 0U, // POP32rmm
7207 0U, // POP32rmr
7208 0U, // POP64r
7209 0U, // POP64rmm
7210 0U, // POP64rmr
7211 0U, // POPA32
7212 0U, // POPCNT16rm
7213 0U, // POPCNT16rr
7214 0U, // POPCNT32rm
7215 0U, // POPCNT32rr
7216 0U, // POPCNT64rm
7217 0U, // POPCNT64rr
7218 0U, // POPDS16
7219 0U, // POPDS32
7220 0U, // POPES16
7221 0U, // POPES32
7222 0U, // POPF16
7223 0U, // POPF32
7224 0U, // POPF64
7225 0U, // POPFS16
7226 0U, // POPFS32
7227 0U, // POPFS64
7228 0U, // POPGS16
7229 0U, // POPGS32
7230 0U, // POPGS64
7231 0U, // POPSS16
7232 0U, // POPSS32
7233 0U, // PORrm
7234 0U, // PORrr
7235 0U, // PREFETCH
7236 0U, // PREFETCHNTA
7237 0U, // PREFETCHT0
7238 0U, // PREFETCHT1
7239 0U, // PREFETCHT2
7240 0U, // PREFETCHW
7241 0U, // PSADBWrm
7242 0U, // PSADBWrr
7243 0U, // PSHUFBrm
7244 0U, // PSHUFBrr
7245 0U, // PSHUFDmi
7246 2U, // PSHUFDri
7247 0U, // PSHUFHWmi
7248 2U, // PSHUFHWri
7249 0U, // PSHUFLWmi
7250 2U, // PSHUFLWri
7251 0U, // PSIGNBrm
7252 0U, // PSIGNBrr
7253 0U, // PSIGNDrm
7254 0U, // PSIGNDrr
7255 0U, // PSIGNWrm
7256 0U, // PSIGNWrr
7257 0U, // PSLLDQri
7258 0U, // PSLLDri
7259 0U, // PSLLDrm
7260 0U, // PSLLDrr
7261 0U, // PSLLQri
7262 0U, // PSLLQrm
7263 0U, // PSLLQrr
7264 0U, // PSLLWri
7265 0U, // PSLLWrm
7266 0U, // PSLLWrr
7267 0U, // PSRADri
7268 0U, // PSRADrm
7269 0U, // PSRADrr
7270 0U, // PSRAWri
7271 0U, // PSRAWrm
7272 0U, // PSRAWrr
7273 0U, // PSRLDQri
7274 0U, // PSRLDri
7275 0U, // PSRLDrm
7276 0U, // PSRLDrr
7277 0U, // PSRLQri
7278 0U, // PSRLQrm
7279 0U, // PSRLQrr
7280 0U, // PSRLWri
7281 0U, // PSRLWrm
7282 0U, // PSRLWrr
7283 0U, // PSUBBrm
7284 0U, // PSUBBrr
7285 0U, // PSUBDrm
7286 0U, // PSUBDrr
7287 0U, // PSUBQrm
7288 0U, // PSUBQrr
7289 0U, // PSUBSBrm
7290 0U, // PSUBSBrr
7291 0U, // PSUBSWrm
7292 0U, // PSUBSWrr
7293 0U, // PSUBUSBrm
7294 0U, // PSUBUSBrr
7295 0U, // PSUBUSWrm
7296 0U, // PSUBUSWrr
7297 0U, // PSUBWrm
7298 0U, // PSUBWrr
7299 0U, // PSWAPDrm
7300 0U, // PSWAPDrr
7301 0U, // PTESTrm
7302 0U, // PTESTrr
7303 0U, // PUNPCKHBWrm
7304 0U, // PUNPCKHBWrr
7305 0U, // PUNPCKHDQrm
7306 0U, // PUNPCKHDQrr
7307 0U, // PUNPCKHQDQrm
7308 0U, // PUNPCKHQDQrr
7309 0U, // PUNPCKHWDrm
7310 0U, // PUNPCKHWDrr
7311 0U, // PUNPCKLBWrm
7312 0U, // PUNPCKLBWrr
7313 0U, // PUNPCKLDQrm
7314 0U, // PUNPCKLDQrr
7315 0U, // PUNPCKLQDQrm
7316 0U, // PUNPCKLQDQrr
7317 0U, // PUNPCKLWDrm
7318 0U, // PUNPCKLWDrr
7319 0U, // PUSH16r
7320 0U, // PUSH16rmm
7321 0U, // PUSH16rmr
7322 0U, // PUSH32r
7323 0U, // PUSH32rmm
7324 0U, // PUSH32rmr
7325 0U, // PUSH64i16
7326 0U, // PUSH64i32
7327 0U, // PUSH64i8
7328 0U, // PUSH64r
7329 0U, // PUSH64rmm
7330 0U, // PUSH64rmr
7331 0U, // PUSHA32
7332 0U, // PUSHCS16
7333 0U, // PUSHCS32
7334 0U, // PUSHDS16
7335 0U, // PUSHDS32
7336 0U, // PUSHES16
7337 0U, // PUSHES32
7338 0U, // PUSHF16
7339 0U, // PUSHF32
7340 0U, // PUSHF64
7341 0U, // PUSHFS16
7342 0U, // PUSHFS32
7343 0U, // PUSHFS64
7344 0U, // PUSHGS16
7345 0U, // PUSHGS32
7346 0U, // PUSHGS64
7347 0U, // PUSHSS16
7348 0U, // PUSHSS32
7349 0U, // PUSHi16
7350 0U, // PUSHi32
7351 0U, // PUSHi8
7352 0U, // PXORrm
7353 0U, // PXORrr
7354 0U, // RCL16m1
7355 0U, // RCL16mCL
7356 0U, // RCL16mi
7357 0U, // RCL16r1
7358 0U, // RCL16rCL
7359 0U, // RCL16ri
7360 0U, // RCL32m1
7361 0U, // RCL32mCL
7362 0U, // RCL32mi
7363 0U, // RCL32r1
7364 0U, // RCL32rCL
7365 0U, // RCL32ri
7366 0U, // RCL64m1
7367 0U, // RCL64mCL
7368 0U, // RCL64mi
7369 0U, // RCL64r1
7370 0U, // RCL64rCL
7371 0U, // RCL64ri
7372 0U, // RCL8m1
7373 0U, // RCL8mCL
7374 0U, // RCL8mi
7375 0U, // RCL8r1
7376 0U, // RCL8rCL
7377 0U, // RCL8ri
7378 0U, // RCPPSm
7379 0U, // RCPPSm_Int
7380 0U, // RCPPSr
7381 0U, // RCPPSr_Int
7382 0U, // RCPSSm
7383 0U, // RCPSSm_Int
7384 0U, // RCPSSr
7385 0U, // RCPSSr_Int
7386 0U, // RCR16m1
7387 0U, // RCR16mCL
7388 0U, // RCR16mi
7389 0U, // RCR16r1
7390 0U, // RCR16rCL
7391 0U, // RCR16ri
7392 0U, // RCR32m1
7393 0U, // RCR32mCL
7394 0U, // RCR32mi
7395 0U, // RCR32r1
7396 0U, // RCR32rCL
7397 0U, // RCR32ri
7398 0U, // RCR64m1
7399 0U, // RCR64mCL
7400 0U, // RCR64mi
7401 0U, // RCR64r1
7402 0U, // RCR64rCL
7403 0U, // RCR64ri
7404 0U, // RCR8m1
7405 0U, // RCR8mCL
7406 0U, // RCR8mi
7407 0U, // RCR8r1
7408 0U, // RCR8rCL
7409 0U, // RCR8ri
7410 0U, // RDFSBASE
7411 0U, // RDFSBASE64
7412 0U, // RDGSBASE
7413 0U, // RDGSBASE64
7414 0U, // RDMSR
7415 0U, // RDPMC
7416 0U, // RDRAND16r
7417 0U, // RDRAND32r
7418 0U, // RDRAND64r
7419 0U, // RDSEED16r
7420 0U, // RDSEED32r
7421 0U, // RDSEED64r
7422 0U, // RDTSC
7423 0U, // RDTSCP
7424 0U, // RELEASE_MOV16mr
7425 0U, // RELEASE_MOV32mr
7426 0U, // RELEASE_MOV64mr
7427 0U, // RELEASE_MOV8mr
7428 0U, // REPNE_PREFIX
7429 0U, // REP_MOVSB_32
7430 0U, // REP_MOVSB_64
7431 0U, // REP_MOVSD_32
7432 0U, // REP_MOVSD_64
7433 0U, // REP_MOVSQ_64
7434 0U, // REP_MOVSW_32
7435 0U, // REP_MOVSW_64
7436 0U, // REP_PREFIX
7437 0U, // REP_STOSB_32
7438 0U, // REP_STOSB_64
7439 0U, // REP_STOSD_32
7440 0U, // REP_STOSD_64
7441 0U, // REP_STOSQ_64
7442 0U, // REP_STOSW_32
7443 0U, // REP_STOSW_64
7444 0U, // RET
7445 0U, // RETI
7446 0U, // RETIW
7447 0U, // RETW
7448 0U, // REX64_PREFIX
7449 0U, // ROL16m1
7450 0U, // ROL16mCL
7451 0U, // ROL16mi
7452 0U, // ROL16r1
7453 0U, // ROL16rCL
7454 0U, // ROL16ri
7455 0U, // ROL32m1
7456 0U, // ROL32mCL
7457 0U, // ROL32mi
7458 0U, // ROL32r1
7459 0U, // ROL32rCL
7460 0U, // ROL32ri
7461 0U, // ROL64m1
7462 0U, // ROL64mCL
7463 0U, // ROL64mi
7464 0U, // ROL64r1
7465 0U, // ROL64rCL
7466 0U, // ROL64ri
7467 0U, // ROL8m1
7468 0U, // ROL8mCL
7469 0U, // ROL8mi
7470 0U, // ROL8r1
7471 0U, // ROL8rCL
7472 0U, // ROL8ri
7473 0U, // ROR16m1
7474 0U, // ROR16mCL
7475 0U, // ROR16mi
7476 0U, // ROR16r1
7477 0U, // ROR16rCL
7478 0U, // ROR16ri
7479 0U, // ROR32m1
7480 0U, // ROR32mCL
7481 0U, // ROR32mi
7482 0U, // ROR32r1
7483 0U, // ROR32rCL
7484 0U, // ROR32ri
7485 0U, // ROR64m1
7486 0U, // ROR64mCL
7487 0U, // ROR64mi
7488 0U, // ROR64r1
7489 0U, // ROR64rCL
7490 0U, // ROR64ri
7491 0U, // ROR8m1
7492 0U, // ROR8mCL
7493 0U, // ROR8mi
7494 0U, // ROR8r1
7495 0U, // ROR8rCL
7496 0U, // ROR8ri
7497 0U, // RORX32mi
7498 2U, // RORX32ri
7499 0U, // RORX64mi
7500 2U, // RORX64ri
7501 0U, // ROUNDPDm
7502 2U, // ROUNDPDr
7503 0U, // ROUNDPSm
7504 2U, // ROUNDPSr
7505 8U, // ROUNDSDm
7506 10U, // ROUNDSDr
7507 10U, // ROUNDSDr_Int
7508 8U, // ROUNDSSm
7509 10U, // ROUNDSSr
7510 10U, // ROUNDSSr_Int
7511 0U, // RSM
7512 0U, // RSQRTPSm
7513 0U, // RSQRTPSm_Int
7514 0U, // RSQRTPSr
7515 0U, // RSQRTPSr_Int
7516 0U, // RSQRTSSm
7517 0U, // RSQRTSSm_Int
7518 0U, // RSQRTSSr
7519 0U, // RSQRTSSr_Int
7520 0U, // SAHF
7521 0U, // SAR16m1
7522 0U, // SAR16mCL
7523 0U, // SAR16mi
7524 0U, // SAR16r1
7525 0U, // SAR16rCL
7526 0U, // SAR16ri
7527 0U, // SAR32m1
7528 0U, // SAR32mCL
7529 0U, // SAR32mi
7530 0U, // SAR32r1
7531 0U, // SAR32rCL
7532 0U, // SAR32ri
7533 0U, // SAR64m1
7534 0U, // SAR64mCL
7535 0U, // SAR64mi
7536 0U, // SAR64r1
7537 0U, // SAR64rCL
7538 0U, // SAR64ri
7539 0U, // SAR8m1
7540 0U, // SAR8mCL
7541 0U, // SAR8mi
7542 0U, // SAR8r1
7543 0U, // SAR8rCL
7544 0U, // SAR8ri
7545 0U, // SARX32rm
7546 2U, // SARX32rr
7547 0U, // SARX64rm
7548 2U, // SARX64rr
7549 0U, // SBB16i16
7550 0U, // SBB16mi
7551 0U, // SBB16mi8
7552 0U, // SBB16mr
7553 0U, // SBB16ri
7554 0U, // SBB16ri8
7555 0U, // SBB16rm
7556 0U, // SBB16rr
7557 0U, // SBB16rr_REV
7558 0U, // SBB32i32
7559 0U, // SBB32mi
7560 0U, // SBB32mi8
7561 0U, // SBB32mr
7562 0U, // SBB32ri
7563 0U, // SBB32ri8
7564 0U, // SBB32rm
7565 0U, // SBB32rr
7566 0U, // SBB32rr_REV
7567 0U, // SBB64i32
7568 0U, // SBB64mi32
7569 0U, // SBB64mi8
7570 0U, // SBB64mr
7571 0U, // SBB64ri32
7572 0U, // SBB64ri8
7573 0U, // SBB64rm
7574 0U, // SBB64rr
7575 0U, // SBB64rr_REV
7576 0U, // SBB8i8
7577 0U, // SBB8mi
7578 0U, // SBB8mr
7579 0U, // SBB8ri
7580 0U, // SBB8rm
7581 0U, // SBB8rr
7582 0U, // SBB8rr_REV
7583 0U, // SCAS16
7584 0U, // SCAS32
7585 0U, // SCAS64
7586 0U, // SCAS8
7587 0U, // SEG_ALLOCA_32
7588 0U, // SEG_ALLOCA_64
7589 0U, // SETAEm
7590 0U, // SETAEr
7591 0U, // SETAm
7592 0U, // SETAr
7593 0U, // SETBEm
7594 0U, // SETBEr
7595 0U, // SETB_C16r
7596 0U, // SETB_C32r
7597 0U, // SETB_C64r
7598 0U, // SETB_C8r
7599 0U, // SETBm
7600 0U, // SETBr
7601 0U, // SETEm
7602 0U, // SETEr
7603 0U, // SETGEm
7604 0U, // SETGEr
7605 0U, // SETGm
7606 0U, // SETGr
7607 0U, // SETLEm
7608 0U, // SETLEr
7609 0U, // SETLm
7610 0U, // SETLr
7611 0U, // SETNEm
7612 0U, // SETNEr
7613 0U, // SETNOm
7614 0U, // SETNOr
7615 0U, // SETNPm
7616 0U, // SETNPr
7617 0U, // SETNSm
7618 0U, // SETNSr
7619 0U, // SETOm
7620 0U, // SETOr
7621 0U, // SETPm
7622 0U, // SETPr
7623 0U, // SETSm
7624 0U, // SETSr
7625 0U, // SFENCE
7626 0U, // SGDT16m
7627 0U, // SGDTm
7628 0U, // SHA1MSG1rm
7629 0U, // SHA1MSG1rr
7630 0U, // SHA1MSG2rm
7631 0U, // SHA1MSG2rr
7632 0U, // SHA1NEXTErm
7633 0U, // SHA1NEXTErr
7634 8U, // SHA1RNDS4rmi
7635 10U, // SHA1RNDS4rri
7636 0U, // SHA256MSG1rm
7637 0U, // SHA256MSG1rr
7638 0U, // SHA256MSG2rm
7639 0U, // SHA256MSG2rr
7640 0U, // SHA256RNDS2rm
7641 0U, // SHA256RNDS2rr
7642 0U, // SHL16m1
7643 0U, // SHL16mCL
7644 0U, // SHL16mi
7645 0U, // SHL16r1
7646 0U, // SHL16rCL
7647 0U, // SHL16ri
7648 0U, // SHL32m1
7649 0U, // SHL32mCL
7650 0U, // SHL32mi
7651 0U, // SHL32r1
7652 0U, // SHL32rCL
7653 0U, // SHL32ri
7654 0U, // SHL64m1
7655 0U, // SHL64mCL
7656 0U, // SHL64mi
7657 0U, // SHL64r1
7658 0U, // SHL64rCL
7659 0U, // SHL64ri
7660 0U, // SHL8m1
7661 0U, // SHL8mCL
7662 0U, // SHL8mi
7663 0U, // SHL8r1
7664 0U, // SHL8rCL
7665 0U, // SHL8ri
7666 0U, // SHLD16mrCL
7667 0U, // SHLD16mri8
7668 0U, // SHLD16rrCL
7669 10U, // SHLD16rri8
7670 0U, // SHLD32mrCL
7671 0U, // SHLD32mri8
7672 0U, // SHLD32rrCL
7673 10U, // SHLD32rri8
7674 0U, // SHLD64mrCL
7675 0U, // SHLD64mri8
7676 0U, // SHLD64rrCL
7677 10U, // SHLD64rri8
7678 0U, // SHLX32rm
7679 2U, // SHLX32rr
7680 0U, // SHLX64rm
7681 2U, // SHLX64rr
7682 0U, // SHR16m1
7683 0U, // SHR16mCL
7684 0U, // SHR16mi
7685 0U, // SHR16r1
7686 0U, // SHR16rCL
7687 0U, // SHR16ri
7688 0U, // SHR32m1
7689 0U, // SHR32mCL
7690 0U, // SHR32mi
7691 0U, // SHR32r1
7692 0U, // SHR32rCL
7693 0U, // SHR32ri
7694 0U, // SHR64m1
7695 0U, // SHR64mCL
7696 0U, // SHR64mi
7697 0U, // SHR64r1
7698 0U, // SHR64rCL
7699 0U, // SHR64ri
7700 0U, // SHR8m1
7701 0U, // SHR8mCL
7702 0U, // SHR8mi
7703 0U, // SHR8r1
7704 0U, // SHR8rCL
7705 0U, // SHR8ri
7706 0U, // SHRD16mrCL
7707 0U, // SHRD16mri8
7708 0U, // SHRD16rrCL
7709 10U, // SHRD16rri8
7710 0U, // SHRD32mrCL
7711 0U, // SHRD32mri8
7712 0U, // SHRD32rrCL
7713 10U, // SHRD32rri8
7714 0U, // SHRD64mrCL
7715 0U, // SHRD64mri8
7716 0U, // SHRD64rrCL
7717 10U, // SHRD64rri8
7718 0U, // SHRX32rm
7719 2U, // SHRX32rr
7720 0U, // SHRX64rm
7721 2U, // SHRX64rr
7722 8U, // SHUFPDrmi
7723 10U, // SHUFPDrri
7724 8U, // SHUFPSrmi
7725 10U, // SHUFPSrri
7726 0U, // SIDT16m
7727 0U, // SIDTm
7728 0U, // SIN_F
7729 0U, // SIN_Fp32
7730 0U, // SIN_Fp64
7731 0U, // SIN_Fp80
7732 0U, // SKINIT
7733 0U, // SLDT16m
7734 0U, // SLDT16r
7735 0U, // SLDT32r
7736 0U, // SLDT64m
7737 0U, // SLDT64r
7738 0U, // SMSW16m
7739 0U, // SMSW16r
7740 0U, // SMSW32r
7741 0U, // SMSW64r
7742 0U, // SQRTPDm
7743 0U, // SQRTPDr
7744 0U, // SQRTPSm
7745 0U, // SQRTPSr
7746 0U, // SQRTSDm
7747 0U, // SQRTSDm_Int
7748 0U, // SQRTSDr
7749 0U, // SQRTSDr_Int
7750 0U, // SQRTSSm
7751 0U, // SQRTSSm_Int
7752 0U, // SQRTSSr
7753 0U, // SQRTSSr_Int
7754 0U, // SQRT_F
7755 0U, // SQRT_Fp32
7756 0U, // SQRT_Fp64
7757 0U, // SQRT_Fp80
7758 0U, // SS_PREFIX
7759 0U, // STAC
7760 0U, // STC
7761 0U, // STD
7762 0U, // STGI
7763 0U, // STI
7764 0U, // STMXCSR
7765 0U, // STOSB
7766 0U, // STOSD
7767 0U, // STOSQ
7768 0U, // STOSW
7769 0U, // STR16r
7770 0U, // STR32r
7771 0U, // STR64r
7772 0U, // STRm
7773 0U, // ST_F32m
7774 0U, // ST_F64m
7775 0U, // ST_FP32m
7776 0U, // ST_FP64m
7777 0U, // ST_FP80m
7778 0U, // ST_FPrr
7779 0U, // ST_Fp32m
7780 0U, // ST_Fp64m
7781 0U, // ST_Fp64m32
7782 0U, // ST_Fp80m32
7783 0U, // ST_Fp80m64
7784 0U, // ST_FpP32m
7785 0U, // ST_FpP64m
7786 0U, // ST_FpP64m32
7787 0U, // ST_FpP80m
7788 0U, // ST_FpP80m32
7789 0U, // ST_FpP80m64
7790 0U, // ST_Frr
7791 0U, // SUB16i16
7792 0U, // SUB16mi
7793 0U, // SUB16mi8
7794 0U, // SUB16mr
7795 0U, // SUB16ri
7796 0U, // SUB16ri8
7797 0U, // SUB16rm
7798 0U, // SUB16rr
7799 0U, // SUB16rr_REV
7800 0U, // SUB32i32
7801 0U, // SUB32mi
7802 0U, // SUB32mi8
7803 0U, // SUB32mr
7804 0U, // SUB32ri
7805 0U, // SUB32ri8
7806 0U, // SUB32rm
7807 0U, // SUB32rr
7808 0U, // SUB32rr_REV
7809 0U, // SUB64i32
7810 0U, // SUB64mi32
7811 0U, // SUB64mi8
7812 0U, // SUB64mr
7813 0U, // SUB64ri32
7814 0U, // SUB64ri8
7815 0U, // SUB64rm
7816 0U, // SUB64rr
7817 0U, // SUB64rr_REV
7818 0U, // SUB8i8
7819 0U, // SUB8mi
7820 0U, // SUB8mr
7821 0U, // SUB8ri
7822 0U, // SUB8rm
7823 0U, // SUB8rr
7824 0U, // SUB8rr_REV
7825 0U, // SUBPDrm
7826 0U, // SUBPDrr
7827 0U, // SUBPSrm
7828 0U, // SUBPSrr
7829 0U, // SUBR_F32m
7830 0U, // SUBR_F64m
7831 0U, // SUBR_FI16m
7832 0U, // SUBR_FI32m
7833 0U, // SUBR_FPrST0
7834 0U, // SUBR_FST0r
7835 0U, // SUBR_Fp32m
7836 0U, // SUBR_Fp64m
7837 0U, // SUBR_Fp64m32
7838 0U, // SUBR_Fp80m32
7839 0U, // SUBR_Fp80m64
7840 0U, // SUBR_FpI16m32
7841 0U, // SUBR_FpI16m64
7842 0U, // SUBR_FpI16m80
7843 0U, // SUBR_FpI32m32
7844 0U, // SUBR_FpI32m64
7845 0U, // SUBR_FpI32m80
7846 0U, // SUBR_FrST0
7847 0U, // SUBSDrm
7848 0U, // SUBSDrm_Int
7849 0U, // SUBSDrr
7850 0U, // SUBSDrr_Int
7851 0U, // SUBSSrm
7852 0U, // SUBSSrm_Int
7853 0U, // SUBSSrr
7854 0U, // SUBSSrr_Int
7855 0U, // SUB_F32m
7856 0U, // SUB_F64m
7857 0U, // SUB_FI16m
7858 0U, // SUB_FI32m
7859 0U, // SUB_FPrST0
7860 0U, // SUB_FST0r
7861 0U, // SUB_Fp32
7862 0U, // SUB_Fp32m
7863 0U, // SUB_Fp64
7864 0U, // SUB_Fp64m
7865 0U, // SUB_Fp64m32
7866 0U, // SUB_Fp80
7867 0U, // SUB_Fp80m32
7868 0U, // SUB_Fp80m64
7869 0U, // SUB_FpI16m32
7870 0U, // SUB_FpI16m64
7871 0U, // SUB_FpI16m80
7872 0U, // SUB_FpI32m32
7873 0U, // SUB_FpI32m64
7874 0U, // SUB_FpI32m80
7875 0U, // SUB_FrST0
7876 0U, // SWAPGS
7877 0U, // SYSCALL
7878 0U, // SYSENTER
7879 0U, // SYSEXIT
7880 0U, // SYSEXIT64
7881 0U, // SYSRET
7882 0U, // SYSRET64
7883 0U, // TAILJMPd
7884 0U, // TAILJMPd64
7885 0U, // TAILJMPm
7886 0U, // TAILJMPm64
7887 0U, // TAILJMPr
7888 0U, // TAILJMPr64
7889 0U, // TCRETURNdi
7890 0U, // TCRETURNdi64
7891 0U, // TCRETURNmi
7892 0U, // TCRETURNmi64
7893 0U, // TCRETURNri
7894 0U, // TCRETURNri64
7895 0U, // TEST16i16
7896 0U, // TEST16mi
7897 0U, // TEST16ri
7898 0U, // TEST16rm
7899 0U, // TEST16rr
7900 0U, // TEST32i32
7901 0U, // TEST32mi
7902 0U, // TEST32ri
7903 0U, // TEST32rm
7904 0U, // TEST32rr
7905 0U, // TEST64i32
7906 0U, // TEST64mi32
7907 0U, // TEST64ri32
7908 0U, // TEST64rm
7909 0U, // TEST64rr
7910 0U, // TEST8i8
7911 0U, // TEST8mi
7912 0U, // TEST8ri
7913 0U, // TEST8ri_NOREX
7914 0U, // TEST8rm
7915 0U, // TEST8rr
7916 0U, // TLSCall_32
7917 0U, // TLSCall_64
7918 0U, // TLS_addr32
7919 0U, // TLS_addr64
7920 0U, // TLS_base_addr32
7921 0U, // TLS_base_addr64
7922 0U, // TRAP
7923 0U, // TST_F
7924 0U, // TST_Fp32
7925 0U, // TST_Fp64
7926 0U, // TST_Fp80
7927 0U, // TZCNT16rm
7928 0U, // TZCNT16rr
7929 0U, // TZCNT32rm
7930 0U, // TZCNT32rr
7931 0U, // TZCNT64rm
7932 0U, // TZCNT64rr
7933 0U, // UCOMISDrm
7934 0U, // UCOMISDrr
7935 0U, // UCOMISSrm
7936 0U, // UCOMISSrr
7937 0U, // UCOM_FIPr
7938 0U, // UCOM_FIr
7939 0U, // UCOM_FPPr
7940 0U, // UCOM_FPr
7941 0U, // UCOM_FpIr32
7942 0U, // UCOM_FpIr64
7943 0U, // UCOM_FpIr80
7944 0U, // UCOM_Fpr32
7945 0U, // UCOM_Fpr64
7946 0U, // UCOM_Fpr80
7947 0U, // UCOM_Fr
7948 0U, // UD2B
7949 0U, // UNPCKHPDrm
7950 0U, // UNPCKHPDrr
7951 0U, // UNPCKHPSrm
7952 0U, // UNPCKHPSrr
7953 0U, // UNPCKLPDrm
7954 0U, // UNPCKLPDrr
7955 0U, // UNPCKLPSrm
7956 0U, // UNPCKLPSrr
7957 64U, // VAARG_64
7958 18U, // VADDPDYrm
7959 2U, // VADDPDYrr
7960 20U, // VADDPDZrm
7961 142U, // VADDPDZrmb
7962 2U, // VADDPDZrr
7963 22U, // VADDPDrm
7964 2U, // VADDPDrr
7965 18U, // VADDPSYrm
7966 2U, // VADDPSYrr
7967 20U, // VADDPSZrm
7968 208U, // VADDPSZrmb
7969 2U, // VADDPSZrr
7970 22U, // VADDPSrm
7971 2U, // VADDPSrr
7972 14U, // VADDSDZrm
7973 2U, // VADDSDZrr
7974 14U, // VADDSDrm
7975 14U, // VADDSDrm_Int
7976 2U, // VADDSDrr
7977 2U, // VADDSDrr_Int
7978 16U, // VADDSSZrm
7979 2U, // VADDSSZrr
7980 16U, // VADDSSrm
7981 16U, // VADDSSrm_Int
7982 2U, // VADDSSrr
7983 2U, // VADDSSrr_Int
7984 18U, // VADDSUBPDYrm
7985 2U, // VADDSUBPDYrr
7986 22U, // VADDSUBPDrm
7987 2U, // VADDSUBPDrr
7988 18U, // VADDSUBPSYrm
7989 2U, // VADDSUBPSYrr
7990 22U, // VADDSUBPSrm
7991 2U, // VADDSUBPSrr
7992 24U, // VAESDECLASTrm
7993 2U, // VAESDECLASTrr
7994 24U, // VAESDECrm
7995 2U, // VAESDECrr
7996 24U, // VAESENCLASTrm
7997 2U, // VAESENCLASTrr
7998 24U, // VAESENCrm
7999 2U, // VAESENCrr
8000 0U, // VAESIMCrm
8001 0U, // VAESIMCrr
8002 0U, // VAESKEYGENASSIST128rm
8003 2U, // VAESKEYGENASSIST128rr
8004 4186U, // VALIGNDrmi
8005 4418U, // VALIGNDrri
8006 4186U, // VALIGNQrmi
8007 4418U, // VALIGNQrri
8008 18U, // VANDNPDYrm
8009 2U, // VANDNPDYrr
8010 22U, // VANDNPDrm
8011 2U, // VANDNPDrr
8012 18U, // VANDNPSYrm
8013 2U, // VANDNPSYrr
8014 22U, // VANDNPSrm
8015 2U, // VANDNPSrr
8016 18U, // VANDPDYrm
8017 2U, // VANDPDYrr
8018 22U, // VANDPDrm
8019 2U, // VANDPDrr
8020 18U, // VANDPSYrm
8021 2U, // VANDPSYrr
8022 22U, // VANDPSrm
8023 2U, // VANDPSrr
8024 2U, // VASTART_SAVE_XMM_REGS
8025 578U, // VBLENDMPDZrm
8026 4419U, // VBLENDMPDZrr
8027 578U, // VBLENDMPSZrm
8028 4419U, // VBLENDMPSZrr
8029 4178U, // VBLENDPDYrmi
8030 4418U, // VBLENDPDYrri
8031 4182U, // VBLENDPDrmi
8032 4418U, // VBLENDPDrri
8033 4178U, // VBLENDPSYrmi
8034 4418U, // VBLENDPSYrri
8035 4182U, // VBLENDPSrmi
8036 4418U, // VBLENDPSrri
8037 4178U, // VBLENDVPDYrm
8038 4418U, // VBLENDVPDYrr
8039 4182U, // VBLENDVPDrm
8040 4418U, // VBLENDVPDrr
8041 4178U, // VBLENDVPSYrm
8042 4418U, // VBLENDVPSYrr
8043 4182U, // VBLENDVPSrm
8044 4418U, // VBLENDVPSrr
8045 0U, // VBROADCASTF128
8046 0U, // VBROADCASTI128
8047 0U, // VBROADCASTSDYrm
8048 0U, // VBROADCASTSDYrr
8049 0U, // VBROADCASTSDZrm
8050 0U, // VBROADCASTSDZrr
8051 0U, // VBROADCASTSSYrm
8052 0U, // VBROADCASTSSYrr
8053 0U, // VBROADCASTSSZrm
8054 0U, // VBROADCASTSSZrr
8055 0U, // VBROADCASTSSrm
8056 0U, // VBROADCASTSSrr
8057 18U, // VCMPPDYrmi
8058 4178U, // VCMPPDYrmi_alt
8059 2U, // VCMPPDYrri
8060 4418U, // VCMPPDYrri_alt
8061 20U, // VCMPPDZrmi
8062 4180U, // VCMPPDZrmi_alt
8063 2U, // VCMPPDZrri
8064 4418U, // VCMPPDZrri_alt
8065 22U, // VCMPPDrmi
8066 4182U, // VCMPPDrmi_alt
8067 2U, // VCMPPDrri
8068 4418U, // VCMPPDrri_alt
8069 18U, // VCMPPSYrmi
8070 4178U, // VCMPPSYrmi_alt
8071 2U, // VCMPPSYrri
8072 4418U, // VCMPPSYrri_alt
8073 20U, // VCMPPSZrmi
8074 4180U, // VCMPPSZrmi_alt
8075 2U, // VCMPPSZrri
8076 4418U, // VCMPPSZrri_alt
8077 22U, // VCMPPSrmi
8078 4182U, // VCMPPSrmi_alt
8079 2U, // VCMPPSrri
8080 4418U, // VCMPPSrri_alt
8081 14U, // VCMPSDrm
8082 4174U, // VCMPSDrm_alt
8083 2U, // VCMPSDrr
8084 4418U, // VCMPSDrr_alt
8085 16U, // VCMPSSrm
8086 4176U, // VCMPSSrm_alt
8087 2U, // VCMPSSrr
8088 4418U, // VCMPSSrr_alt
8089 0U, // VCOMISDZrm
8090 0U, // VCOMISDZrr
8091 0U, // VCOMISDrm
8092 0U, // VCOMISDrr
8093 0U, // VCOMISSZrm
8094 0U, // VCOMISSZrr
8095 0U, // VCOMISSrm
8096 0U, // VCOMISSrr
8097 0U, // VCVTDQ2PDYrm
8098 0U, // VCVTDQ2PDYrr
8099 0U, // VCVTDQ2PDZrm
8100 0U, // VCVTDQ2PDZrr
8101 0U, // VCVTDQ2PDrm
8102 0U, // VCVTDQ2PDrr
8103 0U, // VCVTDQ2PSYrm
8104 0U, // VCVTDQ2PSYrr
8105 0U, // VCVTDQ2PSZrm
8106 0U, // VCVTDQ2PSZrr
8107 0U, // VCVTDQ2PSrm
8108 0U, // VCVTDQ2PSrr
8109 0U, // VCVTPD2DQXrm
8110 0U, // VCVTPD2DQYrm
8111 0U, // VCVTPD2DQYrr
8112 0U, // VCVTPD2DQrr
8113 0U, // VCVTPD2PSXrm
8114 0U, // VCVTPD2PSYrm
8115 0U, // VCVTPD2PSYrr
8116 0U, // VCVTPD2PSZrm
8117 0U, // VCVTPD2PSZrr
8118 0U, // VCVTPD2PSrr
8119 0U, // VCVTPH2PSYrm
8120 0U, // VCVTPH2PSYrr
8121 0U, // VCVTPH2PSrm
8122 0U, // VCVTPH2PSrr
8123 0U, // VCVTPS2DQYrm
8124 0U, // VCVTPS2DQYrr
8125 0U, // VCVTPS2DQZrm
8126 0U, // VCVTPS2DQZrr
8127 0U, // VCVTPS2DQrm
8128 0U, // VCVTPS2DQrr
8129 0U, // VCVTPS2PDYrm
8130 0U, // VCVTPS2PDYrr
8131 0U, // VCVTPS2PDZrm
8132 0U, // VCVTPS2PDZrr
8133 0U, // VCVTPS2PDrm
8134 0U, // VCVTPS2PDrr
8135 0U, // VCVTPS2PHYmr
8136 2U, // VCVTPS2PHYrr
8137 0U, // VCVTPS2PHmr
8138 2U, // VCVTPS2PHrr
8139 0U, // VCVTSD2SI64rm
8140 0U, // VCVTSD2SI64rr
8141 0U, // VCVTSD2SIrm
8142 0U, // VCVTSD2SIrr
8143 14U, // VCVTSD2SSZrm
8144 2U, // VCVTSD2SSZrr
8145 14U, // VCVTSD2SSrm
8146 2U, // VCVTSD2SSrr
8147 6U, // VCVTSI2SD64Zrm
8148 2U, // VCVTSI2SD64Zrr
8149 6U, // VCVTSI2SD64rm
8150 2U, // VCVTSI2SD64rr
8151 4U, // VCVTSI2SDZrm
8152 2U, // VCVTSI2SDZrr
8153 4U, // VCVTSI2SDrm
8154 2U, // VCVTSI2SDrr
8155 6U, // VCVTSI2SS64Zrm
8156 2U, // VCVTSI2SS64Zrr
8157 6U, // VCVTSI2SS64rm
8158 2U, // VCVTSI2SS64rr
8159 4U, // VCVTSI2SSZrm
8160 2U, // VCVTSI2SSZrr
8161 4U, // VCVTSI2SSrm
8162 2U, // VCVTSI2SSrr
8163 16U, // VCVTSS2SDZrm
8164 2U, // VCVTSS2SDZrr
8165 16U, // VCVTSS2SDrm
8166 2U, // VCVTSS2SDrr
8167 0U, // VCVTSS2SI64rm
8168 0U, // VCVTSS2SI64rr
8169 0U, // VCVTSS2SIrm
8170 0U, // VCVTSS2SIrr
8171 0U, // VCVTTPD2DQXrm
8172 0U, // VCVTTPD2DQYrm
8173 0U, // VCVTTPD2DQYrr
8174 0U, // VCVTTPD2DQZrm
8175 0U, // VCVTTPD2DQZrr
8176 0U, // VCVTTPD2DQrr
8177 0U, // VCVTTPD2UDQZrm
8178 0U, // VCVTTPD2UDQZrr
8179 0U, // VCVTTPS2DQYrm
8180 0U, // VCVTTPS2DQYrr
8181 0U, // VCVTTPS2DQZrm
8182 0U, // VCVTTPS2DQZrr
8183 0U, // VCVTTPS2DQrm
8184 0U, // VCVTTPS2DQrr
8185 0U, // VCVTTPS2UDQZrm
8186 0U, // VCVTTPS2UDQZrr
8187 0U, // VCVTTSD2SI64rm
8188 0U, // VCVTTSD2SI64rr
8189 0U, // VCVTTSD2SIrm
8190 0U, // VCVTTSD2SIrr
8191 0U, // VCVTTSS2SI64rm
8192 0U, // VCVTTSS2SI64rr
8193 0U, // VCVTTSS2SIrm
8194 0U, // VCVTTSS2SIrr
8195 0U, // VCVTUDQ2PDZrm
8196 0U, // VCVTUDQ2PDZrr
8197 0U, // VCVTUDQ2PSZrm
8198 0U, // VCVTUDQ2PSZrr
8199 18U, // VDIVPDYrm
8200 2U, // VDIVPDYrr
8201 20U, // VDIVPDZrm
8202 142U, // VDIVPDZrmb
8203 2U, // VDIVPDZrr
8204 22U, // VDIVPDrm
8205 2U, // VDIVPDrr
8206 18U, // VDIVPSYrm
8207 2U, // VDIVPSYrr
8208 20U, // VDIVPSZrm
8209 208U, // VDIVPSZrmb
8210 2U, // VDIVPSZrr
8211 22U, // VDIVPSrm
8212 2U, // VDIVPSrr
8213 14U, // VDIVSDZrm
8214 2U, // VDIVSDZrr
8215 14U, // VDIVSDrm
8216 14U, // VDIVSDrm_Int
8217 2U, // VDIVSDrr
8218 2U, // VDIVSDrr_Int
8219 16U, // VDIVSSZrm
8220 2U, // VDIVSSZrr
8221 16U, // VDIVSSrm
8222 16U, // VDIVSSrm_Int
8223 2U, // VDIVSSrr
8224 2U, // VDIVSSrr_Int
8225 4182U, // VDPPDrmi
8226 4418U, // VDPPDrri
8227 4188U, // VDPPSYrmi
8228 4418U, // VDPPSYrri
8229 4182U, // VDPPSrmi
8230 4418U, // VDPPSrri
8231 0U, // VERRm
8232 0U, // VERRr
8233 0U, // VERWm
8234 0U, // VERWr
8235 0U, // VEXTRACTF128mr
8236 2U, // VEXTRACTF128rr
8237 0U, // VEXTRACTF32x4mr
8238 2U, // VEXTRACTF32x4rr
8239 0U, // VEXTRACTF64x4mr
8240 2U, // VEXTRACTF64x4rr
8241 0U, // VEXTRACTI128mr
8242 2U, // VEXTRACTI128rr
8243 0U, // VEXTRACTI32x4mr
8244 2U, // VEXTRACTI32x4rr
8245 0U, // VEXTRACTI64x4mr
8246 2U, // VEXTRACTI64x4rr
8247 0U, // VEXTRACTPSmr
8248 2U, // VEXTRACTPSrr
8249 2U, // VEXTRACTPSrr64
8250 0U, // VEXTRACTPSzmr
8251 2U, // VEXTRACTPSzrr
8252 30U, // VFMADD132PDZm
8253 160U, // VFMADD132PDZmb
8254 30U, // VFMADD132PSZm
8255 226U, // VFMADD132PSZmb
8256 30U, // VFMADD213PDZm
8257 160U, // VFMADD213PDZmb
8258 10U, // VFMADD213PDZr
8259 30U, // VFMADD213PSZm
8260 226U, // VFMADD213PSZmb
8261 10U, // VFMADD213PSZr
8262 4182U, // VFMADDPD4mr
8263 4178U, // VFMADDPD4mrY
8264 4930U, // VFMADDPD4rm
8265 5186U, // VFMADDPD4rmY
8266 4418U, // VFMADDPD4rr
8267 4418U, // VFMADDPD4rrY
8268 4418U, // VFMADDPD4rrY_REV
8269 4418U, // VFMADDPD4rr_REV
8270 36U, // VFMADDPDr132m
8271 38U, // VFMADDPDr132mY
8272 10U, // VFMADDPDr132r
8273 10U, // VFMADDPDr132rY
8274 36U, // VFMADDPDr213m
8275 38U, // VFMADDPDr213mY
8276 10U, // VFMADDPDr213r
8277 10U, // VFMADDPDr213rY
8278 36U, // VFMADDPDr231m
8279 38U, // VFMADDPDr231mY
8280 10U, // VFMADDPDr231r
8281 10U, // VFMADDPDr231rY
8282 4182U, // VFMADDPS4mr
8283 4178U, // VFMADDPS4mrY
8284 4930U, // VFMADDPS4rm
8285 5186U, // VFMADDPS4rmY
8286 4418U, // VFMADDPS4rr
8287 4418U, // VFMADDPS4rrY
8288 4418U, // VFMADDPS4rrY_REV
8289 4418U, // VFMADDPS4rr_REV
8290 36U, // VFMADDPSr132m
8291 38U, // VFMADDPSr132mY
8292 10U, // VFMADDPSr132r
8293 10U, // VFMADDPSr132rY
8294 36U, // VFMADDPSr213m
8295 38U, // VFMADDPSr213mY
8296 10U, // VFMADDPSr213r
8297 10U, // VFMADDPSr213rY
8298 36U, // VFMADDPSr231m
8299 38U, // VFMADDPSr231mY
8300 10U, // VFMADDPSr231r
8301 10U, // VFMADDPSr231rY
8302 4174U, // VFMADDSD4mr
8303 4174U, // VFMADDSD4mr_Int
8304 1346U, // VFMADDSD4rm
8305 1346U, // VFMADDSD4rm_Int
8306 4418U, // VFMADDSD4rr
8307 4418U, // VFMADDSD4rr_Int
8308 4418U, // VFMADDSD4rr_REV
8309 36U, // VFMADDSDZm
8310 10U, // VFMADDSDZr
8311 32U, // VFMADDSDr132m
8312 10U, // VFMADDSDr132r
8313 32U, // VFMADDSDr213m
8314 32U, // VFMADDSDr213m_Int
8315 10U, // VFMADDSDr213r
8316 10U, // VFMADDSDr213r_Int
8317 32U, // VFMADDSDr231m
8318 10U, // VFMADDSDr231r
8319 4176U, // VFMADDSS4mr
8320 4176U, // VFMADDSS4mr_Int
8321 1602U, // VFMADDSS4rm
8322 1602U, // VFMADDSS4rm_Int
8323 4418U, // VFMADDSS4rr
8324 4418U, // VFMADDSS4rr_Int
8325 4418U, // VFMADDSS4rr_REV
8326 36U, // VFMADDSSZm
8327 10U, // VFMADDSSZr
8328 34U, // VFMADDSSr132m
8329 10U, // VFMADDSSr132r
8330 34U, // VFMADDSSr213m
8331 34U, // VFMADDSSr213m_Int
8332 10U, // VFMADDSSr213r
8333 10U, // VFMADDSSr213r_Int
8334 34U, // VFMADDSSr231m
8335 10U, // VFMADDSSr231r
8336 30U, // VFMADDSUB132PDZm
8337 160U, // VFMADDSUB132PDZmb
8338 30U, // VFMADDSUB132PSZm
8339 226U, // VFMADDSUB132PSZmb
8340 30U, // VFMADDSUB213PDZm
8341 160U, // VFMADDSUB213PDZmb
8342 10U, // VFMADDSUB213PDZr
8343 30U, // VFMADDSUB213PSZm
8344 226U, // VFMADDSUB213PSZmb
8345 10U, // VFMADDSUB213PSZr
8346 4182U, // VFMADDSUBPD4mr
8347 4178U, // VFMADDSUBPD4mrY
8348 4930U, // VFMADDSUBPD4rm
8349 5186U, // VFMADDSUBPD4rmY
8350 4418U, // VFMADDSUBPD4rr
8351 4418U, // VFMADDSUBPD4rrY
8352 4418U, // VFMADDSUBPD4rrY_REV
8353 4418U, // VFMADDSUBPD4rr_REV
8354 36U, // VFMADDSUBPDr132m
8355 38U, // VFMADDSUBPDr132mY
8356 10U, // VFMADDSUBPDr132r
8357 10U, // VFMADDSUBPDr132rY
8358 36U, // VFMADDSUBPDr213m
8359 38U, // VFMADDSUBPDr213mY
8360 10U, // VFMADDSUBPDr213r
8361 10U, // VFMADDSUBPDr213rY
8362 36U, // VFMADDSUBPDr231m
8363 38U, // VFMADDSUBPDr231mY
8364 10U, // VFMADDSUBPDr231r
8365 10U, // VFMADDSUBPDr231rY
8366 4182U, // VFMADDSUBPS4mr
8367 4178U, // VFMADDSUBPS4mrY
8368 4930U, // VFMADDSUBPS4rm
8369 5186U, // VFMADDSUBPS4rmY
8370 4418U, // VFMADDSUBPS4rr
8371 4418U, // VFMADDSUBPS4rrY
8372 4418U, // VFMADDSUBPS4rrY_REV
8373 4418U, // VFMADDSUBPS4rr_REV
8374 36U, // VFMADDSUBPSr132m
8375 38U, // VFMADDSUBPSr132mY
8376 10U, // VFMADDSUBPSr132r
8377 10U, // VFMADDSUBPSr132rY
8378 36U, // VFMADDSUBPSr213m
8379 38U, // VFMADDSUBPSr213mY
8380 10U, // VFMADDSUBPSr213r
8381 10U, // VFMADDSUBPSr213rY
8382 36U, // VFMADDSUBPSr231m
8383 38U, // VFMADDSUBPSr231mY
8384 10U, // VFMADDSUBPSr231r
8385 10U, // VFMADDSUBPSr231rY
8386 30U, // VFMSUB132PDZm
8387 160U, // VFMSUB132PDZmb
8388 30U, // VFMSUB132PSZm
8389 226U, // VFMSUB132PSZmb
8390 30U, // VFMSUB213PDZm
8391 160U, // VFMSUB213PDZmb
8392 10U, // VFMSUB213PDZr
8393 30U, // VFMSUB213PSZm
8394 226U, // VFMSUB213PSZmb
8395 10U, // VFMSUB213PSZr
8396 30U, // VFMSUBADD132PDZm
8397 160U, // VFMSUBADD132PDZmb
8398 30U, // VFMSUBADD132PSZm
8399 226U, // VFMSUBADD132PSZmb
8400 30U, // VFMSUBADD213PDZm
8401 160U, // VFMSUBADD213PDZmb
8402 10U, // VFMSUBADD213PDZr
8403 30U, // VFMSUBADD213PSZm
8404 226U, // VFMSUBADD213PSZmb
8405 10U, // VFMSUBADD213PSZr
8406 4182U, // VFMSUBADDPD4mr
8407 4178U, // VFMSUBADDPD4mrY
8408 4930U, // VFMSUBADDPD4rm
8409 5186U, // VFMSUBADDPD4rmY
8410 4418U, // VFMSUBADDPD4rr
8411 4418U, // VFMSUBADDPD4rrY
8412 4418U, // VFMSUBADDPD4rrY_REV
8413 4418U, // VFMSUBADDPD4rr_REV
8414 36U, // VFMSUBADDPDr132m
8415 38U, // VFMSUBADDPDr132mY
8416 10U, // VFMSUBADDPDr132r
8417 10U, // VFMSUBADDPDr132rY
8418 36U, // VFMSUBADDPDr213m
8419 38U, // VFMSUBADDPDr213mY
8420 10U, // VFMSUBADDPDr213r
8421 10U, // VFMSUBADDPDr213rY
8422 36U, // VFMSUBADDPDr231m
8423 38U, // VFMSUBADDPDr231mY
8424 10U, // VFMSUBADDPDr231r
8425 10U, // VFMSUBADDPDr231rY
8426 4182U, // VFMSUBADDPS4mr
8427 4178U, // VFMSUBADDPS4mrY
8428 4930U, // VFMSUBADDPS4rm
8429 5186U, // VFMSUBADDPS4rmY
8430 4418U, // VFMSUBADDPS4rr
8431 4418U, // VFMSUBADDPS4rrY
8432 4418U, // VFMSUBADDPS4rrY_REV
8433 4418U, // VFMSUBADDPS4rr_REV
8434 36U, // VFMSUBADDPSr132m
8435 38U, // VFMSUBADDPSr132mY
8436 10U, // VFMSUBADDPSr132r
8437 10U, // VFMSUBADDPSr132rY
8438 36U, // VFMSUBADDPSr213m
8439 38U, // VFMSUBADDPSr213mY
8440 10U, // VFMSUBADDPSr213r
8441 10U, // VFMSUBADDPSr213rY
8442 36U, // VFMSUBADDPSr231m
8443 38U, // VFMSUBADDPSr231mY
8444 10U, // VFMSUBADDPSr231r
8445 10U, // VFMSUBADDPSr231rY
8446 4182U, // VFMSUBPD4mr
8447 4178U, // VFMSUBPD4mrY
8448 4930U, // VFMSUBPD4rm
8449 5186U, // VFMSUBPD4rmY
8450 4418U, // VFMSUBPD4rr
8451 4418U, // VFMSUBPD4rrY
8452 4418U, // VFMSUBPD4rrY_REV
8453 4418U, // VFMSUBPD4rr_REV
8454 36U, // VFMSUBPDr132m
8455 38U, // VFMSUBPDr132mY
8456 10U, // VFMSUBPDr132r
8457 10U, // VFMSUBPDr132rY
8458 36U, // VFMSUBPDr213m
8459 38U, // VFMSUBPDr213mY
8460 10U, // VFMSUBPDr213r
8461 10U, // VFMSUBPDr213rY
8462 36U, // VFMSUBPDr231m
8463 38U, // VFMSUBPDr231mY
8464 10U, // VFMSUBPDr231r
8465 10U, // VFMSUBPDr231rY
8466 4182U, // VFMSUBPS4mr
8467 4178U, // VFMSUBPS4mrY
8468 4930U, // VFMSUBPS4rm
8469 5186U, // VFMSUBPS4rmY
8470 4418U, // VFMSUBPS4rr
8471 4418U, // VFMSUBPS4rrY
8472 4418U, // VFMSUBPS4rrY_REV
8473 4418U, // VFMSUBPS4rr_REV
8474 36U, // VFMSUBPSr132m
8475 38U, // VFMSUBPSr132mY
8476 10U, // VFMSUBPSr132r
8477 10U, // VFMSUBPSr132rY
8478 36U, // VFMSUBPSr213m
8479 38U, // VFMSUBPSr213mY
8480 10U, // VFMSUBPSr213r
8481 10U, // VFMSUBPSr213rY
8482 36U, // VFMSUBPSr231m
8483 38U, // VFMSUBPSr231mY
8484 10U, // VFMSUBPSr231r
8485 10U, // VFMSUBPSr231rY
8486 4174U, // VFMSUBSD4mr
8487 4174U, // VFMSUBSD4mr_Int
8488 1346U, // VFMSUBSD4rm
8489 1346U, // VFMSUBSD4rm_Int
8490 4418U, // VFMSUBSD4rr
8491 4418U, // VFMSUBSD4rr_Int
8492 4418U, // VFMSUBSD4rr_REV
8493 36U, // VFMSUBSDZm
8494 10U, // VFMSUBSDZr
8495 32U, // VFMSUBSDr132m
8496 10U, // VFMSUBSDr132r
8497 32U, // VFMSUBSDr213m
8498 32U, // VFMSUBSDr213m_Int
8499 10U, // VFMSUBSDr213r
8500 10U, // VFMSUBSDr213r_Int
8501 32U, // VFMSUBSDr231m
8502 10U, // VFMSUBSDr231r
8503 4176U, // VFMSUBSS4mr
8504 4176U, // VFMSUBSS4mr_Int
8505 1602U, // VFMSUBSS4rm
8506 1602U, // VFMSUBSS4rm_Int
8507 4418U, // VFMSUBSS4rr
8508 4418U, // VFMSUBSS4rr_Int
8509 4418U, // VFMSUBSS4rr_REV
8510 36U, // VFMSUBSSZm
8511 10U, // VFMSUBSSZr
8512 34U, // VFMSUBSSr132m
8513 10U, // VFMSUBSSr132r
8514 34U, // VFMSUBSSr213m
8515 34U, // VFMSUBSSr213m_Int
8516 10U, // VFMSUBSSr213r
8517 10U, // VFMSUBSSr213r_Int
8518 34U, // VFMSUBSSr231m
8519 10U, // VFMSUBSSr231r
8520 30U, // VFNMADD132PDZm
8521 160U, // VFNMADD132PDZmb
8522 30U, // VFNMADD132PSZm
8523 226U, // VFNMADD132PSZmb
8524 30U, // VFNMADD213PDZm
8525 160U, // VFNMADD213PDZmb
8526 10U, // VFNMADD213PDZr
8527 30U, // VFNMADD213PSZm
8528 226U, // VFNMADD213PSZmb
8529 10U, // VFNMADD213PSZr
8530 4182U, // VFNMADDPD4mr
8531 4178U, // VFNMADDPD4mrY
8532 4930U, // VFNMADDPD4rm
8533 5186U, // VFNMADDPD4rmY
8534 4418U, // VFNMADDPD4rr
8535 4418U, // VFNMADDPD4rrY
8536 4418U, // VFNMADDPD4rrY_REV
8537 4418U, // VFNMADDPD4rr_REV
8538 36U, // VFNMADDPDr132m
8539 38U, // VFNMADDPDr132mY
8540 10U, // VFNMADDPDr132r
8541 10U, // VFNMADDPDr132rY
8542 36U, // VFNMADDPDr213m
8543 38U, // VFNMADDPDr213mY
8544 10U, // VFNMADDPDr213r
8545 10U, // VFNMADDPDr213rY
8546 36U, // VFNMADDPDr231m
8547 38U, // VFNMADDPDr231mY
8548 10U, // VFNMADDPDr231r
8549 10U, // VFNMADDPDr231rY
8550 4182U, // VFNMADDPS4mr
8551 4178U, // VFNMADDPS4mrY
8552 4930U, // VFNMADDPS4rm
8553 5186U, // VFNMADDPS4rmY
8554 4418U, // VFNMADDPS4rr
8555 4418U, // VFNMADDPS4rrY
8556 4418U, // VFNMADDPS4rrY_REV
8557 4418U, // VFNMADDPS4rr_REV
8558 36U, // VFNMADDPSr132m
8559 38U, // VFNMADDPSr132mY
8560 10U, // VFNMADDPSr132r
8561 10U, // VFNMADDPSr132rY
8562 36U, // VFNMADDPSr213m
8563 38U, // VFNMADDPSr213mY
8564 10U, // VFNMADDPSr213r
8565 10U, // VFNMADDPSr213rY
8566 36U, // VFNMADDPSr231m
8567 38U, // VFNMADDPSr231mY
8568 10U, // VFNMADDPSr231r
8569 10U, // VFNMADDPSr231rY
8570 4174U, // VFNMADDSD4mr
8571 4174U, // VFNMADDSD4mr_Int
8572 1346U, // VFNMADDSD4rm
8573 1346U, // VFNMADDSD4rm_Int
8574 4418U, // VFNMADDSD4rr
8575 4418U, // VFNMADDSD4rr_Int
8576 4418U, // VFNMADDSD4rr_REV
8577 36U, // VFNMADDSDZm
8578 10U, // VFNMADDSDZr
8579 32U, // VFNMADDSDr132m
8580 10U, // VFNMADDSDr132r
8581 32U, // VFNMADDSDr213m
8582 32U, // VFNMADDSDr213m_Int
8583 10U, // VFNMADDSDr213r
8584 10U, // VFNMADDSDr213r_Int
8585 32U, // VFNMADDSDr231m
8586 10U, // VFNMADDSDr231r
8587 4176U, // VFNMADDSS4mr
8588 4176U, // VFNMADDSS4mr_Int
8589 1602U, // VFNMADDSS4rm
8590 1602U, // VFNMADDSS4rm_Int
8591 4418U, // VFNMADDSS4rr
8592 4418U, // VFNMADDSS4rr_Int
8593 4418U, // VFNMADDSS4rr_REV
8594 36U, // VFNMADDSSZm
8595 10U, // VFNMADDSSZr
8596 34U, // VFNMADDSSr132m
8597 10U, // VFNMADDSSr132r
8598 34U, // VFNMADDSSr213m
8599 34U, // VFNMADDSSr213m_Int
8600 10U, // VFNMADDSSr213r
8601 10U, // VFNMADDSSr213r_Int
8602 34U, // VFNMADDSSr231m
8603 10U, // VFNMADDSSr231r
8604 30U, // VFNMSUB132PDZm
8605 160U, // VFNMSUB132PDZmb
8606 30U, // VFNMSUB132PSZm
8607 226U, // VFNMSUB132PSZmb
8608 30U, // VFNMSUB213PDZm
8609 160U, // VFNMSUB213PDZmb
8610 10U, // VFNMSUB213PDZr
8611 30U, // VFNMSUB213PSZm
8612 226U, // VFNMSUB213PSZmb
8613 10U, // VFNMSUB213PSZr
8614 4182U, // VFNMSUBPD4mr
8615 4178U, // VFNMSUBPD4mrY
8616 4930U, // VFNMSUBPD4rm
8617 5186U, // VFNMSUBPD4rmY
8618 4418U, // VFNMSUBPD4rr
8619 4418U, // VFNMSUBPD4rrY
8620 4418U, // VFNMSUBPD4rrY_REV
8621 4418U, // VFNMSUBPD4rr_REV
8622 36U, // VFNMSUBPDr132m
8623 38U, // VFNMSUBPDr132mY
8624 10U, // VFNMSUBPDr132r
8625 10U, // VFNMSUBPDr132rY
8626 36U, // VFNMSUBPDr213m
8627 38U, // VFNMSUBPDr213mY
8628 10U, // VFNMSUBPDr213r
8629 10U, // VFNMSUBPDr213rY
8630 36U, // VFNMSUBPDr231m
8631 38U, // VFNMSUBPDr231mY
8632 10U, // VFNMSUBPDr231r
8633 10U, // VFNMSUBPDr231rY
8634 4182U, // VFNMSUBPS4mr
8635 4178U, // VFNMSUBPS4mrY
8636 4930U, // VFNMSUBPS4rm
8637 5186U, // VFNMSUBPS4rmY
8638 4418U, // VFNMSUBPS4rr
8639 4418U, // VFNMSUBPS4rrY
8640 4418U, // VFNMSUBPS4rrY_REV
8641 4418U, // VFNMSUBPS4rr_REV
8642 36U, // VFNMSUBPSr132m
8643 38U, // VFNMSUBPSr132mY
8644 10U, // VFNMSUBPSr132r
8645 10U, // VFNMSUBPSr132rY
8646 36U, // VFNMSUBPSr213m
8647 38U, // VFNMSUBPSr213mY
8648 10U, // VFNMSUBPSr213r
8649 10U, // VFNMSUBPSr213rY
8650 36U, // VFNMSUBPSr231m
8651 38U, // VFNMSUBPSr231mY
8652 10U, // VFNMSUBPSr231r
8653 10U, // VFNMSUBPSr231rY
8654 4174U, // VFNMSUBSD4mr
8655 4174U, // VFNMSUBSD4mr_Int
8656 1346U, // VFNMSUBSD4rm
8657 1346U, // VFNMSUBSD4rm_Int
8658 4418U, // VFNMSUBSD4rr
8659 4418U, // VFNMSUBSD4rr_Int
8660 4418U, // VFNMSUBSD4rr_REV
8661 36U, // VFNMSUBSDZm
8662 10U, // VFNMSUBSDZr
8663 32U, // VFNMSUBSDr132m
8664 10U, // VFNMSUBSDr132r
8665 32U, // VFNMSUBSDr213m
8666 32U, // VFNMSUBSDr213m_Int
8667 10U, // VFNMSUBSDr213r
8668 10U, // VFNMSUBSDr213r_Int
8669 32U, // VFNMSUBSDr231m
8670 10U, // VFNMSUBSDr231r
8671 4176U, // VFNMSUBSS4mr
8672 4176U, // VFNMSUBSS4mr_Int
8673 1602U, // VFNMSUBSS4rm
8674 1602U, // VFNMSUBSS4rm_Int
8675 4418U, // VFNMSUBSS4rr
8676 4418U, // VFNMSUBSS4rr_Int
8677 4418U, // VFNMSUBSS4rr_REV
8678 36U, // VFNMSUBSSZm
8679 10U, // VFNMSUBSSZr
8680 34U, // VFNMSUBSSr132m
8681 10U, // VFNMSUBSSr132r
8682 34U, // VFNMSUBSSr213m
8683 34U, // VFNMSUBSSr213m_Int
8684 10U, // VFNMSUBSSr213r
8685 10U, // VFNMSUBSSr213r_Int
8686 34U, // VFNMSUBSSr231m
8687 10U, // VFNMSUBSSr231r
8688 0U, // VFRCZPDrm
8689 0U, // VFRCZPDrmY
8690 0U, // VFRCZPDrr
8691 0U, // VFRCZPDrrY
8692 0U, // VFRCZPSrm
8693 0U, // VFRCZPSrmY
8694 0U, // VFRCZPSrr
8695 0U, // VFRCZPSrrY
8696 0U, // VFRCZSDrm
8697 0U, // VFRCZSDrr
8698 0U, // VFRCZSSrm
8699 0U, // VFRCZSSrr
8700 22U, // VFsANDNPDrm
8701 2U, // VFsANDNPDrr
8702 22U, // VFsANDNPSrm
8703 2U, // VFsANDNPSrr
8704 22U, // VFsANDPDrm
8705 2U, // VFsANDPDrr
8706 22U, // VFsANDPSrm
8707 2U, // VFsANDPSrr
8708 22U, // VFsORPDrm
8709 2U, // VFsORPDrr
8710 22U, // VFsORPSrm
8711 2U, // VFsORPSrr
8712 22U, // VFsXORPDrm
8713 2U, // VFsXORPDrr
8714 22U, // VFsXORPSrm
8715 2U, // VFsXORPSrr
8716 0U, // VGATHERDPDYrm
8717 1U, // VGATHERDPDZrm
8718 0U, // VGATHERDPDrm
8719 0U, // VGATHERDPSYrm
8720 1U, // VGATHERDPSZrm
8721 0U, // VGATHERDPSrm
8722 0U, // VGATHERQPDYrm
8723 1U, // VGATHERQPDZrm
8724 0U, // VGATHERQPDrm
8725 0U, // VGATHERQPSYrm
8726 1U, // VGATHERQPSZrm
8727 0U, // VGATHERQPSrm
8728 18U, // VHADDPDYrm
8729 2U, // VHADDPDYrr
8730 22U, // VHADDPDrm
8731 2U, // VHADDPDrr
8732 18U, // VHADDPSYrm
8733 2U, // VHADDPSYrr
8734 22U, // VHADDPSrm
8735 2U, // VHADDPSrr
8736 18U, // VHSUBPDYrm
8737 2U, // VHSUBPDYrr
8738 22U, // VHSUBPDrm
8739 2U, // VHSUBPDrr
8740 18U, // VHSUBPSYrm
8741 2U, // VHSUBPSYrr
8742 22U, // VHSUBPSrm
8743 2U, // VHSUBPSrr
8744 4182U, // VINSERTF128rm
8745 4418U, // VINSERTF128rr
8746 4182U, // VINSERTF32x4rm
8747 4418U, // VINSERTF32x4rr
8748 4188U, // VINSERTF64x4rm
8749 4418U, // VINSERTF64x4rr
8750 4184U, // VINSERTI128rm
8751 4418U, // VINSERTI128rr
8752 4184U, // VINSERTI32x4rm
8753 4418U, // VINSERTI32x4rr
8754 4188U, // VINSERTI64x4rm
8755 4418U, // VINSERTI64x4rr
8756 4176U, // VINSERTPSrm
8757 4418U, // VINSERTPSrr
8758 4176U, // VINSERTPSzrm
8759 4418U, // VINSERTPSzrr
8760 0U, // VLDDQUYrm
8761 0U, // VLDDQUrm
8762 0U, // VLDMXCSR
8763 0U, // VMASKMOVDQU
8764 0U, // VMASKMOVDQU64
8765 0U, // VMASKMOVPDYmr
8766 18U, // VMASKMOVPDYrm
8767 0U, // VMASKMOVPDmr
8768 22U, // VMASKMOVPDrm
8769 0U, // VMASKMOVPSYmr
8770 18U, // VMASKMOVPSYrm
8771 0U, // VMASKMOVPSmr
8772 22U, // VMASKMOVPSrm
8773 18U, // VMAXCPDYrm
8774 2U, // VMAXCPDYrr
8775 22U, // VMAXCPDrm
8776 2U, // VMAXCPDrr
8777 18U, // VMAXCPSYrm
8778 2U, // VMAXCPSYrr
8779 22U, // VMAXCPSrm
8780 2U, // VMAXCPSrr
8781 14U, // VMAXCSDrm
8782 2U, // VMAXCSDrr
8783 16U, // VMAXCSSrm
8784 2U, // VMAXCSSrr
8785 18U, // VMAXPDYrm
8786 2U, // VMAXPDYrr
8787 20U, // VMAXPDZrm
8788 142U, // VMAXPDZrmb
8789 2U, // VMAXPDZrr
8790 22U, // VMAXPDrm
8791 2U, // VMAXPDrr
8792 18U, // VMAXPSYrm
8793 2U, // VMAXPSYrr
8794 20U, // VMAXPSZrm
8795 208U, // VMAXPSZrmb
8796 2U, // VMAXPSZrr
8797 22U, // VMAXPSrm
8798 2U, // VMAXPSrr
8799 14U, // VMAXSDZrm
8800 2U, // VMAXSDZrr
8801 14U, // VMAXSDrm
8802 14U, // VMAXSDrm_Int
8803 2U, // VMAXSDrr
8804 2U, // VMAXSDrr_Int
8805 16U, // VMAXSSZrm
8806 2U, // VMAXSSZrr
8807 16U, // VMAXSSrm
8808 16U, // VMAXSSrm_Int
8809 2U, // VMAXSSrr
8810 2U, // VMAXSSrr_Int
8811 0U, // VMCALL
8812 0U, // VMCLEARm
8813 0U, // VMFUNC
8814 18U, // VMINCPDYrm
8815 2U, // VMINCPDYrr
8816 22U, // VMINCPDrm
8817 2U, // VMINCPDrr
8818 18U, // VMINCPSYrm
8819 2U, // VMINCPSYrr
8820 22U, // VMINCPSrm
8821 2U, // VMINCPSrr
8822 14U, // VMINCSDrm
8823 2U, // VMINCSDrr
8824 16U, // VMINCSSrm
8825 2U, // VMINCSSrr
8826 18U, // VMINPDYrm
8827 2U, // VMINPDYrr
8828 20U, // VMINPDZrm
8829 142U, // VMINPDZrmb
8830 2U, // VMINPDZrr
8831 22U, // VMINPDrm
8832 2U, // VMINPDrr
8833 18U, // VMINPSYrm
8834 2U, // VMINPSYrr
8835 20U, // VMINPSZrm
8836 208U, // VMINPSZrmb
8837 2U, // VMINPSZrr
8838 22U, // VMINPSrm
8839 2U, // VMINPSrr
8840 14U, // VMINSDZrm
8841 2U, // VMINSDZrr
8842 14U, // VMINSDrm
8843 14U, // VMINSDrm_Int
8844 2U, // VMINSDrr
8845 2U, // VMINSDrr_Int
8846 16U, // VMINSSZrm
8847 2U, // VMINSSZrr
8848 16U, // VMINSSrm
8849 16U, // VMINSSrm_Int
8850 2U, // VMINSSrr
8851 2U, // VMINSSrr_Int
8852 0U, // VMLAUNCH
8853 0U, // VMLOAD32
8854 0U, // VMLOAD64
8855 0U, // VMMCALL
8856 0U, // VMOV64toPQIZrr
8857 0U, // VMOV64toPQIrr
8858 0U, // VMOV64toSDZrr
8859 0U, // VMOV64toSDrm
8860 0U, // VMOV64toSDrr
8861 0U, // VMOVAPDYmr
8862 0U, // VMOVAPDYrm
8863 0U, // VMOVAPDYrr
8864 0U, // VMOVAPDYrr_REV
8865 0U, // VMOVAPDZmr
8866 0U, // VMOVAPDZrm
8867 31U, // VMOVAPDZrmk
8868 0U, // VMOVAPDZrr
8869 11U, // VMOVAPDZrrk
8870 0U, // VMOVAPDmr
8871 0U, // VMOVAPDrm
8872 0U, // VMOVAPDrr
8873 0U, // VMOVAPDrr_REV
8874 0U, // VMOVAPSYmr
8875 0U, // VMOVAPSYrm
8876 0U, // VMOVAPSYrr
8877 0U, // VMOVAPSYrr_REV
8878 0U, // VMOVAPSZmr
8879 0U, // VMOVAPSZrm
8880 31U, // VMOVAPSZrmk
8881 0U, // VMOVAPSZrr
8882 11U, // VMOVAPSZrrk
8883 0U, // VMOVAPSmr
8884 0U, // VMOVAPSrm
8885 0U, // VMOVAPSrr
8886 0U, // VMOVAPSrr_REV
8887 0U, // VMOVDDUPYrm
8888 0U, // VMOVDDUPYrr
8889 0U, // VMOVDDUPZrm
8890 0U, // VMOVDDUPZrr
8891 0U, // VMOVDDUPrm
8892 0U, // VMOVDDUPrr
8893 0U, // VMOVDI2PDIZrm
8894 0U, // VMOVDI2PDIZrr
8895 0U, // VMOVDI2PDIrm
8896 0U, // VMOVDI2PDIrr
8897 0U, // VMOVDI2SSZrm
8898 0U, // VMOVDI2SSZrr
8899 0U, // VMOVDI2SSrm
8900 0U, // VMOVDI2SSrr
8901 0U, // VMOVDQA32mr
8902 0U, // VMOVDQA32rm
8903 0U, // VMOVDQA32rr
8904 0U, // VMOVDQA64mr
8905 0U, // VMOVDQA64rm
8906 0U, // VMOVDQA64rr
8907 0U, // VMOVDQAYmr
8908 0U, // VMOVDQAYrm
8909 0U, // VMOVDQAYrr
8910 0U, // VMOVDQAYrr_REV
8911 0U, // VMOVDQAmr
8912 0U, // VMOVDQArm
8913 0U, // VMOVDQArr
8914 0U, // VMOVDQArr_REV
8915 0U, // VMOVDQU32rm
8916 41U, // VMOVDQU32rmk
8917 0U, // VMOVDQU32rr
8918 11U, // VMOVDQU32rrk
8919 0U, // VMOVDQU64rm
8920 41U, // VMOVDQU64rmk
8921 0U, // VMOVDQU64rr
8922 11U, // VMOVDQU64rrk
8923 0U, // VMOVDQUYmr
8924 0U, // VMOVDQUYrm
8925 0U, // VMOVDQUYrr
8926 0U, // VMOVDQUYrr_REV
8927 0U, // VMOVDQUmr
8928 0U, // VMOVDQUrm
8929 0U, // VMOVDQUrr
8930 0U, // VMOVDQUrr_REV
8931 2U, // VMOVHLPSZrr
8932 2U, // VMOVHLPSrr
8933 0U, // VMOVHPDmr
8934 14U, // VMOVHPDrm
8935 0U, // VMOVHPSmr
8936 14U, // VMOVHPSrm
8937 2U, // VMOVLHPSZrr
8938 2U, // VMOVLHPSrr
8939 0U, // VMOVLPDmr
8940 14U, // VMOVLPDrm
8941 0U, // VMOVLPSmr
8942 14U, // VMOVLPSrm
8943 0U, // VMOVLQ128mr
8944 0U, // VMOVMSKPDYr64r
8945 0U, // VMOVMSKPDYrr32
8946 0U, // VMOVMSKPDYrr64
8947 0U, // VMOVMSKPDr64r
8948 0U, // VMOVMSKPDrr32
8949 0U, // VMOVMSKPDrr64
8950 0U, // VMOVMSKPSYr64r
8951 0U, // VMOVMSKPSYrr32
8952 0U, // VMOVMSKPSYrr64
8953 0U, // VMOVMSKPSr64r
8954 0U, // VMOVMSKPSrr32
8955 0U, // VMOVMSKPSrr64
8956 0U, // VMOVNTDQAYrm
8957 0U, // VMOVNTDQArm
8958 0U, // VMOVNTDQYmr
8959 0U, // VMOVNTDQmr
8960 0U, // VMOVNTPDYmr
8961 0U, // VMOVNTPDmr
8962 0U, // VMOVNTPSYmr
8963 0U, // VMOVNTPSmr
8964 0U, // VMOVPDI2DIZmr
8965 0U, // VMOVPDI2DIZrr
8966 0U, // VMOVPDI2DImr
8967 0U, // VMOVPDI2DIrr
8968 0U, // VMOVPQI2QImr
8969 0U, // VMOVPQIto64Zmr
8970 0U, // VMOVPQIto64Zrr
8971 0U, // VMOVPQIto64rr
8972 0U, // VMOVQI2PQIZrm
8973 0U, // VMOVQI2PQIrm
8974 0U, // VMOVQd64rr
8975 0U, // VMOVQd64rr_alt
8976 0U, // VMOVQs64rr
8977 0U, // VMOVQxrxr
8978 0U, // VMOVSDZmr
8979 0U, // VMOVSDZrm
8980 2U, // VMOVSDZrr
8981 2U, // VMOVSDZrr_REV
8982 0U, // VMOVSDmr
8983 0U, // VMOVSDrm
8984 2U, // VMOVSDrr
8985 2U, // VMOVSDrr_REV
8986 0U, // VMOVSDto64Zmr
8987 0U, // VMOVSDto64Zrr
8988 0U, // VMOVSDto64mr
8989 0U, // VMOVSDto64rr
8990 0U, // VMOVSHDUPYrm
8991 0U, // VMOVSHDUPYrr
8992 0U, // VMOVSHDUPrm
8993 0U, // VMOVSHDUPrr
8994 0U, // VMOVSLDUPYrm
8995 0U, // VMOVSLDUPYrr
8996 0U, // VMOVSLDUPrm
8997 0U, // VMOVSLDUPrr
8998 0U, // VMOVSS2DIZmr
8999 0U, // VMOVSS2DIZrr
9000 0U, // VMOVSS2DImr
9001 0U, // VMOVSS2DIrr
9002 0U, // VMOVSSZmr
9003 0U, // VMOVSSZrm
9004 2U, // VMOVSSZrr
9005 2U, // VMOVSSZrr_REV
9006 0U, // VMOVSSmr
9007 0U, // VMOVSSrm
9008 2U, // VMOVSSrr
9009 2U, // VMOVSSrr_REV
9010 0U, // VMOVUPDYmr
9011 0U, // VMOVUPDYrm
9012 0U, // VMOVUPDYrr
9013 0U, // VMOVUPDYrr_REV
9014 0U, // VMOVUPDZmr
9015 0U, // VMOVUPDZrm
9016 31U, // VMOVUPDZrmk
9017 0U, // VMOVUPDZrr
9018 11U, // VMOVUPDZrrk
9019 0U, // VMOVUPDmr
9020 0U, // VMOVUPDrm
9021 0U, // VMOVUPDrr
9022 0U, // VMOVUPDrr_REV
9023 0U, // VMOVUPSYmr
9024 0U, // VMOVUPSYrm
9025 0U, // VMOVUPSYrr
9026 0U, // VMOVUPSYrr_REV
9027 0U, // VMOVUPSZmr
9028 0U, // VMOVUPSZrm
9029 31U, // VMOVUPSZrmk
9030 0U, // VMOVUPSZrr
9031 11U, // VMOVUPSZrrk
9032 0U, // VMOVUPSmr
9033 0U, // VMOVUPSrm
9034 0U, // VMOVUPSrr
9035 0U, // VMOVUPSrr_REV
9036 0U, // VMOVZDI2PDIrm
9037 0U, // VMOVZDI2PDIrr
9038 0U, // VMOVZPQILo2PQIZrm
9039 0U, // VMOVZPQILo2PQIZrr
9040 0U, // VMOVZPQILo2PQIrm
9041 0U, // VMOVZPQILo2PQIrr
9042 0U, // VMOVZQI2PQIrm
9043 0U, // VMOVZQI2PQIrr
9044 4188U, // VMPSADBWYrmi
9045 4418U, // VMPSADBWYrri
9046 4184U, // VMPSADBWrmi
9047 4418U, // VMPSADBWrri
9048 0U, // VMPTRLDm
9049 0U, // VMPTRSTm
9050 0U, // VMREAD32rm
9051 0U, // VMREAD32rr
9052 0U, // VMREAD64rm
9053 0U, // VMREAD64rr
9054 0U, // VMRESUME
9055 0U, // VMRUN32
9056 0U, // VMRUN64
9057 0U, // VMSAVE32
9058 0U, // VMSAVE64
9059 18U, // VMULPDYrm
9060 2U, // VMULPDYrr
9061 20U, // VMULPDZrm
9062 142U, // VMULPDZrmb
9063 2U, // VMULPDZrr
9064 22U, // VMULPDrm
9065 2U, // VMULPDrr
9066 18U, // VMULPSYrm
9067 2U, // VMULPSYrr
9068 20U, // VMULPSZrm
9069 208U, // VMULPSZrmb
9070 2U, // VMULPSZrr
9071 22U, // VMULPSrm
9072 2U, // VMULPSrr
9073 14U, // VMULSDZrm
9074 2U, // VMULSDZrr
9075 14U, // VMULSDrm
9076 14U, // VMULSDrm_Int
9077 2U, // VMULSDrr
9078 2U, // VMULSDrr_Int
9079 16U, // VMULSSZrm
9080 2U, // VMULSSZrr
9081 16U, // VMULSSrm
9082 16U, // VMULSSrm_Int
9083 2U, // VMULSSrr
9084 2U, // VMULSSrr_Int
9085 0U, // VMWRITE32rm
9086 0U, // VMWRITE32rr
9087 0U, // VMWRITE64rm
9088 0U, // VMWRITE64rr
9089 0U, // VMXOFF
9090 0U, // VMXON
9091 18U, // VORPDYrm
9092 2U, // VORPDYrr
9093 22U, // VORPDrm
9094 2U, // VORPDrr
9095 18U, // VORPSYrm
9096 2U, // VORPSYrr
9097 22U, // VORPSrm
9098 2U, // VORPSrr
9099 0U, // VPABSBrm128
9100 0U, // VPABSBrm256
9101 0U, // VPABSBrr128
9102 0U, // VPABSBrr256
9103 0U, // VPABSDrm
9104 0U, // VPABSDrm128
9105 0U, // VPABSDrm256
9106 0U, // VPABSDrr
9107 0U, // VPABSDrr128
9108 0U, // VPABSDrr256
9109 0U, // VPABSQrm
9110 0U, // VPABSQrr
9111 0U, // VPABSWrm128
9112 0U, // VPABSWrm256
9113 0U, // VPABSWrr128
9114 0U, // VPABSWrr256
9115 28U, // VPACKSSDWYrm
9116 2U, // VPACKSSDWYrr
9117 24U, // VPACKSSDWrm
9118 2U, // VPACKSSDWrr
9119 28U, // VPACKSSWBYrm
9120 2U, // VPACKSSWBYrr
9121 24U, // VPACKSSWBrm
9122 2U, // VPACKSSWBrr
9123 28U, // VPACKUSDWYrm
9124 2U, // VPACKUSDWYrr
9125 24U, // VPACKUSDWrm
9126 2U, // VPACKUSDWrr
9127 28U, // VPACKUSWBYrm
9128 2U, // VPACKUSWBYrr
9129 24U, // VPACKUSWBrm
9130 2U, // VPACKUSWBrr
9131 28U, // VPADDBYrm
9132 2U, // VPADDBYrr
9133 24U, // VPADDBrm
9134 2U, // VPADDBrr
9135 28U, // VPADDDYrm
9136 2U, // VPADDDYrr
9137 26U, // VPADDDZrm
9138 196U, // VPADDDZrmb
9139 2U, // VPADDDZrr
9140 24U, // VPADDDrm
9141 2U, // VPADDDrr
9142 28U, // VPADDQYrm
9143 2U, // VPADDQYrr
9144 26U, // VPADDQZrm
9145 134U, // VPADDQZrmb
9146 2U, // VPADDQZrr
9147 24U, // VPADDQrm
9148 2U, // VPADDQrr
9149 28U, // VPADDSBYrm
9150 2U, // VPADDSBYrr
9151 24U, // VPADDSBrm
9152 2U, // VPADDSBrr
9153 28U, // VPADDSWYrm
9154 2U, // VPADDSWYrr
9155 24U, // VPADDSWrm
9156 2U, // VPADDSWrr
9157 28U, // VPADDUSBYrm
9158 2U, // VPADDUSBYrr
9159 24U, // VPADDUSBrm
9160 2U, // VPADDUSBrr
9161 28U, // VPADDUSWYrm
9162 2U, // VPADDUSWYrr
9163 24U, // VPADDUSWrm
9164 2U, // VPADDUSWrr
9165 28U, // VPADDWYrm
9166 2U, // VPADDWYrr
9167 24U, // VPADDWrm
9168 2U, // VPADDWrr
9169 4184U, // VPALIGNR128rm
9170 4418U, // VPALIGNR128rr
9171 4188U, // VPALIGNR256rm
9172 4418U, // VPALIGNR256rr
9173 26U, // VPANDDZrm
9174 196U, // VPANDDZrmb
9175 2U, // VPANDDZrr
9176 26U, // VPANDNDZrm
9177 196U, // VPANDNDZrmb
9178 2U, // VPANDNDZrr
9179 26U, // VPANDNQZrm
9180 134U, // VPANDNQZrmb
9181 2U, // VPANDNQZrr
9182 28U, // VPANDNYrm
9183 2U, // VPANDNYrr
9184 24U, // VPANDNrm
9185 2U, // VPANDNrr
9186 26U, // VPANDQZrm
9187 134U, // VPANDQZrmb
9188 2U, // VPANDQZrr
9189 28U, // VPANDYrm
9190 2U, // VPANDYrr
9191 24U, // VPANDrm
9192 2U, // VPANDrr
9193 28U, // VPAVGBYrm
9194 2U, // VPAVGBYrr
9195 24U, // VPAVGBrm
9196 2U, // VPAVGBrr
9197 28U, // VPAVGWYrm
9198 2U, // VPAVGWYrr
9199 24U, // VPAVGWrm
9200 2U, // VPAVGWrr
9201 4188U, // VPBLENDDYrmi
9202 4418U, // VPBLENDDYrri
9203 4184U, // VPBLENDDrmi
9204 4418U, // VPBLENDDrri
9205 578U, // VPBLENDMDZrm
9206 4419U, // VPBLENDMDZrr
9207 578U, // VPBLENDMQZrm
9208 4419U, // VPBLENDMQZrr
9209 4188U, // VPBLENDVBYrm
9210 4418U, // VPBLENDVBYrr
9211 4184U, // VPBLENDVBrm
9212 4418U, // VPBLENDVBrr
9213 4188U, // VPBLENDWYrmi
9214 4418U, // VPBLENDWYrri
9215 4184U, // VPBLENDWrmi
9216 4418U, // VPBLENDWrri
9217 0U, // VPBROADCASTBYrm
9218 0U, // VPBROADCASTBYrr
9219 0U, // VPBROADCASTBrm
9220 0U, // VPBROADCASTBrr
9221 0U, // VPBROADCASTDYrm
9222 0U, // VPBROADCASTDYrr
9223 5U, // VPBROADCASTDZkrm
9224 3U, // VPBROADCASTDZkrr
9225 0U, // VPBROADCASTDZrm
9226 0U, // VPBROADCASTDZrr
9227 3U, // VPBROADCASTDrZkrr
9228 0U, // VPBROADCASTDrZrr
9229 0U, // VPBROADCASTDrm
9230 0U, // VPBROADCASTDrr
9231 0U, // VPBROADCASTMB2Qrr
9232 0U, // VPBROADCASTMW2Drr
9233 0U, // VPBROADCASTQYrm
9234 0U, // VPBROADCASTQYrr
9235 7U, // VPBROADCASTQZkrm
9236 3U, // VPBROADCASTQZkrr
9237 0U, // VPBROADCASTQZrm
9238 0U, // VPBROADCASTQZrr
9239 3U, // VPBROADCASTQrZkrr
9240 0U, // VPBROADCASTQrZrr
9241 0U, // VPBROADCASTQrm
9242 0U, // VPBROADCASTQrr
9243 0U, // VPBROADCASTWYrm
9244 0U, // VPBROADCASTWYrr
9245 0U, // VPBROADCASTWrm
9246 0U, // VPBROADCASTWrr
9247 4184U, // VPCLMULQDQrm
9248 4418U, // VPCLMULQDQrr
9249 4184U, // VPCMOVmr
9250 4178U, // VPCMOVmrY
9251 1858U, // VPCMOVrm
9252 2114U, // VPCMOVrmY
9253 4418U, // VPCMOVrr
9254 4418U, // VPCMOVrrY
9255 0U, // VPCMPDZrmi
9256 4186U, // VPCMPDZrmi_alt
9257 0U, // VPCMPDZrri
9258 4418U, // VPCMPDZrri_alt
9259 28U, // VPCMPEQBYrm
9260 2U, // VPCMPEQBYrr
9261 24U, // VPCMPEQBrm
9262 2U, // VPCMPEQBrr
9263 28U, // VPCMPEQDYrm
9264 2U, // VPCMPEQDYrr
9265 26U, // VPCMPEQDZrm
9266 2U, // VPCMPEQDZrr
9267 24U, // VPCMPEQDrm
9268 2U, // VPCMPEQDrr
9269 28U, // VPCMPEQQYrm
9270 2U, // VPCMPEQQYrr
9271 26U, // VPCMPEQQZrm
9272 2U, // VPCMPEQQZrr
9273 24U, // VPCMPEQQrm
9274 2U, // VPCMPEQQrr
9275 28U, // VPCMPEQWYrm
9276 2U, // VPCMPEQWYrr
9277 24U, // VPCMPEQWrm
9278 2U, // VPCMPEQWrr
9279 0U, // VPCMPESTRIMEM
9280 0U, // VPCMPESTRIREG
9281 0U, // VPCMPESTRIrm
9282 2U, // VPCMPESTRIrr
9283 0U, // VPCMPESTRM128MEM
9284 0U, // VPCMPESTRM128REG
9285 0U, // VPCMPESTRM128rm
9286 2U, // VPCMPESTRM128rr
9287 28U, // VPCMPGTBYrm
9288 2U, // VPCMPGTBYrr
9289 24U, // VPCMPGTBrm
9290 2U, // VPCMPGTBrr
9291 28U, // VPCMPGTDYrm
9292 2U, // VPCMPGTDYrr
9293 26U, // VPCMPGTDZrm
9294 2U, // VPCMPGTDZrr
9295 24U, // VPCMPGTDrm
9296 2U, // VPCMPGTDrr
9297 28U, // VPCMPGTQYrm
9298 2U, // VPCMPGTQYrr
9299 26U, // VPCMPGTQZrm
9300 2U, // VPCMPGTQZrr
9301 24U, // VPCMPGTQrm
9302 2U, // VPCMPGTQrr
9303 28U, // VPCMPGTWYrm
9304 2U, // VPCMPGTWYrr
9305 24U, // VPCMPGTWrm
9306 2U, // VPCMPGTWrr
9307 0U, // VPCMPISTRIMEM
9308 0U, // VPCMPISTRIREG
9309 0U, // VPCMPISTRIrm
9310 2U, // VPCMPISTRIrr
9311 0U, // VPCMPISTRM128MEM
9312 0U, // VPCMPISTRM128REG
9313 0U, // VPCMPISTRM128rm
9314 2U, // VPCMPISTRM128rr
9315 0U, // VPCMPQZrmi
9316 4186U, // VPCMPQZrmi_alt
9317 0U, // VPCMPQZrri
9318 4418U, // VPCMPQZrri_alt
9319 0U, // VPCMPUDZrmi
9320 4186U, // VPCMPUDZrmi_alt
9321 0U, // VPCMPUDZrri
9322 4418U, // VPCMPUDZrri_alt
9323 0U, // VPCMPUQZrmi
9324 4186U, // VPCMPUQZrmi_alt
9325 0U, // VPCMPUQZrri
9326 4418U, // VPCMPUQZrri_alt
9327 4184U, // VPCOMBmi
9328 4418U, // VPCOMBri
9329 4184U, // VPCOMDmi
9330 4418U, // VPCOMDri
9331 4184U, // VPCOMQmi
9332 4418U, // VPCOMQri
9333 4184U, // VPCOMUBmi
9334 4418U, // VPCOMUBri
9335 4184U, // VPCOMUDmi
9336 4418U, // VPCOMUDri
9337 4184U, // VPCOMUQmi
9338 4418U, // VPCOMUQri
9339 4184U, // VPCOMUWmi
9340 4418U, // VPCOMUWri
9341 4184U, // VPCOMWmi
9342 4418U, // VPCOMWri
9343 4178U, // VPERM2F128rm
9344 4418U, // VPERM2F128rr
9345 4178U, // VPERM2I128rm
9346 4418U, // VPERM2I128rr
9347 28U, // VPERMDYrm
9348 2U, // VPERMDYrr
9349 26U, // VPERMDZrm
9350 2U, // VPERMDZrr
9351 40U, // VPERMI2Drm
9352 10U, // VPERMI2Drr
9353 40U, // VPERMI2PDrm
9354 10U, // VPERMI2PDrr
9355 40U, // VPERMI2PSrm
9356 10U, // VPERMI2PSrr
9357 40U, // VPERMI2Qrm
9358 10U, // VPERMI2Qrr
9359 86U, // VPERMIL2PDmr
9360 82U, // VPERMIL2PDmrY
9361 834U, // VPERMIL2PDrm
9362 1090U, // VPERMIL2PDrmY
9363 8514U, // VPERMIL2PDrr
9364 8514U, // VPERMIL2PDrrY
9365 86U, // VPERMIL2PSmr
9366 82U, // VPERMIL2PSmrY
9367 834U, // VPERMIL2PSrm
9368 1090U, // VPERMIL2PSrmY
9369 8514U, // VPERMIL2PSrr
9370 8514U, // VPERMIL2PSrrY
9371 0U, // VPERMILPDYmi
9372 2U, // VPERMILPDYri
9373 28U, // VPERMILPDYrm
9374 2U, // VPERMILPDYrr
9375 0U, // VPERMILPDZmi
9376 2U, // VPERMILPDZri
9377 0U, // VPERMILPDmi
9378 2U, // VPERMILPDri
9379 24U, // VPERMILPDrm
9380 2U, // VPERMILPDrr
9381 0U, // VPERMILPSYmi
9382 2U, // VPERMILPSYri
9383 28U, // VPERMILPSYrm
9384 2U, // VPERMILPSYrr
9385 0U, // VPERMILPSZmi
9386 2U, // VPERMILPSZri
9387 0U, // VPERMILPSmi
9388 2U, // VPERMILPSri
9389 24U, // VPERMILPSrm
9390 2U, // VPERMILPSrr
9391 0U, // VPERMPDYmi
9392 2U, // VPERMPDYri
9393 0U, // VPERMPDZmi
9394 2U, // VPERMPDZri
9395 20U, // VPERMPDZrm
9396 2U, // VPERMPDZrr
9397 28U, // VPERMPSYrm
9398 2U, // VPERMPSYrr
9399 20U, // VPERMPSZrm
9400 2U, // VPERMPSZrr
9401 0U, // VPERMQYmi
9402 2U, // VPERMQYri
9403 0U, // VPERMQZmi
9404 2U, // VPERMQZri
9405 26U, // VPERMQZrm
9406 2U, // VPERMQZrr
9407 0U, // VPEXTRBmr
9408 2U, // VPEXTRBrr
9409 2U, // VPEXTRBrr64
9410 0U, // VPEXTRDmr
9411 2U, // VPEXTRDrr
9412 0U, // VPEXTRQmr
9413 2U, // VPEXTRQrr
9414 0U, // VPEXTRWmr
9415 2U, // VPEXTRWri
9416 0U, // VPGATHERDDYrm
9417 1U, // VPGATHERDDZrm
9418 0U, // VPGATHERDDrm
9419 0U, // VPGATHERDQYrm
9420 1U, // VPGATHERDQZrm
9421 0U, // VPGATHERDQrm
9422 0U, // VPGATHERQDYrm
9423 1U, // VPGATHERQDZrm
9424 0U, // VPGATHERQDrm
9425 0U, // VPGATHERQQYrm
9426 1U, // VPGATHERQQZrm
9427 0U, // VPGATHERQQrm
9428 0U, // VPHADDBDrm
9429 0U, // VPHADDBDrr
9430 0U, // VPHADDBQrm
9431 0U, // VPHADDBQrr
9432 0U, // VPHADDBWrm
9433 0U, // VPHADDBWrr
9434 0U, // VPHADDDQrm
9435 0U, // VPHADDDQrr
9436 28U, // VPHADDDYrm
9437 2U, // VPHADDDYrr
9438 24U, // VPHADDDrm
9439 2U, // VPHADDDrr
9440 24U, // VPHADDSWrm128
9441 28U, // VPHADDSWrm256
9442 2U, // VPHADDSWrr128
9443 2U, // VPHADDSWrr256
9444 0U, // VPHADDUBDrm
9445 0U, // VPHADDUBDrr
9446 0U, // VPHADDUBQrm
9447 0U, // VPHADDUBQrr
9448 0U, // VPHADDUBWrm
9449 0U, // VPHADDUBWrr
9450 0U, // VPHADDUDQrm
9451 0U, // VPHADDUDQrr
9452 0U, // VPHADDUWDrm
9453 0U, // VPHADDUWDrr
9454 0U, // VPHADDUWQrm
9455 0U, // VPHADDUWQrr
9456 0U, // VPHADDWDrm
9457 0U, // VPHADDWDrr
9458 0U, // VPHADDWQrm
9459 0U, // VPHADDWQrr
9460 28U, // VPHADDWYrm
9461 2U, // VPHADDWYrr
9462 24U, // VPHADDWrm
9463 2U, // VPHADDWrr
9464 0U, // VPHMINPOSUWrm128
9465 0U, // VPHMINPOSUWrr128
9466 0U, // VPHSUBBWrm
9467 0U, // VPHSUBBWrr
9468 0U, // VPHSUBDQrm
9469 0U, // VPHSUBDQrr
9470 28U, // VPHSUBDYrm
9471 2U, // VPHSUBDYrr
9472 24U, // VPHSUBDrm
9473 2U, // VPHSUBDrr
9474 24U, // VPHSUBSWrm128
9475 28U, // VPHSUBSWrm256
9476 2U, // VPHSUBSWrr128
9477 2U, // VPHSUBSWrr256
9478 0U, // VPHSUBWDrm
9479 0U, // VPHSUBWDrr
9480 28U, // VPHSUBWYrm
9481 2U, // VPHSUBWYrr
9482 24U, // VPHSUBWrm
9483 2U, // VPHSUBWrr
9484 42U, // VPINSRBrm
9485 4418U, // VPINSRBrr
9486 4164U, // VPINSRDrm
9487 4418U, // VPINSRDrr
9488 4166U, // VPINSRQrm
9489 4418U, // VPINSRQrr
9490 44U, // VPINSRWrmi
9491 4418U, // VPINSRWrr64i
9492 4418U, // VPINSRWrri
9493 4184U, // VPMACSDDrm
9494 4418U, // VPMACSDDrr
9495 4184U, // VPMACSDQHrm
9496 4418U, // VPMACSDQHrr
9497 4184U, // VPMACSDQLrm
9498 4418U, // VPMACSDQLrr
9499 4184U, // VPMACSSDDrm
9500 4418U, // VPMACSSDDrr
9501 4184U, // VPMACSSDQHrm
9502 4418U, // VPMACSSDQHrr
9503 4184U, // VPMACSSDQLrm
9504 4418U, // VPMACSSDQLrr
9505 4184U, // VPMACSSWDrm
9506 4418U, // VPMACSSWDrr
9507 4184U, // VPMACSSWWrm
9508 4418U, // VPMACSSWWrr
9509 4184U, // VPMACSWDrm
9510 4418U, // VPMACSWDrr
9511 4184U, // VPMACSWWrm
9512 4418U, // VPMACSWWrr
9513 4184U, // VPMADCSSWDrm
9514 4418U, // VPMADCSSWDrr
9515 4184U, // VPMADCSWDrm
9516 4418U, // VPMADCSWDrr
9517 24U, // VPMADDUBSWrm128
9518 28U, // VPMADDUBSWrm256
9519 2U, // VPMADDUBSWrr128
9520 2U, // VPMADDUBSWrr256
9521 28U, // VPMADDWDYrm
9522 2U, // VPMADDWDYrr
9523 24U, // VPMADDWDrm
9524 2U, // VPMADDWDrr
9525 0U, // VPMASKMOVDYmr
9526 28U, // VPMASKMOVDYrm
9527 0U, // VPMASKMOVDmr
9528 24U, // VPMASKMOVDrm
9529 0U, // VPMASKMOVQYmr
9530 28U, // VPMASKMOVQYrm
9531 0U, // VPMASKMOVQmr
9532 24U, // VPMASKMOVQrm
9533 28U, // VPMAXSBYrm
9534 2U, // VPMAXSBYrr
9535 24U, // VPMAXSBrm
9536 2U, // VPMAXSBrr
9537 28U, // VPMAXSDYrm
9538 2U, // VPMAXSDYrr
9539 24U, // VPMAXSDrm
9540 2U, // VPMAXSDrr
9541 28U, // VPMAXSWYrm
9542 2U, // VPMAXSWYrr
9543 24U, // VPMAXSWrm
9544 2U, // VPMAXSWrr
9545 28U, // VPMAXUBYrm
9546 2U, // VPMAXUBYrr
9547 24U, // VPMAXUBrm
9548 2U, // VPMAXUBrr
9549 28U, // VPMAXUDYrm
9550 2U, // VPMAXUDYrr
9551 24U, // VPMAXUDrm
9552 2U, // VPMAXUDrr
9553 28U, // VPMAXUWYrm
9554 2U, // VPMAXUWYrr
9555 24U, // VPMAXUWrm
9556 2U, // VPMAXUWrr
9557 28U, // VPMINSBYrm
9558 2U, // VPMINSBYrr
9559 24U, // VPMINSBrm
9560 2U, // VPMINSBrr
9561 28U, // VPMINSDYrm
9562 2U, // VPMINSDYrr
9563 24U, // VPMINSDrm
9564 2U, // VPMINSDrr
9565 28U, // VPMINSWYrm
9566 2U, // VPMINSWYrr
9567 24U, // VPMINSWrm
9568 2U, // VPMINSWrr
9569 28U, // VPMINUBYrm
9570 2U, // VPMINUBYrr
9571 24U, // VPMINUBrm
9572 2U, // VPMINUBrr
9573 28U, // VPMINUDYrm
9574 2U, // VPMINUDYrr
9575 24U, // VPMINUDrm
9576 2U, // VPMINUDrr
9577 28U, // VPMINUWYrm
9578 2U, // VPMINUWYrr
9579 24U, // VPMINUWrm
9580 2U, // VPMINUWrr
9581 3U, // VPMOVDBkrr
9582 0U, // VPMOVDBmr
9583 0U, // VPMOVDBrr
9584 3U, // VPMOVDWkrr
9585 0U, // VPMOVDWmr
9586 0U, // VPMOVDWrr
9587 0U, // VPMOVMSKBYr64r
9588 0U, // VPMOVMSKBYrr
9589 0U, // VPMOVMSKBr64r
9590 0U, // VPMOVMSKBrr
9591 3U, // VPMOVQBkrr
9592 0U, // VPMOVQBmr
9593 0U, // VPMOVQBrr
9594 3U, // VPMOVQDkrr
9595 0U, // VPMOVQDmr
9596 0U, // VPMOVQDrr
9597 3U, // VPMOVQWkrr
9598 0U, // VPMOVQWmr
9599 0U, // VPMOVQWrr
9600 3U, // VPMOVSDBkrr
9601 0U, // VPMOVSDBmr
9602 0U, // VPMOVSDBrr
9603 3U, // VPMOVSDWkrr
9604 0U, // VPMOVSDWmr
9605 0U, // VPMOVSDWrr
9606 3U, // VPMOVSQBkrr
9607 0U, // VPMOVSQBmr
9608 0U, // VPMOVSQBrr
9609 3U, // VPMOVSQDkrr
9610 0U, // VPMOVSQDmr
9611 0U, // VPMOVSQDrr
9612 3U, // VPMOVSQWkrr
9613 0U, // VPMOVSQWmr
9614 0U, // VPMOVSQWrr
9615 0U, // VPMOVSXBDYrm
9616 0U, // VPMOVSXBDYrr
9617 0U, // VPMOVSXBDZrm
9618 0U, // VPMOVSXBDZrr
9619 0U, // VPMOVSXBDrm
9620 0U, // VPMOVSXBDrr
9621 0U, // VPMOVSXBQYrm
9622 0U, // VPMOVSXBQYrr
9623 0U, // VPMOVSXBQZrm
9624 0U, // VPMOVSXBQZrr
9625 0U, // VPMOVSXBQrm
9626 0U, // VPMOVSXBQrr
9627 0U, // VPMOVSXBWYrm
9628 0U, // VPMOVSXBWYrr
9629 0U, // VPMOVSXBWrm
9630 0U, // VPMOVSXBWrr
9631 0U, // VPMOVSXDQYrm
9632 0U, // VPMOVSXDQYrr
9633 0U, // VPMOVSXDQZrm
9634 0U, // VPMOVSXDQZrr
9635 0U, // VPMOVSXDQrm
9636 0U, // VPMOVSXDQrr
9637 0U, // VPMOVSXWDYrm
9638 0U, // VPMOVSXWDYrr
9639 0U, // VPMOVSXWDZrm
9640 0U, // VPMOVSXWDZrr
9641 0U, // VPMOVSXWDrm
9642 0U, // VPMOVSXWDrr
9643 0U, // VPMOVSXWQYrm
9644 0U, // VPMOVSXWQYrr
9645 0U, // VPMOVSXWQZrm
9646 0U, // VPMOVSXWQZrr
9647 0U, // VPMOVSXWQrm
9648 0U, // VPMOVSXWQrr
9649 3U, // VPMOVUSDBkrr
9650 0U, // VPMOVUSDBmr
9651 0U, // VPMOVUSDBrr
9652 3U, // VPMOVUSDWkrr
9653 0U, // VPMOVUSDWmr
9654 0U, // VPMOVUSDWrr
9655 3U, // VPMOVUSQBkrr
9656 0U, // VPMOVUSQBmr
9657 0U, // VPMOVUSQBrr
9658 3U, // VPMOVUSQDkrr
9659 0U, // VPMOVUSQDmr
9660 0U, // VPMOVUSQDrr
9661 3U, // VPMOVUSQWkrr
9662 0U, // VPMOVUSQWmr
9663 0U, // VPMOVUSQWrr
9664 0U, // VPMOVZXBDYrm
9665 0U, // VPMOVZXBDYrr
9666 0U, // VPMOVZXBDZrm
9667 0U, // VPMOVZXBDZrr
9668 0U, // VPMOVZXBDrm
9669 0U, // VPMOVZXBDrr
9670 0U, // VPMOVZXBQYrm
9671 0U, // VPMOVZXBQYrr
9672 0U, // VPMOVZXBQZrm
9673 0U, // VPMOVZXBQZrr
9674 0U, // VPMOVZXBQrm
9675 0U, // VPMOVZXBQrr
9676 0U, // VPMOVZXBWYrm
9677 0U, // VPMOVZXBWYrr
9678 0U, // VPMOVZXBWrm
9679 0U, // VPMOVZXBWrr
9680 0U, // VPMOVZXDQYrm
9681 0U, // VPMOVZXDQYrr
9682 0U, // VPMOVZXDQZrm
9683 0U, // VPMOVZXDQZrr
9684 0U, // VPMOVZXDQrm
9685 0U, // VPMOVZXDQrr
9686 0U, // VPMOVZXWDYrm
9687 0U, // VPMOVZXWDYrr
9688 0U, // VPMOVZXWDZrm
9689 0U, // VPMOVZXWDZrr
9690 0U, // VPMOVZXWDrm
9691 0U, // VPMOVZXWDrr
9692 0U, // VPMOVZXWQYrm
9693 0U, // VPMOVZXWQYrr
9694 0U, // VPMOVZXWQZrm
9695 0U, // VPMOVZXWQZrr
9696 0U, // VPMOVZXWQrm
9697 0U, // VPMOVZXWQrr
9698 28U, // VPMULDQYrm
9699 2U, // VPMULDQYrr
9700 26U, // VPMULDQZrm
9701 2U, // VPMULDQZrr
9702 24U, // VPMULDQrm
9703 2U, // VPMULDQrr
9704 24U, // VPMULHRSWrm128
9705 28U, // VPMULHRSWrm256
9706 2U, // VPMULHRSWrr128
9707 2U, // VPMULHRSWrr256
9708 28U, // VPMULHUWYrm
9709 2U, // VPMULHUWYrr
9710 24U, // VPMULHUWrm
9711 2U, // VPMULHUWrr
9712 28U, // VPMULHWYrm
9713 2U, // VPMULHWYrr
9714 24U, // VPMULHWrm
9715 2U, // VPMULHWrr
9716 28U, // VPMULLDYrm
9717 2U, // VPMULLDYrr
9718 26U, // VPMULLDZrm
9719 196U, // VPMULLDZrmb
9720 2U, // VPMULLDZrr
9721 24U, // VPMULLDrm
9722 2U, // VPMULLDrr
9723 28U, // VPMULLWYrm
9724 2U, // VPMULLWYrr
9725 24U, // VPMULLWrm
9726 2U, // VPMULLWrr
9727 28U, // VPMULUDQYrm
9728 2U, // VPMULUDQYrr
9729 26U, // VPMULUDQZrm
9730 2U, // VPMULUDQZrr
9731 24U, // VPMULUDQrm
9732 2U, // VPMULUDQrr
9733 26U, // VPORDZrm
9734 196U, // VPORDZrmb
9735 2U, // VPORDZrr
9736 26U, // VPORQZrm
9737 134U, // VPORQZrmb
9738 2U, // VPORQZrr
9739 28U, // VPORYrm
9740 2U, // VPORYrr
9741 24U, // VPORrm
9742 2U, // VPORrr
9743 4184U, // VPPERMmr
9744 1858U, // VPPERMrm
9745 4418U, // VPPERMrr
9746 0U, // VPROTBmi
9747 0U, // VPROTBmr
9748 2U, // VPROTBri
9749 24U, // VPROTBrm
9750 2U, // VPROTBrr
9751 0U, // VPROTDmi
9752 0U, // VPROTDmr
9753 2U, // VPROTDri
9754 24U, // VPROTDrm
9755 2U, // VPROTDrr
9756 0U, // VPROTQmi
9757 0U, // VPROTQmr
9758 2U, // VPROTQri
9759 24U, // VPROTQrm
9760 2U, // VPROTQrr
9761 0U, // VPROTWmi
9762 0U, // VPROTWmr
9763 2U, // VPROTWri
9764 24U, // VPROTWrm
9765 2U, // VPROTWrr
9766 28U, // VPSADBWYrm
9767 2U, // VPSADBWYrr
9768 24U, // VPSADBWrm
9769 2U, // VPSADBWrr
9770 0U, // VPSCATTERDDZmr
9771 0U, // VPSCATTERDQZmr
9772 0U, // VPSCATTERQDZmr
9773 0U, // VPSCATTERQQZmr
9774 0U, // VPSHABmr
9775 24U, // VPSHABrm
9776 2U, // VPSHABrr
9777 0U, // VPSHADmr
9778 24U, // VPSHADrm
9779 2U, // VPSHADrr
9780 0U, // VPSHAQmr
9781 24U, // VPSHAQrm
9782 2U, // VPSHAQrr
9783 0U, // VPSHAWmr
9784 24U, // VPSHAWrm
9785 2U, // VPSHAWrr
9786 0U, // VPSHLBmr
9787 24U, // VPSHLBrm
9788 2U, // VPSHLBrr
9789 0U, // VPSHLDmr
9790 24U, // VPSHLDrm
9791 2U, // VPSHLDrr
9792 0U, // VPSHLQmr
9793 24U, // VPSHLQrm
9794 2U, // VPSHLQrr
9795 0U, // VPSHLWmr
9796 24U, // VPSHLWrm
9797 2U, // VPSHLWrr
9798 28U, // VPSHUFBYrm
9799 2U, // VPSHUFBYrr
9800 24U, // VPSHUFBrm
9801 2U, // VPSHUFBrr
9802 0U, // VPSHUFDYmi
9803 2U, // VPSHUFDYri
9804 0U, // VPSHUFDZmi
9805 2U, // VPSHUFDZri
9806 0U, // VPSHUFDmi
9807 2U, // VPSHUFDri
9808 0U, // VPSHUFHWYmi
9809 2U, // VPSHUFHWYri
9810 0U, // VPSHUFHWmi
9811 2U, // VPSHUFHWri
9812 0U, // VPSHUFLWYmi
9813 2U, // VPSHUFLWYri
9814 0U, // VPSHUFLWmi
9815 2U, // VPSHUFLWri
9816 28U, // VPSIGNBYrm
9817 2U, // VPSIGNBYrr
9818 24U, // VPSIGNBrm
9819 2U, // VPSIGNBrr
9820 28U, // VPSIGNDYrm
9821 2U, // VPSIGNDYrr
9822 24U, // VPSIGNDrm
9823 2U, // VPSIGNDrr
9824 28U, // VPSIGNWYrm
9825 2U, // VPSIGNWYrr
9826 24U, // VPSIGNWrm
9827 2U, // VPSIGNWrr
9828 2U, // VPSLLDQYri
9829 2U, // VPSLLDQri
9830 2U, // VPSLLDYri
9831 24U, // VPSLLDYrm
9832 2U, // VPSLLDYrr
9833 0U, // VPSLLDZmi
9834 4187U, // VPSLLDZmik
9835 2U, // VPSLLDZri
9836 4419U, // VPSLLDZrik
9837 24U, // VPSLLDZrm
9838 1859U, // VPSLLDZrmk
9839 2U, // VPSLLDZrr
9840 4419U, // VPSLLDZrrk
9841 2U, // VPSLLDri
9842 24U, // VPSLLDrm
9843 2U, // VPSLLDrr
9844 2U, // VPSLLQYri
9845 24U, // VPSLLQYrm
9846 2U, // VPSLLQYrr
9847 0U, // VPSLLQZmi
9848 4187U, // VPSLLQZmik
9849 2U, // VPSLLQZri
9850 4419U, // VPSLLQZrik
9851 24U, // VPSLLQZrm
9852 1859U, // VPSLLQZrmk
9853 2U, // VPSLLQZrr
9854 4419U, // VPSLLQZrrk
9855 2U, // VPSLLQri
9856 24U, // VPSLLQrm
9857 2U, // VPSLLQrr
9858 28U, // VPSLLVDYrm
9859 2U, // VPSLLVDYrr
9860 26U, // VPSLLVDZrm
9861 2U, // VPSLLVDZrr
9862 24U, // VPSLLVDrm
9863 2U, // VPSLLVDrr
9864 28U, // VPSLLVQYrm
9865 2U, // VPSLLVQYrr
9866 26U, // VPSLLVQZrm
9867 2U, // VPSLLVQZrr
9868 24U, // VPSLLVQrm
9869 2U, // VPSLLVQrr
9870 2U, // VPSLLWYri
9871 24U, // VPSLLWYrm
9872 2U, // VPSLLWYrr
9873 2U, // VPSLLWri
9874 24U, // VPSLLWrm
9875 2U, // VPSLLWrr
9876 2U, // VPSRADYri
9877 24U, // VPSRADYrm
9878 2U, // VPSRADYrr
9879 0U, // VPSRADZmi
9880 4187U, // VPSRADZmik
9881 2U, // VPSRADZri
9882 4419U, // VPSRADZrik
9883 24U, // VPSRADZrm
9884 1859U, // VPSRADZrmk
9885 2U, // VPSRADZrr
9886 4419U, // VPSRADZrrk
9887 2U, // VPSRADri
9888 24U, // VPSRADrm
9889 2U, // VPSRADrr
9890 0U, // VPSRAQZmi
9891 4187U, // VPSRAQZmik
9892 2U, // VPSRAQZri
9893 4419U, // VPSRAQZrik
9894 24U, // VPSRAQZrm
9895 1859U, // VPSRAQZrmk
9896 2U, // VPSRAQZrr
9897 4419U, // VPSRAQZrrk
9898 28U, // VPSRAVDYrm
9899 2U, // VPSRAVDYrr
9900 26U, // VPSRAVDZrm
9901 2U, // VPSRAVDZrr
9902 24U, // VPSRAVDrm
9903 2U, // VPSRAVDrr
9904 26U, // VPSRAVQZrm
9905 2U, // VPSRAVQZrr
9906 2U, // VPSRAWYri
9907 24U, // VPSRAWYrm
9908 2U, // VPSRAWYrr
9909 2U, // VPSRAWri
9910 24U, // VPSRAWrm
9911 2U, // VPSRAWrr
9912 2U, // VPSRLDQYri
9913 2U, // VPSRLDQri
9914 2U, // VPSRLDYri
9915 24U, // VPSRLDYrm
9916 2U, // VPSRLDYrr
9917 0U, // VPSRLDZmi
9918 4187U, // VPSRLDZmik
9919 2U, // VPSRLDZri
9920 4419U, // VPSRLDZrik
9921 24U, // VPSRLDZrm
9922 1859U, // VPSRLDZrmk
9923 2U, // VPSRLDZrr
9924 4419U, // VPSRLDZrrk
9925 2U, // VPSRLDri
9926 24U, // VPSRLDrm
9927 2U, // VPSRLDrr
9928 2U, // VPSRLQYri
9929 24U, // VPSRLQYrm
9930 2U, // VPSRLQYrr
9931 0U, // VPSRLQZmi
9932 4187U, // VPSRLQZmik
9933 2U, // VPSRLQZri
9934 4419U, // VPSRLQZrik
9935 24U, // VPSRLQZrm
9936 1859U, // VPSRLQZrmk
9937 2U, // VPSRLQZrr
9938 4419U, // VPSRLQZrrk
9939 2U, // VPSRLQri
9940 24U, // VPSRLQrm
9941 2U, // VPSRLQrr
9942 28U, // VPSRLVDYrm
9943 2U, // VPSRLVDYrr
9944 26U, // VPSRLVDZrm
9945 2U, // VPSRLVDZrr
9946 24U, // VPSRLVDrm
9947 2U, // VPSRLVDrr
9948 28U, // VPSRLVQYrm
9949 2U, // VPSRLVQYrr
9950 26U, // VPSRLVQZrm
9951 2U, // VPSRLVQZrr
9952 24U, // VPSRLVQrm
9953 2U, // VPSRLVQrr
9954 2U, // VPSRLWYri
9955 24U, // VPSRLWYrm
9956 2U, // VPSRLWYrr
9957 2U, // VPSRLWri
9958 24U, // VPSRLWrm
9959 2U, // VPSRLWrr
9960 28U, // VPSUBBYrm
9961 2U, // VPSUBBYrr
9962 24U, // VPSUBBrm
9963 2U, // VPSUBBrr
9964 28U, // VPSUBDYrm
9965 2U, // VPSUBDYrr
9966 26U, // VPSUBDZrm
9967 196U, // VPSUBDZrmb
9968 2U, // VPSUBDZrr
9969 24U, // VPSUBDrm
9970 2U, // VPSUBDrr
9971 28U, // VPSUBQYrm
9972 2U, // VPSUBQYrr
9973 26U, // VPSUBQZrm
9974 134U, // VPSUBQZrmb
9975 2U, // VPSUBQZrr
9976 24U, // VPSUBQrm
9977 2U, // VPSUBQrr
9978 28U, // VPSUBSBYrm
9979 2U, // VPSUBSBYrr
9980 24U, // VPSUBSBrm
9981 2U, // VPSUBSBrr
9982 28U, // VPSUBSWYrm
9983 2U, // VPSUBSWYrr
9984 24U, // VPSUBSWrm
9985 2U, // VPSUBSWrr
9986 28U, // VPSUBUSBYrm
9987 2U, // VPSUBUSBYrr
9988 24U, // VPSUBUSBrm
9989 2U, // VPSUBUSBrr
9990 28U, // VPSUBUSWYrm
9991 2U, // VPSUBUSWYrr
9992 24U, // VPSUBUSWrm
9993 2U, // VPSUBUSWrr
9994 28U, // VPSUBWYrm
9995 2U, // VPSUBWYrr
9996 24U, // VPSUBWrm
9997 2U, // VPSUBWrr
9998 20U, // VPTESTMDZrm
9999 2U, // VPTESTMDZrr
10000 20U, // VPTESTMQZrm
10001 2U, // VPTESTMQZrr
10002 0U, // VPTESTYrm
10003 0U, // VPTESTYrr
10004 0U, // VPTESTrm
10005 0U, // VPTESTrr
10006 28U, // VPUNPCKHBWYrm
10007 2U, // VPUNPCKHBWYrr
10008 24U, // VPUNPCKHBWrm
10009 2U, // VPUNPCKHBWrr
10010 28U, // VPUNPCKHDQYrm
10011 2U, // VPUNPCKHDQYrr
10012 26U, // VPUNPCKHDQZrm
10013 2U, // VPUNPCKHDQZrr
10014 24U, // VPUNPCKHDQrm
10015 2U, // VPUNPCKHDQrr
10016 28U, // VPUNPCKHQDQYrm
10017 2U, // VPUNPCKHQDQYrr
10018 26U, // VPUNPCKHQDQZrm
10019 2U, // VPUNPCKHQDQZrr
10020 24U, // VPUNPCKHQDQrm
10021 2U, // VPUNPCKHQDQrr
10022 28U, // VPUNPCKHWDYrm
10023 2U, // VPUNPCKHWDYrr
10024 24U, // VPUNPCKHWDrm
10025 2U, // VPUNPCKHWDrr
10026 28U, // VPUNPCKLBWYrm
10027 2U, // VPUNPCKLBWYrr
10028 24U, // VPUNPCKLBWrm
10029 2U, // VPUNPCKLBWrr
10030 28U, // VPUNPCKLDQYrm
10031 2U, // VPUNPCKLDQYrr
10032 26U, // VPUNPCKLDQZrm
10033 2U, // VPUNPCKLDQZrr
10034 24U, // VPUNPCKLDQrm
10035 2U, // VPUNPCKLDQrr
10036 28U, // VPUNPCKLQDQYrm
10037 2U, // VPUNPCKLQDQYrr
10038 26U, // VPUNPCKLQDQZrm
10039 2U, // VPUNPCKLQDQZrr
10040 24U, // VPUNPCKLQDQrm
10041 2U, // VPUNPCKLQDQrr
10042 28U, // VPUNPCKLWDYrm
10043 2U, // VPUNPCKLWDYrr
10044 24U, // VPUNPCKLWDrm
10045 2U, // VPUNPCKLWDrr
10046 26U, // VPXORDZrm
10047 196U, // VPXORDZrmb
10048 2U, // VPXORDZrr
10049 26U, // VPXORQZrm
10050 134U, // VPXORQZrmb
10051 2U, // VPXORQZrr
10052 28U, // VPXORYrm
10053 2U, // VPXORYrr
10054 24U, // VPXORrm
10055 2U, // VPXORrr
10056 0U, // VRCP14PDZm
10057 0U, // VRCP14PDZm_Int
10058 0U, // VRCP14PDZr
10059 0U, // VRCP14PDZr_Int
10060 0U, // VRCP14PSZm
10061 0U, // VRCP14PSZm_Int
10062 0U, // VRCP14PSZr
10063 0U, // VRCP14PSZr_Int
10064 14U, // VRCP14SDZm
10065 14U, // VRCP14SDZm_Int
10066 2U, // VRCP14SDZr
10067 16U, // VRCP14SSZm
10068 16U, // VRCP14SSZm_Int
10069 2U, // VRCP14SSZr
10070 0U, // VRCPPSYm
10071 0U, // VRCPPSYm_Int
10072 0U, // VRCPPSYr
10073 0U, // VRCPPSYr_Int
10074 0U, // VRCPPSm
10075 0U, // VRCPPSm_Int
10076 0U, // VRCPPSr
10077 0U, // VRCPPSr_Int
10078 16U, // VRCPSSm
10079 16U, // VRCPSSm_Int
10080 2U, // VRCPSSr
10081 4174U, // VRNDSCALESDm
10082 4418U, // VRNDSCALESDr
10083 4418U, // VRNDSCALESDr_Int
10084 4176U, // VRNDSCALESSm
10085 4418U, // VRNDSCALESSr
10086 4418U, // VRNDSCALESSr_Int
10087 0U, // VRNDSCALEZPDm
10088 2U, // VRNDSCALEZPDr
10089 0U, // VRNDSCALEZPSm
10090 2U, // VRNDSCALEZPSr
10091 0U, // VROUNDPDm
10092 2U, // VROUNDPDr
10093 0U, // VROUNDPSm
10094 2U, // VROUNDPSr
10095 4174U, // VROUNDSDm
10096 4418U, // VROUNDSDr
10097 4418U, // VROUNDSDr_Int
10098 4176U, // VROUNDSSm
10099 4418U, // VROUNDSSr
10100 4418U, // VROUNDSSr_Int
10101 0U, // VROUNDYPDm
10102 2U, // VROUNDYPDr
10103 0U, // VROUNDYPSm
10104 2U, // VROUNDYPSr
10105 0U, // VRSQRT14PDZm
10106 0U, // VRSQRT14PDZm_Int
10107 0U, // VRSQRT14PDZr
10108 0U, // VRSQRT14PDZr_Int
10109 0U, // VRSQRT14PSZm
10110 0U, // VRSQRT14PSZm_Int
10111 0U, // VRSQRT14PSZr
10112 0U, // VRSQRT14PSZr_Int
10113 14U, // VRSQRT14SDZm
10114 14U, // VRSQRT14SDZm_Int
10115 2U, // VRSQRT14SDZr
10116 16U, // VRSQRT14SSZm
10117 16U, // VRSQRT14SSZm_Int
10118 2U, // VRSQRT14SSZr
10119 0U, // VRSQRTPSYm
10120 0U, // VRSQRTPSYm_Int
10121 0U, // VRSQRTPSYr
10122 0U, // VRSQRTPSYr_Int
10123 0U, // VRSQRTPSm
10124 0U, // VRSQRTPSm_Int
10125 0U, // VRSQRTPSr
10126 0U, // VRSQRTPSr_Int
10127 16U, // VRSQRTSSm
10128 16U, // VRSQRTSSm_Int
10129 2U, // VRSQRTSSr
10130 0U, // VSCATTERDPDZmr
10131 0U, // VSCATTERDPSZmr
10132 0U, // VSCATTERQPDZmr
10133 0U, // VSCATTERQPSZmr
10134 0U, // VSHUFPDYrmi
10135 4418U, // VSHUFPDYrri
10136 4180U, // VSHUFPDZrmi
10137 4418U, // VSHUFPDZrri
10138 4182U, // VSHUFPDrmi
10139 4418U, // VSHUFPDrri
10140 4178U, // VSHUFPSYrmi
10141 4418U, // VSHUFPSYrri
10142 4180U, // VSHUFPSZrmi
10143 4418U, // VSHUFPSZrri
10144 4182U, // VSHUFPSrmi
10145 4418U, // VSHUFPSrri
10146 0U, // VSQRTPDYm
10147 0U, // VSQRTPDYr
10148 0U, // VSQRTPDZm_Int
10149 0U, // VSQRTPDZr_Int
10150 0U, // VSQRTPDZrm
10151 0U, // VSQRTPDZrr
10152 0U, // VSQRTPDm
10153 0U, // VSQRTPDr
10154 0U, // VSQRTPSYm
10155 0U, // VSQRTPSYr
10156 0U, // VSQRTPSZm_Int
10157 0U, // VSQRTPSZr_Int
10158 0U, // VSQRTPSZrm
10159 0U, // VSQRTPSZrr
10160 0U, // VSQRTPSm
10161 0U, // VSQRTPSr
10162 14U, // VSQRTSDZm
10163 14U, // VSQRTSDZm_Int
10164 2U, // VSQRTSDZr
10165 2U, // VSQRTSDZr_Int
10166 14U, // VSQRTSDm
10167 14U, // VSQRTSDm_Int
10168 2U, // VSQRTSDr
10169 16U, // VSQRTSSZm
10170 16U, // VSQRTSSZm_Int
10171 2U, // VSQRTSSZr
10172 2U, // VSQRTSSZr_Int
10173 16U, // VSQRTSSm
10174 16U, // VSQRTSSm_Int
10175 2U, // VSQRTSSr
10176 0U, // VSTMXCSR
10177 18U, // VSUBPDYrm
10178 2U, // VSUBPDYrr
10179 20U, // VSUBPDZrm
10180 142U, // VSUBPDZrmb
10181 2U, // VSUBPDZrr
10182 22U, // VSUBPDrm
10183 2U, // VSUBPDrr
10184 18U, // VSUBPSYrm
10185 2U, // VSUBPSYrr
10186 20U, // VSUBPSZrm
10187 208U, // VSUBPSZrmb
10188 2U, // VSUBPSZrr
10189 22U, // VSUBPSrm
10190 2U, // VSUBPSrr
10191 14U, // VSUBSDZrm
10192 2U, // VSUBSDZrr
10193 14U, // VSUBSDrm
10194 14U, // VSUBSDrm_Int
10195 2U, // VSUBSDrr
10196 2U, // VSUBSDrr_Int
10197 16U, // VSUBSSZrm
10198 2U, // VSUBSSZrr
10199 16U, // VSUBSSrm
10200 16U, // VSUBSSrm_Int
10201 2U, // VSUBSSrr
10202 2U, // VSUBSSrr_Int
10203 0U, // VTESTPDYrm
10204 0U, // VTESTPDYrr
10205 0U, // VTESTPDrm
10206 0U, // VTESTPDrr
10207 0U, // VTESTPSYrm
10208 0U, // VTESTPSYrr
10209 0U, // VTESTPSrm
10210 0U, // VTESTPSrr
10211 0U, // VUCOMISDZrm
10212 0U, // VUCOMISDZrr
10213 0U, // VUCOMISDrm
10214 0U, // VUCOMISDrr
10215 0U, // VUCOMISSZrm
10216 0U, // VUCOMISSZrr
10217 0U, // VUCOMISSrm
10218 0U, // VUCOMISSrr
10219 18U, // VUNPCKHPDYrm
10220 2U, // VUNPCKHPDYrr
10221 20U, // VUNPCKHPDZrm
10222 2U, // VUNPCKHPDZrr
10223 22U, // VUNPCKHPDrm
10224 2U, // VUNPCKHPDrr
10225 18U, // VUNPCKHPSYrm
10226 2U, // VUNPCKHPSYrr
10227 20U, // VUNPCKHPSZrm
10228 2U, // VUNPCKHPSZrr
10229 22U, // VUNPCKHPSrm
10230 2U, // VUNPCKHPSrr
10231 18U, // VUNPCKLPDYrm
10232 2U, // VUNPCKLPDYrr
10233 20U, // VUNPCKLPDZrm
10234 2U, // VUNPCKLPDZrr
10235 22U, // VUNPCKLPDrm
10236 2U, // VUNPCKLPDrr
10237 18U, // VUNPCKLPSYrm
10238 2U, // VUNPCKLPSYrr
10239 20U, // VUNPCKLPSZrm
10240 2U, // VUNPCKLPSZrr
10241 22U, // VUNPCKLPSrm
10242 2U, // VUNPCKLPSrr
10243 18U, // VXORPDYrm
10244 2U, // VXORPDYrr
10245 22U, // VXORPDrm
10246 2U, // VXORPDrr
10247 18U, // VXORPSYrm
10248 2U, // VXORPSYrr
10249 22U, // VXORPSrm
10250 2U, // VXORPSrr
10251 0U, // VZEROALL
10252 0U, // VZEROUPPER
10253 0U, // V_SET0
10254 0U, // V_SETALLONES
10255 0U, // W64ALLOCA
10256 0U, // WAIT
10257 0U, // WBINVD
10258 0U, // WIN_ALLOCA
10259 0U, // WIN_FTOL_32
10260 0U, // WIN_FTOL_64
10261 0U, // WRFSBASE
10262 0U, // WRFSBASE64
10263 0U, // WRGSBASE
10264 0U, // WRGSBASE64
10265 0U, // WRMSR
10266 0U, // XABORT
10267 0U, // XACQUIRE_PREFIX
10268 0U, // XADD16rm
10269 0U, // XADD16rr
10270 0U, // XADD32rm
10271 0U, // XADD32rr
10272 0U, // XADD64rm
10273 0U, // XADD64rr
10274 0U, // XADD8rm
10275 0U, // XADD8rr
10276 0U, // XBEGIN
10277 0U, // XBEGIN_4
10278 0U, // XCHG16ar
10279 0U, // XCHG16rm
10280 0U, // XCHG16rr
10281 0U, // XCHG32ar
10282 0U, // XCHG32ar64
10283 0U, // XCHG32rm
10284 0U, // XCHG32rr
10285 0U, // XCHG64ar
10286 0U, // XCHG64rm
10287 0U, // XCHG64rr
10288 0U, // XCHG8rm
10289 0U, // XCHG8rr
10290 0U, // XCH_F
10291 0U, // XCRYPTCBC
10292 0U, // XCRYPTCFB
10293 0U, // XCRYPTCTR
10294 0U, // XCRYPTECB
10295 0U, // XCRYPTOFB
10296 0U, // XEND
10297 0U, // XGETBV
10298 0U, // XLAT
10299 0U, // XOR16i16
10300 0U, // XOR16mi
10301 0U, // XOR16mi8
10302 0U, // XOR16mr
10303 0U, // XOR16ri
10304 0U, // XOR16ri8
10305 0U, // XOR16rm
10306 0U, // XOR16rr
10307 0U, // XOR16rr_REV
10308 0U, // XOR32i32
10309 0U, // XOR32mi
10310 0U, // XOR32mi8
10311 0U, // XOR32mr
10312 0U, // XOR32ri
10313 0U, // XOR32ri8
10314 0U, // XOR32rm
10315 0U, // XOR32rr
10316 0U, // XOR32rr_REV
10317 0U, // XOR64i32
10318 0U, // XOR64mi32
10319 0U, // XOR64mi8
10320 0U, // XOR64mr
10321 0U, // XOR64ri32
10322 0U, // XOR64ri8
10323 0U, // XOR64rm
10324 0U, // XOR64rr
10325 0U, // XOR64rr_REV
10326 0U, // XOR8i8
10327 0U, // XOR8mi
10328 0U, // XOR8mr
10329 0U, // XOR8ri
10330 0U, // XOR8rm
10331 0U, // XOR8rr
10332 0U, // XOR8rr_REV
10333 0U, // XORPDrm
10334 0U, // XORPDrr
10335 0U, // XORPSrm
10336 0U, // XORPSrr
10337 0U, // XRELEASE_PREFIX
10338 0U, // XRSTOR
10339 0U, // XRSTOR64
10340 0U, // XSAVE
10341 0U, // XSAVE64
10342 0U, // XSAVEOPT
10343 0U, // XSAVEOPT64
10344 0U, // XSETBV
10345 0U, // XSHA1
10346 0U, // XSHA256
10347 0U, // XSTORE
10348 0U, // XTEST
10349 0U
10350 };
10351
10352 const char AsmStrs[] = {
10353 /* 0 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
10354 /* 12 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
10355 /* 22 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
10356 /* 34 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
10357 /* 46 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
10358 /* 56 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
10359 /* 66 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
10360 /* 77 */ 'c', 'r', 'c', '3', '2', 9, 0,
10361 /* 84 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 9, 0,
10362 /* 95 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
10363 /* 105 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
10364 /* 117 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
10365 /* 130 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
10366 /* 142 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
10367 /* 152 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
10368 /* 163 */ 'x', 's', 'a', 'v', 'e', '6', '4', 9, 0,
10369 /* 172 */ 'x', 'r', 's', 't', 'o', 'r', '6', '4', 9, 0,
10370 /* 182 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', '6', '4', 9, 0,
10371 /* 194 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 9, 0,
10372 /* 205 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
10373 /* 216 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
10374 /* 231 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
10375 /* 245 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
10376 /* 260 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
10377 /* 274 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
10378 /* 289 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
10379 /* 303 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
10380 /* 318 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
10381 /* 332 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
10382 /* 344 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
10383 /* 358 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
10384 /* 371 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
10385 /* 387 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
10386 /* 399 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
10387 /* 413 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
10388 /* 426 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
10389 /* 442 */ 'l', 'e', 'a', 9, 0,
10390 /* 447 */ 'j', 'a', 9, 0,
10391 /* 451 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
10392 /* 462 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
10393 /* 471 */ 's', 'e', 't', 'a', 9, 0,
10394 /* 477 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
10395 /* 490 */ 'c', 'm', 'o', 'v', 'a', 9, 0,
10396 /* 497 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
10397 /* 509 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
10398 /* 520 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
10399 /* 528 */ 's', 'b', 'b', 9, 0,
10400 /* 533 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
10401 /* 541 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
10402 /* 549 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'b', 9, 0,
10403 /* 560 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'b', 9, 0,
10404 /* 570 */ 'v', 'p', 'm', 'o', 'v', 'd', 'b', 9, 0,
10405 /* 579 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
10406 /* 588 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
10407 /* 596 */ 'j', 'b', 9, 0,
10408 /* 600 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
10409 /* 611 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
10410 /* 619 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
10411 /* 627 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
10412 /* 636 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
10413 /* 646 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'b', 9, 0,
10414 /* 657 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'b', 9, 0,
10415 /* 667 */ 'v', 'p', 'm', 'o', 'v', 'q', 'b', 9, 0,
10416 /* 676 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
10417 /* 685 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
10418 /* 694 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
10419 /* 702 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
10420 /* 711 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
10421 /* 720 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
10422 /* 729 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
10423 /* 739 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
10424 /* 749 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
10425 /* 758 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
10426 /* 767 */ 's', 'e', 't', 'b', 9, 0,
10427 /* 773 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
10428 /* 783 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
10429 /* 791 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
10430 /* 805 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
10431 /* 814 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
10432 /* 823 */ 'p', 'f', 's', 'u', 'b', 9, 0,
10433 /* 830 */ 'f', 'i', 's', 'u', 'b', 9, 0,
10434 /* 837 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
10435 /* 846 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
10436 /* 857 */ 'c', 'm', 'o', 'v', 'b', 9, 0,
10437 /* 864 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
10438 /* 875 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
10439 /* 886 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
10440 /* 893 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
10441 /* 901 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
10442 /* 910 */ 'a', 'd', 'c', 9, 0,
10443 /* 915 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
10444 /* 924 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
10445 /* 933 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
10446 /* 942 */ 'i', 'n', 'c', 9, 0,
10447 /* 947 */ 'b', 't', 'c', 9, 0,
10448 /* 952 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'd', 9, 0,
10449 /* 962 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'w', '2', 'd', 9, 0,
10450 /* 979 */ 'a', 'a', 'd', 9, 0,
10451 /* 984 */ 'v', 'm', 'r', 'e', 'a', 'd', 9, 0,
10452 /* 992 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
10453 /* 1000 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
10454 /* 1008 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
10455 /* 1018 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
10456 /* 1029 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
10457 /* 1038 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
10458 /* 1046 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
10459 /* 1057 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
10460 /* 1068 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
10461 /* 1075 */ 'f', 'i', 'a', 'd', 'd', 9, 0,
10462 /* 1082 */ 'x', 'a', 'd', 'd', 9, 0,
10463 /* 1088 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
10464 /* 1097 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
10465 /* 1105 */ 'v', 'p', 'a', 'n', 'd', 'd', 9, 0,
10466 /* 1113 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
10467 /* 1123 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
10468 /* 1135 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'd', 9, 0,
10469 /* 1148 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
10470 /* 1158 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
10471 /* 1169 */ 'r', 'd', 's', 'e', 'e', 'd', 9, 0,
10472 /* 1177 */ 'p', 'i', '2', 'f', 'd', 9, 0,
10473 /* 1184 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
10474 /* 1193 */ 'p', 'f', '2', 'i', 'd', 9, 0,
10475 /* 1200 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
10476 /* 1209 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
10477 /* 1218 */ 'f', 'b', 'l', 'd', 9, 0,
10478 /* 1224 */ 'f', 'l', 'd', 9, 0,
10479 /* 1229 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
10480 /* 1237 */ 'f', 'i', 'l', 'd', 9, 0,
10481 /* 1243 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
10482 /* 1251 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
10483 /* 1260 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
10484 /* 1268 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
10485 /* 1277 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'd', 9, 0,
10486 /* 1288 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
10487 /* 1296 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
10488 /* 1304 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'd', 9, 0,
10489 /* 1314 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
10490 /* 1321 */ 'r', 'd', 'r', 'a', 'n', 'd', 9, 0,
10491 /* 1329 */ 'v', 'p', 'a', 'n', 'd', 'n', 'd', 9, 0,
10492 /* 1338 */ 'v', 'a', 'l', 'i', 'g', 'n', 'd', 9, 0,
10493 /* 1347 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
10494 /* 1356 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
10495 /* 1363 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
10496 /* 1379 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
10497 /* 1392 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
10498 /* 1406 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
10499 /* 1422 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
10500 /* 1435 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
10501 /* 1449 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
10502 /* 1465 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
10503 /* 1478 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
10504 /* 1492 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
10505 /* 1508 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
10506 /* 1521 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
10507 /* 1535 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 'd', 9, 0,
10508 /* 1546 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
10509 /* 1556 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
10510 /* 1568 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
10511 /* 1579 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 'd', 9, 0,
10512 /* 1591 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
10513 /* 1602 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
10514 /* 1618 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
10515 /* 1631 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
10516 /* 1645 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
10517 /* 1661 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
10518 /* 1674 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
10519 /* 1688 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 'd', 9, 0,
10520 /* 1698 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 'd', 9, 0,
10521 /* 1710 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
10522 /* 1719 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
10523 /* 1727 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
10524 /* 1740 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
10525 /* 1751 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
10526 /* 1760 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
10527 /* 1770 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
10528 /* 1781 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
10529 /* 1789 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
10530 /* 1802 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
10531 /* 1811 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
10532 /* 1821 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
10533 /* 1832 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
10534 /* 1840 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
10535 /* 1848 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
10536 /* 1858 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
10537 /* 1868 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
10538 /* 1880 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 'd', 9, 0,
10539 /* 1893 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 'd', 9, 0,
10540 /* 1906 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
10541 /* 1915 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
10542 /* 1926 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
10543 /* 1935 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
10544 /* 1946 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
10545 /* 1957 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
10546 /* 1968 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
10547 /* 1976 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
10548 /* 1985 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
10549 /* 1993 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 'd', 9, 0,
10550 /* 2004 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
10551 /* 2013 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
10552 /* 2022 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
10553 /* 2030 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
10554 /* 2037 */ 'v', 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
10555 /* 2046 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
10556 /* 2058 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 9, 0,
10557 /* 2071 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
10558 /* 2078 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
10559 /* 2086 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
10560 /* 2096 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
10561 /* 2105 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
10562 /* 2114 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
10563 /* 2123 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
10564 /* 2134 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
10565 /* 2142 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
10566 /* 2154 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
10567 /* 2162 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
10568 /* 2171 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
10569 /* 2181 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
10570 /* 2193 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 9, 0,
10571 /* 2206 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 9, 0,
10572 /* 2217 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 9, 0,
10573 /* 2227 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 9, 0,
10574 /* 2236 */ 's', 'h', 'r', 'd', 9, 0,
10575 /* 2242 */ 'v', 'p', 'o', 'r', 'd', 9, 0,
10576 /* 2249 */ 'v', 'p', 'x', 'o', 'r', 'd', 9, 0,
10577 /* 2257 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
10578 /* 2266 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
10579 /* 2275 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
10580 /* 2288 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
10581 /* 2302 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
10582 /* 2315 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
10583 /* 2329 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
10584 /* 2342 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
10585 /* 2356 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
10586 /* 2369 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
10587 /* 2383 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 9, 0,
10588 /* 2394 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
10589 /* 2405 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
10590 /* 2418 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
10591 /* 2432 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
10592 /* 2445 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
10593 /* 2459 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 9, 0,
10594 /* 2469 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 9, 0,
10595 /* 2481 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
10596 /* 2489 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
10597 /* 2499 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
10598 /* 2510 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
10599 /* 2518 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
10600 /* 2528 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
10601 /* 2539 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
10602 /* 2547 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
10603 /* 2557 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 9, 0,
10604 /* 2570 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
10605 /* 2580 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
10606 /* 2589 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
10607 /* 2597 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
10608 /* 2606 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
10609 /* 2614 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
10610 /* 2622 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
10611 /* 2631 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
10612 /* 2640 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
10613 /* 2654 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
10614 /* 2662 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
10615 /* 2670 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
10616 /* 2679 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
10617 /* 2687 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
10618 /* 2696 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
10619 /* 2706 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
10620 /* 2714 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
10621 /* 2728 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
10622 /* 2737 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
10623 /* 2746 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
10624 /* 2755 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
10625 /* 2764 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
10626 /* 2773 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
10627 /* 2782 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
10628 /* 2791 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
10629 /* 2803 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
10630 /* 2810 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
10631 /* 2820 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
10632 /* 2830 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
10633 /* 2840 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
10634 /* 2852 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
10635 /* 2864 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
10636 /* 2874 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
10637 /* 2885 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
10638 /* 2896 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
10639 /* 2908 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
10640 /* 2919 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
10641 /* 2930 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
10642 /* 2941 */ 'm', 'o', 'v', 's', 'x', 'd', 9, 0,
10643 /* 2949 */ 'j', 'a', 'e', 9, 0,
10644 /* 2954 */ 's', 'e', 't', 'a', 'e', 9, 0,
10645 /* 2961 */ 'c', 'm', 'o', 'v', 'a', 'e', 9, 0,
10646 /* 2969 */ 'j', 'b', 'e', 9, 0,
10647 /* 2974 */ 's', 'e', 't', 'b', 'e', 9, 0,
10648 /* 2981 */ 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
10649 /* 2989 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
10650 /* 2996 */ 'j', 'g', 'e', 9, 0,
10651 /* 3001 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
10652 /* 3010 */ 's', 'e', 't', 'g', 'e', 9, 0,
10653 /* 3017 */ 'c', 'm', 'o', 'v', 'g', 'e', 9, 0,
10654 /* 3025 */ 'j', 'e', 9, 0,
10655 /* 3029 */ 'j', 'l', 'e', 9, 0,
10656 /* 3034 */ 's', 'e', 't', 'l', 'e', 9, 0,
10657 /* 3041 */ 'c', 'm', 'o', 'v', 'l', 'e', 9, 0,
10658 /* 3049 */ 'j', 'n', 'e', 9, 0,
10659 /* 3054 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
10660 /* 3062 */ 's', 'e', 't', 'n', 'e', 9, 0,
10661 /* 3069 */ 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
10662 /* 3077 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
10663 /* 3084 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
10664 /* 3094 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
10665 /* 3104 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
10666 /* 3114 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
10667 /* 3124 */ 's', 'e', 't', 'e', 9, 0,
10668 /* 3130 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 9, 0,
10669 /* 3139 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
10670 /* 3150 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
10671 /* 3158 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
10672 /* 3166 */ 'c', 'm', 'o', 'v', 'e', 9, 0,
10673 /* 3173 */ 'b', 's', 'f', 9, 0,
10674 /* 3178 */ 'r', 'e', 't', 'f', 9, 0,
10675 /* 3184 */ 'n', 'e', 'g', 9, 0,
10676 /* 3189 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 9, 0,
10677 /* 3198 */ 'j', 'g', 9, 0,
10678 /* 3202 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
10679 /* 3210 */ 's', 'e', 't', 'g', 9, 0,
10680 /* 3216 */ 'c', 'm', 'o', 'v', 'g', 9, 0,
10681 /* 3223 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
10682 /* 3233 */ 'f', 'x', 'c', 'h', 9, 0,
10683 /* 3239 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
10684 /* 3250 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
10685 /* 3261 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
10686 /* 3273 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
10687 /* 3282 */ 'p', 'u', 's', 'h', 9, 0,
10688 /* 3288 */ 'b', 'z', 'h', 'i', 9, 0,
10689 /* 3294 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
10690 /* 3301 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
10691 /* 3309 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
10692 /* 3320 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
10693 /* 3330 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
10694 /* 3341 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
10695 /* 3351 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
10696 /* 3359 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
10697 /* 3368 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
10698 /* 3380 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
10699 /* 3392 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
10700 /* 3404 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
10701 /* 3415 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
10702 /* 3427 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
10703 /* 3438 */ 'b', 'l', 's', 'i', 9, 0,
10704 /* 3444 */ 'm', 'o', 'v', 'n', 't', 'i', 9, 0,
10705 /* 3452 */ 'b', 'l', 's', 'm', 's', 'k', 9, 0,
10706 /* 3460 */ 'r', 'c', 'l', 9, 0,
10707 /* 3465 */ 's', 'h', 'l', 9, 0,
10708 /* 3470 */ 'j', 'l', 9, 0,
10709 /* 3474 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
10710 /* 3481 */ 'r', 'o', 'l', 9, 0,
10711 /* 3486 */ 'a', 'r', 'p', 'l', 9, 0,
10712 /* 3492 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
10713 /* 3503 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
10714 /* 3515 */ 'l', 's', 'l', 9, 0,
10715 /* 3520 */ 's', 'e', 't', 'l', 9, 0,
10716 /* 3526 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
10717 /* 3533 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
10718 /* 3540 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
10719 /* 3547 */ 'a', 'a', 'm', 9, 0,
10720 /* 3552 */ 'f', 'c', 'o', 'm', 9, 0,
10721 /* 3558 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
10722 /* 3565 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
10723 /* 3572 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
10724 /* 3580 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
10725 /* 3592 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
10726 /* 3604 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
10727 /* 3612 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
10728 /* 3620 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
10729 /* 3627 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
10730 /* 3634 */ 'j', 'o', 9, 0,
10731 /* 3638 */ 'j', 'n', 'o', 9, 0,
10732 /* 3643 */ 's', 'e', 't', 'n', 'o', 9, 0,
10733 /* 3650 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
10734 /* 3658 */ 's', 'e', 't', 'o', 9, 0,
10735 /* 3664 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
10736 /* 3671 */ 'b', 's', 'w', 'a', 'p', 9, 0,
10737 /* 3678 */ 'f', 's', 'u', 'b', 'p', 9, 0,
10738 /* 3685 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
10739 /* 3692 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
10740 /* 3699 */ 'p', 'd', 'e', 'p', 9, 0,
10741 /* 3705 */ 'j', 'p', 9, 0,
10742 /* 3709 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
10743 /* 3716 */ 'c', 'm', 'p', 9, 0,
10744 /* 3721 */ 'l', 'j', 'm', 'p', 9, 0,
10745 /* 3727 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
10746 /* 3734 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
10747 /* 3742 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
10748 /* 3750 */ 'j', 'n', 'p', 9, 0,
10749 /* 3755 */ 's', 'e', 't', 'n', 'p', 9, 0,
10750 /* 3762 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
10751 /* 3770 */ 'n', 'o', 'p', 9, 0,
10752 /* 3775 */ 'l', 'o', 'o', 'p', 9, 0,
10753 /* 3781 */ 'p', 'o', 'p', 9, 0,
10754 /* 3786 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
10755 /* 3794 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
10756 /* 3802 */ 's', 'e', 't', 'p', 9, 0,
10757 /* 3808 */ 'f', 'b', 's', 't', 'p', 9, 0,
10758 /* 3815 */ 'f', 's', 't', 'p', 9, 0,
10759 /* 3821 */ 'f', 'i', 's', 't', 'p', 9, 0,
10760 /* 3828 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
10761 /* 3836 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
10762 /* 3846 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
10763 /* 3857 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
10764 /* 3868 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
10765 /* 3884 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
10766 /* 3891 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
10767 /* 3898 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 9, 0,
10768 /* 3915 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 9, 0,
10769 /* 3925 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
10770 /* 3934 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
10771 /* 3942 */ 'v', 'p', 's', 'r', 'a', 'q', 9, 0,
10772 /* 3950 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
10773 /* 3960 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
10774 /* 3971 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
10775 /* 3979 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
10776 /* 3990 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
10777 /* 4001 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
10778 /* 4013 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
10779 /* 4024 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
10780 /* 4033 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
10781 /* 4045 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
10782 /* 4056 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
10783 /* 4066 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
10784 /* 4074 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
10785 /* 4084 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
10786 /* 4096 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
10787 /* 4108 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
10788 /* 4117 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
10789 /* 4126 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
10790 /* 4135 */ 'v', 'p', 'a', 'n', 'd', 'q', 9, 0,
10791 /* 4143 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
10792 /* 4156 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
10793 /* 4169 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
10794 /* 4181 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
10795 /* 4193 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 9, 0,
10796 /* 4206 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
10797 /* 4216 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 9, 0,
10798 /* 4229 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 9, 0,
10799 /* 4242 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
10800 /* 4253 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
10801 /* 4263 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
10802 /* 4274 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
10803 /* 4285 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
10804 /* 4294 */ 'f', 'x', 's', 'a', 'v', 'e', 'q', 9, 0,
10805 /* 4303 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
10806 /* 4311 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
10807 /* 4319 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
10808 /* 4327 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 9, 0,
10809 /* 4338 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
10810 /* 4346 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
10811 /* 4354 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 9, 0,
10812 /* 4364 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 9, 0,
10813 /* 4373 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 9, 0,
10814 /* 4382 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
10815 /* 4390 */ 'j', 'm', 'p', 'q', 9, 0,
10816 /* 4396 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
10817 /* 4406 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
10818 /* 4418 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 9, 0,
10819 /* 4431 */ 'v', 'p', 'o', 'r', 'q', 9, 0,
10820 /* 4438 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 'q', 9, 0,
10821 /* 4448 */ 'v', 'p', 'x', 'o', 'r', 'q', 9, 0,
10822 /* 4456 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
10823 /* 4465 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
10824 /* 4474 */ 'v', 'p', 'a', 'b', 's', 'q', 9, 0,
10825 /* 4482 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
10826 /* 4492 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
10827 /* 4500 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
10828 /* 4508 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
10829 /* 4517 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
10830 /* 4531 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
10831 /* 4540 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
10832 /* 4549 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 9, 0,
10833 /* 4558 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
10834 /* 4567 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
10835 /* 4576 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
10836 /* 4588 */ 'v', 'v', 'm', 'o', 'v', 'q', 9, 0,
10837 /* 4596 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
10838 /* 4606 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
10839 /* 4617 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
10840 /* 4628 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
10841 /* 4639 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
10842 /* 4648 */ 'l', 'a', 'r', 9, 0,
10843 /* 4653 */ 's', 'a', 'r', 9, 0,
10844 /* 4658 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
10845 /* 4666 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
10846 /* 4674 */ 'r', 'c', 'r', 9, 0,
10847 /* 4679 */ 'e', 'n', 't', 'e', 'r', 9, 0,
10848 /* 4686 */ 's', 'h', 'r', 9, 0,
10849 /* 4691 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
10850 /* 4701 */ 'v', 'p', 'o', 'r', 9, 0,
10851 /* 4707 */ 'r', 'o', 'r', 9, 0,
10852 /* 4712 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
10853 /* 4720 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
10854 /* 4729 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
10855 /* 4736 */ 'v', 'e', 'r', 'r', 9, 0,
10856 /* 4742 */ 'b', 's', 'r', 9, 0,
10857 /* 4747 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
10858 /* 4757 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
10859 /* 4767 */ 'b', 'l', 's', 'r', 9, 0,
10860 /* 4773 */ 'b', 't', 'r', 9, 0,
10861 /* 4778 */ 'l', 't', 'r', 9, 0,
10862 /* 4783 */ 's', 't', 'r', 9, 0,
10863 /* 4788 */ 'b', 'e', 'x', 't', 'r', 9, 0,
10864 /* 4795 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
10865 /* 4802 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
10866 /* 4810 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
10867 /* 4818 */ 'l', 'd', 's', 9, 0,
10868 /* 4823 */ 'l', 'e', 's', 9, 0,
10869 /* 4828 */ 'l', 'f', 's', 9, 0,
10870 /* 4833 */ 'l', 'g', 's', 9, 0,
10871 /* 4838 */ 'j', 's', 9, 0,
10872 /* 4842 */ 'j', 'n', 's', 9, 0,
10873 /* 4847 */ 's', 'e', 't', 'n', 's', 9, 0,
10874 /* 4854 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
10875 /* 4862 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
10876 /* 4878 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
10877 /* 4891 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
10878 /* 4905 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
10879 /* 4921 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
10880 /* 4934 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
10881 /* 4948 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
10882 /* 4964 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
10883 /* 4977 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
10884 /* 4991 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
10885 /* 5007 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
10886 /* 5020 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
10887 /* 5034 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
10888 /* 5045 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
10889 /* 5056 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 9, 0,
10890 /* 5067 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
10891 /* 5077 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
10892 /* 5089 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
10893 /* 5100 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 9, 0,
10894 /* 5112 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
10895 /* 5128 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
10896 /* 5141 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
10897 /* 5155 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
10898 /* 5171 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
10899 /* 5184 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
10900 /* 5198 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 9, 0,
10901 /* 5208 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 9, 0,
10902 /* 5220 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
10903 /* 5229 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
10904 /* 5242 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
10905 /* 5253 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
10906 /* 5262 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
10907 /* 5272 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
10908 /* 5283 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
10909 /* 5291 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
10910 /* 5304 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
10911 /* 5313 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
10912 /* 5323 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
10913 /* 5334 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
10914 /* 5342 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
10915 /* 5350 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
10916 /* 5360 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
10917 /* 5370 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
10918 /* 5382 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 9, 0,
10919 /* 5395 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 9, 0,
10920 /* 5408 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
10921 /* 5417 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
10922 /* 5428 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
10923 /* 5438 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
10924 /* 5447 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
10925 /* 5458 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
10926 /* 5468 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
10927 /* 5479 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
10928 /* 5490 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
10929 /* 5498 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
10930 /* 5507 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 9, 0,
10931 /* 5518 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
10932 /* 5527 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
10933 /* 5536 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
10934 /* 5544 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
10935 /* 5552 */ 'v', 'd', 'p', 'p', 's', 9, 0,
10936 /* 5559 */ 'v', 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
10937 /* 5568 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
10938 /* 5580 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 9, 0,
10939 /* 5593 */ 'v', 'o', 'r', 'p', 's', 9, 0,
10940 /* 5600 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
10941 /* 5608 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
10942 /* 5620 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
10943 /* 5630 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
10944 /* 5641 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
10945 /* 5651 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
10946 /* 5660 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
10947 /* 5669 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
10948 /* 5678 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
10949 /* 5689 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
10950 /* 5697 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
10951 /* 5709 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
10952 /* 5717 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
10953 /* 5726 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
10954 /* 5739 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
10955 /* 5753 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
10956 /* 5766 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
10957 /* 5780 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
10958 /* 5793 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
10959 /* 5807 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
10960 /* 5820 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
10961 /* 5834 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
10962 /* 5845 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
10963 /* 5856 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
10964 /* 5869 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
10965 /* 5883 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
10966 /* 5896 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
10967 /* 5910 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 9, 0,
10968 /* 5920 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 9, 0,
10969 /* 5932 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
10970 /* 5942 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
10971 /* 5953 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
10972 /* 5961 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
10973 /* 5971 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
10974 /* 5982 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
10975 /* 5990 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
10976 /* 6000 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 9, 0,
10977 /* 6013 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
10978 /* 6023 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
10979 /* 6032 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
10980 /* 6040 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
10981 /* 6048 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
10982 /* 6056 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
10983 /* 6064 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
10984 /* 6073 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
10985 /* 6083 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
10986 /* 6092 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
10987 /* 6106 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
10988 /* 6114 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
10989 /* 6122 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
10990 /* 6130 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
10991 /* 6139 */ 'b', 't', 's', 9, 0,
10992 /* 6144 */ 's', 'e', 't', 's', 9, 0,
10993 /* 6150 */ 'c', 'm', 'o', 'v', 's', 9, 0,
10994 /* 6157 */ 'b', 't', 9, 0,
10995 /* 6161 */ 'l', 'g', 'd', 't', 9, 0,
10996 /* 6167 */ 's', 'g', 'd', 't', 9, 0,
10997 /* 6173 */ 'l', 'i', 'd', 't', 9, 0,
10998 /* 6179 */ 's', 'i', 'd', 't', 9, 0,
10999 /* 6185 */ 'l', 'l', 'd', 't', 9, 0,
11000 /* 6191 */ 's', 'l', 'd', 't', 9, 0,
11001 /* 6197 */ 'r', 'e', 't', 9, 0,
11002 /* 6202 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
11003 /* 6211 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
11004 /* 6219 */ 'l', 'z', 'c', 'n', 't', 9, 0,
11005 /* 6226 */ 't', 'z', 'c', 'n', 't', 9, 0,
11006 /* 6233 */ 'i', 'n', 't', 9, 0,
11007 /* 6238 */ 'n', 'o', 't', 9, 0,
11008 /* 6243 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
11009 /* 6251 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
11010 /* 6261 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
11011 /* 6269 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
11012 /* 6278 */ 'v', 's', 'q', 'r', 't', 9, 0,
11013 /* 6285 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
11014 /* 6298 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
11015 /* 6311 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
11016 /* 6319 */ 'f', 's', 't', 9, 0,
11017 /* 6324 */ 'f', 'i', 's', 't', 9, 0,
11018 /* 6330 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
11019 /* 6348 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
11020 /* 6357 */ 'o', 'u', 't', 9, 0,
11021 /* 6362 */ 'p', 'e', 'x', 't', 9, 0,
11022 /* 6368 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
11023 /* 6376 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
11024 /* 6389 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
11025 /* 6398 */ 'f', 'd', 'i', 'v', 9, 0,
11026 /* 6404 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
11027 /* 6411 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
11028 /* 6419 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
11029 /* 6428 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
11030 /* 6436 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
11031 /* 6444 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
11032 /* 6452 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
11033 /* 6462 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
11034 /* 6472 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
11035 /* 6481 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
11036 /* 6491 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
11037 /* 6503 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 9, 0,
11038 /* 6513 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
11039 /* 6525 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
11040 /* 6536 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
11041 /* 6545 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
11042 /* 6553 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
11043 /* 6564 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
11044 /* 6575 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
11045 /* 6582 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
11046 /* 6590 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
11047 /* 6599 */ 'k', 'a', 'd', 'd', 'w', 9, 0,
11048 /* 6606 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
11049 /* 6614 */ 'k', 'a', 'n', 'd', 'w', 9, 0,
11050 /* 6621 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
11051 /* 6631 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
11052 /* 6642 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
11053 /* 6653 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 9, 0,
11054 /* 6664 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 9, 0,
11055 /* 6674 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 9, 0,
11056 /* 6683 */ 'p', 'i', '2', 'f', 'w', 9, 0,
11057 /* 6690 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
11058 /* 6698 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
11059 /* 6706 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
11060 /* 6717 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
11061 /* 6727 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
11062 /* 6736 */ 'p', 'f', '2', 'i', 'w', 9, 0,
11063 /* 6743 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
11064 /* 6753 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
11065 /* 6761 */ 'c', 'a', 'l', 'l', 'w', 9, 0,
11066 /* 6768 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
11067 /* 6776 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
11068 /* 6785 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
11069 /* 6793 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 9, 0,
11070 /* 6803 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
11071 /* 6811 */ 'k', 'a', 'n', 'd', 'n', 'w', 9, 0,
11072 /* 6819 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
11073 /* 6828 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
11074 /* 6838 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 9, 0,
11075 /* 6849 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 9, 0,
11076 /* 6859 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 9, 0,
11077 /* 6868 */ 'v', 'e', 'r', 'w', 9, 0,
11078 /* 6874 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
11079 /* 6883 */ 'k', 'o', 'r', 'w', 9, 0,
11080 /* 6889 */ 'k', 'x', 'n', 'o', 'r', 'w', 9, 0,
11081 /* 6897 */ 'k', 'x', 'o', 'r', 'w', 9, 0,
11082 /* 6904 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
11083 /* 6913 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 9, 0,
11084 /* 6923 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
11085 /* 6932 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
11086 /* 6940 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
11087 /* 6952 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
11088 /* 6962 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
11089 /* 6971 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
11090 /* 6981 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
11091 /* 6990 */ 'l', 'm', 's', 'w', 9, 0,
11092 /* 6996 */ 's', 'm', 's', 'w', 9, 0,
11093 /* 7002 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
11094 /* 7011 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
11095 /* 7022 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
11096 /* 7030 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
11097 /* 7040 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
11098 /* 7050 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
11099 /* 7059 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
11100 /* 7069 */ 'k', 'n', 'o', 't', 'w', 9, 0,
11101 /* 7076 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
11102 /* 7084 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
11103 /* 7098 */ 'k', 't', 'e', 's', 't', 'w', 9, 0,
11104 /* 7106 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 9, 0,
11105 /* 7116 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
11106 /* 7126 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
11107 /* 7135 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
11108 /* 7144 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
11109 /* 7157 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
11110 /* 7166 */ 'k', 'm', 'o', 'v', 'w', 9, 0,
11111 /* 7173 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
11112 /* 7183 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
11113 /* 7194 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
11114 /* 7201 */ 'a', 'd', 'c', 'x', 9, 0,
11115 /* 7207 */ 's', 'h', 'l', 'x', 9, 0,
11116 /* 7213 */ 'm', 'u', 'l', 'x', 9, 0,
11117 /* 7219 */ 'a', 'd', 'o', 'x', 9, 0,
11118 /* 7225 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
11119 /* 7238 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
11120 /* 7250 */ 's', 'a', 'r', 'x', 9, 0,
11121 /* 7256 */ 's', 'h', 'r', 'x', 9, 0,
11122 /* 7262 */ 'r', 'o', 'r', 'x', 9, 0,
11123 /* 7268 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
11124 /* 7280 */ 'm', 'o', 'v', 's', 'x', 9, 0,
11125 /* 7287 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
11126 /* 7294 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
11127 /* 7301 */ 'j', 'c', 'x', 'z', 9, 0,
11128 /* 7307 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
11129 /* 7314 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
11130 /* 7330 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
11131 /* 7345 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
11132 /* 7362 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
11133 /* 7378 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
11134 /* 7394 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
11135 /* 7409 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
11136 /* 7425 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
11137 /* 7440 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
11138 /* 7449 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
11139 /* 7458 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
11140 /* 7467 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
11141 /* 7476 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
11142 /* 7485 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
11143 /* 7493 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
11144 /* 7502 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
11145 /* 7511 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
11146 /* 7523 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
11147 /* 7533 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
11148 /* 7542 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
11149 /* 7551 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
11150 /* 7560 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
11151 /* 7569 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
11152 /* 7578 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
11153 /* 7587 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
11154 /* 7597 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
11155 /* 7605 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
11156 /* 7614 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
11157 /* 7623 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
11158 /* 7635 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
11159 /* 7645 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
11160 /* 7654 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
11161 /* 7664 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
11162 /* 7674 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
11163 /* 7684 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
11164 /* 7694 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
11165 /* 7704 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
11166 /* 7715 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
11167 /* 7724 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
11168 /* 7734 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
11169 /* 7744 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
11170 /* 7757 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
11171 /* 7768 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
11172 /* 7778 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
11173 /* 7788 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
11174 /* 7798 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
11175 /* 7808 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
11176 /* 7818 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
11177 /* 7828 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
11178 /* 7839 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
11179 /* 7849 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
11180 /* 7859 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
11181 /* 7872 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
11182 /* 7883 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
11183 /* 7894 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
11184 /* 7917 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
11185 /* 7941 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11186 /* 7961 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11187 /* 7981 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11188 /* 8001 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11189 /* 8022 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11190 /* 8043 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11191 /* 8064 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11192 /* 8086 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11193 /* 8107 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11194 /* 8129 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11195 /* 8151 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11196 /* 8171 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11197 /* 8192 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11198 /* 8213 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11199 /* 8235 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11200 /* 8254 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11201 /* 8274 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11202 /* 8294 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11203 /* 8314 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11204 /* 8333 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11205 /* 8353 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11206 /* 8373 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11207 /* 8392 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11208 /* 8410 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11209 /* 8429 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11210 /* 8448 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11211 /* 8468 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11212 /* 8487 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11213 /* 8507 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11214 /* 8527 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11215 /* 8547 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11216 /* 8567 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11217 /* 8587 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11218 /* 8606 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11219 /* 8626 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11220 /* 8646 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11221 /* 8665 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11222 /* 8683 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11223 /* 8702 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11224 /* 8721 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11225 /* 8741 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11226 /* 8760 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11227 /* 8780 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11228 /* 8799 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11229 /* 8819 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11230 /* 8837 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11231 /* 8856 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11232 /* 8875 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11233 /* 8895 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11234 /* 8913 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11235 /* 8932 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11236 /* 8950 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11237 /* 8969 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11238 /* 8987 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11239 /* 9004 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11240 /* 9022 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11241 /* 9040 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11242 /* 9059 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11243 /* 9080 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
11244 /* 9101 */ 'x', 's', 'h', 'a', '1', 0,
11245 /* 9107 */ 'f', 'l', 'd', '1', 0,
11246 /* 9112 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
11247 /* 9119 */ 'f', '2', 'x', 'm', '1', 0,
11248 /* 9125 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
11249 /* 9133 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
11250 /* 9152 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
11251 /* 9170 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
11252 /* 9183 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
11253 /* 9196 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
11254 /* 9214 */ 'u', 'd', '2', 0,
11255 /* 9218 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
11256 /* 9225 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
11257 /* 9232 */ 'i', 'n', 't', '3', 0,
11258 /* 9237 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
11259 /* 9256 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
11260 /* 9274 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
11261 /* 9287 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
11262 /* 9300 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
11263 /* 9318 */ 'r', 'e', 'x', '6', '4', 0,
11264 /* 9324 */ 'd', 'a', 't', 'a', '1', '6', 0,
11265 /* 9331 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
11266 /* 9339 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
11267 /* 9352 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
11268 /* 9359 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
11269 /* 9369 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
11270 /* 9378 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
11271 /* 9396 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
11272 /* 9412 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
11273 /* 9424 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
11274 /* 9439 */ 'a', 'a', 'a', 0,
11275 /* 9443 */ 'd', 'a', 'a', 0,
11276 /* 9447 */ 'p', 'u', 's', 'h', 'a', 0,
11277 /* 9453 */ 'p', 'o', 'p', 'a', 0,
11278 /* 9458 */ 'u', 'd', '2', 'b', 0,
11279 /* 9463 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
11280 /* 9473 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
11281 /* 9483 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
11282 /* 9493 */ 's', 'c', 'a', 's', 'b', 0,
11283 /* 9499 */ 'l', 'o', 'd', 's', 'b', 0,
11284 /* 9505 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
11285 /* 9515 */ 'c', 'm', 'p', 's', 'b', 0,
11286 /* 9521 */ 'o', 'u', 't', 's', 'b', 0,
11287 /* 9527 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
11288 /* 9537 */ 'x', 'l', 'a', 't', 'b', 0,
11289 /* 9543 */ 'c', 'l', 'a', 'c', 0,
11290 /* 9548 */ 's', 't', 'a', 'c', 0,
11291 /* 9553 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
11292 /* 9563 */ 'c', 'l', 'c', 0,
11293 /* 9567 */ 'c', 'm', 'c', 0,
11294 /* 9571 */ 'r', 'd', 'p', 'm', 'c', 0,
11295 /* 9577 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
11296 /* 9584 */ 'r', 'd', 't', 's', 'c', 0,
11297 /* 9590 */ 's', 't', 'c', 0,
11298 /* 9594 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
11299 /* 9601 */ 'p', 'o', 'p', 'f', 'd', 0,
11300 /* 9607 */ 'c', 'p', 'u', 'i', 'd', 0,
11301 /* 9613 */ 'c', 'l', 'd', 0,
11302 /* 9617 */ 'x', 'e', 'n', 'd', 0,
11303 /* 9622 */ 's', 'c', 'a', 's', 'd', 0,
11304 /* 9628 */ 'l', 'o', 'd', 's', 'd', 0,
11305 /* 9634 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
11306 /* 9644 */ 'c', 'm', 'p', 's', 'd', 0,
11307 /* 9650 */ 'o', 'u', 't', 's', 'd', 0,
11308 /* 9656 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
11309 /* 9666 */ 'i', 'r', 'e', 't', 'd', 0,
11310 /* 9672 */ 's', 't', 'd', 0,
11311 /* 9676 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
11312 /* 9683 */ 'c', 'w', 'd', 0,
11313 /* 9687 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
11314 /* 9694 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
11315 /* 9701 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
11316 /* 9708 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
11317 /* 9715 */ 'c', 'w', 'd', 'e', 0,
11318 /* 9720 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
11319 /* 9727 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
11320 /* 9736 */ 'r', 'e', 'p', 'n', 'e', 0,
11321 /* 9742 */ 'c', 'd', 'q', 'e', 0,
11322 /* 9747 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
11323 /* 9756 */ 'x', 's', 't', 'o', 'r', 'e', 0,
11324 /* 9763 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
11325 /* 9772 */ 'p', 'a', 'u', 's', 'e', 0,
11326 /* 9778 */ 'l', 'e', 'a', 'v', 'e', 0,
11327 /* 9784 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
11328 /* 9791 */ 'l', 'a', 'h', 'f', 0,
11329 /* 9796 */ 's', 'a', 'h', 'f', 0,
11330 /* 9801 */ 'p', 'u', 's', 'h', 'f', 0,
11331 /* 9807 */ 'p', 'o', 'p', 'f', 0,
11332 /* 9812 */ 'r', 'e', 't', 'f', 0,
11333 /* 9817 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
11334 /* 9826 */ 'c', 'l', 'g', 'i', 0,
11335 /* 9831 */ 's', 't', 'g', 'i', 0,
11336 /* 9836 */ 'c', 'l', 'i', 0,
11337 /* 9840 */ 'f', 'l', 'd', 'p', 'i', 0,
11338 /* 9846 */ 's', 't', 'i', 0,
11339 /* 9850 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
11340 /* 9865 */ 'l', 'o', 'c', 'k', 0,
11341 /* 9870 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
11342 /* 9881 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
11343 /* 9889 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
11344 /* 9896 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
11345 /* 9904 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
11346 /* 9913 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
11347 /* 9921 */ 'f', 'x', 'a', 'm', 0,
11348 /* 9926 */ 'f', 'p', 'r', 'e', 'm', 0,
11349 /* 9932 */ 'r', 's', 'm', 0,
11350 /* 9936 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
11351 /* 9943 */ 'f', 'p', 't', 'a', 'n', 0,
11352 /* 9949 */ 'f', 's', 'i', 'n', 0,
11353 /* 9954 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
11354 /* 9981 */ 'c', 'q', 'o', 0,
11355 /* 9985 */ 'i', 'n', 't', 'o', 0,
11356 /* 9990 */ 'r', 'd', 't', 's', 'c', 'p', 0,
11357 /* 9997 */ 'r', 'e', 'p', 0,
11358 /* 10001 */ 'v', 'p', 'c', 'm', 'p', 0,
11359 /* 10007 */ 'v', 'c', 'm', 'p', 0,
11360 /* 10012 */ 'f', 'n', 'o', 'p', 0,
11361 /* 10017 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
11362 /* 10024 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
11363 /* 10032 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
11364 /* 10040 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
11365 /* 10048 */ 'c', 'd', 'q', 0,
11366 /* 10052 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
11367 /* 10059 */ 'p', 'o', 'p', 'f', 'q', 0,
11368 /* 10065 */ 's', 'c', 'a', 's', 'q', 0,
11369 /* 10071 */ 'l', 'o', 'd', 's', 'q', 0,
11370 /* 10077 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
11371 /* 10087 */ 'c', 'm', 'p', 's', 'q', 0,
11372 /* 10093 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
11373 /* 10103 */ 'i', 'r', 'e', 't', 'q', 0,
11374 /* 10109 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
11375 /* 10120 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
11376 /* 10129 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
11377 /* 10137 */ 'r', 'd', 'm', 's', 'r', 0,
11378 /* 10143 */ 'w', 'r', 'm', 's', 'r', 0,
11379 /* 10149 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
11380 /* 10159 */ 'a', 'a', 's', 0,
11381 /* 10163 */ 'd', 'a', 's', 0,
11382 /* 10167 */ 'f', 'a', 'b', 's', 0,
11383 /* 10172 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
11384 /* 10180 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
11385 /* 10188 */ 'p', 'o', 'p', 9, 'd', 's', 0,
11386 /* 10195 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
11387 /* 10203 */ 'p', 'o', 'p', 9, 'e', 's', 0,
11388 /* 10210 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
11389 /* 10218 */ 'p', 'o', 'p', 9, 'f', 's', 0,
11390 /* 10225 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
11391 /* 10233 */ 'p', 'o', 'p', 9, 'g', 's', 0,
11392 /* 10240 */ 's', 'w', 'a', 'p', 'g', 's', 0,
11393 /* 10247 */ 'f', 'c', 'h', 's', 0,
11394 /* 10252 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
11395 /* 10297 */ 'f', 'e', 'm', 'm', 's', 0,
11396 /* 10303 */ 'i', 'n', 's', 0,
11397 /* 10307 */ 'f', 'c', 'o', 's', 0,
11398 /* 10312 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
11399 /* 10320 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
11400 /* 10328 */ 'p', 'o', 'p', 9, 's', 's', 0,
11401 /* 10335 */ 'c', 'l', 't', 's', 0,
11402 /* 10340 */ 'f', 'l', 'd', 'l', '2', 't', 0,
11403 /* 10347 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
11404 /* 10355 */ 'i', 'r', 'e', 't', 0,
11405 /* 10360 */ 's', 'y', 's', 'r', 'e', 't', 0,
11406 /* 10367 */ 'm', 'w', 'a', 'i', 't', 0,
11407 /* 10373 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
11408 /* 10380 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
11409 /* 10388 */ 'h', 'l', 't', 0,
11410 /* 10392 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
11411 /* 10400 */ 'f', 's', 'q', 'r', 't', 0,
11412 /* 10406 */ 'x', 't', 'e', 's', 't', 0,
11413 /* 10412 */ 'f', 't', 's', 't', 0,
11414 /* 10417 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
11415 /* 10424 */ 'x', 's', 'e', 't', 'b', 'v', 0,
11416 /* 10431 */ 'c', 'b', 'w', 0,
11417 /* 10435 */ 's', 'c', 'a', 's', 'w', 0,
11418 /* 10441 */ 'l', 'o', 'd', 's', 'w', 0,
11419 /* 10447 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
11420 /* 10457 */ 'c', 'm', 'p', 's', 'w', 0,
11421 /* 10463 */ 'o', 'u', 't', 's', 'w', 0,
11422 /* 10469 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
11423 /* 10479 */ 'f', 'y', 'l', '2', 'x', 0,
11424 /* 10485 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
11425 /* 10495 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
11426 /* 10506 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
11427 /* 10517 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
11428 /* 10528 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
11429 /* 10538 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
11430 /* 10549 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
11431 /* 10561 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
11432 /* 10572 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
11433 /* 10583 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
11434 /* 10593 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
11435 /* 10610 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
11436 /* 10627 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
11437 /* 10637 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
11438 /* 10647 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
11439 /* 10658 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
11440 /* 10665 */ 'f', 'l', 'd', 'z', 0,
11441 };
11442
11443 // Emit the opcode for the instruction.
11444 uint64_t Bits1 = OpInfo[MCInst_getOpcode(MI)];
11445 uint64_t Bits2 = OpInfo2[MCInst_getOpcode(MI)];
11446 uint64_t Bits = (Bits2 << 32) | Bits1;
11447 //assert(Bits != 0 && "Cannot print this instruction.");
11448 SStream_concat(O, AsmStrs+(Bits & 16383)-1);
11449
11450
11451 // printf("F0: %lu\n", (Bits >> 14) & 63);
11452 // Fragment 0 encoded into 5 bits for 25 unique commands.
11453 switch ((Bits >> 14) & 63) {
11454 default: // unreachable.
11455 case 0:
11456 // DBG_VALUE, BUNDLE, LIFETIME_START, LIFETIME_END, AAA, AAS, ABS_F, ACQU...
11457 return;
11458 break;
11459 case 1:
11460 // AAD8i8, AAM8i8, ADC16i16, ADC16rr_REV, ADC32i32, ADC32rr_REV, ADC64i32...
11461 printOperand(MI, 0, O);
11462 break;
11463 case 2:
11464 // ADC16mi, ADC16mi8, ADC16mr, ADD16mi, ADD16mi8, ADD16mr, ADD_FI16m, AND...
11465 printi16mem(MI, 0, O);
11466 break;
11467 case 3:
11468 // ADC16ri, ADC16ri8, ADC16rm, ADC16rr, ADC32ri, ADC32ri8, ADC32rm, ADC32...
11469 printOperand(MI, 1, O);
11470 SStream_concat(O, ", ");
11471 break;
11472 case 4:
11473 // ADC32mi, ADC32mi8, ADC32mr, ADD32mi, ADD32mi8, ADD32mr, ADD_FI32m, AND...
11474 printi32mem(MI, 0, O);
11475 break;
11476 case 5:
11477 // ADC64mi32, ADC64mi8, ADC64mr, ADD64mi32, ADD64mi8, ADD64mr, AND64mi32,...
11478 printi64mem(MI, 0, O);
11479 break;
11480 case 6:
11481 // ADC8mi, ADC8mr, ADD8mi, ADD8mr, AND8mi, AND8mr, CLFLUSH, CMP8mi, CMP8m...
11482 printi8mem(MI, 0, O);
11483 break;
11484 case 7:
11485 // ADD_F32m, DIVR_F32m, DIV_F32m, EXTRACTPSmr, FBLDm, FBSTPm, FCOM32m, FC...
11486 printf32mem(MI, 0, O);
11487 break;
11488 case 8:
11489 // ADD_F64m, DIVR_F64m, DIV_F64m, FCOM64m, FCOMP64m, LD_F64m, MOVHPDmr, M...
11490 printf64mem(MI, 0, O);
11491 break;
11492 case 9:
11493 // CALL64pcrel32, CALLpcrel16, CALLpcrel32, EH_SjLj_Setup, JAE_1, JAE_4, ...
11494 printPCRelImm(MI, 0, O);
11495 break;
11496 case 10:
11497 // CMPPDrmi, CMPPSrmi, CMPSDrm, CMPSSrm, Int_CMPSDrm, Int_CMPSSrm
11498 printSSECC(MI, 7, O);
11499 break;
11500 case 11:
11501 // CMPPDrri, CMPPSrri, CMPSDrr, CMPSSrr, Int_CMPSDrr, Int_CMPSSrr
11502 printSSECC(MI, 3, O);
11503 break;
11504 case 12:
11505 // CMPXCHG16B, LCMPXCHG16B, MOVDQAmr, MOVDQUmr, VEXTRACTI128mr, VEXTRACTI...
11506 printi128mem(MI, 0, O);
11507 break;
11508 case 13:
11509 // FARCALL16m, FARCALL32m, FARCALL64, FARJMP16m, FARJMP32m, FARJMP64, FXR...
11510 printopaquemem(MI, 0, O);
11511 return;
11512 break;
11513 case 14:
11514 // Int_VCMPSDrm, Int_VCMPSSrm, VCMPPDYrmi, VCMPPDZrmi, VCMPPDrmi, VCMPPSY...
11515 printAVXCC(MI, 7, O);
11516 break;
11517 case 15:
11518 // Int_VCMPSDrr, Int_VCMPSSrr, VCMPPDYrri, VCMPPDZrri, VCMPPDrri, VCMPPSY...
11519 printAVXCC(MI, 3, O);
11520 break;
11521 case 16:
11522 // LD_F80m, ST_FP80m
11523 printf80mem(MI, 0, O);
11524 return;
11525 break;
11526 case 17:
11527 // LXADD16, XCHG16rm
11528 printi16mem(MI, 2, O);
11529 SStream_concat(O, ", ");
11530 printOperand(MI, 1, O);
11531 return;
11532 break;
11533 case 18:
11534 // LXADD32, XCHG32rm
11535 printi32mem(MI, 2, O);
11536 SStream_concat(O, ", ");
11537 printOperand(MI, 1, O);
11538 return;
11539 break;
11540 case 19:
11541 // LXADD64, XCHG64rm
11542 printi64mem(MI, 2, O);
11543 SStream_concat(O, ", ");
11544 printOperand(MI, 1, O);
11545 return;
11546 break;
11547 case 20:
11548 // LXADD8, XCHG8rm
11549 printi8mem(MI, 2, O);
11550 SStream_concat(O, ", ");
11551 printOperand(MI, 1, O);
11552 return;
11553 break;
11554 case 21:
11555 // MOV16ao16, MOV16o16a, MOV64ao16, MOV64o16a
11556 printMemOffs16(MI, 0, O);
11557 break;
11558 case 22:
11559 // MOV32ao32, MOV32o32a, MOV64ao32, MOV64o32a
11560 printMemOffs32(MI, 0, O);
11561 break;
11562 case 23:
11563 // MOV64ao64, MOV64o64a
11564 printMemOffs64(MI, 0, O);
11565 break;
11566 case 24:
11567 // MOV64ao8, MOV64o8a, MOV8ao8, MOV8o8a
11568 printMemOffs8(MI, 0, O);
11569 break;
11570 case 25:
11571 // MOVAPDmr, MOVAPSmr, MOVNTDQmr, MOVNTPDmr, MOVNTPSmr, MOVUPDmr, MOVUPSm...
11572 printf128mem(MI, 0, O);
11573 SStream_concat(O, ", ");
11574 printOperand(MI, 5, O);
11575 break;
11576 case 26:
11577 // VEXTRACTF64x4mr, VMASKMOVPDYmr, VMASKMOVPSYmr, VMOVAPDYmr, VMOVAPSYmr,...
11578 printf256mem(MI, 0, O);
11579 SStream_concat(O, ", ");
11580 printOperand(MI, 5, O);
11581 break;
11582 case 27:
11583 // VEXTRACTI64x4mr, VMOVDQAYmr, VMOVDQUYmr, VPMASKMOVDYmr, VPMASKMOVQYmr,...
11584 printi256mem(MI, 0, O);
11585 SStream_concat(O, ", ");
11586 printOperand(MI, 5, O);
11587 break;
11588 case 28:
11589 // VMOVAPDZmr, VMOVAPSZmr, VMOVUPDZmr, VMOVUPSZmr
11590 printf512mem(MI, 0, O);
11591 SStream_concat(O, ", ");
11592 printOperand(MI, 5, O);
11593 return;
11594 break;
11595 case 29:
11596 // VMOVDQA32mr, VMOVDQA64mr
11597 printi512mem(MI, 0, O);
11598 SStream_concat(O, ", ");
11599 printOperand(MI, 5, O);
11600 return;
11601 break;
11602 case 30:
11603 // VPSCATTERDDZmr, VSCATTERDPSZmr
11604 printi32mem(MI, 1, O);
11605 SStream_concat(O, " {");
11606 printOperand(MI, 6, O);
11607 SStream_concat(O, "}, ");
11608 printOperand(MI, 7, O);
11609 return;
11610 break;
11611 case 31:
11612 // VPSCATTERDQZmr, VPSCATTERQDZmr, VPSCATTERQQZmr, VSCATTERDPDZmr, VSCATT...
11613 printi64mem(MI, 1, O);
11614 SStream_concat(O, " {");
11615 printOperand(MI, 6, O);
11616 SStream_concat(O, "}, ");
11617 printOperand(MI, 7, O);
11618 return;
11619 break;
11620 case 32:
11621 // XCHG16rr, XCHG32rr, XCHG64rr, XCHG8rr
11622 printOperand(MI, 2, O);
11623 SStream_concat(O, ", ");
11624 printOperand(MI, 1, O);
11625 return;
11626 break;
11627 }
11628
11629
11630 // printf("F1: %lu\n", (Bits >> 20) & 31);
11631 // Fragment 1 encoded into 5 bits for 23 unique commands.
11632 switch ((Bits >> 20) & 31) {
11633 default: // unreachable.
11634 case 0:
11635 // AAD8i8, AAM8i8, ADC16i16, ADC32i32, ADC64i32, ADC8i8, ADD16i16, ADD32i...
11636 return;
11637 break;
11638 case 1:
11639 // ADC16mi, ADC16mi8, ADC16mr, ADC16rr_REV, ADC32mi, ADC32mi8, ADC32mr, A...
11640 SStream_concat(O, ", ");
11641 break;
11642 case 2:
11643 // ADC16ri, ADC16ri8, ADC16rr, ADC32ri, ADC32ri8, ADC32rr, ADC64ri32, ADC...
11644 printOperand(MI, 2, O);
11645 break;
11646 case 3:
11647 // ADC16rm, ADD16rm, AND16rm, CRC32r32m16, OR16rm, SBB16rm, SUB16rm, XOR1...
11648 printi16mem(MI, 2, O);
11649 return;
11650 break;
11651 case 4:
11652 // ADC32rm, ADD32rm, AND32rm, CRC32r32m32, OR32rm, SBB32rm, SUB32rm, XOR3...
11653 printi32mem(MI, 2, O);
11654 return;
11655 break;
11656 case 5:
11657 // ADC64rm, ADD64rm, AND64rm, CRC32r64m64, OR64rm, SBB64rm, SUB64rm, XOR6...
11658 printi64mem(MI, 2, O);
11659 return;
11660 break;
11661 case 6:
11662 // ADC8rm, ADD8rm, AND8rm, CRC32r32m8, CRC32r64m8, OR8rm, SBB8rm, SUB8rm,...
11663 printi8mem(MI, 2, O);
11664 return;
11665 break;
11666 case 7:
11667 // ADD_FrST0, DIVR_FrST0, DIV_FrST0, MUL_FrST0, SUBR_FrST0, SUB_FrST0
11668 SStream_concat(O, ", st(0)");
11669 return;
11670 break;
11671 case 8:
11672 // CMPPDrmi, CMPPDrri, VCMPPDYrmi, VCMPPDYrri, VCMPPDZrmi, VCMPPDZrri, VC...
11673 SStream_concat(O, "pd\t");
11674 printOperand(MI, 0, O);
11675 SStream_concat(O, ", ");
11676 break;
11677 case 9:
11678 // CMPPSrmi, CMPPSrri, VCMPPSYrmi, VCMPPSYrri, VCMPPSZrmi, VCMPPSZrri, VC...
11679 SStream_concat(O, "ps\t");
11680 printOperand(MI, 0, O);
11681 SStream_concat(O, ", ");
11682 break;
11683 case 10:
11684 // CMPSDrm, CMPSDrr, Int_CMPSDrm, Int_CMPSDrr, Int_VCMPSDrm, Int_VCMPSDrr...
11685 SStream_concat(O, "sd\t");
11686 printOperand(MI, 0, O);
11687 SStream_concat(O, ", ");
11688 break;
11689 case 11:
11690 // CMPSSrm, CMPSSrr, Int_CMPSSrm, Int_CMPSSrr, Int_VCMPSSrm, Int_VCMPSSrr...
11691 SStream_concat(O, "ss\t");
11692 printOperand(MI, 0, O);
11693 SStream_concat(O, ", ");
11694 break;
11695 case 12:
11696 // MOV16ao16, MOV64ao16, OUT16ir
11697 SStream_concat(O, ", ax");
11698 return;
11699 break;
11700 case 13:
11701 // MOV32ao32, MOV64ao32, OUT32ir
11702 SStream_concat(O, ", eax");
11703 return;
11704 break;
11705 case 14:
11706 // MOV64ao64
11707 SStream_concat(O, ", rax");
11708 return;
11709 break;
11710 case 15:
11711 // MOV64ao8, MOV8ao8, OUT8ir
11712 SStream_concat(O, ", al");
11713 return;
11714 break;
11715 case 16:
11716 // RCL16mCL, RCL16rCL, RCL32mCL, RCL32rCL, RCL64mCL, RCL64rCL, RCL8mCL, R...
11717 SStream_concat(O, ", cl");
11718 return;
11719 break;
11720 case 17:
11721 // TAILJMPd, TAILJMPd64, TAILJMPm, TAILJMPm64, TAILJMPr64
11722 SStream_concat(O, " # TAILCALL");
11723 return;
11724 break;
11725 case 18:
11726 // VBLENDMPDZrr, VBLENDMPSZrr, VGATHERDPDZrm, VGATHERDPSZrm, VGATHERQPDZr...
11727 SStream_concat(O, " {");
11728 break;
11729 case 19:
11730 // VPCMPDZrmi, VPCMPDZrri
11731 SStream_concat(O, "d\t");
11732 printOperand(MI, 0, O);
11733 SStream_concat(O, ", ");
11734 printOperand(MI, 1, O);
11735 SStream_concat(O, ", ");
11736 break;
11737 case 20:
11738 // VPCMPQZrmi, VPCMPQZrri
11739 SStream_concat(O, "q\t");
11740 printOperand(MI, 0, O);
11741 SStream_concat(O, ", ");
11742 printOperand(MI, 1, O);
11743 SStream_concat(O, ", ");
11744 break;
11745 case 21:
11746 // VPCMPUDZrmi, VPCMPUDZrri
11747 SStream_concat(O, "ud\t");
11748 printOperand(MI, 0, O);
11749 SStream_concat(O, ", ");
11750 printOperand(MI, 1, O);
11751 SStream_concat(O, ", ");
11752 break;
11753 case 22:
11754 // VPCMPUQZrmi, VPCMPUQZrri
11755 SStream_concat(O, "uq\t");
11756 printOperand(MI, 0, O);
11757 SStream_concat(O, ", ");
11758 printOperand(MI, 1, O);
11759 SStream_concat(O, ", ");
11760 break;
11761 }
11762
11763
11764 // printf("F2: %lu\n", (Bits >> 25) & 31);
11765 // Fragment 2 encoded into 5 bits for 32 unique commands.
11766 switch ((Bits >> 25) & 31) {
11767 default: // unreachable.
11768 case 0:
11769 // ADC16mi, ADC16mi8, ADC16mr, ADC32mi, ADC32mi8, ADC32mr, ADC64mi32, ADC...
11770 printOperand(MI, 5, O);
11771 break;
11772 case 1:
11773 // ADC16ri, ADC16ri8, ADC16rr, ADC32ri, ADC32ri8, ADC32rr, ADC64ri32, ADC...
11774 return;
11775 break;
11776 case 2:
11777 // ADC16rr_REV, ADC32rr_REV, ADC64rr_REV, ADC8rr_REV, ADD16rr_REV, ADD32r...
11778 printOperand(MI, 2, O);
11779 break;
11780 case 3:
11781 // ADCX32rm, ADOX32rm, BEXTR32rm, BLSI32rm, BLSMSK32rm, BLSR32rm, BOUNDS3...
11782 printi32mem(MI, 1, O);
11783 break;
11784 case 4:
11785 // ADCX32rr, ADCX64rr, ADOX32rr, ADOX64rr, AESIMCrr, AESKEYGENASSIST128rr...
11786 printOperand(MI, 1, O);
11787 break;
11788 case 5:
11789 // ADCX64rm, ADOX64rm, BEXTR64rm, BLSI64rm, BLSMSK64rm, BLSR64rm, BSF64rm...
11790 printi64mem(MI, 1, O);
11791 break;
11792 case 6:
11793 // ADDPDrm, ADDPSrm, ADDSUBPDrm, ADDSUBPSrm, ANDNPDrm, ANDNPSrm, ANDPDrm,...
11794 printf128mem(MI, 2, O);
11795 break;
11796 case 7:
11797 // ADDSDrm, ADDSDrm_Int, CMPSDrm, CMPSDrm_alt, DIVSDrm, DIVSDrm_Int, Int_...
11798 printf64mem(MI, 2, O);
11799 break;
11800 case 8:
11801 // ADDSSrm, ADDSSrm_Int, CMPSSrm, CMPSSrm_alt, DIVSSrm, DIVSSrm_Int, INSE...
11802 printf32mem(MI, 2, O);
11803 break;
11804 case 9:
11805 // AESDECLASTrm, AESDECrm, AESENCLASTrm, AESENCrm, MPSADBWrmi, PACKSSDWrm...
11806 printi128mem(MI, 2, O);
11807 break;
11808 case 10:
11809 // AESIMCrm, AESKEYGENASSIST128rm, CVTDQ2PSrm, INVEPT32, INVEPT64, INVPCI...
11810 printi128mem(MI, 1, O);
11811 break;
11812 case 11:
11813 // BOUNDS16rm, BSF16rm, BSR16rm, CMP16rm, IMUL16rmi, IMUL16rmi8, KMOVWkm,...
11814 printi16mem(MI, 1, O);
11815 break;
11816 case 12:
11817 // CMOVA16rm, CMOVAE16rm, CMOVB16rm, CMOVBE16rm, CMOVE16rm, CMOVG16rm, CM...
11818 printi16mem(MI, 2, O);
11819 break;
11820 case 13:
11821 // CMOVA32rm, CMOVAE32rm, CMOVB32rm, CMOVBE32rm, CMOVE32rm, CMOVG32rm, CM...
11822 printi32mem(MI, 2, O);
11823 break;
11824 case 14:
11825 // CMOVA64rm, CMOVAE64rm, CMOVB64rm, CMOVBE64rm, CMOVE64rm, CMOVG64rm, CM...
11826 printi64mem(MI, 2, O);
11827 break;
11828 case 15:
11829 // CMP8rm, MOV8rm, MOV8rm_NOREX, MOVSX16rm8, MOVSX32rm8, MOVSX64rm8, MOVZ...
11830 printi8mem(MI, 1, O);
11831 break;
11832 case 16:
11833 // COMISDrm, COMISSrm, CVTPD2DQrm, CVTPD2PSrm, CVTPS2DQrm, CVTTPD2DQrm, C...
11834 printf128mem(MI, 1, O);
11835 break;
11836 case 17:
11837 // CVTPS2PDrm, CVTSD2SI64rm, CVTSD2SIrm, CVTSD2SSrm, CVTTSD2SI64rm, CVTTS...
11838 printf64mem(MI, 1, O);
11839 return;
11840 break;
11841 case 18:
11842 // CVTSS2SDrm, CVTSS2SI64rm, CVTSS2SIrm, CVTTSS2SI64rm, CVTTSS2SIrm, Int_...
11843 printf32mem(MI, 1, O);
11844 return;
11845 break;
11846 case 19:
11847 // EXTRQI, INSERTQI
11848 SStream_concat(O, ", ");
11849 printOperand(MI, 3, O);
11850 break;
11851 case 20:
11852 // LDS16rm, LDS32rm, LES16rm, LES32rm, LFS16rm, LFS32rm, LFS64rm, LGS16rm...
11853 printopaquemem(MI, 1, O);
11854 return;
11855 break;
11856 case 21:
11857 // PINSRBrm
11858 printi8mem(MI, 2, O);
11859 SStream_concat(O, ", ");
11860 printOperand(MI, 7, O);
11861 return;
11862 break;
11863 case 22:
11864 // VCVTDQ2PDZrm, VCVTDQ2PSYrm, VLDDQUYrm, VMOVDQAYrm, VMOVDQUYrm, VMOVNTD...
11865 printi256mem(MI, 1, O);
11866 break;
11867 case 23:
11868 // VCVTDQ2PSZrm, VMOVDQA32rm, VMOVDQA64rm, VMOVDQU32rm, VMOVDQU64rm, VPAB...
11869 printi512mem(MI, 1, O);
11870 break;
11871 case 24:
11872 // VCVTPD2DQYrm, VCVTPD2PSYrm, VCVTPS2DQYrm, VCVTPS2PDZrm, VCVTTPD2DQYrm,...
11873 printf256mem(MI, 1, O);
11874 break;
11875 case 25:
11876 // VCVTPD2PSZrm, VCVTPS2DQZrm, VCVTTPD2DQZrm, VCVTTPD2UDQZrm, VCVTTPS2DQZ...
11877 printf512mem(MI, 1, O);
11878 break;
11879 case 26:
11880 // VCVTPS2PHYmr, VEXTRACTF128mr, VEXTRACTF32x4mr, VEXTRACTF64x4mr, VEXTRA...
11881 printOperand(MI, 6, O);
11882 return;
11883 break;
11884 case 27:
11885 // VGATHERDPDYrm, VGATHERDPDrm, VGATHERQPDYrm, VGATHERQPDrm, VPGATHERDQYr...
11886 printi64mem(MI, 3, O);
11887 SStream_concat(O, ", ");
11888 printOperand(MI, 8, O);
11889 return;
11890 break;
11891 case 28:
11892 // VGATHERDPDZrm, VGATHERDPSZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDD...
11893 printOperand(MI, 3, O);
11894 SStream_concat(O, "}, ");
11895 break;
11896 case 29:
11897 // VGATHERDPSYrm, VGATHERDPSrm, VGATHERQPSYrm, VGATHERQPSrm, VPGATHERDDYr...
11898 printi32mem(MI, 3, O);
11899 SStream_concat(O, ", ");
11900 printOperand(MI, 8, O);
11901 return;
11902 break;
11903 case 30:
11904 // VPCMPDZrmi, VPCMPQZrmi, VPCMPUDZrmi, VPCMPUQZrmi
11905 printi512mem(MI, 2, O);
11906 return;
11907 break;
11908 case 31:
11909 // VSHUFPDYrmi
11910 printf256mem(MI, 2, O);
11911 SStream_concat(O, ", ");
11912 printf256mem(MI, 2, O);
11913 SStream_concat(O, ", ");
11914 printOperand(MI, 7, O);
11915 return;
11916 break;
11917 }
11918
11919
11920 // printf("F3: %lu\n", (Bits >> 30) & 7);
11921 // Fragment 3 encoded into 3 bits for 8 unique commands.
11922 switch ((Bits >> 30) & 7) {
11923 default: // unreachable.
11924 case 0:
11925 // ADC16mi, ADC16mi8, ADC16mr, ADC16rr_REV, ADC32mi, ADC32mi8, ADC32mr, A...
11926 return;
11927 break;
11928 case 1:
11929 // AESKEYGENASSIST128rm, AESKEYGENASSIST128rr, ANDN32rm, ANDN32rr, ANDN64...
11930 SStream_concat(O, ", ");
11931 break;
11932 case 2:
11933 // MOV8mr_NOREX, MOV8rm_NOREX, MOV8rr_NOREX
11934 SStream_concat(O, " # NOREX");
11935 return;
11936 break;
11937 case 3:
11938 // SHLD16mrCL, SHLD16rrCL, SHLD32mrCL, SHLD32rrCL, SHLD64mrCL, SHLD64rrCL...
11939 SStream_concat(O, ", cl");
11940 return;
11941 break;
11942 case 4:
11943 // VBLENDMPDZrr, VBLENDMPSZrr, VMOVAPDZrmk, VMOVAPDZrrk, VMOVAPSZrmk, VMO...
11944 SStream_concat(O, "}, ");
11945 break;
11946 case 5:
11947 // VGATHERDPDZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDQZrm, VPGATHERQD...
11948 printi64mem(MI, 4, O);
11949 return;
11950 break;
11951 case 6:
11952 // VGATHERDPSZrm, VPGATHERDDZrm
11953 printi32mem(MI, 4, O);
11954 return;
11955 break;
11956 case 7:
11957 // VPBROADCASTDZkrm, VPBROADCASTDZkrr, VPBROADCASTDrZkrr, VPBROADCASTQZkr...
11958 SStream_concat(O, "} {z}, ");
11959 break;
11960 }
11961
11962
11963 // printf("F4: %lu\n", (Bits >> 33) & 31);
11964 // Fragment 4 encoded into 5 bits for 23 unique commands.
11965 switch ((Bits >> 33) & 31) {
11966 default: // unreachable.
11967 case 0:
11968 // AESKEYGENASSIST128rm, BEXTR32rm, BEXTR64rm, BZHI32rm, BZHI64rm, EXTRAC...
11969 printOperand(MI, 6, O);
11970 break;
11971 case 1:
11972 // AESKEYGENASSIST128rr, ANDN32rr, ANDN64rr, BEXTR32rr, BEXTR64rr, BZHI32...
11973 printOperand(MI, 2, O);
11974 break;
11975 case 2:
11976 // ANDN32rm, Int_VCVTSI2SDrm, Int_VCVTSI2SSrm, MULX32rm, PDEP32rm, PEXT32...
11977 printi32mem(MI, 2, O);
11978 break;
11979 case 3:
11980 // ANDN64rm, Int_VCVTSI2SD64rm, Int_VCVTSI2SS64rm, MULX64rm, PDEP64rm, PE...
11981 printi64mem(MI, 2, O);
11982 break;
11983 case 4:
11984 // BLENDPDrmi, BLENDPSrmi, CMPPDrmi_alt, CMPPSrmi_alt, CMPSDrm_alt, CMPSS...
11985 printOperand(MI, 7, O);
11986 return;
11987 break;
11988 case 5:
11989 // BLENDPDrri, BLENDPSrri, CMPPDrri_alt, CMPPSrri_alt, CMPSDrr_alt, CMPSS...
11990 printOperand(MI, 3, O);
11991 return;
11992 break;
11993 case 6:
11994 // INSERTQI
11995 printOperand(MI, 4, O);
11996 return;
11997 break;
11998 case 7:
11999 // Int_CVTSD2SSrm, Int_VCMPSDrm, Int_VCVTSD2SSrm, VADDPDZrmb, VADDSDZrm, ...
12000 printf64mem(MI, 2, O);
12001 break;
12002 case 8:
12003 // Int_VCMPSSrm, Int_VCVTSS2SDrm, VADDPSZrmb, VADDSSZrm, VADDSSrm, VADDSS...
12004 printf32mem(MI, 2, O);
12005 break;
12006 case 9:
12007 // VADDPDYrm, VADDPSYrm, VADDSUBPDYrm, VADDSUBPSYrm, VANDNPDYrm, VANDNPSY...
12008 printf256mem(MI, 2, O);
12009 break;
12010 case 10:
12011 // VADDPDZrm, VADDPSZrm, VCMPPDZrmi, VCMPPDZrmi_alt, VCMPPSZrmi, VCMPPSZr...
12012 printf512mem(MI, 2, O);
12013 break;
12014 case 11:
12015 // VADDPDrm, VADDPSrm, VADDSUBPDrm, VADDSUBPSrm, VANDNPDrm, VANDNPSrm, VA...
12016 printf128mem(MI, 2, O);
12017 break;
12018 case 12:
12019 // VAESDECLASTrm, VAESDECrm, VAESENCLASTrm, VAESENCrm, VINSERTI128rm, VIN...
12020 printi128mem(MI, 2, O);
12021 break;
12022 case 13:
12023 // VALIGNDrmi, VALIGNQrmi, VPADDDZrm, VPADDQZrm, VPANDDZrm, VPANDNDZrm, V...
12024 printi512mem(MI, 2, O);
12025 break;
12026 case 14:
12027 // VDPPSYrmi, VINSERTF64x4rm, VINSERTI64x4rm, VMPSADBWYrmi, VPACKSSDWYrm,...
12028 printi256mem(MI, 2, O);
12029 break;
12030 case 15:
12031 // VFMADD132PDZm, VFMADD132PSZm, VFMADD213PDZm, VFMADD213PSZm, VFMADDSUB1...
12032 printf512mem(MI, 3, O);
12033 return;
12034 break;
12035 case 16:
12036 // VFMADD132PDZmb, VFMADD213PDZmb, VFMADDSDr132m, VFMADDSDr213m, VFMADDSD...
12037 printf64mem(MI, 3, O);
12038 break;
12039 case 17:
12040 // VFMADD132PSZmb, VFMADD213PSZmb, VFMADDSSr132m, VFMADDSSr213m, VFMADDSS...
12041 printf32mem(MI, 3, O);
12042 break;
12043 case 18:
12044 // VFMADDPDr132m, VFMADDPDr213m, VFMADDPDr231m, VFMADDPSr132m, VFMADDPSr2...
12045 printf128mem(MI, 3, O);
12046 return;
12047 break;
12048 case 19:
12049 // VFMADDPDr132mY, VFMADDPDr213mY, VFMADDPDr231mY, VFMADDPSr132mY, VFMADD...
12050 printf256mem(MI, 3, O);
12051 return;
12052 break;
12053 case 20:
12054 // VMOVDQU32rmk, VMOVDQU64rmk, VPERMI2Drm, VPERMI2PDrm, VPERMI2PSrm, VPER...
12055 printi512mem(MI, 3, O);
12056 return;
12057 break;
12058 case 21:
12059 // VPINSRBrm
12060 printi8mem(MI, 2, O);
12061 SStream_concat(O, ", ");
12062 printOperand(MI, 7, O);
12063 return;
12064 break;
12065 case 22:
12066 // VPINSRWrmi
12067 printi16mem(MI, 2, O);
12068 SStream_concat(O, ", ");
12069 printOperand(MI, 7, O);
12070 return;
12071 break;
12072 }
12073
12074
12075 // printf("F5: %lu\n", (Bits >> 38) & 3);
12076 // Fragment 5 encoded into 2 bits for 4 unique commands.
12077 switch ((Bits >> 38) & 3) {
12078 default: // unreachable.
12079 case 0:
12080 // AESKEYGENASSIST128rm, AESKEYGENASSIST128rr, ANDN32rm, ANDN32rr, ANDN64...
12081 return;
12082 break;
12083 case 1:
12084 // VAARG_64, VALIGNDrmi, VALIGNDrri, VALIGNQrmi, VALIGNQrri, VBLENDMPDZrm...
12085 SStream_concat(O, ", ");
12086 break;
12087 case 2:
12088 // VADDPDZrmb, VDIVPDZrmb, VFMADD132PDZmb, VFMADD213PDZmb, VFMADDSUB132PD...
12089 SStream_concat(O, "{1to8}");
12090 return;
12091 break;
12092 case 3:
12093 // VADDPSZrmb, VDIVPSZrmb, VFMADD132PSZmb, VFMADD213PSZmb, VFMADDSUB132PS...
12094 SStream_concat(O, "{1to16}");
12095 return;
12096 break;
12097 }
12098
12099
12100 // printf("F6: %lu\n", (Bits >> 40) & 15);
12101 // Fragment 6 encoded into 4 bits for 9 unique commands.
12102 switch ((Bits >> 40) & 15) {
12103 default: // unreachable.
12104 case 0:
12105 // VAARG_64, VALIGNDrmi, VALIGNQrmi, VBLENDPDYrmi, VBLENDPDrmi, VBLENDPSY...
12106 printOperand(MI, 7, O);
12107 break;
12108 case 1:
12109 // VALIGNDrri, VALIGNQrri, VBLENDMPDZrr, VBLENDMPSZrr, VBLENDPDYrri, VBLE...
12110 printOperand(MI, 3, O);
12111 break;
12112 case 2:
12113 // VBLENDMPDZrm, VBLENDMPSZrm, VPBLENDMDZrm, VPBLENDMQZrm
12114 printf512mem(MI, 3, O);
12115 return;
12116 break;
12117 case 3:
12118 // VFMADDPD4rm, VFMADDPS4rm, VFMADDSUBPD4rm, VFMADDSUBPS4rm, VFMSUBADDPD4...
12119 printf128mem(MI, 3, O);
12120 break;
12121 case 4:
12122 // VFMADDPD4rmY, VFMADDPS4rmY, VFMADDSUBPD4rmY, VFMADDSUBPS4rmY, VFMSUBAD...
12123 printf256mem(MI, 3, O);
12124 break;
12125 case 5:
12126 // VFMADDSD4rm, VFMADDSD4rm_Int, VFMSUBSD4rm, VFMSUBSD4rm_Int, VFNMADDSD4...
12127 printf64mem(MI, 3, O);
12128 return;
12129 break;
12130 case 6:
12131 // VFMADDSS4rm, VFMADDSS4rm_Int, VFMSUBSS4rm, VFMSUBSS4rm_Int, VFNMADDSS4...
12132 printf32mem(MI, 3, O);
12133 return;
12134 break;
12135 case 7:
12136 // VPCMOVrm, VPPERMrm, VPSLLDZrmk, VPSLLQZrmk, VPSRADZrmk, VPSRAQZrmk, VP...
12137 printi128mem(MI, 3, O);
12138 return;
12139 break;
12140 case 8:
12141 // VPCMOVrmY
12142 printi256mem(MI, 3, O);
12143 return;
12144 break;
12145 }
12146
12147
12148 // printf("F7: %lu\n", (Bits >> 44) & 1);
12149 // Fragment 7 encoded into 1 bits for 2 unique commands.
12150 if ((Bits >> 44) & 1) {
12151 // VALIGNDrmi, VALIGNDrri, VALIGNQrmi, VALIGNQrri, VBLENDMPDZrr, VBLENDMP...
12152 return;
12153 } else {
12154 // VAARG_64, VPERMIL2PDmr, VPERMIL2PDmrY, VPERMIL2PDrm, VPERMIL2PDrmY, VP...
12155 SStream_concat(O, ", ");
12156 }
12157
12158
12159 // printf("F8: %lu\n", (Bits >> 45) & 1);
12160 // Fragment 8 encoded into 1 bits for 2 unique commands.
12161 if ((Bits >> 45) & 1) {
12162 // VPERMIL2PDrr, VPERMIL2PDrrY, VPERMIL2PSrr, VPERMIL2PSrrY
12163 printOperand(MI, 4, O);
12164 return;
12165 } else {
12166 // VAARG_64, VPERMIL2PDmr, VPERMIL2PDmrY, VPERMIL2PDrm, VPERMIL2PDrmY, VP...
12167 printOperand(MI, 8, O);
12168 return;
12169 }
12170}
12171
12172
12173/// getRegisterName - This method is automatically generated by tblgen
12174/// from the register set description. This returns the assembler name
12175/// for the specified register.
12176static const char *getRegisterName(unsigned RegNo)
12177{
12178 //assert(RegNo && RegNo < 233 && "Invalid register number!");
12179
12180 static const char AsmStrs[] = {
12181 /* 0 */ 's', 't', '(', '0', ')', 0,
12182 /* 6 */ 's', 't', '(', '1', ')', 0,
12183 /* 12 */ 's', 't', '(', '2', ')', 0,
12184 /* 18 */ 's', 't', '(', '3', ')', 0,
12185 /* 24 */ 's', 't', '(', '4', ')', 0,
12186 /* 30 */ 's', 't', '(', '5', ')', 0,
12187 /* 36 */ 's', 't', '(', '6', ')', 0,
12188 /* 42 */ 's', 't', '(', '7', ')', 0,
12189 /* 48 */ 'x', 'm', 'm', '1', '0', 0,
12190 /* 54 */ 'y', 'm', 'm', '1', '0', 0,
12191 /* 60 */ 'z', 'm', 'm', '1', '0', 0,
12192 /* 66 */ 'c', 'r', '1', '0', 0,
12193 /* 71 */ 'x', 'm', 'm', '2', '0', 0,
12194 /* 77 */ 'y', 'm', 'm', '2', '0', 0,
12195 /* 83 */ 'z', 'm', 'm', '2', '0', 0,
12196 /* 89 */ 'x', 'm', 'm', '3', '0', 0,
12197 /* 95 */ 'y', 'm', 'm', '3', '0', 0,
12198 /* 101 */ 'z', 'm', 'm', '3', '0', 0,
12199 /* 107 */ 'k', '0', 0,
12200 /* 110 */ 'x', 'm', 'm', '0', 0,
12201 /* 115 */ 'y', 'm', 'm', '0', 0,
12202 /* 120 */ 'z', 'm', 'm', '0', 0,
12203 /* 125 */ 'f', 'p', '0', 0,
12204 /* 129 */ 'c', 'r', '0', 0,
12205 /* 133 */ 'd', 'r', '0', 0,
12206 /* 137 */ 'x', 'm', 'm', '1', '1', 0,
12207 /* 143 */ 'y', 'm', 'm', '1', '1', 0,
12208 /* 149 */ 'z', 'm', 'm', '1', '1', 0,
12209 /* 155 */ 'c', 'r', '1', '1', 0,
12210 /* 160 */ 'x', 'm', 'm', '2', '1', 0,
12211 /* 166 */ 'y', 'm', 'm', '2', '1', 0,
12212 /* 172 */ 'z', 'm', 'm', '2', '1', 0,
12213 /* 178 */ 'x', 'm', 'm', '3', '1', 0,
12214 /* 184 */ 'y', 'm', 'm', '3', '1', 0,
12215 /* 190 */ 'z', 'm', 'm', '3', '1', 0,
12216 /* 196 */ 'k', '1', 0,
12217 /* 199 */ 'x', 'm', 'm', '1', 0,
12218 /* 204 */ 'y', 'm', 'm', '1', 0,
12219 /* 209 */ 'z', 'm', 'm', '1', 0,
12220 /* 214 */ 'f', 'p', '1', 0,
12221 /* 218 */ 'c', 'r', '1', 0,
12222 /* 222 */ 'd', 'r', '1', 0,
12223 /* 226 */ 'x', 'm', 'm', '1', '2', 0,
12224 /* 232 */ 'y', 'm', 'm', '1', '2', 0,
12225 /* 238 */ 'z', 'm', 'm', '1', '2', 0,
12226 /* 244 */ 'c', 'r', '1', '2', 0,
12227 /* 249 */ 'x', 'm', 'm', '2', '2', 0,
12228 /* 255 */ 'y', 'm', 'm', '2', '2', 0,
12229 /* 261 */ 'z', 'm', 'm', '2', '2', 0,
12230 /* 267 */ 'k', '2', 0,
12231 /* 270 */ 'x', 'm', 'm', '2', 0,
12232 /* 275 */ 'y', 'm', 'm', '2', 0,
12233 /* 280 */ 'z', 'm', 'm', '2', 0,
12234 /* 285 */ 'f', 'p', '2', 0,
12235 /* 289 */ 'c', 'r', '2', 0,
12236 /* 293 */ 'd', 'r', '2', 0,
12237 /* 297 */ 'x', 'm', 'm', '1', '3', 0,
12238 /* 303 */ 'y', 'm', 'm', '1', '3', 0,
12239 /* 309 */ 'z', 'm', 'm', '1', '3', 0,
12240 /* 315 */ 'c', 'r', '1', '3', 0,
12241 /* 320 */ 'x', 'm', 'm', '2', '3', 0,
12242 /* 326 */ 'y', 'm', 'm', '2', '3', 0,
12243 /* 332 */ 'z', 'm', 'm', '2', '3', 0,
12244 /* 338 */ 'k', '3', 0,
12245 /* 341 */ 'x', 'm', 'm', '3', 0,
12246 /* 346 */ 'y', 'm', 'm', '3', 0,
12247 /* 351 */ 'z', 'm', 'm', '3', 0,
12248 /* 356 */ 'f', 'p', '3', 0,
12249 /* 360 */ 'c', 'r', '3', 0,
12250 /* 364 */ 'd', 'r', '3', 0,
12251 /* 368 */ 'x', 'm', 'm', '1', '4', 0,
12252 /* 374 */ 'y', 'm', 'm', '1', '4', 0,
12253 /* 380 */ 'z', 'm', 'm', '1', '4', 0,
12254 /* 386 */ 'c', 'r', '1', '4', 0,
12255 /* 391 */ 'x', 'm', 'm', '2', '4', 0,
12256 /* 397 */ 'y', 'm', 'm', '2', '4', 0,
12257 /* 403 */ 'z', 'm', 'm', '2', '4', 0,
12258 /* 409 */ 'k', '4', 0,
12259 /* 412 */ 'x', 'm', 'm', '4', 0,
12260 /* 417 */ 'y', 'm', 'm', '4', 0,
12261 /* 422 */ 'z', 'm', 'm', '4', 0,
12262 /* 427 */ 'f', 'p', '4', 0,
12263 /* 431 */ 'c', 'r', '4', 0,
12264 /* 435 */ 'd', 'r', '4', 0,
12265 /* 439 */ 'x', 'm', 'm', '1', '5', 0,
12266 /* 445 */ 'y', 'm', 'm', '1', '5', 0,
12267 /* 451 */ 'z', 'm', 'm', '1', '5', 0,
12268 /* 457 */ 'c', 'r', '1', '5', 0,
12269 /* 462 */ 'x', 'm', 'm', '2', '5', 0,
12270 /* 468 */ 'y', 'm', 'm', '2', '5', 0,
12271 /* 474 */ 'z', 'm', 'm', '2', '5', 0,
12272 /* 480 */ 'k', '5', 0,
12273 /* 483 */ 'x', 'm', 'm', '5', 0,
12274 /* 488 */ 'y', 'm', 'm', '5', 0,
12275 /* 493 */ 'z', 'm', 'm', '5', 0,
12276 /* 498 */ 'f', 'p', '5', 0,
12277 /* 502 */ 'c', 'r', '5', 0,
12278 /* 506 */ 'd', 'r', '5', 0,
12279 /* 510 */ 'x', 'm', 'm', '1', '6', 0,
12280 /* 516 */ 'y', 'm', 'm', '1', '6', 0,
12281 /* 522 */ 'z', 'm', 'm', '1', '6', 0,
12282 /* 528 */ 'x', 'm', 'm', '2', '6', 0,
12283 /* 534 */ 'y', 'm', 'm', '2', '6', 0,
12284 /* 540 */ 'z', 'm', 'm', '2', '6', 0,
12285 /* 546 */ 'k', '6', 0,
12286 /* 549 */ 'x', 'm', 'm', '6', 0,
12287 /* 554 */ 'y', 'm', 'm', '6', 0,
12288 /* 559 */ 'z', 'm', 'm', '6', 0,
12289 /* 564 */ 'f', 'p', '6', 0,
12290 /* 568 */ 'c', 'r', '6', 0,
12291 /* 572 */ 'd', 'r', '6', 0,
12292 /* 576 */ 'x', 'm', 'm', '1', '7', 0,
12293 /* 582 */ 'y', 'm', 'm', '1', '7', 0,
12294 /* 588 */ 'z', 'm', 'm', '1', '7', 0,
12295 /* 594 */ 'x', 'm', 'm', '2', '7', 0,
12296 /* 600 */ 'y', 'm', 'm', '2', '7', 0,
12297 /* 606 */ 'z', 'm', 'm', '2', '7', 0,
12298 /* 612 */ 'k', '7', 0,
12299 /* 615 */ 'x', 'm', 'm', '7', 0,
12300 /* 620 */ 'y', 'm', 'm', '7', 0,
12301 /* 625 */ 'z', 'm', 'm', '7', 0,
12302 /* 630 */ 'c', 'r', '7', 0,
12303 /* 634 */ 'd', 'r', '7', 0,
12304 /* 638 */ 'x', 'm', 'm', '1', '8', 0,
12305 /* 644 */ 'y', 'm', 'm', '1', '8', 0,
12306 /* 650 */ 'z', 'm', 'm', '1', '8', 0,
12307 /* 656 */ 'x', 'm', 'm', '2', '8', 0,
12308 /* 662 */ 'y', 'm', 'm', '2', '8', 0,
12309 /* 668 */ 'z', 'm', 'm', '2', '8', 0,
12310 /* 674 */ 'x', 'm', 'm', '8', 0,
12311 /* 679 */ 'y', 'm', 'm', '8', 0,
12312 /* 684 */ 'z', 'm', 'm', '8', 0,
12313 /* 689 */ 'c', 'r', '8', 0,
12314 /* 693 */ 'x', 'm', 'm', '1', '9', 0,
12315 /* 699 */ 'y', 'm', 'm', '1', '9', 0,
12316 /* 705 */ 'z', 'm', 'm', '1', '9', 0,
12317 /* 711 */ 'x', 'm', 'm', '2', '9', 0,
12318 /* 717 */ 'y', 'm', 'm', '2', '9', 0,
12319 /* 723 */ 'z', 'm', 'm', '2', '9', 0,
12320 /* 729 */ 'x', 'm', 'm', '9', 0,
12321 /* 734 */ 'y', 'm', 'm', '9', 0,
12322 /* 739 */ 'z', 'm', 'm', '9', 0,
12323 /* 744 */ 'c', 'r', '9', 0,
12324 /* 748 */ 'r', '1', '0', 'b', 0,
12325 /* 753 */ 'r', '1', '1', 'b', 0,
12326 /* 758 */ 'r', '1', '2', 'b', 0,
12327 /* 763 */ 'r', '1', '3', 'b', 0,
12328 /* 768 */ 'r', '1', '4', 'b', 0,
12329 /* 773 */ 'r', '1', '5', 'b', 0,
12330 /* 778 */ 'r', '8', 'b', 0,
12331 /* 782 */ 'r', '9', 'b', 0,
12332 /* 786 */ 'r', '1', '0', 'd', 0,
12333 /* 791 */ 'r', '1', '1', 'd', 0,
12334 /* 796 */ 'r', '1', '2', 'd', 0,
12335 /* 801 */ 'r', '1', '3', 'd', 0,
12336 /* 806 */ 'r', '1', '4', 'd', 0,
12337 /* 811 */ 'r', '1', '5', 'd', 0,
12338 /* 816 */ 'r', '8', 'd', 0,
12339 /* 820 */ 'r', '9', 'd', 0,
12340 /* 824 */ 'a', 'h', 0,
12341 /* 827 */ 'b', 'h', 0,
12342 /* 830 */ 'c', 'h', 0,
12343 /* 833 */ 'd', 'h', 0,
12344 /* 836 */ 'e', 'd', 'i', 0,
12345 /* 840 */ 'r', 'd', 'i', 0,
12346 /* 844 */ 'e', 's', 'i', 0,
12347 /* 848 */ 'r', 's', 'i', 0,
12348 /* 852 */ 'a', 'l', 0,
12349 /* 855 */ 'b', 'l', 0,
12350 /* 858 */ 'c', 'l', 0,
12351 /* 861 */ 'd', 'l', 0,
12352 /* 864 */ 'd', 'i', 'l', 0,
12353 /* 868 */ 's', 'i', 'l', 0,
12354 /* 872 */ 'b', 'p', 'l', 0,
12355 /* 876 */ 's', 'p', 'l', 0,
12356 /* 880 */ 'e', 'b', 'p', 0,
12357 /* 884 */ 'r', 'b', 'p', 0,
12358 /* 888 */ 'e', 'i', 'p', 0,
12359 /* 892 */ 'r', 'i', 'p', 0,
12360 /* 896 */ 'e', 's', 'p', 0,
12361 /* 900 */ 'r', 's', 'p', 0,
12362 /* 904 */ 'c', 's', 0,
12363 /* 907 */ 'd', 's', 0,
12364 /* 910 */ 'e', 's', 0,
12365 /* 913 */ 'f', 's', 0,
12366 /* 916 */ 'f', 'l', 'a', 'g', 's', 0,
12367 /* 922 */ 's', 's', 0,
12368 /* 925 */ 'r', '1', '0', 'w', 0,
12369 /* 930 */ 'r', '1', '1', 'w', 0,
12370 /* 935 */ 'r', '1', '2', 'w', 0,
12371 /* 940 */ 'r', '1', '3', 'w', 0,
12372 /* 945 */ 'r', '1', '4', 'w', 0,
12373 /* 950 */ 'r', '1', '5', 'w', 0,
12374 /* 955 */ 'r', '8', 'w', 0,
12375 /* 959 */ 'r', '9', 'w', 0,
12376 /* 963 */ 'f', 'p', 's', 'w', 0,
12377 /* 968 */ 'e', 'a', 'x', 0,
12378 /* 972 */ 'r', 'a', 'x', 0,
12379 /* 976 */ 'e', 'b', 'x', 0,
12380 /* 980 */ 'r', 'b', 'x', 0,
12381 /* 984 */ 'e', 'c', 'x', 0,
12382 /* 988 */ 'r', 'c', 'x', 0,
12383 /* 992 */ 'e', 'd', 'x', 0,
12384 /* 996 */ 'r', 'd', 'x', 0,
12385 /* 1000 */ 'e', 'i', 'z', 0,
12386 /* 1004 */ 'r', 'i', 'z', 0,
12387 };
12388
12389 static const uint32_t RegAsmOffset[] = {
12390 824, 852, 969, 827, 855, 881, 872, 977, 830, 858, 904, 985, 833, 837,
12391 864, 861, 907, 993, 968, 880, 976, 984, 836, 992, 916, 888, 1000, 910,
12392 844, 896, 963, 913, 919, 889, 972, 884, 980, 988, 840, 996, 892, 1004,
12393 848, 900, 845, 868, 897, 876, 922, 129, 218, 289, 360, 431, 502, 568,
12394 630, 689, 744, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435,
12395 506, 572, 634, 125, 214, 285, 356, 427, 498, 564, 107, 196, 267, 338,
12396 409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 690, 745,
12397 67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 42,
12398 110, 199, 270, 341, 412, 483, 549, 615, 674, 729, 48, 137, 226, 297,
12399 368, 439, 510, 576, 638, 693, 71, 160, 249, 320, 391, 462, 528, 594,
12400 656, 711, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 679, 734,
12401 54, 143, 232, 303, 374, 445, 516, 582, 644, 699, 77, 166, 255, 326,
12402 397, 468, 534, 600, 662, 717, 95, 184, 120, 209, 280, 351, 422, 493,
12403 559, 625, 684, 739, 60, 149, 238, 309, 380, 451, 522, 588, 650, 705,
12404 83, 172, 261, 332, 403, 474, 540, 606, 668, 723, 101, 190, 778, 782,
12405 748, 753, 758, 763, 768, 773, 816, 820, 786, 791, 796, 801, 806, 811,
12406 955, 959, 925, 930, 935, 940, 945, 950,
12407 };
12408
12409 //assert (*(AsmStrs+RegAsmOffset[RegNo-1]) &&
12410 // "Invalid alt name index for register!");
12411 //int i;
12412 //for (i = 0; i < sizeof(RegAsmOffset)/4; i++)
12413 // printf("%s = %u\n", AsmStrs+RegAsmOffset[i], i + 1);
12414 //printf("*************************\n");
12415 return AsmStrs+RegAsmOffset[RegNo-1];
12416}
12417
12418#ifdef PRINT_ALIAS_INSTR
12419#undef PRINT_ALIAS_INSTR
12420
12421static bool printAliasInstr(MCInst *MI, SStream *OS)
12422{
12423 char *AsmString;
12424
12425 switch (MCInst_getOpcode(MI)) {
12426 default: return false;
12427 case X86_AAD8i8:
12428 if (MCInst_getNumOperands(MI) == 1 &&
12429 MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
12430 MCOperand_getImm(MCInst_getOperand(MI, 0)) == 10) {
12431 // (AAD8i8 10)
12432 AsmString = "aad";
12433 break;
12434 }
12435 return false;
12436 case X86_AAM8i8:
12437 if (MCInst_getNumOperands(MI) == 1 &&
12438 MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
12439 MCOperand_getImm(MCInst_getOperand(MI, 0)) == 10) {
12440 // (AAM8i8 10)
12441 AsmString = "aam";
12442 break;
12443 }
12444 return false;
12445 case X86_XSTORE:
12446 if (MCInst_getNumOperands(MI) == 0) {
12447 // (XSTORE)
12448 AsmString = "xstorerng";
12449 break;
12450 }
12451 return false;
12452 }
12453
12454 char *tmp = strdup(AsmString), *AsmMnem, *AsmOps;
12455 AsmMnem = tmp;
12456 AsmOps = strchr(tmp, ' ');
12457 if (AsmOps) {
12458 *AsmOps = '\0';
12459 AsmOps += 1;
12460 }
12461
12462 SStream_concat(OS, "%s", AsmMnem);
12463 if (AsmOps) {
12464 SStream_concat(OS, "\t");
12465
12466 char *c;
12467 for (c = AsmOps; *c; c++) {
12468 if (*c == '$') {
12469 c += 1;
12470 printOperand(MI, *c - 1, OS);
12471 } else {
12472 SStream_concat(OS, "%c", *c);
12473 }
12474 }
12475 }
12476
12477 free(tmp);
12478
12479 return true;
12480}
12481
12482#endif // PRINT_ALIAS_INSTR