blob: 5b3178dd06466c2aeabd298de3b23ee09a584ffa [file] [log] [blame]
Kyle Yand8326b62017-01-05 15:11:02 -08001/* Copyright (c) 2016-2017, The Linux Foundation. All rights reserved.
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -07002 *
3 * This program is free software; you can redistribute it and/or modify
4 * it under the terms of the GNU General Public License version 2 and
5 * only version 2 as published by the Free Software Foundation.
6 *
7 * This program is distributed in the hope that it will be useful,
8 * but WITHOUT ANY WARRANTY; without even the implied warranty of
9 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
10 * GNU General Public License for more details.
11 */
12
13#include "skeleton64.dtsi"
Kyle Yan6a20fae2017-02-14 13:34:41 -080014#include <dt-bindings/clock/qcom,gcc-sdm845.h>
15#include <dt-bindings/clock/qcom,camcc-sdm845.h>
16#include <dt-bindings/clock/qcom,dispcc-sdm845.h>
17#include <dt-bindings/clock/qcom,gpucc-sdm845.h>
18#include <dt-bindings/clock/qcom,videocc-sdm845.h>
19#include <dt-bindings/clock/qcom,cpucc-sdm845.h>
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -080020#include <dt-bindings/clock/qcom,rpmh.h>
Taniya Dasa8d52b92017-04-18 17:02:49 +053021#include <dt-bindings/clock/qcom,aop-qmp.h>
David Collins5ab42b92016-07-07 17:38:51 -070022#include <dt-bindings/regulator/qcom,rpmh-regulator.h>
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -070023#include <dt-bindings/interrupt-controller/arm-gic.h>
Lina Iyer9f782ba2016-10-11 15:13:50 -060024#include <dt-bindings/soc/qcom,tcs-mbox.h>
David Collins86dc5b52017-04-11 14:29:36 -070025#include <dt-bindings/spmi/spmi.h>
Ram Chandrasekar36ffe552017-04-17 16:33:05 -060026#include <dt-bindings/thermal/thermal.h>
Stephen Boydb1adf312017-04-03 16:02:12 -070027#include <dt-bindings/msm/msm-bus-ids.h>
Satyajit Desai9f293262017-09-29 14:31:44 -070028#include <dt-bindings/soc/qcom,dcc_v2.h>
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070029
Stephen Boyd08290522017-06-16 09:48:48 -070030#define MHZ_TO_MBPS(mhz, w) ((mhz * 1000000 * w) / (1024 * 1024))
31
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070032/ {
Kyle Yan6a20fae2017-02-14 13:34:41 -080033 model = "Qualcomm Technologies, Inc. SDM845";
34 compatible = "qcom,sdm845";
Kyle Yanfd7d1422017-08-04 16:14:21 -070035 qcom,msm-id = <321 0x10000>;
Archana Sathyakumar00a36ab2017-03-03 14:38:26 -070036 interrupt-parent = <&pdc>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070037
Subhash Jadavani35c309a2016-12-19 13:58:57 -080038 aliases {
39 ufshc1 = &ufshc_mem; /* Embedded UFS slot */
Tony Truongc0e0a5f02017-03-15 11:57:40 -070040 pci-domain0 = &pcie0;
Tony Truong16938352017-05-04 13:39:24 -070041 pci-domain1 = &pcie1;
Xiaonian Wang3c14ea32017-04-08 06:39:42 +080042 sdhc2 = &sdhc_2; /* SDC2 SD card slot */
Subhash Jadavani35c309a2016-12-19 13:58:57 -080043 };
44
Puja Guptaa91fb842017-06-12 18:58:06 -070045 aliases {
46 serial0 = &qupv3_se9_2uart;
47 spi0 = &qupv3_se8_spi;
48 i2c0 = &qupv3_se10_i2c;
49 i2c1 = &qupv3_se3_i2c;
50 hsuart0 = &qupv3_se6_4uart;
51 };
52
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070053 cpus {
54 #address-cells = <2>;
55 #size-cells = <0>;
56
57 CPU0: cpu@0 {
58 device_type = "cpu";
59 compatible = "arm,armv8";
60 reg = <0x0 0x0>;
Trilok Soni39f76f22016-12-15 14:56:26 -080061 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -070062 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070063 cache-size = <0x8000>;
64 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -060065 qcom,lmh-dcvs = <&lmh_dcvs0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -060066 #cooling-cells = <2>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070067 next-level-cache = <&L2_0>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -070068 sched-energy-costs = <&CPU_COST_0 &CLUSTER_COST_0>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070069 L2_0: l2-cache {
70 compatible = "arm,arch-cache";
71 cache-size = <0x20000>;
72 cache-level = <2>;
73 next-level-cache = <&L3_0>;
74
75 L3_0: l3-cache {
76 compatible = "arm,arch-cache";
77 cache-size = <0x200000>;
78 cache-level = <3>;
79 };
80 };
Kyle Yanf7f2ddf2016-11-22 17:07:38 -080081 L1_I_0: l1-icache {
82 compatible = "arm,arch-cache";
Channagoud Kadabi6077a782017-10-05 12:13:29 -070083 qcom,dump-size = <0x12000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -080084 };
85 L1_D_0: l1-dcache {
86 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -070087 qcom,dump-size = <0xa000>;
88 };
89 L1_TLB_0: l1-tlb {
90 qcom,dump-size = <0x3000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -080091 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070092 };
93
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -070094 CPU1: cpu@100 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070095 device_type = "cpu";
96 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -070097 reg = <0x0 0x100>;
Trilok Soni39f76f22016-12-15 14:56:26 -080098 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -070099 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700100 cache-size = <0x8000>;
101 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600102 qcom,lmh-dcvs = <&lmh_dcvs0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600103 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700104 next-level-cache = <&L2_100>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700105 sched-energy-costs = <&CPU_COST_0 &CLUSTER_COST_0>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700106 L2_100: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700107 compatible = "arm,arch-cache";
108 cache-size = <0x20000>;
109 cache-level = <2>;
110 next-level-cache = <&L3_0>;
111 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700112 L1_I_100: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800113 compatible = "arm,arch-cache";
Channagoud Kadabi6077a782017-10-05 12:13:29 -0700114 qcom,dump-size = <0x12000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800115 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700116 L1_D_100: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800117 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700118 qcom,dump-size = <0xa000>;
119 };
120 L1_TLB_100: l1-tlb {
121 qcom,dump-size = <0x3000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800122 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700123 };
124
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700125 CPU2: cpu@200 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700126 device_type = "cpu";
127 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700128 reg = <0x0 0x200>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800129 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700130 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700131 cache-size = <0x8000>;
132 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600133 qcom,lmh-dcvs = <&lmh_dcvs0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600134 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700135 next-level-cache = <&L2_200>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700136 sched-energy-costs = <&CPU_COST_0 &CLUSTER_COST_0>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700137 L2_200: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700138 compatible = "arm,arch-cache";
139 cache-size = <0x20000>;
140 cache-level = <2>;
141 next-level-cache = <&L3_0>;
142 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700143 L1_I_200: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800144 compatible = "arm,arch-cache";
Channagoud Kadabi6077a782017-10-05 12:13:29 -0700145 qcom,dump-size = <0x12000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800146 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700147 L1_D_200: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800148 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700149 qcom,dump-size = <0xa000>;
150 };
151 L1_TLB_200: l1-tlb {
152 qcom,dump-size = <0x3000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800153 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700154 };
155
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700156 CPU3: cpu@300 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700157 device_type = "cpu";
158 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700159 reg = <0x0 0x300>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800160 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700161 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700162 cache-size = <0x8000>;
163 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600164 qcom,lmh-dcvs = <&lmh_dcvs0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600165 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700166 next-level-cache = <&L2_300>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700167 sched-energy-costs = <&CPU_COST_0 &CLUSTER_COST_0>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700168 L2_300: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700169 compatible = "arm,arch-cache";
170 cache-size = <0x20000>;
171 cache-level = <2>;
172 next-level-cache = <&L3_0>;
173 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700174 L1_I_300: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800175 compatible = "arm,arch-cache";
Channagoud Kadabi6077a782017-10-05 12:13:29 -0700176 qcom,dump-size = <0x12000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800177 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700178 L1_D_300: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800179 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700180 qcom,dump-size = <0xa000>;
181 };
182 L1_TLB_300: l1-tlb {
183 qcom,dump-size = <0x3000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800184 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700185 };
186
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700187 CPU4: cpu@400 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700188 device_type = "cpu";
189 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700190 reg = <0x0 0x400>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800191 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700192 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700193 cache-size = <0x20000>;
194 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600195 qcom,lmh-dcvs = <&lmh_dcvs1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600196 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700197 next-level-cache = <&L2_400>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700198 sched-energy-costs = <&CPU_COST_1 &CLUSTER_COST_1>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700199 L2_400: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700200 compatible = "arm,arch-cache";
201 cache-size = <0x40000>;
202 cache-level = <2>;
203 next-level-cache = <&L3_0>;
204 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700205 L1_I_400: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800206 compatible = "arm,arch-cache";
Channagoud Kadabi6077a782017-10-05 12:13:29 -0700207 qcom,dump-size = <0x24000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800208 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700209 L1_D_400: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800210 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700211 qcom,dump-size = <0x14000>;
212 };
213 L1_TLB_400: l1-tlb {
Channagoud Kadabiac64fd22017-10-09 16:10:08 -0700214 qcom,dump-size = <0x3c00>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800215 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700216 };
217
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700218 CPU5: cpu@500 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700219 device_type = "cpu";
220 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700221 reg = <0x0 0x500>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800222 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700223 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700224 cache-size = <0x20000>;
225 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600226 qcom,lmh-dcvs = <&lmh_dcvs1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600227 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700228 next-level-cache = <&L2_500>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700229 sched-energy-costs = <&CPU_COST_1 &CLUSTER_COST_1>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700230 L2_500: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700231 compatible = "arm,arch-cache";
232 cache-size = <0x40000>;
233 cache-level = <2>;
234 next-level-cache = <&L3_0>;
235 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700236 L1_I_500: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800237 compatible = "arm,arch-cache";
Channagoud Kadabi6077a782017-10-05 12:13:29 -0700238 qcom,dump-size = <0x24000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800239 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700240 L1_D_500: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800241 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700242 qcom,dump-size = <0x14000>;
243 };
244 L1_TLB_500: l1-tlb {
Channagoud Kadabiac64fd22017-10-09 16:10:08 -0700245 qcom,dump-size = <0x3c00>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800246 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700247 };
248
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700249 CPU6: cpu@600 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700250 device_type = "cpu";
251 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700252 reg = <0x0 0x600>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800253 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700254 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700255 cache-size = <0x20000>;
256 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600257 qcom,lmh-dcvs = <&lmh_dcvs1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600258 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700259 next-level-cache = <&L2_600>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700260 sched-energy-costs = <&CPU_COST_1 &CLUSTER_COST_1>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700261 L2_600: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700262 compatible = "arm,arch-cache";
263 cache-size = <0x40000>;
264 cache-level = <2>;
265 next-level-cache = <&L3_0>;
266 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700267 L1_I_600: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800268 compatible = "arm,arch-cache";
Channagoud Kadabi6077a782017-10-05 12:13:29 -0700269 qcom,dump-size = <0x24000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800270 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700271 L1_D_600: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800272 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700273 qcom,dump-size = <0x14000>;
274 };
275 L1_TLB_600: l1-tlb {
Channagoud Kadabiac64fd22017-10-09 16:10:08 -0700276 qcom,dump-size = <0x3c00>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800277 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700278 };
279
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700280 CPU7: cpu@700 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700281 device_type = "cpu";
282 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700283 reg = <0x0 0x700>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800284 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700285 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700286 cache-size = <0x20000>;
287 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600288 qcom,lmh-dcvs = <&lmh_dcvs1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600289 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700290 next-level-cache = <&L2_700>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700291 sched-energy-costs = <&CPU_COST_1 &CLUSTER_COST_1>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700292 L2_700: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700293 compatible = "arm,arch-cache";
294 cache-size = <0x40000>;
295 cache-level = <2>;
296 next-level-cache = <&L3_0>;
297 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700298 L1_I_700: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800299 compatible = "arm,arch-cache";
Channagoud Kadabi6077a782017-10-05 12:13:29 -0700300 qcom,dump-size = <0x24000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800301 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700302 L1_D_700: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800303 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700304 qcom,dump-size = <0x14000>;
305 };
306 L1_TLB_700: l1-tlb {
Channagoud Kadabiac64fd22017-10-09 16:10:08 -0700307 qcom,dump-size = <0x3c00>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800308 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700309 };
310
311 cpu-map {
312 cluster0 {
313 core0 {
314 cpu = <&CPU0>;
315 };
316
317 core1 {
318 cpu = <&CPU1>;
319 };
320
321 core2 {
322 cpu = <&CPU2>;
323 };
324
325 core3 {
326 cpu = <&CPU3>;
327 };
328 };
329
330 cluster1 {
331 core0 {
332 cpu = <&CPU4>;
333 };
334
335 core1 {
336 cpu = <&CPU5>;
337 };
338
339 core2 {
340 cpu = <&CPU6>;
341 };
342
343 core3 {
344 cpu = <&CPU7>;
345 };
346 };
347 };
348 };
349
Joonwoo Parkf3f7dac2017-08-17 16:02:29 -0700350 energy_costs: energy-costs {
Joonwoo Park32850e82017-06-12 16:01:57 -0700351 compatible = "sched-energy";
352
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700353 CPU_COST_0: core-cost0 {
354 busy-cost-data = <
Joonwoo Park32850e82017-06-12 16:01:57 -0700355 300000 31
356 422400 38
357 499200 42
358 576000 46
359 652800 51
360 748800 58
361 825600 64
362 902400 70
363 979200 76
364 1056000 83
365 1132800 90
366 1209600 97
367 1286400 105
368 1363200 114
369 1440000 124
370 1516800 136
371 1593600 152
372 1651200 167 /* speedbin 0,1 */
373 1670400 173 /* speedbin 2 */
374 1708800 186 /* speedbin 0,1 */
375 1747200 201 /* speedbin 2 */
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700376 >;
377 idle-cost-data = <
378 22 18 14 12
379 >;
380 };
381 CPU_COST_1: core-cost1 {
382 busy-cost-data = <
Joonwoo Park32850e82017-06-12 16:01:57 -0700383 300000 258
384 422400 260
385 499200 261
386 576000 263
387 652800 267
388 729600 272
389 806400 280
390 883200 291
391 960000 305
392 1036800 324
393 1113600 348
394 1190400 378
395 1267200 415
396 1344000 460
397 1420800 513
398 1497600 576
399 1574400 649
400 1651200 732
401 1728000 824
402 1804800 923
403 1881600 1027
404 1958400 1131
405 2035000 1228 /* speedbin 1,2 */
406 2092000 1290 /* speedbin 1 */
407 2112000 1308 /* speedbin 2 */
408 2208000 1363 /* speedbin 2 */
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700409 >;
410 idle-cost-data = <
Joonwoo Parka5bb67e2017-05-15 15:48:25 -0700411 100 80 60 40
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700412 >;
413 };
414 CLUSTER_COST_0: cluster-cost0 {
415 busy-cost-data = <
Joonwoo Park32850e82017-06-12 16:01:57 -0700416 300000 3
417 422400 4
418 499200 4
419 576000 4
420 652800 5
421 748800 5
422 825600 6
423 902400 7
424 979200 7
425 1056000 8
426 1132800 9
427 1209600 9
428 1286400 10
429 1363200 11
430 1440000 12
431 1516800 13
432 1593600 15
433 1651200 17 /* speedbin 0,1 */
434 1670400 19 /* speedbin 2 */
435 1708800 21 /* speedbin 0,1 */
436 1747200 23 /* speedbin 2 */
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700437 >;
438 idle-cost-data = <
439 4 3 2 1
440 >;
441 };
442 CLUSTER_COST_1: cluster-cost1 {
443 busy-cost-data = <
Joonwoo Park32850e82017-06-12 16:01:57 -0700444 300000 24
445 422400 24
446 499200 25
447 576000 25
448 652800 26
449 729600 27
450 806400 28
451 883200 29
452 960000 30
453 1036800 32
454 1113600 34
455 1190400 37
456 1267200 40
457 1344000 45
458 1420800 50
459 1497600 57
460 1574400 64
461 1651200 74
462 1728000 84
463 1804800 96
464 1881600 106
465 1958400 113
466 2035000 120 /* speedbin 1,2 */
467 2092000 125 /* speedbin 1 */
468 2112000 127 /* speedbin 2 */
469 2208000 130 /* speedbin 2 */
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700470 >;
471 idle-cost-data = <
472 4 3 2 1
473 >;
474 };
475 }; /* energy-costs */
476
Trilok Soni39f76f22016-12-15 14:56:26 -0800477 psci {
478 compatible = "arm,psci-1.0";
479 method = "smc";
480 };
481
Channagoud Kadabiffbc5f12017-07-06 17:09:43 -0700482 chosen {
483 bootargs = "rcupdate.rcu_expedited=1";
484 };
485
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700486 soc: soc { };
Patrick Dalyff211c82016-07-19 20:26:40 -0700487
Puja Gupta0f42ee32017-05-03 15:32:31 -0700488 vendor: vendor {
489 #address-cells = <1>;
490 #size-cells = <1>;
491 ranges = <0 0 0 0xffffffff>;
492 compatible = "simple-bus";
493 };
494
Puja Guptacce5d0b2017-05-05 14:22:25 -0700495 firmware: firmware {
496 android {
497 compatible = "android,firmware";
Puja Gupta30684862017-06-08 16:17:00 -0700498 vbmeta {
499 compatible = "android,vbmeta";
500 parts = "vbmeta,boot,system,vendor,dtbo";
501 };
502
Puja Guptacce5d0b2017-05-05 14:22:25 -0700503 fstab {
504 compatible = "android,fstab";
505 vendor {
506 compatible = "android,vendor";
507 dev = "/dev/block/platform/soc/1d84000.ufshc/by-name/vendor";
508 type = "ext4";
509 mnt_flags = "ro,barrier=1,discard";
Puja Gupta30684862017-06-08 16:17:00 -0700510 fsmgr_flags = "wait,slotselect,avb";
Puja Guptacce5d0b2017-05-05 14:22:25 -0700511 };
512 };
513 };
514 };
515
Patrick Dalyff211c82016-07-19 20:26:40 -0700516 reserved-memory {
517 #address-cells = <2>;
518 #size-cells = <2>;
519 ranges;
520
Patrick Daly04471a62017-06-30 14:26:00 -0700521 hyp_region: hyp_region@85700000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700522 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700523 reg = <0 0x85700000 0 0x600000>;
Patrick Daly2ff257e2017-06-06 16:28:50 -0700524 };
525
Patrick Daly04471a62017-06-30 14:26:00 -0700526 xbl_region: xbl_region@85e00000 {
527 no-map;
528 reg = <0 0x85e00000 0 0x100000>;
529 };
530
531 removed_region: removed_region@85fc0000 {
Patrick Daly2ff257e2017-06-06 16:28:50 -0700532 no-map;
533 reg = <0 0x85fc0000 0 0x2f40000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700534 };
535
Patrick Daly69c4d1d2016-10-19 16:03:09 -0700536 pil_camera_mem: camera_region@8ab00000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700537 compatible = "removed-dma-pool";
538 no-map;
Patrick Daly69c4d1d2016-10-19 16:03:09 -0700539 reg = <0 0x8ab00000 0 0x500000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700540 };
541
Patrick Daly04471a62017-06-30 14:26:00 -0700542 pil_adsp_mem: pil_adsp_region@8b100000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700543 compatible = "removed-dma-pool";
544 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700545 reg = <0 0x8b100000 0 0x1a00000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700546 };
547
Patrick Daly04471a62017-06-30 14:26:00 -0700548 wlan_fw_region: wlan_fw_region@8cb00000 {
549 compatible = "shared-dma-pool";
550 reg = <0 0x8cb00000 0 0x100000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700551 };
552
Patrick Daly04471a62017-06-30 14:26:00 -0700553 pil_modem_mem: modem_region@8cc00000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700554 compatible = "removed-dma-pool";
555 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700556 reg = <0 0x8cc00000 0 0x7600000>;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700557 };
558
Patrick Daly04471a62017-06-30 14:26:00 -0700559 pil_video_mem: pil_video_region@94200000 {
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700560 compatible = "removed-dma-pool";
561 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700562 reg = <0 0x94200000 0 0x500000>;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700563 };
564
Patrick Daly04471a62017-06-30 14:26:00 -0700565 pil_cdsp_mem: cdsp_regions@94700000 {
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700566 compatible = "removed-dma-pool";
567 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700568 reg = <0 0x94700000 0 0x800000>;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700569 };
570
Patrick Daly04471a62017-06-30 14:26:00 -0700571 pil_mba_mem: pil_mba_region@0x94f00000 {
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700572 compatible = "removed-dma-pool";
573 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700574 reg = <0 0x94f00000 0 0x200000>;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700575 };
576
Patrick Daly04471a62017-06-30 14:26:00 -0700577 pil_slpi_mem: pil_slpi_region@95100000 {
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700578 compatible = "removed-dma-pool";
579 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700580 reg = <0 0x95100000 0 0x1400000>;
581 };
582
583
584 pil_spss_mem: spss_region@96500000 {
585 compatible = "removed-dma-pool";
586 no-map;
587 reg = <0 0x96500000 0 0x100000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700588 };
589
590 adsp_mem: adsp_region {
591 compatible = "shared-dma-pool";
592 alloc-ranges = <0 0x00000000 0 0xffffffff>;
593 reusable;
594 alignment = <0 0x400000>;
c_mtharud8dde202017-11-10 09:23:19 +0530595 size = <0 0x1000000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700596 };
597
598 qseecom_mem: qseecom_region {
599 compatible = "shared-dma-pool";
600 alloc-ranges = <0 0x00000000 0 0xffffffff>;
Patrick Dalyb7af0832017-08-14 15:06:46 -0700601 no-map;
Patrick Dalyff211c82016-07-19 20:26:40 -0700602 alignment = <0 0x400000>;
603 size = <0 0x1400000>;
604 };
605
Sudarshan Rajagopalanc3e15fc2017-05-17 18:34:42 -0700606 secure_sp_mem: secure_sp_region { /* SPSS-HLOS ION shared mem */
Patrick Dalyff211c82016-07-19 20:26:40 -0700607 compatible = "shared-dma-pool";
608 alloc-ranges = <0 0x00000000 0 0xffffffff>; /* 32-bit */
609 reusable;
610 alignment = <0 0x400000>;
611 size = <0 0x800000>;
612 };
613
Shashank Babu Chinta Venkatae19344a2017-05-15 14:01:15 -0700614 cont_splash_memory: cont_splash_region@9d400000 {
615 reg = <0x0 0x9d400000 0x0 0x02400000>;
616 label = "cont_splash_region";
617 };
618
Patrick Dalyff211c82016-07-19 20:26:40 -0700619 secure_display_memory: secure_display_region {
620 compatible = "shared-dma-pool";
621 alloc-ranges = <0 0x00000000 0 0xffffffff>;
622 reusable;
623 alignment = <0 0x400000>;
624 size = <0 0x5c00000>;
625 };
626
Satyajit Desai89c4e2e2017-05-11 19:34:47 -0700627 dump_mem: mem_dump_region {
628 compatible = "shared-dma-pool";
629 reusable;
630 size = <0 0x2400000>;
631 };
632
Patrick Dalyff211c82016-07-19 20:26:40 -0700633 /* global autoconfigured region for contiguous allocations */
634 linux,cma {
635 compatible = "shared-dma-pool";
636 alloc-ranges = <0 0x00000000 0 0xffffffff>;
637 reusable;
638 alignment = <0 0x400000>;
639 size = <0 0x2000000>;
640 linux,cma-default;
641 };
642 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700643};
644
Kyle Yan6a20fae2017-02-14 13:34:41 -0800645#include "msm-gdsc-sdm845.dtsi"
Shashank Babu Chinta Venkata46bb3b52017-04-05 12:14:18 -0700646#include "sdm845-sde-pll.dtsi"
tharun kumar7eca0bb2017-06-28 16:49:18 +0530647#include "msm-rdbg.dtsi"
Kyle Yan6a20fae2017-02-14 13:34:41 -0800648#include "sdm845-sde.dtsi"
Girish Mahadevan2e2fbe72017-03-28 13:28:18 -0600649#include "sdm845-qupv3.dtsi"
Deepak Katragadda7b16dba2016-08-05 18:06:30 -0700650
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700651&soc {
652 #address-cells = <1>;
653 #size-cells = <1>;
654 ranges = <0 0 0 0xffffffff>;
655 compatible = "simple-bus";
656
Satyajit Desai22f91102017-09-06 16:35:19 -0700657 jtag_mm0: jtagmm@7040000 {
658 compatible = "qcom,jtagv8-mm";
659 reg = <0x7040000 0x1000>;
660 reg-names = "etm-base";
661
662 clocks = <&clock_aop QDSS_CLK>;
663 clock-names = "core_clk";
664
665 qcom,coresight-jtagmm-cpu = <&CPU0>;
666 };
667
668 jtag_mm1: jtagmm@7140000 {
669 compatible = "qcom,jtagv8-mm";
670 reg = <0x7140000 0x1000>;
671 reg-names = "etm-base";
672
673 clocks = <&clock_aop QDSS_CLK>;
674 clock-names = "core_clk";
675
676 qcom,coresight-jtagmm-cpu = <&CPU1>;
677 };
678
679 jtag_mm2: jtagmm@7240000 {
680 compatible = "qcom,jtagv8-mm";
681 reg = <0x7240000 0x1000>;
682 reg-names = "etm-base";
683
684 clocks = <&clock_aop QDSS_CLK>;
685 clock-names = "core_clk";
686
687 qcom,coresight-jtagmm-cpu = <&CPU2>;
688 };
689
690 jtag_mm3: jtagmm@7340000 {
691 compatible = "qcom,jtagv8-mm";
692 reg = <0x7340000 0x1000>;
693 reg-names = "etm-base";
694
695 clocks = <&clock_aop QDSS_CLK>;
696 clock-names = "core_clk";
697
698 qcom,coresight-jtagmm-cpu = <&CPU3>;
699 };
700
701 jtag_mm4: jtagmm@7440000 {
702 compatible = "qcom,jtagv8-mm";
703 reg = <0x7440000 0x1000>;
704 reg-names = "etm-base";
705
706 clocks = <&clock_aop QDSS_CLK>;
707 clock-names = "core_clk";
708
709 qcom,coresight-jtagmm-cpu = <&CPU4>;
710 };
711
712 jtag_mm5: jtagmm@7540000 {
713 compatible = "qcom,jtagv8-mm";
714 reg = <0x7540000 0x1000>;
715 reg-names = "etm-base";
716
717 clocks = <&clock_aop QDSS_CLK>;
718 clock-names = "core_clk";
719
720 qcom,coresight-jtagmm-cpu = <&CPU5>;
721 };
722
723 jtag_mm6: jtagmm@7640000 {
724 compatible = "qcom,jtagv8-mm";
725 reg = <0x7640000 0x1000>;
726 reg-names = "etm-base";
727
728 clocks = <&clock_aop QDSS_CLK>;
729 clock-names = "core_clk";
730
731 qcom,coresight-jtagmm-cpu = <&CPU6>;
732 };
733
734 jtag_mm7: jtagmm@7740000 {
735 compatible = "qcom,jtagv8-mm";
736 reg = <0x7740000 0x1000>;
737 reg-names = "etm-base";
738
739 clocks = <&clock_aop QDSS_CLK>;
740 clock-names = "core_clk";
741
742 qcom,coresight-jtagmm-cpu = <&CPU7>;
743 };
744
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700745 intc: interrupt-controller@17a00000 {
746 compatible = "arm,gic-v3";
747 #interrupt-cells = <3>;
748 interrupt-controller;
749 #redistributor-regions = <1>;
750 redistributor-stride = <0x0 0x20000>;
751 reg = <0x17a00000 0x10000>, /* GICD */
Kyle Yanc59b3552016-09-29 16:25:03 -0700752 <0x17a60000 0x100000>; /* GICR * 8 */
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700753 interrupts = <1 9 4>;
Archana Sathyakumar00a36ab2017-03-03 14:38:26 -0700754 interrupt-parent = <&intc>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700755 };
756
757 timer {
758 compatible = "arm,armv8-timer";
759 interrupts = <1 1 0xf08>,
760 <1 2 0xf08>,
761 <1 3 0xf08>,
762 <1 0 0xf08>;
763 clock-frequency = <19200000>;
764 };
765
766 timer@0x17C90000{
767 #address-cells = <1>;
768 #size-cells = <1>;
769 ranges;
770 compatible = "arm,armv7-timer-mem";
771 reg = <0x17C90000 0x1000>;
772 clock-frequency = <19200000>;
773
774 frame@0x17CA0000 {
775 frame-number = <0>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800776 interrupts = <0 7 0x4>,
777 <0 6 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700778 reg = <0x17CA0000 0x1000>,
779 <0x17CB0000 0x1000>;
780 };
781
782 frame@17cc0000 {
783 frame-number = <1>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800784 interrupts = <0 8 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700785 reg = <0x17cc0000 0x1000>;
786 status = "disabled";
787 };
788
789 frame@17cd0000 {
790 frame-number = <2>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800791 interrupts = <0 9 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700792 reg = <0x17cd0000 0x1000>;
793 status = "disabled";
794 };
795
796 frame@17ce0000 {
797 frame-number = <3>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800798 interrupts = <0 10 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700799 reg = <0x17ce0000 0x1000>;
800 status = "disabled";
801 };
802
803 frame@17cf0000 {
804 frame-number = <4>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800805 interrupts = <0 11 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700806 reg = <0x17cf0000 0x1000>;
807 status = "disabled";
808 };
809
810 frame@17d00000 {
811 frame-number = <5>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800812 interrupts = <0 12 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700813 reg = <0x17d00000 0x1000>;
814 status = "disabled";
815 };
816
817 frame@17d10000 {
818 frame-number = <6>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800819 interrupts = <0 13 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700820 reg = <0x17d10000 0x1000>;
821 status = "disabled";
822 };
823 };
Deepak Katragaddacfb593f2016-07-15 12:30:37 -0700824
Kyle Yana795b9d2017-02-14 16:16:13 -0800825 restart@10ac000 {
826 compatible = "qcom,pshold";
827 reg = <0xC264000 0x4>,
828 <0x1fd3000 0x4>;
829 reg-names = "pshold-base", "tcsr-boot-misc-detect";
830 };
831
Mahesh Sivasubramanian4782ca62017-06-15 14:59:31 -0600832 aop-msg-client {
833 compatible = "qcom,debugfs-qmp-client";
834 mboxes = <&qmp_aop 0>;
835 mbox-names = "aop";
836 };
837
David Collinsef3dd9c2017-01-12 14:14:23 -0800838 spmi_bus: qcom,spmi@c440000 {
839 compatible = "qcom,spmi-pmic-arb";
840 reg = <0xc440000 0x1100>,
841 <0xc600000 0x2000000>,
842 <0xe600000 0x100000>,
843 <0xe700000 0xa0000>,
844 <0xc40a000 0x26000>;
845 reg-names = "core", "chnls", "obsrvr", "intr", "cnfg";
846 interrupt-names = "periph_irq";
847 interrupts = <GIC_SPI 481 IRQ_TYPE_NONE>;
848 qcom,ee = <0>;
849 qcom,channel = <0>;
850 #address-cells = <2>;
851 #size-cells = <0>;
852 interrupt-controller;
853 #interrupt-cells = <4>;
854 cell-index = <0>;
David Collins4938fce2017-09-28 17:41:31 -0700855 qcom,enable-ahb-bus-workaround;
David Collinsef3dd9c2017-01-12 14:14:23 -0800856 };
857
David Collins86dc5b52017-04-11 14:29:36 -0700858 spmi_debug_bus: qcom,spmi-debug@6b22000 {
859 compatible = "qcom,spmi-pmic-arb-debug";
860 reg = <0x6b22000 0x60>, <0x7820A8 4>;
861 reg-names = "core", "fuse";
David Collins42936de2017-06-08 14:52:43 -0700862 clocks = <&clock_aop QDSS_CLK>;
863 clock-names = "core_clk";
David Collins86dc5b52017-04-11 14:29:36 -0700864 qcom,fuse-disable-bit = <12>;
865 #address-cells = <2>;
866 #size-cells = <0>;
867
868 qcom,pm8998-debug@0 {
869 compatible = "qcom,spmi-pmic";
870 reg = <0x0 SPMI_USID>;
871 #address-cells = <2>;
872 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700873 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700874 };
875
876 qcom,pm8998-debug@1 {
877 compatible = "qcom,spmi-pmic";
878 reg = <0x1 SPMI_USID>;
879 #address-cells = <2>;
880 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700881 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700882 };
883
884 qcom,pmi8998-debug@2 {
885 compatible = "qcom,spmi-pmic";
886 reg = <0x2 SPMI_USID>;
887 #address-cells = <2>;
888 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700889 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700890 };
891
892 qcom,pmi8998-debug@3 {
893 compatible = "qcom,spmi-pmic";
894 reg = <0x3 SPMI_USID>;
895 #address-cells = <2>;
896 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700897 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700898 };
899
900 qcom,pm8005-debug@4 {
901 compatible = "qcom,spmi-pmic";
902 reg = <0x4 SPMI_USID>;
903 #address-cells = <2>;
904 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700905 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700906 };
907
908 qcom,pm8005-debug@5 {
909 compatible = "qcom,spmi-pmic";
910 reg = <0x5 SPMI_USID>;
911 #address-cells = <2>;
912 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700913 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700914 };
915 };
916
Rohit Gupta64b7e652017-03-01 10:47:52 -0800917 cpubw: qcom,cpubw {
918 compatible = "qcom,devbw";
919 governor = "performance";
Stephen Boyd567b1fc2017-06-06 17:47:12 -0700920 qcom,src-dst-ports =
921 <MSM_BUS_MASTER_AMPSS_M0 MSM_BUS_SLAVE_LLCC>;
Rohit Gupta64b7e652017-03-01 10:47:52 -0800922 qcom,active-only;
923 qcom,bw-tbl =
Stephen Boydc4ea8372017-06-06 18:45:15 -0700924 < MHZ_TO_MBPS(150, 16) >, /* 2288 MB/s */
925 < MHZ_TO_MBPS(300, 16) >, /* 4577 MB/s */
926 < MHZ_TO_MBPS(426, 16) >, /* 6500 MB/s */
927 < MHZ_TO_MBPS(533, 16) >, /* 8132 MB/s */
928 < MHZ_TO_MBPS(600, 16) >, /* 9155 MB/s */
929 < MHZ_TO_MBPS(700, 16) >; /* 10681 MB/s */
Rohit Gupta64b7e652017-03-01 10:47:52 -0800930 };
931
932 bwmon: qcom,cpu-bwmon {
933 compatible = "qcom,bimc-bwmon4";
934 reg = <0x1436400 0x300>, <0x1436300 0x200>;
935 reg-names = "base", "global_base";
936 interrupts = <0 581 4>;
937 qcom,mport = <0>;
938 qcom,hw-timer-hz = <19200000>;
939 qcom,target-dev = <&cpubw>;
940 };
941
Stephen Boydb1adf312017-04-03 16:02:12 -0700942 llccbw: qcom,llccbw {
943 compatible = "qcom,devbw";
Jonathan Avila81b63f02017-09-27 13:21:19 -0700944 governor = "performance";
Stephen Boydb1adf312017-04-03 16:02:12 -0700945 qcom,src-dst-ports =
Stephen Boyd567b1fc2017-06-06 17:47:12 -0700946 <MSM_BUS_MASTER_LLCC MSM_BUS_SLAVE_EBI_CH0>;
Stephen Boydb1adf312017-04-03 16:02:12 -0700947 qcom,active-only;
948 qcom,bw-tbl =
Stephen Boydc4ea8372017-06-06 18:45:15 -0700949 < MHZ_TO_MBPS( 200, 4) >, /* 762 MB/s */
950 < MHZ_TO_MBPS( 300, 4) >, /* 1144 MB/s */
951 < MHZ_TO_MBPS( 451, 4) >, /* 1720 MB/s */
952 < MHZ_TO_MBPS( 547, 4) >, /* 2086 MB/s */
953 < MHZ_TO_MBPS( 681, 4) >, /* 2597 MB/s */
954 < MHZ_TO_MBPS( 768, 4) >, /* 2929 MB/s */
955 < MHZ_TO_MBPS(1017, 4) >, /* 3879 MB/s */
956 < MHZ_TO_MBPS(1296, 4) >, /* 4943 MB/s */
957 < MHZ_TO_MBPS(1555, 4) >, /* 5931 MB/s */
958 < MHZ_TO_MBPS(1804, 4) >; /* 6881 MB/s */
Stephen Boydb1adf312017-04-03 16:02:12 -0700959 };
960
961 llcc_bwmon: qcom,llcc-bwmon {
962 compatible = "qcom,bimc-bwmon5";
963 reg = <0x0114A000 0x1000>;
964 reg-names = "base";
965 interrupts = <GIC_SPI 580 IRQ_TYPE_LEVEL_HIGH>;
966 qcom,hw-timer-hz = <19200000>;
967 qcom,target-dev = <&llccbw>;
968 qcom,count-unit = <0x400000>;
969 qcom,byte-mid-mask = <0xe000>;
970 qcom,byte-mid-match = <0xe000>;
971 };
972
Rohit Gupta44171c72017-03-06 14:07:50 -0800973 memlat_cpu0: qcom,memlat-cpu0 {
974 compatible = "qcom,devbw";
975 governor = "powersave";
976 qcom,src-dst-ports = <1 512>;
977 qcom,active-only;
978 qcom,bw-tbl =
Stephen Boydc4ea8372017-06-06 18:45:15 -0700979 < MHZ_TO_MBPS( 200, 4) >, /* 762 MB/s */
980 < MHZ_TO_MBPS( 300, 4) >, /* 1144 MB/s */
981 < MHZ_TO_MBPS( 451, 4) >, /* 1720 MB/s */
982 < MHZ_TO_MBPS( 547, 4) >, /* 2086 MB/s */
983 < MHZ_TO_MBPS( 681, 4) >, /* 2597 MB/s */
984 < MHZ_TO_MBPS( 768, 4) >, /* 2929 MB/s */
985 < MHZ_TO_MBPS(1017, 4) >, /* 3879 MB/s */
986 < MHZ_TO_MBPS(1296, 4) >, /* 4943 MB/s */
987 < MHZ_TO_MBPS(1555, 4) >, /* 5931 MB/s */
988 < MHZ_TO_MBPS(1804, 4) >; /* 6881 MB/s */
Rohit Gupta44171c72017-03-06 14:07:50 -0800989 };
990
991 memlat_cpu4: qcom,memlat-cpu4 {
992 compatible = "qcom,devbw";
993 governor = "powersave";
994 qcom,src-dst-ports = <1 512>;
995 qcom,active-only;
996 status = "ok";
997 qcom,bw-tbl =
Stephen Boydc4ea8372017-06-06 18:45:15 -0700998 < MHZ_TO_MBPS( 200, 4) >, /* 762 MB/s */
999 < MHZ_TO_MBPS( 300, 4) >, /* 1144 MB/s */
1000 < MHZ_TO_MBPS( 451, 4) >, /* 1720 MB/s */
1001 < MHZ_TO_MBPS( 547, 4) >, /* 2086 MB/s */
1002 < MHZ_TO_MBPS( 681, 4) >, /* 2597 MB/s */
1003 < MHZ_TO_MBPS( 768, 4) >, /* 2929 MB/s */
1004 < MHZ_TO_MBPS(1017, 4) >, /* 3879 MB/s */
1005 < MHZ_TO_MBPS(1296, 4) >, /* 4943 MB/s */
1006 < MHZ_TO_MBPS(1555, 4) >, /* 5931 MB/s */
1007 < MHZ_TO_MBPS(1804, 4) >; /* 6881 MB/s */
Rohit Gupta44171c72017-03-06 14:07:50 -08001008 };
1009
David Daicbf740d2017-04-05 17:13:54 -07001010 snoc_cnoc_keepalive: qcom,snoc_cnoc_keepalive {
1011 compatible = "qcom,devbw";
1012 governor = "powersave";
1013 qcom,src-dst-ports = <139 627>;
1014 qcom,active-only;
1015 status = "ok";
1016 qcom,bw-tbl =
1017 < 1 >;
1018 };
1019
Rohit Gupta44171c72017-03-06 14:07:50 -08001020 devfreq_memlat_0: qcom,cpu0-memlat-mon {
1021 compatible = "qcom,arm-memlat-mon";
1022 qcom,cpulist = <&CPU0 &CPU1 &CPU2 &CPU3>;
1023 qcom,target-dev = <&memlat_cpu0>;
1024 qcom,cachemiss-ev = <0x2A>;
1025 qcom,core-dev-table =
Stephen Boydc4ea8372017-06-06 18:45:15 -07001026 < 300000 MHZ_TO_MBPS( 200, 4) >,
1027 < 748800 MHZ_TO_MBPS( 451, 4) >,
1028 < 1132800 MHZ_TO_MBPS( 547, 4) >,
1029 < 1440000 MHZ_TO_MBPS( 768, 4) >,
1030 < 1593600 MHZ_TO_MBPS(1017, 4) >;
Rohit Gupta44171c72017-03-06 14:07:50 -08001031 };
1032
1033 devfreq_memlat_4: qcom,cpu4-memlat-mon {
1034 compatible = "qcom,arm-memlat-mon";
1035 qcom,cpulist = <&CPU4 &CPU5 &CPU6 &CPU7>;
1036 qcom,target-dev = <&memlat_cpu4>;
1037 qcom,cachemiss-ev = <0x2A>;
1038 qcom,core-dev-table =
Stephen Boydc4ea8372017-06-06 18:45:15 -07001039 < 300000 MHZ_TO_MBPS( 200, 4) >,
1040 < 499200 MHZ_TO_MBPS( 451, 4) >,
1041 < 806400 MHZ_TO_MBPS( 547, 4) >,
1042 < 1036800 MHZ_TO_MBPS( 768, 4) >,
1043 < 1190400 MHZ_TO_MBPS(1017, 4) >,
1044 < 1574400 MHZ_TO_MBPS(1296, 4) >,
1045 < 1728000 MHZ_TO_MBPS(1555, 4) >,
1046 < 1958400 MHZ_TO_MBPS(1804, 4) >;
Rohit Gupta44171c72017-03-06 14:07:50 -08001047 };
1048
1049 l3_cpu0: qcom,l3-cpu0 {
1050 compatible = "devfreq-simple-dev";
1051 clock-names = "devfreq_clk";
1052 clocks = <&clock_cpucc L3_CLUSTER0_VOTE_CLK>;
1053 governor = "performance";
Rohit Gupta44171c72017-03-06 14:07:50 -08001054 };
1055
1056 l3_cpu4: qcom,l3-cpu4 {
1057 compatible = "devfreq-simple-dev";
1058 clock-names = "devfreq_clk";
1059 clocks = <&clock_cpucc L3_CLUSTER1_VOTE_CLK>;
1060 governor = "performance";
Rohit Gupta44171c72017-03-06 14:07:50 -08001061 };
1062
1063 devfreq_l3lat_0: qcom,cpu0-l3lat-mon {
1064 compatible = "qcom,arm-memlat-mon";
1065 qcom,cpulist = <&CPU0 &CPU1 &CPU2 &CPU3>;
1066 qcom,target-dev = <&l3_cpu0>;
1067 qcom,cachemiss-ev = <0x17>;
1068 qcom,core-dev-table =
Rohit Gupta6cbadca2017-07-10 16:29:46 -07001069 < 300000 300000000 >,
1070 < 748800 576000000 >,
1071 < 979200 652800000 >,
1072 < 1209600 806400000 >,
1073 < 1516800 883200000 >,
1074 < 1593600 960000000 >,
Rohit Gupta53fdca02017-07-12 16:01:52 -07001075 < 1708800 1305600000 >;
Rohit Gupta44171c72017-03-06 14:07:50 -08001076 };
1077
1078 devfreq_l3lat_4: qcom,cpu4-l3lat-mon {
1079 compatible = "qcom,arm-memlat-mon";
1080 qcom,cpulist = <&CPU4 &CPU5 &CPU6 &CPU7>;
1081 qcom,target-dev = <&l3_cpu4>;
1082 qcom,cachemiss-ev = <0x17>;
1083 qcom,core-dev-table =
Rohit Gupta6cbadca2017-07-10 16:29:46 -07001084 < 300000 300000000 >,
1085 < 1036800 576000000 >,
1086 < 1190400 806400000 >,
1087 < 1574400 883200000 >,
1088 < 1804800 960000000 >,
Rohit Gupta53fdca02017-07-12 16:01:52 -07001089 < 1958400 1305600000 >;
Rohit Gupta44171c72017-03-06 14:07:50 -08001090 };
1091
Jonathan Avila2d49ac12017-10-17 15:00:15 -07001092 l3_cdsp: qcom,l3-cdsp {
1093 compatible = "devfreq-simple-dev";
1094 clock-names = "devfreq_clk";
1095 clocks = <&clock_cpucc L3_MISC_VOTE_CLK>;
1096 governor = "powersave";
1097 };
1098
Patrick Fay4b46f422017-04-05 10:09:49 -07001099 cpu_pmu: cpu-pmu {
1100 compatible = "arm,armv8-pmuv3";
1101 qcom,irq-is-percpu;
1102 interrupts = <1 5 4>;
1103 };
1104
Rohit Gupta3097ad72017-05-19 17:31:13 -07001105 mincpubw: qcom,mincpubw {
1106 compatible = "qcom,devbw";
1107 governor = "powersave";
1108 qcom,src-dst-ports = <1 512>;
1109 qcom,active-only;
1110 qcom,bw-tbl =
Stephen Boydc4ea8372017-06-06 18:45:15 -07001111 < MHZ_TO_MBPS( 200, 4) >, /* 762 MB/s */
1112 < MHZ_TO_MBPS( 300, 4) >, /* 1144 MB/s */
1113 < MHZ_TO_MBPS( 451, 4) >, /* 1720 MB/s */
1114 < MHZ_TO_MBPS( 547, 4) >, /* 2086 MB/s */
1115 < MHZ_TO_MBPS( 681, 4) >, /* 2597 MB/s */
1116 < MHZ_TO_MBPS( 768, 4) >, /* 2929 MB/s */
1117 < MHZ_TO_MBPS(1017, 4) >, /* 3879 MB/s */
1118 < MHZ_TO_MBPS(1296, 4) >, /* 4943 MB/s */
1119 < MHZ_TO_MBPS(1555, 4) >, /* 5931 MB/s */
1120 < MHZ_TO_MBPS(1804, 4) >; /* 6881 MB/s */
Rohit Gupta3097ad72017-05-19 17:31:13 -07001121 };
1122
Stephen Boyd31aac5f2017-09-01 09:16:06 -07001123 devfreq_cpufreq: devfreq-cpufreq {
Rohit Gupta3097ad72017-05-19 17:31:13 -07001124 mincpubw-cpufreq {
1125 target-dev = <&mincpubw>;
1126 cpu-to-dev-map-0 =
Stephen Boydc4ea8372017-06-06 18:45:15 -07001127 < 1708800 MHZ_TO_MBPS(200, 4) >;
Rohit Gupta3097ad72017-05-19 17:31:13 -07001128 cpu-to-dev-map-4 =
Stephen Boydc4ea8372017-06-06 18:45:15 -07001129 < 1881600 MHZ_TO_MBPS(200, 4) >,
1130 < 2208000 MHZ_TO_MBPS(681, 4) >;
Rohit Gupta3097ad72017-05-19 17:31:13 -07001131 };
1132 };
1133
Jonathan Avilac7a6fd52017-10-12 15:24:05 -07001134 devfreq_compute: qcom,devfreq-compute {
1135 compatible = "qcom,arm-cpu-mon";
1136 qcom,cpulist = <&CPU4 &CPU5 &CPU6 &CPU7>;
1137 qcom,target-dev = <&mincpubw>;
1138 qcom,core-dev-table =
1139 < 1881600 MHZ_TO_MBPS(200, 4) >,
1140 < 2208000 MHZ_TO_MBPS(681, 4) >;
1141 };
1142
Taniya Das9b421102017-05-05 13:59:58 +05301143 clock_rpmh: qcom,rpmhclk {
1144 compatible = "qcom,rpmh-clk-sdm845";
1145 #clock-cells = <1>;
1146 mboxes = <&apps_rsc 0>;
1147 mbox-names = "apps";
1148 };
1149
Deepak Katragaddaf8b9cc62016-11-02 15:17:15 -07001150 clock_gcc: qcom,gcc@100000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001151 compatible = "qcom,gcc-sdm845", "syscon";
Deepak Katragaddaf8b9cc62016-11-02 15:17:15 -07001152 reg = <0x100000 0x1f0000>;
1153 reg-names = "cc_base";
David Collins3a457942016-12-09 16:59:51 -08001154 vdd_cx-supply = <&pm8998_s9_level>;
1155 vdd_cx_ao-supply = <&pm8998_s9_level_ao>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001156 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001157 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001158 };
1159
Deepak Katragaddab09ab882016-11-09 17:47:29 -08001160 clock_videocc: qcom,videocc@ab00000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001161 compatible = "qcom,video_cc-sdm845", "syscon";
Deepak Katragaddab09ab882016-11-09 17:47:29 -08001162 reg = <0xab00000 0x10000>;
1163 reg-names = "cc_base";
David Collins3a457942016-12-09 16:59:51 -08001164 vdd_cx-supply = <&pm8998_s9_level>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001165 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001166 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001167 };
1168
Deepak Katragadda7f073cb2016-12-15 14:22:38 -08001169 clock_camcc: qcom,camcc@ad00000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001170 compatible = "qcom,cam_cc-sdm845", "syscon";
Deepak Katragadda7f073cb2016-12-15 14:22:38 -08001171 reg = <0xad00000 0x10000>;
1172 reg-names = "cc_base";
1173 vdd_cx-supply = <&pm8998_s9_level>;
1174 vdd_mx-supply = <&pm8998_s6_level>;
Pavan Kumar Chilamkurthi6bc57c12017-05-31 22:16:55 -07001175 qcom,cam_cc_csi0phytimer_clk_src-opp-handle = <&cam_csiphy0>;
1176 qcom,cam_cc_csi1phytimer_clk_src-opp-handle = <&cam_csiphy1>;
1177 qcom,cam_cc_csi2phytimer_clk_src-opp-handle = <&cam_csiphy2>;
1178 qcom,cam_cc_cci_clk_src-opp-handle = <&cam_cci>;
1179 qcom,cam_cc_ife_0_csid_clk_src-opp-handle = <&cam_csid0>;
1180 qcom,cam_cc_ife_0_clk_src-opp-handle = <&cam_vfe0>;
1181 qcom,cam_cc_ife_1_csid_clk_src-opp-handle = <&cam_csid1>;
1182 qcom,cam_cc_ife_1_clk_src-opp-handle = <&cam_vfe1>;
1183 qcom,cam_cc_ife_lite_csid_clk_src-opp-handle = <&cam_csid_lite>;
1184 qcom,cam_cc_ife_lite_clk_src-opp-handle = <&cam_vfe_lite>;
1185 qcom,cam_cc_icp_clk_src-opp-handle = <&cam_a5>;
1186 qcom,cam_cc_ipe_0_clk_src-opp-handle = <&cam_ipe0>;
1187 qcom,cam_cc_ipe_1_clk_src-opp-handle = <&cam_ipe1>;
1188 qcom,cam_cc_bps_clk_src-opp-handle = <&cam_bps>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001189 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001190 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001191 };
1192
Deepak Katragaddad738ee32016-12-16 14:29:48 -08001193 clock_dispcc: qcom,dispcc@af00000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001194 compatible = "qcom,dispcc-sdm845", "syscon";
Deepak Katragadda7c7730b2017-04-14 12:09:49 -07001195 reg = <0xaf00000 0x10000>;
Deepak Katragaddad738ee32016-12-16 14:29:48 -08001196 reg-names = "cc_base";
1197 vdd_cx-supply = <&pm8998_s9_level>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001198 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001199 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001200 };
1201
Vicky Wallace4dc00682017-02-22 19:04:40 -08001202 clock_gpucc: qcom,gpucc@5090000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001203 compatible = "qcom,gpucc-sdm845", "syscon";
Vicky Wallace4dc00682017-02-22 19:04:40 -08001204 reg = <0x5090000 0x9000>;
1205 reg-names = "cc_base";
1206 vdd_cx-supply = <&pm8998_s9_level>;
Vicky Wallace27bf50402017-08-24 19:38:36 -07001207 vdd_mx-supply = <&pm8998_s6_level>;
Vicky Wallace4af7a402017-04-04 19:29:42 -07001208 qcom,gpu_cc_gmu_clk_src-opp-handle = <&gmu>;
Vicky Wallace4dc00682017-02-22 19:04:40 -08001209 #clock-cells = <1>;
1210 #reset-cells = <1>;
1211 };
1212
1213 clock_gfx: qcom,gfxcc@5090000 {
1214 compatible = "qcom,gfxcc-sdm845";
1215 reg = <0x5090000 0x9000>;
1216 reg-names = "cc_base";
1217 vdd_gfx-supply = <&pm8005_s1_level>;
Vicky Wallace4af7a402017-04-04 19:29:42 -07001218 qcom,gpu_cc_gx_gfx3d_clk_src-opp-handle = <&msm_gpu>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001219 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001220 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001221 };
Subhash Jadavani877ec812016-08-04 13:23:24 -07001222
Deepak Katragadda6d1a5042017-05-11 09:31:58 -07001223 cpucc_debug: syscon@17970018 {
1224 compatible = "syscon";
1225 reg = <0x17970018 0x4>;
1226 };
1227
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001228 clock_cpucc: qcom,cpucc@0x17d41000 {
1229 compatible = "qcom,clk-cpu-osm";
1230 reg = <0x17d41000 0x1400>,
1231 <0x17d43000 0x1400>,
David Collins1e048402017-11-29 15:43:09 -08001232 <0x17d45800 0x1400>;
1233 reg-names = "osm_l3_base", "osm_pwrcl_base", "osm_perfcl_base";
Deepak Katragadda02617bd2017-11-10 16:03:43 -08001234 vdd_l3_mx_ao-supply = <&pm8998_s6_level_ao>;
1235 vdd_pwrcl_mx_ao-supply = <&pm8998_s6_level_ao>;
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001236
Deepak Katragadda02617bd2017-11-10 16:03:43 -08001237 qcom,mx-turbo-freq = <1478400000 1689600000 3300000001>;
Jonathan Avila2d49ac12017-10-17 15:00:15 -07001238 l3-devs = <&l3_cpu0 &l3_cpu4 &l3_cdsp>;
Deepak Katragadda34272742017-05-24 11:42:40 -07001239
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001240 clock-names = "xo_ao";
1241 clocks = <&clock_rpmh RPMH_CXO_CLK_A>;
Deepak Katragadda95b77242016-12-19 14:10:03 -08001242 #clock-cells = <1>;
Deepak Katragadda95b77242016-12-19 14:10:03 -08001243 };
1244
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001245 clock_debug: qcom,cc-debug@100000 {
1246 compatible = "qcom,debugcc-sdm845";
1247 qcom,cc-count = <5>;
1248 qcom,gcc = <&clock_gcc>;
1249 qcom,videocc = <&clock_videocc>;
1250 qcom,camcc = <&clock_camcc>;
1251 qcom,dispcc = <&clock_dispcc>;
1252 qcom,gpucc = <&clock_gpucc>;
Deepak Katragadda6d1a5042017-05-11 09:31:58 -07001253 qcom,cpucc = <&cpucc_debug>;
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001254 clock-names = "xo_clk_src";
1255 clocks = <&clock_rpmh RPMH_CXO_CLK>;
1256 #clock-cells = <1>;
1257 };
1258
Taniya Dasa8d52b92017-04-18 17:02:49 +05301259 clock_aop: qcom,aopclk {
Deepak Katragadda90954d72017-07-27 14:22:24 -07001260 compatible = "qcom,aop-qmp-clk-v1";
Taniya Dasa8d52b92017-04-18 17:02:49 +05301261 #clock-cells = <1>;
1262 mboxes = <&qmp_aop 0>;
1263 mbox-names = "qdss_clk";
1264 };
1265
AnilKumar Chimata2e815902017-04-13 12:14:56 -07001266 ufs_ice: ufsice@1d90000 {
1267 compatible = "qcom,ice";
1268 reg = <0x1d90000 0x8000>;
1269 qcom,enable-ice-clk;
1270 clock-names = "ufs_core_clk", "bus_clk",
1271 "iface_clk", "ice_core_clk";
1272 clocks = <&clock_gcc GCC_UFS_PHY_AXI_CLK>,
1273 <&clock_gcc GCC_UFS_MEM_CLKREF_CLK>,
1274 <&clock_gcc GCC_UFS_PHY_AHB_CLK>,
1275 <&clock_gcc GCC_UFS_PHY_ICE_CORE_CLK>;
1276 qcom,op-freq-hz = <0>, <0>, <0>, <300000000>;
1277 vdd-hba-supply = <&ufs_phy_gdsc>;
1278 qcom,msm-bus,name = "ufs_ice_noc";
1279 qcom,msm-bus,num-cases = <2>;
1280 qcom,msm-bus,num-paths = <1>;
1281 qcom,msm-bus,vectors-KBps =
1282 <1 650 0 0>, /* No vote */
1283 <1 650 1000 0>; /* Max. bandwidth */
1284 qcom,bus-vector-names = "MIN",
1285 "MAX";
1286 qcom,instance-type = "ufs";
1287 };
1288
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001289 ufsphy_mem: ufsphy_mem@1d87000 {
Subhash Jadavani877ec812016-08-04 13:23:24 -07001290 reg = <0x1d87000 0xda8>; /* PHY regs */
1291 reg-names = "phy_mem";
1292 #phy-cells = <0>;
1293
Subhash Jadavanib606c842017-04-03 18:03:57 -07001294 lanes-per-direction = <2>;
1295
Subhash Jadavani9981b032017-03-24 17:24:05 -07001296 clock-names = "ref_clk_src",
1297 "ref_clk",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001298 "ref_aux_clk";
Osvaldo Banuelos918ffad2017-04-12 14:07:45 -07001299 clocks = <&clock_rpmh RPMH_CXO_CLK>,
Subhash Jadavani9981b032017-03-24 17:24:05 -07001300 <&clock_gcc GCC_UFS_MEM_CLKREF_CLK>,
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001301 <&clock_gcc GCC_UFS_PHY_PHY_AUX_HW_CTL_CLK>;
Subhash Jadavani877ec812016-08-04 13:23:24 -07001302
1303 status = "disabled";
1304 };
1305
Subhash Jadavanibb52a442017-04-27 16:50:58 -07001306 ufshc_mem: ufshc@1d84000 {
Subhash Jadavani877ec812016-08-04 13:23:24 -07001307 compatible = "qcom,ufshc";
1308 reg = <0x1d84000 0x2500>;
1309 interrupts = <0 265 0>;
1310 phys = <&ufsphy_mem>;
1311 phy-names = "ufsphy";
AnilKumar Chimata2e815902017-04-13 12:14:56 -07001312 ufs-qcom-crypto = <&ufs_ice>;
Subhash Jadavani877ec812016-08-04 13:23:24 -07001313
Subhash Jadavani588f2092016-09-08 17:58:31 -07001314 lanes-per-direction = <2>;
Subhash Jadavani5534d492016-12-13 16:13:19 -08001315 dev-ref-clk-freq = <0>; /* 19.2 MHz */
Subhash Jadavani588f2092016-09-08 17:58:31 -07001316
Subhash Jadavani877ec812016-08-04 13:23:24 -07001317 clock-names =
1318 "core_clk",
1319 "bus_aggr_clk",
1320 "iface_clk",
1321 "core_clk_unipro",
1322 "core_clk_ice",
Subhash Jadavani9981b032017-03-24 17:24:05 -07001323 "ref_clk",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001324 "tx_lane0_sync_clk",
1325 "rx_lane0_sync_clk",
1326 "rx_lane1_sync_clk";
1327 clocks =
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001328 <&clock_gcc GCC_UFS_PHY_AXI_HW_CTL_CLK>,
1329 <&clock_gcc GCC_AGGRE_UFS_PHY_AXI_HW_CTL_CLK>,
Subhash Jadavani877ec812016-08-04 13:23:24 -07001330 <&clock_gcc GCC_UFS_PHY_AHB_CLK>,
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001331 <&clock_gcc GCC_UFS_PHY_UNIPRO_CORE_HW_CTL_CLK>,
1332 <&clock_gcc GCC_UFS_PHY_ICE_CORE_HW_CTL_CLK>,
Osvaldo Banuelos918ffad2017-04-12 14:07:45 -07001333 <&clock_rpmh RPMH_CXO_CLK>,
Subhash Jadavani877ec812016-08-04 13:23:24 -07001334 <&clock_gcc GCC_UFS_PHY_TX_SYMBOL_0_CLK>,
1335 <&clock_gcc GCC_UFS_PHY_RX_SYMBOL_0_CLK>,
1336 <&clock_gcc GCC_UFS_PHY_RX_SYMBOL_1_CLK>;
1337 freq-table-hz =
1338 <50000000 200000000>,
1339 <0 0>,
1340 <0 0>,
1341 <37500000 150000000>,
1342 <75000000 300000000>,
1343 <0 0>,
1344 <0 0>,
Subhash Jadavani9981b032017-03-24 17:24:05 -07001345 <0 0>,
Subhash Jadavani877ec812016-08-04 13:23:24 -07001346 <0 0>;
1347
Sayali Lokhande49c1dde2017-10-10 15:46:19 +05301348 non-removable;
Subhash Jadavani35c309a2016-12-19 13:58:57 -08001349 qcom,msm-bus,name = "ufshc_mem";
Subhash Jadavani588f2092016-09-08 17:58:31 -07001350 qcom,msm-bus,num-cases = <22>;
Subhash Jadavani877ec812016-08-04 13:23:24 -07001351 qcom,msm-bus,num-paths = <2>;
1352 qcom,msm-bus,vectors-KBps =
Subhash Jadavani63705c42017-03-27 16:37:28 -07001353 /*
1354 * During HS G3 UFS runs at nominal voltage corner, vote
1355 * higher bandwidth to push other buses in the data path
1356 * to run at nominal to achieve max throughput.
1357 * 4GBps pushes BIMC to run at nominal.
1358 * 200MBps pushes CNOC to run at nominal.
1359 * Vote for half of this bandwidth for HS G3 1-lane.
1360 * For max bandwidth, vote high enough to push the buses
1361 * to run in turbo voltage corner.
1362 */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001363 <123 512 0 0>, <1 757 0 0>, /* No vote */
1364 <123 512 922 0>, <1 757 1000 0>, /* PWM G1 */
1365 <123 512 1844 0>, <1 757 1000 0>, /* PWM G2 */
1366 <123 512 3688 0>, <1 757 1000 0>, /* PWM G3 */
1367 <123 512 7376 0>, <1 757 1000 0>, /* PWM G4 */
1368 <123 512 1844 0>, <1 757 1000 0>, /* PWM G1 L2 */
1369 <123 512 3688 0>, <1 757 1000 0>, /* PWM G2 L2 */
1370 <123 512 7376 0>, <1 757 1000 0>, /* PWM G3 L2 */
1371 <123 512 14752 0>, <1 757 1000 0>, /* PWM G4 L2 */
1372 <123 512 127796 0>, <1 757 1000 0>, /* HS G1 RA */
1373 <123 512 255591 0>, <1 757 1000 0>, /* HS G2 RA */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001374 <123 512 2097152 0>, <1 757 102400 0>, /* HS G3 RA */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001375 <123 512 255591 0>, <1 757 1000 0>, /* HS G1 RA L2 */
1376 <123 512 511181 0>, <1 757 1000 0>, /* HS G2 RA L2 */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001377 <123 512 4194304 0>, <1 757 204800 0>, /* HS G3 RA L2 */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001378 <123 512 149422 0>, <1 757 1000 0>, /* HS G1 RB */
1379 <123 512 298189 0>, <1 757 1000 0>, /* HS G2 RB */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001380 <123 512 2097152 0>, <1 757 102400 0>, /* HS G3 RB */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001381 <123 512 298189 0>, <1 757 1000 0>, /* HS G1 RB L2 */
1382 <123 512 596378 0>, <1 757 1000 0>, /* HS G2 RB L2 */
Can Guo82a760c2017-11-04 09:01:19 +08001383 /* As UFS working in HS G3 RB L2 mode, aggregated
1384 * bandwidth (AB) should take care of providing
1385 * optimum throughput requested. However, as tested,
1386 * in order to scale up CNOC clock, instantaneous
1387 * bindwidth (IB) needs to be given a proper value too.
1388 */
1389 <123 512 4194304 0>, <1 757 204800 409600>, /* HS G3 RB L2 */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001390 <123 512 7643136 0>, <1 757 307200 0>; /* Max. bandwidth */
1391
Subhash Jadavani877ec812016-08-04 13:23:24 -07001392 qcom,bus-vector-names = "MIN",
1393 "PWM_G1_L1", "PWM_G2_L1", "PWM_G3_L1", "PWM_G4_L1",
Subhash Jadavani588f2092016-09-08 17:58:31 -07001394 "PWM_G1_L2", "PWM_G2_L2", "PWM_G3_L2", "PWM_G4_L2",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001395 "HS_RA_G1_L1", "HS_RA_G2_L1", "HS_RA_G3_L1",
Subhash Jadavani588f2092016-09-08 17:58:31 -07001396 "HS_RA_G1_L2", "HS_RA_G2_L2", "HS_RA_G3_L2",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001397 "HS_RB_G1_L1", "HS_RB_G2_L1", "HS_RB_G3_L1",
Subhash Jadavani588f2092016-09-08 17:58:31 -07001398 "HS_RB_G1_L2", "HS_RB_G2_L2", "HS_RB_G3_L2",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001399 "MAX";
1400
Subhash Jadavani63705c42017-03-27 16:37:28 -07001401 /* PM QoS */
1402 qcom,pm-qos-cpu-groups = <0x0f 0xf0>;
1403 qcom,pm-qos-cpu-group-latency-us = <70 70>;
1404 qcom,pm-qos-default-cpu = <0>;
1405
Subhash Jadavaniafe2a792017-03-31 21:08:29 -07001406 pinctrl-names = "dev-reset-assert", "dev-reset-deassert";
1407 pinctrl-0 = <&ufs_dev_reset_assert>;
1408 pinctrl-1 = <&ufs_dev_reset_deassert>;
Subhash Jadavani63705c42017-03-27 16:37:28 -07001409
1410 resets = <&clock_gcc GCC_UFS_PHY_BCR>;
1411 reset-names = "core_reset";
1412
Subhash Jadavani877ec812016-08-04 13:23:24 -07001413 status = "disabled";
1414 };
Satyajit Desai17da0592016-08-08 18:38:32 -07001415
Xiaonian Wang3c14ea32017-04-08 06:39:42 +08001416 sdhc_2: sdhci@8804000 {
1417 compatible = "qcom,sdhci-msm-v5";
1418 reg = <0x8804000 0x1000>;
1419 reg-names = "hc_mem";
1420
1421 interrupts = <0 204 0>, <0 222 0>;
1422 interrupt-names = "hc_irq", "pwr_irq";
1423
1424 qcom,bus-width = <4>;
1425 qcom,large-address-bus;
1426
1427 qcom,msm-bus,name = "sdhc2";
1428 qcom,msm-bus,num-cases = <8>;
1429 qcom,msm-bus,num-paths = <2>;
1430 qcom,msm-bus,vectors-KBps =
1431 /* No vote */
1432 <81 512 0 0>, <1 608 0 0>,
1433 /* 400 KB/s*/
1434 <81 512 1046 1600>,
1435 <1 608 1600 1600>,
1436 /* 20 MB/s */
1437 <81 512 52286 80000>,
1438 <1 608 80000 80000>,
1439 /* 25 MB/s */
1440 <81 512 65360 100000>,
1441 <1 608 100000 100000>,
1442 /* 50 MB/s */
1443 <81 512 130718 200000>,
1444 <1 608 133320 133320>,
1445 /* 100 MB/s */
1446 <81 512 261438 200000>,
1447 <1 608 150000 150000>,
1448 /* 200 MB/s */
1449 <81 512 261438 400000>,
1450 <1 608 300000 300000>,
1451 /* Max. bandwidth */
1452 <81 512 1338562 4096000>,
1453 <1 608 1338562 4096000>;
1454 qcom,bus-bw-vectors-bps = <0 400000 20000000 25000000 50000000
Subhash Jadavani0842b272017-07-19 17:05:13 -07001455 100750000 200000000 4294967295>;
Xiaonian Wang3c14ea32017-04-08 06:39:42 +08001456
Xiaonian Wang5d7e5d12017-04-07 19:51:23 -07001457 qcom,sdr104-wa;
1458
Bao D. Nguyen40d42ae2017-06-29 21:20:25 -07001459 qcom,restore-after-cx-collapse;
1460
Subhash Jadavani0842b272017-07-19 17:05:13 -07001461 qcom,clk-rates = <400000 20000000 25000000
1462 50000000 100000000 201500000>;
1463 qcom,bus-speed-mode = "SDR12", "SDR25", "SDR50", "DDR50",
1464 "SDR104";
1465
1466 qcom,devfreq,freq-table = <50000000 201500000>;
Xiaonian Wang3c14ea32017-04-08 06:39:42 +08001467 clocks = <&clock_gcc GCC_SDCC2_AHB_CLK>,
1468 <&clock_gcc GCC_SDCC2_APPS_CLK>;
1469 clock-names = "iface_clk", "core_clk";
1470
Can Guoe8148342017-10-16 12:10:27 +08001471 /* PM QoS */
1472 qcom,pm-qos-irq-type = "affine_irq";
1473 qcom,pm-qos-irq-latency = <70 70>;
1474 qcom,pm-qos-cpu-groups = <0x3f 0xc0>;
1475 qcom,pm-qos-legacy-latency-us = <70 70>, <70 70>;
1476
Xiaonian Wang3c14ea32017-04-08 06:39:42 +08001477 status = "disabled";
1478 };
1479
Kyle Yan384b13c2016-10-18 11:11:37 -07001480 pil_modem: qcom,mss@4080000 {
1481 compatible = "qcom,pil-q6v55-mss";
1482 reg = <0x4080000 0x100>,
1483 <0x1f63000 0x008>,
1484 <0x1f65000 0x008>,
1485 <0x1f64000 0x008>,
1486 <0x4180000 0x020>,
Kyle Yan8e805302017-05-01 11:13:45 -07001487 <0xc2b0000 0x004>,
Kyle Yan02f80392017-05-01 14:40:32 -07001488 <0xb2e0100 0x004>,
1489 <0x4180044 0x004>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001490 reg-names = "qdsp6_base", "halt_q6", "halt_modem",
Kyle Yan8e805302017-05-01 11:13:45 -07001491 "halt_nc", "rmb_base", "restart_reg",
Kyle Yan02f80392017-05-01 14:40:32 -07001492 "pdc_sync", "alt_reset";
Kyle Yan384b13c2016-10-18 11:11:37 -07001493
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001494 clocks = <&clock_rpmh RPMH_CXO_CLK>,
Kyle Yan384b13c2016-10-18 11:11:37 -07001495 <&clock_gcc GCC_MSS_CFG_AHB_CLK>,
1496 <&clock_gcc GCC_MSS_Q6_MEMNOC_AXI_CLK>,
1497 <&clock_gcc GCC_BOOT_ROM_AHB_CLK>,
1498 <&clock_gcc GCC_MSS_GPLL0_DIV_CLK_SRC>,
1499 <&clock_gcc GCC_MSS_SNOC_AXI_CLK>,
Kyle Yan5eb4ef92017-04-17 11:59:36 -07001500 <&clock_gcc GCC_MSS_MFAB_AXIS_CLK>,
1501 <&clock_gcc GCC_PRNG_AHB_CLK>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001502 clock-names = "xo", "iface_clk", "bus_clk",
1503 "mem_clk", "gpll0_mss_clk", "snoc_axi_clk",
Kyle Yanf7c86b72017-04-25 13:11:26 -07001504 "mnoc_axi_clk", "prng_clk";
1505 qcom,proxy-clock-names = "xo", "prng_clk";
Kyle Yan384b13c2016-10-18 11:11:37 -07001506 qcom,active-clock-names = "iface_clk", "bus_clk", "mem_clk",
1507 "gpll0_mss_clk", "snoc_axi_clk",
1508 "mnoc_axi_clk";
1509
1510 interrupts = <0 266 1>;
David Collins3a457942016-12-09 16:59:51 -08001511 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001512 vdd_cx-voltage = <RPMH_REGULATOR_LEVEL_TURBO>;
David Collins3a457942016-12-09 16:59:51 -08001513 vdd_mx-supply = <&pm8998_s6_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001514 vdd_mx-uV = <RPMH_REGULATOR_LEVEL_TURBO>;
Kyle Yan9df31602017-10-12 11:52:59 -07001515 vdd_mss-supply = <&pm8005_s2_level>;
Kyle Yandbec5572017-10-15 15:18:05 -07001516 vdd_mss-uV = <RPMH_REGULATOR_LEVEL_TURBO>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001517 qcom,firmware-name = "modem";
1518 qcom,pil-self-auth;
1519 qcom,sysmon-id = <0>;
1520 qcom,ssctl-instance-id = <0x12>;
1521 qcom,override-acc;
Kyle Yana56d7182017-09-13 11:22:48 -07001522 qcom,signal-aop;
Kyle Yan384b13c2016-10-18 11:11:37 -07001523 qcom,qdsp6v65-1-0;
Kyle Yanf248e352017-09-14 11:15:58 -07001524 qcom,mss_pdc_offset = <8>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001525 status = "ok";
1526 memory-region = <&pil_modem_mem>;
1527 qcom,mem-protect-id = <0xF>;
1528
1529 /* GPIO inputs from mss */
1530 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_1_in 0 0>;
1531 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_1_in 1 0>;
1532 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_1_in 2 0>;
1533 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_1_in 3 0>;
1534 qcom,gpio-shutdown-ack = <&smp2pgpio_ssr_smp2p_1_in 7 0>;
1535
1536 /* GPIO output to mss */
1537 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_1_out 0 0>;
Kyle Yana56d7182017-09-13 11:22:48 -07001538
1539 mboxes = <&qmp_aop 0>;
1540 mbox-names = "mss-pil";
Channagoud Kadabi814df402017-04-04 13:55:26 -07001541 qcom,mba-mem@0 {
1542 compatible = "qcom,pil-mba-mem";
1543 memory-region = <&pil_mba_mem>;
1544 };
Kyle Yan384b13c2016-10-18 11:11:37 -07001545 };
1546
Kyle Yand119cf82016-10-19 14:49:04 -07001547 qcom,lpass@17300000 {
1548 compatible = "qcom,pil-tz-generic";
1549 reg = <0x17300000 0x00100>;
1550 interrupts = <0 162 1>;
1551
David Collins3a457942016-12-09 16:59:51 -08001552 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yand119cf82016-10-19 14:49:04 -07001553 qcom,proxy-reg-names = "vdd_cx";
Kyle Yand8326b62017-01-05 15:11:02 -08001554 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
Kyle Yand119cf82016-10-19 14:49:04 -07001555
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001556 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yand119cf82016-10-19 14:49:04 -07001557 clock-names = "xo";
1558 qcom,proxy-clock-names = "xo";
1559
1560 qcom,pas-id = <1>;
1561 qcom,proxy-timeout-ms = <10000>;
1562 qcom,smem-id = <423>;
1563 qcom,sysmon-id = <1>;
1564 status = "ok";
1565 qcom,ssctl-instance-id = <0x14>;
1566 qcom,firmware-name = "adsp";
Kyle Yana56d7182017-09-13 11:22:48 -07001567 qcom,signal-aop;
Kyle Yand119cf82016-10-19 14:49:04 -07001568 memory-region = <&pil_adsp_mem>;
1569
1570 /* GPIO inputs from lpass */
1571 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_2_in 0 0>;
1572 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_2_in 2 0>;
1573 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_2_in 1 0>;
1574 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_2_in 3 0>;
1575
1576 /* GPIO output to lpass */
1577 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_2_out 0 0>;
Kyle Yana56d7182017-09-13 11:22:48 -07001578
1579 mboxes = <&qmp_aop 0>;
1580 mbox-names = "adsp-pil";
Kyle Yand119cf82016-10-19 14:49:04 -07001581 };
1582
Kyle Yanb693da32016-10-20 14:01:09 -07001583 qcom,ssc@5c00000 {
1584 compatible = "qcom,pil-tz-generic";
1585 reg = <0x5c00000 0x4000>;
Kyle Yanb3a29ae2017-05-23 13:37:11 -07001586 interrupts = <0 494 1>;
Kyle Yanb693da32016-10-20 14:01:09 -07001587
David Collins3a457942016-12-09 16:59:51 -08001588 vdd_cx-supply = <&pm8998_l27_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001589 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 0>;
Kyle Yan2d11cb92017-10-16 11:57:36 -07001590 vdd_mx-supply = <&pm8998_l4_level>;
1591 qcom,vdd_mx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 0>;
1592 qcom,proxy-reg-names = "vdd_cx", "vdd_mx";
Kyle Yanb693da32016-10-20 14:01:09 -07001593 qcom,keep-proxy-regs-on;
1594
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001595 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yanb693da32016-10-20 14:01:09 -07001596 clock-names = "xo";
1597 qcom,proxy-clock-names = "xo";
1598
1599 qcom,pas-id = <12>;
1600 qcom,proxy-timeout-ms = <10000>;
1601 qcom,smem-id = <424>;
1602 qcom,sysmon-id = <3>;
1603 qcom,ssctl-instance-id = <0x16>;
Kyle Yana56d7182017-09-13 11:22:48 -07001604 qcom,signal-aop;
Kyle Yanb693da32016-10-20 14:01:09 -07001605 qcom,firmware-name = "slpi";
1606 status = "ok";
1607 memory-region = <&pil_slpi_mem>;
1608
1609 /* GPIO inputs from ssc */
1610 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_3_in 0 0>;
1611 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_3_in 2 0>;
1612 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_3_in 1 0>;
1613 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_3_in 3 0>;
1614
1615 /* GPIO output to ssc */
1616 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_3_out 0 0>;
Kyle Yana56d7182017-09-13 11:22:48 -07001617
1618 mboxes = <&qmp_aop 0>;
1619 mbox-names = "slpi-pil";
Kyle Yanb693da32016-10-20 14:01:09 -07001620 };
1621
Sagar Dhariab7394b42016-11-29 01:01:01 -07001622 slim_aud: slim@171c0000 {
1623 cell-index = <1>;
1624 compatible = "qcom,slim-ngd";
1625 reg = <0x171c0000 0x2c000>,
1626 <0x17184000 0x2a000>;
1627 reg-names = "slimbus_physical", "slimbus_bam_physical";
1628 interrupts = <0 163 0>, <0 164 0>;
1629 interrupt-names = "slimbus_irq", "slimbus_bam_irq";
Karthikeyan Ramasubramanianb5d07ee2017-02-13 12:26:39 -07001630 qcom,apps-ch-pipes = <0x780000>;
Sagar Dhariab7394b42016-11-29 01:01:01 -07001631 qcom,ea-pc = <0x270>;
Karthikeyan Ramasubramanian9cd18ff2017-05-09 17:11:26 -06001632 qcom,iommu-s1-bypass;
1633
1634 iommu_slim_aud_ctrl_cb: qcom,iommu_slim_ctrl_cb {
1635 compatible = "qcom,iommu-slim-ctrl-cb";
1636 iommus = <&apps_smmu 0x1806 0x0>,
1637 <&apps_smmu 0x180d 0x0>,
1638 <&apps_smmu 0x180e 0x1>,
1639 <&apps_smmu 0x1810 0x1>;
1640 };
Sagar Dhariab7394b42016-11-29 01:01:01 -07001641 };
1642
Karthikeyan Ramasubramanian430c6462017-01-18 15:20:05 -07001643 slim_qca: slim@17240000 {
Sungjun Parkb4a9b3c2017-05-04 10:12:35 -07001644 status = "ok";
Karthikeyan Ramasubramanian430c6462017-01-18 15:20:05 -07001645 cell-index = <3>;
1646 compatible = "qcom,slim-ngd";
1647 reg = <0x17240000 0x2c000>,
1648 <0x17204000 0x20000>;
1649 reg-names = "slimbus_physical", "slimbus_bam_physical";
1650 interrupts = <0 291 0>, <0 292 0>;
1651 interrupt-names = "slimbus_irq", "slimbus_bam_irq";
Karthikeyan Ramasubramanian9cd18ff2017-05-09 17:11:26 -06001652 qcom,iommu-s1-bypass;
1653
1654 iommu_slim_qca_ctrl_cb: qcom,iommu_slim_ctrl_cb {
1655 compatible = "qcom,iommu-slim-ctrl-cb";
1656 iommus = <&apps_smmu 0x1813 0x0>;
1657 };
Sungjun Parkb4a9b3c2017-05-04 10:12:35 -07001658
1659 /* Slimbus Slave DT for WCN3990 */
1660 btfmslim_codec: wcn3990 {
1661 compatible = "qcom,btfmslim_slave";
1662 elemental-addr = [00 01 20 02 17 02];
1663 qcom,btfm-slim-ifd = "btfmslim_slave_ifd";
1664 qcom,btfm-slim-ifd-elemental-addr = [00 00 20 02 17 02];
1665 };
Karthikeyan Ramasubramanian430c6462017-01-18 15:20:05 -07001666 };
1667
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -07001668 eud: qcom,msm-eud@88e0000 {
1669 compatible = "qcom,msm-eud";
1670 interrupt-names = "eud_irq";
1671 interrupts = <GIC_SPI 492 IRQ_TYPE_LEVEL_HIGH>;
Kyle Yan3801a1f2016-09-27 18:29:55 -07001672 reg = <0x88e0000 0x2000>;
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -07001673 reg-names = "eud_base";
Satya Durga Srinivasu Prabhala5a497782017-09-22 13:47:47 -07001674 clocks = <&clock_gcc GCC_USB_PHY_CFG_AHB2PHY_CLK>;
1675 clock-names = "cfg_ahb_clk";
Vamsi Krishna Samavedam61262a12017-10-17 20:45:42 -07001676 vdda33-supply = <&pm8998_l24>;
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -07001677 status = "ok";
1678 };
1679
Kyle Yan79653352016-10-20 15:40:45 -07001680 qcom,spss@1880000 {
1681 compatible = "qcom,pil-tz-generic";
1682 reg = <0x188101c 0x4>,
1683 <0x1881024 0x4>,
1684 <0x1881028 0x4>,
1685 <0x188103c 0x4>,
1686 <0x1882014 0x4>;
1687 reg-names = "sp2soc_irq_status", "sp2soc_irq_clr",
1688 "sp2soc_irq_mask", "rmb_err", "rmb_err_spare2";
1689 interrupts = <0 352 1>;
1690
David Collins3a457942016-12-09 16:59:51 -08001691 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yan79653352016-10-20 15:40:45 -07001692 qcom,proxy-reg-names = "vdd_cx";
Kyle Yand8326b62017-01-05 15:11:02 -08001693 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
David Collins3a457942016-12-09 16:59:51 -08001694 vdd_mx-supply = <&pm8998_s6_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001695 vdd_mx-uV = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
Kyle Yan79653352016-10-20 15:40:45 -07001696
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001697 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yan79653352016-10-20 15:40:45 -07001698 clock-names = "xo";
1699 qcom,proxy-clock-names = "xo";
1700 qcom,pil-generic-irq-handler;
1701 status = "ok";
1702
1703 qcom,pas-id = <14>;
1704 qcom,proxy-timeout-ms = <10000>;
Kyle Yana56d7182017-09-13 11:22:48 -07001705 qcom,signal-aop;
Kyle Yan79653352016-10-20 15:40:45 -07001706 qcom,firmware-name = "spss";
1707 memory-region = <&pil_spss_mem>;
1708 qcom,spss-scsr-bits = <24 25>;
Kyle Yana56d7182017-09-13 11:22:48 -07001709
1710 mboxes = <&qmp_aop 0>;
1711 mbox-names = "spss-pil";
Kyle Yan79653352016-10-20 15:40:45 -07001712 };
1713
Satyajit Desai17da0592016-08-08 18:38:32 -07001714 wdog: qcom,wdt@17980000{
1715 compatible = "qcom,msm-watchdog";
1716 reg = <0x17980000 0x1000>;
1717 reg-names = "wdt-base";
Satyajit Desaidb4f2e6e2017-04-17 14:08:59 -07001718 interrupts = <0 0 0>, <0 1 0>;
Satyajit Desai17da0592016-08-08 18:38:32 -07001719 qcom,bark-time = <11000>;
Channagoud Kadabi63d9d4d2017-08-25 15:36:31 -07001720 qcom,pet-time = <9360>;
Satyajit Desai17da0592016-08-08 18:38:32 -07001721 qcom,ipi-ping;
1722 qcom,wakeup-enable;
1723 };
Satyajit Desai5e2b88a2016-08-10 17:08:08 -07001724
Kyle Yan02e95f72016-10-18 14:38:41 -07001725 qcom,turing@8300000 {
1726 compatible = "qcom,pil-tz-generic";
1727 reg = <0x8300000 0x100000>;
1728 interrupts = <0 578 1>;
1729
David Collins3a457942016-12-09 16:59:51 -08001730 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001731 qcom,proxy-reg-names = "vdd_cx";
Kyle Yand8326b62017-01-05 15:11:02 -08001732 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001733
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001734 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001735 clock-names = "xo";
1736 qcom,proxy-clock-names = "xo";
1737
1738 qcom,pas-id = <18>;
1739 qcom,proxy-timeout-ms = <10000>;
Kyle Yana7b79262017-04-09 11:37:24 -07001740 qcom,smem-id = <601>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001741 qcom,sysmon-id = <7>;
1742 qcom,ssctl-instance-id = <0x17>;
1743 qcom,firmware-name = "cdsp";
Kyle Yana56d7182017-09-13 11:22:48 -07001744 qcom,signal-aop;
Kyle Yan02e95f72016-10-18 14:38:41 -07001745 memory-region = <&pil_cdsp_mem>;
1746
1747 /* GPIO inputs from turing */
1748 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_5_in 0 0>;
1749 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_5_in 2 0>;
1750 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_5_in 1 0>;
1751 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_5_in 3 0>;
1752
1753 /* GPIO output to turing*/
1754 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_5_out 0 0>;
1755 status = "ok";
Kyle Yana56d7182017-09-13 11:22:48 -07001756
1757 mboxes = <&qmp_aop 0>;
1758 mbox-names = "cdsp-pil";
Kyle Yan02e95f72016-10-18 14:38:41 -07001759 };
1760
Kyle Yan74c74252017-02-13 13:30:45 -08001761 qcom,msm-rtb {
1762 compatible = "qcom,msm-rtb";
1763 qcom,rtb-size = <0x100000>;
1764 };
1765
Channagoud Kadabi31282232017-04-26 14:39:09 -07001766 qcom,mpm2-sleep-counter@0x0c221000 {
1767 compatible = "qcom,mpm2-sleep-counter";
1768 reg = <0x0c221000 0x1000>;
1769 clock-frequency = <32768>;
1770 };
1771
Sathish Ambley917cbd22017-02-28 10:46:26 -08001772 qcom,msm-cdsp-loader {
1773 compatible = "qcom,cdsp-loader";
1774 qcom,proc-img-to-load = "cdsp";
1775 };
1776
Sathish Ambley521f22a2017-04-21 14:19:45 -07001777 qcom,msm-adsprpc-mem {
1778 compatible = "qcom,msm-adsprpc-mem-region";
1779 memory-region = <&adsp_mem>;
1780 };
1781
Sathish Ambley37e87362016-11-12 15:18:48 -08001782 qcom,msm_fastrpc {
1783 compatible = "qcom,msm-fastrpc-compute";
Tharun Kumar Merugu26bf52e2017-08-11 12:03:29 +05301784 qcom,rpc-latency-us = <611>;
Sathish Ambley37e87362016-11-12 15:18:48 -08001785
1786 qcom,msm_fastrpc_compute_cb1 {
1787 compatible = "qcom,msm-fastrpc-compute-cb";
1788 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001789 iommus = <&apps_smmu 0x1401 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301790 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001791 };
1792 qcom,msm_fastrpc_compute_cb2 {
1793 compatible = "qcom,msm-fastrpc-compute-cb";
1794 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001795 iommus = <&apps_smmu 0x1402 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301796 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001797 };
1798 qcom,msm_fastrpc_compute_cb3 {
1799 compatible = "qcom,msm-fastrpc-compute-cb";
1800 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001801 iommus = <&apps_smmu 0x1403 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301802 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001803 };
1804 qcom,msm_fastrpc_compute_cb4 {
1805 compatible = "qcom,msm-fastrpc-compute-cb";
1806 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001807 iommus = <&apps_smmu 0x1404 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301808 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001809 };
1810 qcom,msm_fastrpc_compute_cb5 {
1811 compatible = "qcom,msm-fastrpc-compute-cb";
1812 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001813 iommus = <&apps_smmu 0x1405 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301814 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001815 };
1816 qcom,msm_fastrpc_compute_cb6 {
1817 compatible = "qcom,msm-fastrpc-compute-cb";
1818 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001819 iommus = <&apps_smmu 0x1406 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301820 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001821 };
1822 qcom,msm_fastrpc_compute_cb7 {
1823 compatible = "qcom,msm-fastrpc-compute-cb";
1824 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001825 iommus = <&apps_smmu 0x1407 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301826 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001827 };
1828 qcom,msm_fastrpc_compute_cb8 {
1829 compatible = "qcom,msm-fastrpc-compute-cb";
1830 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001831 iommus = <&apps_smmu 0x1408 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301832 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001833 };
Sathish Ambley521f22a2017-04-21 14:19:45 -07001834 qcom,msm_fastrpc_compute_cb9 {
1835 compatible = "qcom,msm-fastrpc-compute-cb";
1836 label = "cdsprpc-smd";
1837 qcom,secure-context-bank;
Patrick Dalyac495012017-04-18 16:42:00 -07001838 iommus = <&apps_smmu 0x1409 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301839 dma-coherent;
Sathish Ambley521f22a2017-04-21 14:19:45 -07001840 };
1841 qcom,msm_fastrpc_compute_cb10 {
1842 compatible = "qcom,msm-fastrpc-compute-cb";
1843 label = "cdsprpc-smd";
1844 qcom,secure-context-bank;
Patrick Dalyac495012017-04-18 16:42:00 -07001845 iommus = <&apps_smmu 0x140A 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301846 dma-coherent;
Sathish Ambley521f22a2017-04-21 14:19:45 -07001847 };
1848 qcom,msm_fastrpc_compute_cb11 {
1849 compatible = "qcom,msm-fastrpc-compute-cb";
1850 label = "adsprpc-smd";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07001851 iommus = <&apps_smmu 0x1823 0x0>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301852 dma-coherent;
Sathish Ambley521f22a2017-04-21 14:19:45 -07001853 };
1854 qcom,msm_fastrpc_compute_cb12 {
1855 compatible = "qcom,msm-fastrpc-compute-cb";
1856 label = "adsprpc-smd";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07001857 iommus = <&apps_smmu 0x1824 0x0>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301858 dma-coherent;
Sathish Ambley521f22a2017-04-21 14:19:45 -07001859 };
Sathish Ambley37e87362016-11-12 15:18:48 -08001860 };
1861
Satyajit Desai5e2b88a2016-08-10 17:08:08 -07001862 qcom,msm-imem@146bf000 {
1863 compatible = "qcom,msm-imem";
1864 reg = <0x146bf000 0x1000>;
1865 ranges = <0x0 0x146bf000 0x1000>;
1866 #address-cells = <1>;
1867 #size-cells = <1>;
1868
1869 mem_dump_table@10 {
1870 compatible = "qcom,msm-imem-mem_dump_table";
1871 reg = <0x10 8>;
1872 };
Kyle Yan3d71bbe2016-11-01 16:02:26 -07001873
Kyle Yana795b9d2017-02-14 16:16:13 -08001874 restart_reason@65c {
1875 compatible = "qcom,msm-imem-restart_reason";
1876 reg = <0x65c 4>;
1877 };
1878
Channagoud Kadabi31282232017-04-26 14:39:09 -07001879 boot_stats@6b0 {
1880 compatible = "qcom,msm-imem-boot_stats";
1881 reg = <0x6b0 32>;
1882 };
1883
Kyle Yan3d71bbe2016-11-01 16:02:26 -07001884 pil@94c {
1885 compatible = "qcom,msm-imem-pil";
1886 reg = <0x94c 200>;
1887 };
Channagoud Kadabic2513422017-04-25 18:53:42 -07001888
1889 kaslr_offset@6d0 {
1890 compatible = "qcom,msm-imem-kaslr_offset";
1891 reg = <0x6d0 12>;
1892 };
Mayank Rana0d883092017-05-05 17:30:55 -07001893
1894 diag_dload@c8 {
1895 compatible = "qcom,msm-imem-diag-dload";
1896 reg = <0xc8 200>;
1897 };
Satyajit Desai5e2b88a2016-08-10 17:08:08 -07001898 };
Kyle Yanddc44242016-06-20 14:42:14 -07001899
Kyle Yan74747da2016-09-14 16:24:30 -07001900 qcom,venus@aae0000 {
1901 compatible = "qcom,pil-tz-generic";
1902 reg = <0xaae0000 0x4000>;
1903
1904 vdd-supply = <&venus_gdsc>;
1905 qcom,proxy-reg-names = "vdd";
1906
1907 clocks = <&clock_videocc VIDEO_CC_VENUS_CTL_CORE_CLK>,
1908 <&clock_videocc VIDEO_CC_VENUS_AHB_CLK>,
1909 <&clock_videocc VIDEO_CC_VENUS_CTL_AXI_CLK>;
1910 clock-names = "core_clk", "iface_clk", "bus_clk";
1911 qcom,proxy-clock-names = "core_clk", "iface_clk", "bus_clk";
1912
1913 qcom,pas-id = <9>;
1914 qcom,msm-bus,name = "pil-venus";
1915 qcom,msm-bus,num-cases = <2>;
1916 qcom,msm-bus,num-paths = <1>;
1917 qcom,msm-bus,vectors-KBps =
1918 <63 512 0 0>,
1919 <63 512 0 304000>;
1920 qcom,proxy-timeout-ms = <100>;
1921 qcom,firmware-name = "venus";
1922 memory-region = <&pil_video_mem>;
1923 status = "ok";
1924 };
1925
Ananda Kishore47727742017-05-04 01:04:30 +05301926 ssc_sensors: qcom,msm-ssc-sensors {
1927 compatible = "qcom,msm-ssc-sensors";
1928 status = "ok";
1929 qcom,firmware-name = "slpi";
1930 };
1931
Kyle Yan49dd9f22016-12-02 11:56:05 -08001932 cpuss_dump {
1933 compatible = "qcom,cpuss-dump";
1934 qcom,l1_i_cache0 {
1935 qcom,dump-node = <&L1_I_0>;
1936 qcom,dump-id = <0x60>;
1937 };
1938 qcom,l1_i_cache1 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001939 qcom,dump-node = <&L1_I_100>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001940 qcom,dump-id = <0x61>;
1941 };
1942 qcom,l1_i_cache2 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001943 qcom,dump-node = <&L1_I_200>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001944 qcom,dump-id = <0x62>;
1945 };
1946 qcom,l1_i_cache3 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001947 qcom,dump-node = <&L1_I_300>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001948 qcom,dump-id = <0x63>;
1949 };
1950 qcom,l1_i_cache100 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001951 qcom,dump-node = <&L1_I_400>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001952 qcom,dump-id = <0x64>;
1953 };
1954 qcom,l1_i_cache101 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001955 qcom,dump-node = <&L1_I_500>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001956 qcom,dump-id = <0x65>;
1957 };
1958 qcom,l1_i_cache102 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001959 qcom,dump-node = <&L1_I_600>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001960 qcom,dump-id = <0x66>;
1961 };
1962 qcom,l1_i_cache103 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001963 qcom,dump-node = <&L1_I_700>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001964 qcom,dump-id = <0x67>;
1965 };
1966 qcom,l1_d_cache0 {
1967 qcom,dump-node = <&L1_D_0>;
1968 qcom,dump-id = <0x80>;
1969 };
1970 qcom,l1_d_cache1 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001971 qcom,dump-node = <&L1_D_100>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001972 qcom,dump-id = <0x81>;
1973 };
1974 qcom,l1_d_cache2 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001975 qcom,dump-node = <&L1_D_200>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001976 qcom,dump-id = <0x82>;
1977 };
1978 qcom,l1_d_cache3 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001979 qcom,dump-node = <&L1_D_300>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001980 qcom,dump-id = <0x83>;
1981 };
1982 qcom,l1_d_cache100 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001983 qcom,dump-node = <&L1_D_400>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001984 qcom,dump-id = <0x84>;
1985 };
1986 qcom,l1_d_cache101 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001987 qcom,dump-node = <&L1_D_500>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001988 qcom,dump-id = <0x85>;
1989 };
1990 qcom,l1_d_cache102 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001991 qcom,dump-node = <&L1_D_600>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001992 qcom,dump-id = <0x86>;
1993 };
1994 qcom,l1_d_cache103 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001995 qcom,dump-node = <&L1_D_700>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001996 qcom,dump-id = <0x87>;
1997 };
Channagoud Kadabiaed14892017-03-20 16:44:39 -07001998 qcom,llcc1_d_cache {
1999 qcom,dump-node = <&LLCC_1>;
Channagoud Kadabi641331f2017-05-26 15:30:25 -07002000 qcom,dump-id = <0x140>;
Channagoud Kadabif4fa1692017-01-17 12:34:29 -08002001 };
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002002 qcom,llcc2_d_cache {
2003 qcom,dump-node = <&LLCC_2>;
Channagoud Kadabi641331f2017-05-26 15:30:25 -07002004 qcom,dump-id = <0x141>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002005 };
2006 qcom,llcc3_d_cache {
2007 qcom,dump-node = <&LLCC_3>;
Channagoud Kadabi641331f2017-05-26 15:30:25 -07002008 qcom,dump-id = <0x142>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002009 };
2010 qcom,llcc4_d_cache {
2011 qcom,dump-node = <&LLCC_4>;
Channagoud Kadabi641331f2017-05-26 15:30:25 -07002012 qcom,dump-id = <0x143>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002013 };
Channagoud Kadabief56fcb2017-05-15 16:28:39 -07002014 qcom,l1_tlb_dump0 {
2015 qcom,dump-node = <&L1_TLB_0>;
2016 qcom,dump-id = <0x20>;
2017 };
2018 qcom,l1_tlb_dump100 {
2019 qcom,dump-node = <&L1_TLB_100>;
2020 qcom,dump-id = <0x21>;
2021 };
2022 qcom,l1_tlb_dump200 {
2023 qcom,dump-node = <&L1_TLB_200>;
2024 qcom,dump-id = <0x22>;
2025 };
2026 qcom,l1_tlb_dump300 {
2027 qcom,dump-node = <&L1_TLB_300>;
2028 qcom,dump-id = <0x23>;
2029 };
2030 qcom,l1_tlb_dump400 {
2031 qcom,dump-node = <&L1_TLB_400>;
2032 qcom,dump-id = <0x24>;
2033 };
2034 qcom,l1_tlb_dump500 {
2035 qcom,dump-node = <&L1_TLB_500>;
2036 qcom,dump-id = <0x25>;
2037 };
2038 qcom,l1_tlb_dump600 {
2039 qcom,dump-node = <&L1_TLB_600>;
2040 qcom,dump-id = <0x26>;
2041 };
2042 qcom,l1_tlb_dump700 {
2043 qcom,dump-node = <&L1_TLB_700>;
2044 qcom,dump-id = <0x27>;
2045 };
Kyle Yan49dd9f22016-12-02 11:56:05 -08002046 };
2047
Kyle Yanddc44242016-06-20 14:42:14 -07002048 kryo3xx-erp {
2049 compatible = "arm,arm64-kryo3xx-cpu-erp";
2050 interrupts = <1 6 4>,
2051 <1 7 4>,
2052 <0 34 4>,
2053 <0 35 4>;
2054
2055 interrupt-names = "l1-l2-faultirq",
2056 "l1-l2-errirq",
2057 "l3-scu-errirq",
2058 "l3-scu-faultirq";
2059 };
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002060
Channagoud Kadabi59a7ff22017-03-27 21:59:51 -07002061 qcom,llcc@1100000 {
Channagoud Kadabi8751c892016-10-14 13:40:19 -07002062 compatible = "qcom,llcc-core", "syscon", "simple-mfd";
Channagoud Kadabi59a7ff22017-03-27 21:59:51 -07002063 reg = <0x1100000 0x250000>;
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002064 reg-names = "llcc_base";
Channagoud Kadabi59a7ff22017-03-27 21:59:51 -07002065 qcom,llcc-banks-off = <0x0 0x80000 0x100000 0x180000>;
2066 qcom,llcc-broadcast-off = <0x200000>;
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002067
Kyle Yan6a20fae2017-02-14 13:34:41 -08002068 llcc: qcom,sdm845-llcc {
2069 compatible = "qcom,sdm845-llcc";
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002070 #cache-cells = <1>;
2071 max-slices = <32>;
2072 };
2073
Sankaran Nampoothiricddf47d2017-06-27 17:42:57 +05302074 qcom,llcc-perfmon {
2075 compatible = "qcom,llcc-perfmon";
2076 };
2077
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002078 qcom,llcc-erp {
2079 compatible = "qcom,llcc-erp";
Channagoud Kadabic26a8912016-11-21 13:57:20 -08002080 interrupt-names = "ecc_irq";
2081 interrupts = <GIC_SPI 582 IRQ_TYPE_LEVEL_HIGH>;
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002082 };
2083
2084 qcom,llcc-amon {
2085 compatible = "qcom,llcc-amon";
2086 };
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002087
2088 LLCC_1: llcc_1_dcache {
Channagoud Kadabi028a01d2017-09-22 13:14:32 -07002089 qcom,dump-size = <0x1141c0>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002090 };
2091
2092 LLCC_2: llcc_2_dcache {
Channagoud Kadabi028a01d2017-09-22 13:14:32 -07002093 qcom,dump-size = <0x1141c0>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002094 };
2095
2096 LLCC_3: llcc_3_dcache {
Channagoud Kadabi028a01d2017-09-22 13:14:32 -07002097 qcom,dump-size = <0x1141c0>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002098 };
2099
2100 LLCC_4: llcc_4_dcache {
Channagoud Kadabi028a01d2017-09-22 13:14:32 -07002101 qcom,dump-size = <0x1141c0>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002102 };
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002103 };
Chris Lewecef30b2016-08-22 13:52:49 -07002104
2105 qcom,ipc-spinlock@1f40000 {
2106 compatible = "qcom,ipc-spinlock-sfpb";
2107 reg = <0x1f40000 0x8000>;
2108 qcom,num-locks = <8>;
2109 };
Chris Lew05f9fb72016-08-22 13:55:10 -07002110
2111 qcom,smem@86000000 {
2112 compatible = "qcom,smem";
2113 reg = <0x86000000 0x200000>,
2114 <0x17911008 0x4>,
2115 <0x778000 0x7000>,
2116 <0x1fd4000 0x8>;
2117 reg-names = "smem", "irq-reg-base", "aux-mem1",
2118 "smem_targ_info_reg";
2119 qcom,mpu-enabled;
2120 };
Chris Lew031aed02016-08-22 13:58:59 -07002121
2122 qcom,glink-mailbox-xprt-spss@1885008 {
2123 compatible = "qcom,glink-mailbox-xprt";
2124 reg = <0x1885008 0x8>,
2125 <0x1885010 0x4>,
2126 <0x188501c 0x4>,
2127 <0x1886008 0x4>;
2128 reg-names = "mbox-loc-addr", "mbox-loc-size", "irq-reg-base",
2129 "irq-rx-reset";
2130 qcom,irq-mask = <0x1>;
2131 interrupts = <0 348 4>;
2132 label = "spss";
2133 qcom,tx-ring-size = <0x400>;
2134 qcom,rx-ring-size = <0x400>;
2135 };
Lina Iyer9f782ba2016-10-11 15:13:50 -06002136
Chris Lew72829772017-06-13 17:08:03 -07002137 qmp_aop: qcom,qmp-aop@c300000 {
Chris Lew39305592017-03-03 17:18:07 -08002138 compatible = "qcom,qmp-mbox";
2139 label = "aop";
2140 reg = <0xc300000 0x100000>,
2141 <0x1799000c 0x4>;
2142 reg-names = "msgram", "irq-reg-base";
2143 qcom,irq-mask = <0x1>;
2144 interrupts = <0 389 1>;
Chris Lew72829772017-06-13 17:08:03 -07002145 priority = <0>;
Chris Lew2a451512017-04-13 15:53:21 -07002146 mbox-desc-offset = <0x0>;
Chris Lew39305592017-03-03 17:18:07 -08002147 #mbox-cells = <1>;
2148 };
2149
Lina Iyer9f782ba2016-10-11 15:13:50 -06002150 apps_rsc: mailbox@179e0000 {
2151 compatible = "qcom,tcs-drv";
Lina Iyer1a410842017-03-21 13:52:43 -06002152 label = "apps_rsc";
Lina Iyer9f782ba2016-10-11 15:13:50 -06002153 reg = <0x179e0000 0x100>, <0x179e0d00 0x3000>;
2154 interrupts = <0 5 0>;
2155 #mbox-cells = <1>;
2156 qcom,drv-id = <2>;
Lina Iyer45df8962017-02-13 14:37:09 -07002157 qcom,tcs-config = <ACTIVE_TCS 2>,
2158 <SLEEP_TCS 3>,
2159 <WAKE_TCS 3>,
2160 <CONTROL_TCS 1>;
Lina Iyer9f782ba2016-10-11 15:13:50 -06002161 };
Lina Iyer4522ca42016-10-18 16:57:19 -06002162
2163 disp_rsc: mailbox@af20000 {
Lina Iyer4522ca42016-10-18 16:57:19 -06002164 compatible = "qcom,tcs-drv";
Lina Iyer1a410842017-03-21 13:52:43 -06002165 label = "display_rsc";
Lina Iyer4522ca42016-10-18 16:57:19 -06002166 reg = <0xaf20000 0x100>, <0xaf21c00 0x3000>;
2167 interrupts = <0 129 0>;
2168 #mbox-cells = <1>;
2169 qcom,drv-id = <0>;
2170 qcom,tcs-config = <SLEEP_TCS 1>,
2171 <WAKE_TCS 1>,
2172 <ACTIVE_TCS 0>,
2173 <CONTROL_TCS 1>;
2174 };
Lina Iyerac0d4ed2016-10-20 13:48:31 -06002175
2176 system_pm {
2177 compatible = "qcom,system-pm";
2178 mboxes = <&apps_rsc 0>;
2179 };
Karthikeyan Ramasubramanian47260462016-09-19 14:15:45 -06002180
2181 qcom,glink-smem-native-xprt-modem@86000000 {
2182 compatible = "qcom,glink-smem-native-xprt";
2183 reg = <0x86000000 0x200000>,
2184 <0x1799000c 0x4>;
2185 reg-names = "smem", "irq-reg-base";
2186 qcom,irq-mask = <0x1000>;
2187 interrupts = <GIC_SPI 449 IRQ_TYPE_EDGE_RISING>;
2188 label = "mpss";
2189 };
2190
2191 qcom,glink-smem-native-xprt-adsp@86000000 {
2192 compatible = "qcom,glink-smem-native-xprt";
2193 reg = <0x86000000 0x200000>,
2194 <0x1799000c 0x4>;
2195 reg-names = "smem", "irq-reg-base";
2196 qcom,irq-mask = <0x100>;
2197 interrupts = <GIC_SPI 156 IRQ_TYPE_EDGE_RISING>;
2198 label = "lpass";
Chris Lewa696c272017-10-02 15:27:00 -07002199 cpu-affinity = <1 2>;
Chris Lew13311dd2017-05-11 13:04:33 -07002200 qcom,qos-config = <&glink_qos_adsp>;
2201 qcom,ramp-time = <0xaf>;
2202 };
2203
2204 glink_qos_adsp: qcom,glink-qos-config-adsp {
2205 compatible = "qcom,glink-qos-config";
2206 qcom,flow-info = <0x3c 0x0>,
2207 <0x3c 0x0>,
2208 <0x3c 0x0>,
2209 <0x3c 0x0>;
2210 qcom,mtu-size = <0x800>;
2211 qcom,tput-stats-cycle = <0xa>;
Karthikeyan Ramasubramanian47260462016-09-19 14:15:45 -06002212 };
2213
2214 qcom,glink-smem-native-xprt-dsps@86000000 {
2215 compatible = "qcom,glink-smem-native-xprt";
2216 reg = <0x86000000 0x200000>,
2217 <0x1799000c 0x4>;
2218 reg-names = "smem", "irq-reg-base";
2219 qcom,irq-mask = <0x1000000>;
2220 interrupts = <GIC_SPI 170 IRQ_TYPE_EDGE_RISING>;
2221 label = "dsps";
2222 };
2223
Chris Lew5d4752f2017-05-11 13:14:30 -07002224 glink_spi_xprt_wdsp: qcom,glink-spi-xprt-wdsp {
2225 compatible = "qcom,glink-spi-xprt";
2226 label = "wdsp";
2227 qcom,remote-fifo-config = <&glink_fifo_wdsp>;
2228 qcom,qos-config = <&glink_qos_wdsp>;
2229 qcom,ramp-time = <0x10>,
2230 <0x20>,
2231 <0x30>,
2232 <0x40>;
2233 };
2234
2235 glink_fifo_wdsp: qcom,glink-fifo-config-wdsp {
2236 compatible = "qcom,glink-fifo-config";
2237 qcom,out-read-idx-reg = <0x12000>;
2238 qcom,out-write-idx-reg = <0x12004>;
2239 qcom,in-read-idx-reg = <0x1200C>;
2240 qcom,in-write-idx-reg = <0x12010>;
2241 };
2242
2243 glink_qos_wdsp: qcom,glink-qos-config-wdsp {
2244 compatible = "qcom,glink-qos-config";
2245 qcom,flow-info = <0x80 0x0>,
2246 <0x70 0x1>,
2247 <0x60 0x2>,
2248 <0x50 0x3>;
2249 qcom,mtu-size = <0x800>;
2250 qcom,tput-stats-cycle = <0xa>;
2251 };
2252
Karthikeyan Ramasubramanian47260462016-09-19 14:15:45 -06002253 qcom,glink-smem-native-xprt-cdsp@86000000 {
2254 compatible = "qcom,glink-smem-native-xprt";
2255 reg = <0x86000000 0x200000>,
2256 <0x1799000c 0x4>;
2257 reg-names = "smem", "irq-reg-base";
2258 qcom,irq-mask = <0x10>;
2259 interrupts = <GIC_SPI 574 IRQ_TYPE_EDGE_RISING>;
2260 label = "cdsp";
2261 };
Karthikeyan Ramasubramaniana0e3ff52016-09-19 14:31:36 -06002262
2263 glink_mpss: qcom,glink-ssr-modem {
2264 compatible = "qcom,glink_ssr";
2265 label = "modem";
2266 qcom,edge = "mpss";
2267 qcom,notify-edges = <&glink_lpass>, <&glink_dsps>,
2268 <&glink_cdsp>, <&glink_spss>;
2269 qcom,xprt = "smem";
2270 };
2271
2272 glink_lpass: qcom,glink-ssr-adsp {
2273 compatible = "qcom,glink_ssr";
2274 label = "adsp";
2275 qcom,edge = "lpass";
2276 qcom,notify-edges = <&glink_mpss>, <&glink_dsps>, <&glink_cdsp>;
2277 qcom,xprt = "smem";
2278 };
2279
2280 glink_dsps: qcom,glink-ssr-dsps {
2281 compatible = "qcom,glink_ssr";
2282 label = "slpi";
2283 qcom,edge = "dsps";
2284 qcom,notify-edges = <&glink_mpss>, <&glink_lpass>,
2285 <&glink_cdsp>;
2286 qcom,xprt = "smem";
2287 };
2288
2289 glink_cdsp: qcom,glink-ssr-cdsp {
2290 compatible = "qcom,glink_ssr";
2291 label = "cdsp";
2292 qcom,edge = "cdsp";
2293 qcom,notify-edges = <&glink_mpss>, <&glink_lpass>,
2294 <&glink_dsps>;
2295 qcom,xprt = "smem";
2296 };
2297
2298 glink_spss: qcom,glink-ssr-spss {
2299 compatible = "qcom,glink_ssr";
2300 label = "spss";
2301 qcom,edge = "spss";
2302 qcom,notify-edges = <&glink_mpss>;
2303 qcom,xprt = "mailbox";
2304 };
Karthikeyan Ramasubramanian8f0c1002016-09-19 15:44:53 -06002305
2306 qcom,ipc_router {
2307 compatible = "qcom,ipc_router";
2308 qcom,node-id = <1>;
2309 };
2310
2311 qcom,ipc_router_modem_xprt {
2312 compatible = "qcom,ipc_router_glink_xprt";
2313 qcom,ch-name = "IPCRTR";
2314 qcom,xprt-remote = "mpss";
2315 qcom,glink-xprt = "smem";
2316 qcom,xprt-linkid = <1>;
2317 qcom,xprt-version = <1>;
2318 qcom,fragmented-data;
2319 };
2320
2321 qcom,ipc_router_q6_xprt {
2322 compatible = "qcom,ipc_router_glink_xprt";
2323 qcom,ch-name = "IPCRTR";
2324 qcom,xprt-remote = "lpass";
2325 qcom,glink-xprt = "smem";
2326 qcom,xprt-linkid = <1>;
2327 qcom,xprt-version = <1>;
2328 qcom,fragmented-data;
2329 };
2330
2331 qcom,ipc_router_dsps_xprt {
2332 compatible = "qcom,ipc_router_glink_xprt";
2333 qcom,ch-name = "IPCRTR";
2334 qcom,xprt-remote = "dsps";
2335 qcom,glink-xprt = "smem";
2336 qcom,xprt-linkid = <1>;
2337 qcom,xprt-version = <1>;
2338 qcom,fragmented-data;
Arun Kumar Neelakantam6947b8b2017-06-29 21:39:22 +05302339 qcom,dynamic-wakeup-source;
Karthikeyan Ramasubramanian8f0c1002016-09-19 15:44:53 -06002340 };
2341
2342 qcom,ipc_router_cdsp_xprt {
2343 compatible = "qcom,ipc_router_glink_xprt";
2344 qcom,ch-name = "IPCRTR";
2345 qcom,xprt-remote = "cdsp";
2346 qcom,glink-xprt = "smem";
2347 qcom,xprt-linkid = <1>;
2348 qcom,xprt-version = <1>;
2349 qcom,fragmented-data;
2350 };
Karthikeyan Ramasubramanian608a2522016-09-19 15:50:38 -06002351
Chris Lewa342b702017-08-14 11:17:51 -07002352 qcom,qsee_ipc_irq_bridge {
2353 compatible = "qcom,qsee-ipc-irq-bridge";
2354
Chris Lew3667a9f2017-09-27 08:47:11 -07002355 qcom,qsee-ipc-irq-spss {
Chris Lewa342b702017-08-14 11:17:51 -07002356 qcom,rx-irq-clr = <0x1888008 0x4>;
2357 qcom,rx-irq-clr-mask = <0x1>;
2358 qcom,dev-name = "qsee_ipc_irq_spss";
2359 interrupts = <0 349 4>;
2360 label = "spss";
2361 };
2362 };
2363
Kineret Berger4e328852017-02-16 10:49:03 +02002364 qcom,spcom {
2365 compatible = "qcom,spcom";
2366
2367 /* predefined channels, remote side is server */
2368 qcom,spcom-ch-names = "sp_kernel", "sp_ssr";
2369 status = "ok";
2370 };
2371
Reut Zysman0be87ce2017-03-19 14:35:54 +02002372 spss_utils: qcom,spss_utils {
2373 compatible = "qcom,spss-utils";
2374 /* spss fuses physical address */
2375 qcom,spss-fuse1-addr = <0x007841c4>;
2376 qcom,spss-fuse1-bit = <27>;
2377 qcom,spss-fuse2-addr = <0x007841c4>;
2378 qcom,spss-fuse2-bit = <26>;
2379 qcom,spss-dev-firmware-name = "spss1d"; /* 8 chars max */
2380 qcom,spss-test-firmware-name = "spss1t"; /* 8 chars max */
2381 qcom,spss-prod-firmware-name = "spss1p"; /* 8 chars max */
2382 qcom,spss-debug-reg-addr = <0x01886020>;
2383 status = "ok";
2384 };
2385
Karthikeyan Ramasubramanian608a2522016-09-19 15:50:38 -06002386 qcom,glink_pkt {
2387 compatible = "qcom,glinkpkt";
2388
2389 qcom,glinkpkt-at-mdm0 {
2390 qcom,glinkpkt-transport = "smem";
2391 qcom,glinkpkt-edge = "mpss";
2392 qcom,glinkpkt-ch-name = "DS";
2393 qcom,glinkpkt-dev-name = "at_mdm0";
2394 };
2395
2396 qcom,glinkpkt-loopback_cntl {
2397 qcom,glinkpkt-transport = "lloop";
2398 qcom,glinkpkt-edge = "local";
2399 qcom,glinkpkt-ch-name = "LOCAL_LOOPBACK_CLNT";
2400 qcom,glinkpkt-dev-name = "glink_pkt_loopback_ctrl";
2401 };
2402
2403 qcom,glinkpkt-loopback_data {
2404 qcom,glinkpkt-transport = "lloop";
2405 qcom,glinkpkt-edge = "local";
2406 qcom,glinkpkt-ch-name = "glink_pkt_lloop_CLNT";
2407 qcom,glinkpkt-dev-name = "glink_pkt_loopback";
2408 };
2409
2410 qcom,glinkpkt-apr-apps2 {
2411 qcom,glinkpkt-transport = "smem";
2412 qcom,glinkpkt-edge = "adsp";
2413 qcom,glinkpkt-ch-name = "apr_apps2";
2414 qcom,glinkpkt-dev-name = "apr_apps2";
2415 };
2416
2417 qcom,glinkpkt-data40-cntl {
2418 qcom,glinkpkt-transport = "smem";
2419 qcom,glinkpkt-edge = "mpss";
2420 qcom,glinkpkt-ch-name = "DATA40_CNTL";
2421 qcom,glinkpkt-dev-name = "smdcntl8";
2422 };
2423
2424 qcom,glinkpkt-data1 {
2425 qcom,glinkpkt-transport = "smem";
2426 qcom,glinkpkt-edge = "mpss";
2427 qcom,glinkpkt-ch-name = "DATA1";
2428 qcom,glinkpkt-dev-name = "smd7";
2429 };
2430
2431 qcom,glinkpkt-data4 {
2432 qcom,glinkpkt-transport = "smem";
2433 qcom,glinkpkt-edge = "mpss";
2434 qcom,glinkpkt-ch-name = "DATA4";
2435 qcom,glinkpkt-dev-name = "smd8";
2436 };
2437
2438 qcom,glinkpkt-data11 {
2439 qcom,glinkpkt-transport = "smem";
2440 qcom,glinkpkt-edge = "mpss";
2441 qcom,glinkpkt-ch-name = "DATA11";
2442 qcom,glinkpkt-dev-name = "smd11";
2443 };
2444 };
Amir Levyca8989f2016-11-30 15:31:36 +02002445
Yan He907385d2016-11-14 17:13:30 -08002446 qcom,sps {
2447 compatible = "qcom,msm_sps_4k";
2448 qcom,pipe-attr-ee;
2449 };
2450
Abir Ghosh089b50d02017-04-27 21:40:38 -07002451 qcom,qbt1000 {
2452 compatible = "qcom,qbt1000";
2453 clock-names = "core", "iface";
2454 clock-frequency = <25000000>;
2455 qcom,ipc-gpio = <&tlmm 121 0>;
2456 qcom,finger-detect-gpio = <&pm8998_gpios 5 0>;
2457 };
2458
AnilKumar Chimatae9577f42017-04-18 22:52:12 -07002459 qcom_seecom: qseecom@86d00000 {
2460 compatible = "qcom,qseecom";
2461 reg = <0x86d00000 0x2200000>;
2462 reg-names = "secapp-region";
2463 qcom,hlos-num-ce-hw-instances = <1>;
2464 qcom,hlos-ce-hw-instance = <0>;
2465 qcom,qsee-ce-hw-instance = <0>;
2466 qcom,disk-encrypt-pipe-pair = <2>;
2467 qcom,support-fde;
2468 qcom,no-clock-support;
AnilKumar Chimataa9de12a2017-07-03 18:00:34 +05302469 qcom,fde-key-size;
AnilKumar Chimatae9577f42017-04-18 22:52:12 -07002470 qcom,msm-bus,name = "qseecom-noc";
2471 qcom,msm-bus,num-cases = <4>;
2472 qcom,msm-bus,num-paths = <1>;
2473 qcom,msm-bus,vectors-KBps =
2474 <125 512 0 0>,
2475 <125 512 200000 400000>,
2476 <125 512 300000 800000>,
2477 <125 512 400000 1000000>;
2478 clock-names = "core_clk_src", "core_clk",
2479 "iface_clk", "bus_clk";
2480 clocks = <&clock_gcc GCC_CE1_CLK>,
2481 <&clock_gcc GCC_CE1_CLK>,
2482 <&clock_gcc GCC_CE1_AHB_CLK>,
2483 <&clock_gcc GCC_CE1_AXI_CLK>;
2484 qcom,ce-opp-freq = <171430000>;
2485 qcom,qsee-reentrancy-support = <2>;
2486 };
2487
AnilKumar Chimata51e70432017-04-18 22:52:12 -07002488 qcom_rng: qrng@793000 {
2489 compatible = "qcom,msm-rng";
2490 reg = <0x793000 0x1000>;
2491 qcom,msm-rng-iface-clk;
2492 qcom,no-qrng-config;
2493 qcom,msm-bus,name = "msm-rng-noc";
2494 qcom,msm-bus,num-cases = <2>;
2495 qcom,msm-bus,num-paths = <1>;
2496 qcom,msm-bus,vectors-KBps =
2497 <1 618 0 0>, /* No vote */
Zhen Kong39570f02017-11-10 14:05:03 -08002498 <1 618 0 300000>; /* 75 MHz */
AnilKumar Chimata51e70432017-04-18 22:52:12 -07002499 clocks = <&clock_gcc GCC_PRNG_AHB_CLK>;
2500 clock-names = "iface_clk";
2501 };
2502
AnilKumar Chimatac3297842017-04-18 22:52:12 -07002503 qcom_tzlog: tz-log@146bf720 {
2504 compatible = "qcom,tz-log";
2505 reg = <0x146bf720 0x3000>;
2506 qcom,hyplog-enabled;
2507 hyplog-address-offset = <0x410>;
2508 hyplog-size-offset = <0x414>;
2509 };
2510
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002511 qcom_cedev: qcedev@1de0000 {
2512 compatible = "qcom,qcedev";
2513 reg = <0x1de0000 0x20000>,
2514 <0x1dc4000 0x24000>;
2515 reg-names = "crypto-base","crypto-bam-base";
2516 interrupts = <0 272 0>;
Zhen Kong12ce0da2017-08-31 12:33:21 -07002517 qcom,bam-pipe-pair = <3>;
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002518 qcom,ce-hw-instance = <0>;
2519 qcom,ce-device = <0>;
2520 qcom,ce-hw-shared;
2521 qcom,bam-ee = <0>;
2522 qcom,msm-bus,name = "qcedev-noc";
2523 qcom,msm-bus,num-cases = <2>;
2524 qcom,msm-bus,num-paths = <1>;
2525 qcom,msm-bus,vectors-KBps =
2526 <125 512 0 0>,
2527 <125 512 393600 393600>;
2528 clock-names = "core_clk_src", "core_clk",
2529 "iface_clk", "bus_clk";
2530 clocks = <&clock_gcc GCC_CE1_CLK>,
2531 <&clock_gcc GCC_CE1_CLK>,
2532 <&clock_gcc GCC_CE1_AHB_CLK>,
2533 <&clock_gcc GCC_CE1_AXI_CLK>;
2534 qcom,ce-opp-freq = <171430000>;
AnilKumar Chimatafb8eae42017-05-03 13:04:47 -07002535 qcom,request-bw-before-clk;
Zhen Kong12ce0da2017-08-31 12:33:21 -07002536 qcom,smmu-s1-enable;
2537 iommus = <&apps_smmu 0x706 0x1>,
2538 <&apps_smmu 0x716 0x1>;
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002539 };
2540
Tatenda Chipeperekwad1ae6b12017-07-10 12:54:29 -07002541 qcom_msmhdcp: qcom,msm_hdcp {
2542 compatible = "qcom,msm-hdcp";
2543 };
2544
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002545 qcom_crypto: qcrypto@1de0000 {
2546 compatible = "qcom,qcrypto";
2547 reg = <0x1de0000 0x20000>,
2548 <0x1dc4000 0x24000>;
2549 reg-names = "crypto-base","crypto-bam-base";
2550 interrupts = <0 272 0>;
2551 qcom,bam-pipe-pair = <2>;
2552 qcom,ce-hw-instance = <0>;
2553 qcom,ce-device = <0>;
2554 qcom,bam-ee = <0>;
2555 qcom,ce-hw-shared;
2556 qcom,clk-mgmt-sus-res;
2557 qcom,msm-bus,name = "qcrypto-noc";
2558 qcom,msm-bus,num-cases = <2>;
2559 qcom,msm-bus,num-paths = <1>;
2560 qcom,msm-bus,vectors-KBps =
2561 <125 512 0 0>,
2562 <125 512 393600 393600>;
2563 clock-names = "core_clk_src", "core_clk",
2564 "iface_clk", "bus_clk";
2565 clocks = <&clock_gcc GCC_CE1_CLK>,
2566 <&clock_gcc GCC_CE1_CLK>,
2567 <&clock_gcc GCC_CE1_AHB_CLK>,
2568 <&clock_gcc GCC_CE1_AXI_CLK>;
2569 qcom,ce-opp-freq = <171430000>;
AnilKumar Chimatafb8eae42017-05-03 13:04:47 -07002570 qcom,request-bw-before-clk;
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002571 qcom,use-sw-aes-cbc-ecb-ctr-algo;
2572 qcom,use-sw-aes-xts-algo;
2573 qcom,use-sw-aes-ccm-algo;
2574 qcom,use-sw-ahash-algo;
2575 qcom,use-sw-aead-algo;
2576 qcom,use-sw-hmac-algo;
Zhen Kong12ce0da2017-08-31 12:33:21 -07002577 qcom,smmu-s1-enable;
2578 iommus = <&apps_smmu 0x704 0x1>,
2579 <&apps_smmu 0x714 0x1>;
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002580 };
2581
Amir Levyca8989f2016-11-30 15:31:36 +02002582 qcom,msm_gsi {
2583 compatible = "qcom,msm_gsi";
2584 };
2585
Ritesh Harjani0cd528f2017-04-19 14:19:55 +05302586 qcom,rmtfs_sharedmem@0 {
2587 compatible = "qcom,sharedmem-uio";
2588 reg = <0x0 0x200000>;
2589 reg-names = "rmtfs";
2590 qcom,client-id = <0x00000001>;
Sahitya Tummalafb2ae1c2017-10-05 15:03:45 +05302591 qcom,guard-memory;
Ritesh Harjani0cd528f2017-04-19 14:19:55 +05302592 };
2593
Amir Levy9654f172016-11-30 15:33:23 +02002594 qcom,rmnet-ipa {
2595 compatible = "qcom,rmnet-ipa3";
2596 qcom,rmnet-ipa-ssr;
2597 qcom,ipa-loaduC;
2598 qcom,ipa-advertise-sg-support;
Skylar Changfdadb6e62017-04-19 15:49:52 -07002599 qcom,ipa-napi-enable;
Amir Levy9654f172016-11-30 15:33:23 +02002600 };
2601
Amir Levyca8989f2016-11-30 15:31:36 +02002602 ipa_hw: qcom,ipa@01e00000 {
2603 compatible = "qcom,ipa";
2604 reg = <0x1e00000 0x34000>,
2605 <0x1e04000 0x2c000>;
2606 reg-names = "ipa-base", "gsi-base";
2607 interrupts =
2608 <0 311 0>,
2609 <0 432 0>;
2610 interrupt-names = "ipa-irq", "gsi-irq";
2611 qcom,ipa-hw-ver = <13>; /* IPA core version = IPAv3.5.1 */
2612 qcom,ipa-hw-mode = <1>;
2613 qcom,ee = <0>;
Amir Levyca8989f2016-11-30 15:31:36 +02002614 qcom,use-ipa-tethering-bridge;
2615 qcom,modem-cfg-emb-pipe-flt;
2616 qcom,ipa-wdi2;
2617 qcom,use-64-bit-dma-mask;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002618 qcom,arm-smmu;
Ghanim Fodi448abca2017-03-05 18:41:27 +02002619 qcom,bandwidth-vote-for-ipa;
Amir Levyca8989f2016-11-30 15:31:36 +02002620 qcom,msm-bus,name = "ipa";
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002621 qcom,msm-bus,num-cases = <5>;
Ghanim Fodi448abca2017-03-05 18:41:27 +02002622 qcom,msm-bus,num-paths = <4>;
Amir Levyca8989f2016-11-30 15:31:36 +02002623 qcom,msm-bus,vectors-KBps =
2624 /* No vote */
2625 <90 512 0 0>,
2626 <90 585 0 0>,
2627 <1 676 0 0>,
Ghanim Fodi448abca2017-03-05 18:41:27 +02002628 <143 777 0 0>,
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002629 /* SVS2 */
2630 <90 512 80000 600000>,
2631 <90 585 80000 350000>,
2632 <1 676 40000 40000>, /*gcc_config_noc_clk_src */
2633 <143 777 0 75>, /* IB defined for IPA2X_clk in MHz*/
Amir Levyca8989f2016-11-30 15:31:36 +02002634 /* SVS */
2635 <90 512 80000 640000>,
2636 <90 585 80000 640000>,
2637 <1 676 80000 80000>,
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002638 <143 777 0 150>, /* IB defined for IPA2X_clk in MHz*/
Amir Levyca8989f2016-11-30 15:31:36 +02002639 /* NOMINAL */
2640 <90 512 206000 960000>,
2641 <90 585 206000 960000>,
2642 <1 676 206000 160000>,
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002643 <143 777 0 300>, /* IB defined for IPA2X_clk in MHz*/
Amir Levyca8989f2016-11-30 15:31:36 +02002644 /* TURBO */
2645 <90 512 206000 3600000>,
2646 <90 585 206000 3600000>,
Ghanim Fodi448abca2017-03-05 18:41:27 +02002647 <1 676 206000 300000>,
David Daic063f0f2017-07-05 11:21:21 -07002648 <143 777 0 355>; /* IB defined for IPA clk in MHz*/
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002649 qcom,bus-vector-names =
2650 "MIN", "SVS2", "SVS", "NOMINAL", "TURBO";
Amir Levyca8989f2016-11-30 15:31:36 +02002651
2652 /* IPA RAM mmap */
2653 qcom,ipa-ram-mmap = <
2654 0x280 /* ofst_start; */
2655 0x0 /* nat_ofst; */
2656 0x0 /* nat_size; */
2657 0x288 /* v4_flt_hash_ofst; */
2658 0x78 /* v4_flt_hash_size; */
2659 0x4000 /* v4_flt_hash_size_ddr; */
2660 0x308 /* v4_flt_nhash_ofst; */
2661 0x78 /* v4_flt_nhash_size; */
2662 0x4000 /* v4_flt_nhash_size_ddr; */
2663 0x388 /* v6_flt_hash_ofst; */
2664 0x78 /* v6_flt_hash_size; */
2665 0x4000 /* v6_flt_hash_size_ddr; */
2666 0x408 /* v6_flt_nhash_ofst; */
2667 0x78 /* v6_flt_nhash_size; */
2668 0x4000 /* v6_flt_nhash_size_ddr; */
2669 0xf /* v4_rt_num_index; */
2670 0x0 /* v4_modem_rt_index_lo; */
2671 0x7 /* v4_modem_rt_index_hi; */
2672 0x8 /* v4_apps_rt_index_lo; */
2673 0xe /* v4_apps_rt_index_hi; */
2674 0x488 /* v4_rt_hash_ofst; */
2675 0x78 /* v4_rt_hash_size; */
2676 0x4000 /* v4_rt_hash_size_ddr; */
2677 0x508 /* v4_rt_nhash_ofst; */
2678 0x78 /* v4_rt_nhash_size; */
2679 0x4000 /* v4_rt_nhash_size_ddr; */
2680 0xf /* v6_rt_num_index; */
2681 0x0 /* v6_modem_rt_index_lo; */
2682 0x7 /* v6_modem_rt_index_hi; */
2683 0x8 /* v6_apps_rt_index_lo; */
2684 0xe /* v6_apps_rt_index_hi; */
2685 0x588 /* v6_rt_hash_ofst; */
2686 0x78 /* v6_rt_hash_size; */
2687 0x4000 /* v6_rt_hash_size_ddr; */
2688 0x608 /* v6_rt_nhash_ofst; */
2689 0x78 /* v6_rt_nhash_size; */
2690 0x4000 /* v6_rt_nhash_size_ddr; */
2691 0x688 /* modem_hdr_ofst; */
2692 0x140 /* modem_hdr_size; */
2693 0x7c8 /* apps_hdr_ofst; */
2694 0x0 /* apps_hdr_size; */
2695 0x800 /* apps_hdr_size_ddr; */
2696 0x7d0 /* modem_hdr_proc_ctx_ofst; */
2697 0x200 /* modem_hdr_proc_ctx_size; */
2698 0x9d0 /* apps_hdr_proc_ctx_ofst; */
2699 0x200 /* apps_hdr_proc_ctx_size; */
2700 0x0 /* apps_hdr_proc_ctx_size_ddr; */
2701 0x0 /* modem_comp_decomp_ofst; diff */
2702 0x0 /* modem_comp_decomp_size; diff */
2703 0xbd8 /* modem_ofst; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002704 0x1024 /* modem_size; */
2705 0x2000 /* apps_v4_flt_hash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002706 0x0 /* apps_v4_flt_hash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002707 0x2000 /* apps_v4_flt_nhash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002708 0x0 /* apps_v4_flt_nhash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002709 0x2000 /* apps_v6_flt_hash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002710 0x0 /* apps_v6_flt_hash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002711 0x2000 /* apps_v6_flt_nhash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002712 0x0 /* apps_v6_flt_nhash_size; */
2713 0x80 /* uc_info_ofst; */
2714 0x200 /* uc_info_size; */
2715 0x2000 /* end_ofst; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002716 0x2000 /* apps_v4_rt_hash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002717 0x0 /* apps_v4_rt_hash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002718 0x2000 /* apps_v4_rt_nhash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002719 0x0 /* apps_v4_rt_nhash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002720 0x2000 /* apps_v6_rt_hash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002721 0x0 /* apps_v6_rt_hash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002722 0x2000 /* apps_v6_rt_nhash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002723 0x0 /* apps_v6_rt_nhash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002724 0x1c00 /* uc_event_ring_ofst; */
2725 0x400 /* uc_event_ring_size; */
Amir Levyca8989f2016-11-30 15:31:36 +02002726 >;
Ghanim Fodi154110e2017-04-07 19:27:15 +03002727
2728 /* smp2p gpio information */
2729 qcom,smp2pgpio_map_ipa_1_out {
2730 compatible = "qcom,smp2pgpio-map-ipa-1-out";
2731 gpios = <&smp2pgpio_ipa_1_out 0 0>;
2732 };
2733
2734 qcom,smp2pgpio_map_ipa_1_in {
2735 compatible = "qcom,smp2pgpio-map-ipa-1-in";
2736 gpios = <&smp2pgpio_ipa_1_in 0 0>;
2737 };
Ghanim Fodib8d30752017-04-08 13:41:24 +03002738
2739 ipa_smmu_ap: ipa_smmu_ap {
2740 compatible = "qcom,ipa-smmu-ap-cb";
Michael Adisumarta9e96c722017-10-20 15:28:39 -07002741 qcom,smmu-s1-bypass;
Patrick Dalyc4aaa902017-04-24 12:45:11 -07002742 iommus = <&apps_smmu 0x720 0x0>;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002743 qcom,iova-mapping = <0x20000000 0x40000000>;
Michael Adisumarta389894e2017-10-09 14:22:10 -07002744 qcom,additional-mapping =
2745 /* modem tables in IMEM */
2746 <0x146BD000 0x146BD000 0x2000>;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002747 };
2748
2749 ipa_smmu_wlan: ipa_smmu_wlan {
2750 compatible = "qcom,ipa-smmu-wlan-cb";
Michael Adisumarta389894e2017-10-09 14:22:10 -07002751 qcom,smmu-s1-bypass;
Patrick Dalyc4aaa902017-04-24 12:45:11 -07002752 iommus = <&apps_smmu 0x721 0x0>;
Michael Adisumarta389894e2017-10-09 14:22:10 -07002753 qcom,additional-mapping =
2754 /* ipa-uc ram */
2755 <0x1E60000 0x1E60000 0x80000>;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002756 };
2757
2758 ipa_smmu_uc: ipa_smmu_uc {
2759 compatible = "qcom,ipa-smmu-uc-cb";
Michael Adisumarta389894e2017-10-09 14:22:10 -07002760 qcom,smmu-s1-bypass;
Patrick Dalyc4aaa902017-04-24 12:45:11 -07002761 iommus = <&apps_smmu 0x722 0x0>;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002762 qcom,iova-mapping = <0x40000000 0x20000000>;
2763 };
Amir Levyca8989f2016-11-30 15:31:36 +02002764 };
Channagoud Kadabi39d26f22016-10-27 18:41:04 -07002765
Amir Levyf5eede22017-02-07 09:16:50 +02002766 qcom,ipa_fws {
2767 compatible = "qcom,pil-tz-generic";
2768 qcom,pas-id = <0xf>;
2769 qcom,firmware-name = "ipa_fws";
Michael Adisumarta0738b5d2017-09-25 20:44:32 -07002770 qcom,pil-force-shutdown;
Amir Levyf5eede22017-02-07 09:16:50 +02002771 };
2772
Channagoud Kadabi39d26f22016-10-27 18:41:04 -07002773 qcom,chd_sliver {
2774 compatible = "qcom,core-hang-detect";
2775 label = "silver";
2776 qcom,threshold-arr = <0x17e00058 0x17e10058
2777 0x17e20058 0x17e30058>;
2778 qcom,config-arr = <0x17e00060 0x17e10060
2779 0x17e20060 0x17e30060>;
2780 };
2781
2782 qcom,chd_gold {
2783 compatible = "qcom,core-hang-detect";
2784 label = "gold";
2785 qcom,threshold-arr = <0x17e40058 0x17e50058
2786 0x17e60058 0x17e70058>;
2787 qcom,config-arr = <0x17e40060 0x17e50060
2788 0x17e60060 0x17e70060>;
2789 };
2790
2791 qcom,ghd {
Kyle Yan5dda2452016-11-16 16:44:17 -08002792 compatible = "qcom,gladiator-hang-detect-v2";
Channagoud Kadabi39d26f22016-10-27 18:41:04 -07002793 qcom,threshold-arr = <0x1799041c 0x17990420>;
2794 qcom,config-reg = <0x17990434>;
2795 };
Mahesh Sivasubramanianf0dddb62016-10-19 14:17:44 -06002796
Kyle Yan3a641f42016-11-21 14:00:04 -08002797 qcom,msm-gladiator-v3@17900000 {
2798 compatible = "qcom,msm-gladiator-v3";
2799 reg = <0x17900000 0xd080>;
2800 reg-names = "gladiator_base";
2801 interrupts = <0 17 0>;
2802 };
2803
Mahesh Sivasubramanianf0dddb62016-10-19 14:17:44 -06002804 cmd_db: qcom,cmd-db@861e0000 {
2805 compatible = "qcom,cmd-db";
Mahesh Sivasubramaniand65a35e2017-04-28 11:18:13 -06002806 reg = <0xc3f000c 8>;
Mahesh Sivasubramanianf0dddb62016-10-19 14:17:44 -06002807 };
Satyajit Desai260bd392017-02-22 10:28:02 -08002808
2809 dcc: dcc_v2@10a2000 {
2810 compatible = "qcom,dcc_v2";
2811 reg = <0x10a2000 0x1000>,
2812 <0x10ae000 0x2000>;
2813 reg-names = "dcc-base", "dcc-ram-base";
Satyajit Desaiabf54902017-04-19 17:24:56 -07002814
2815 dcc-ram-offset = <0x6000>;
Satyajit Desai9f293262017-09-29 14:31:44 -07002816
2817 qcom,curr-link-list = <2>;
2818 qcom,link-list = <DCC_READ 0x1740300 6 0>,
2819 <DCC_READ 0x1620500 4 0>,
2820 <DCC_READ 0x7840000 1 0>,
2821 <DCC_READ 0x7841010 12 0>,
2822 <DCC_READ 0x7842000 16 0>,
2823 <DCC_READ 0x7842500 2 0>,
2824 <DCC_LOOP 7 0 0>,
2825 <DCC_READ 0x7841000 1 0>,
2826 <DCC_LOOP 1 0 0>,
2827 <DCC_LOOP 165 0 0>,
2828 <DCC_READ 0x7841008 2 0>,
2829 <DCC_LOOP 1 0 0>,
2830 <DCC_READ 0x17dc3a84 2 0>,
2831 <DCC_READ 0x17db3a84 1 0>,
2832 <DCC_READ 0x1301000 2 0>,
2833 <DCC_READ 0x17990044 1 0>,
2834 <DCC_READ 0x17d45f00 1 0>,
2835 <DCC_READ 0x17d45f08 6 0>,
2836 <DCC_READ 0x17d45f80 1 0>,
2837 <DCC_READ 0x17d47418 1 0>,
2838 <DCC_READ 0x17d47570 1 0>,
2839 <DCC_READ 0x17d47588 1 0>,
2840 <DCC_READ 0x17d43700 1 0>,
2841 <DCC_READ 0x17d43708 6 0>,
2842 <DCC_READ 0x17d43780 1 0>,
2843 <DCC_READ 0x17d44c18 1 0>,
2844 <DCC_READ 0x17d44d70 1 0>,
2845 <DCC_READ 0x17d44d88 1 0>,
2846 <DCC_READ 0x17d41700 1 0>,
2847 <DCC_READ 0x17d41708 6 0>,
2848 <DCC_READ 0x17d41780 1 0>,
2849 <DCC_READ 0x17d42c18 1 0>,
2850 <DCC_READ 0x17d42d70 1 0>,
2851 <DCC_READ 0x17d42d88 1 0>,
2852 <DCC_WRITE 0x69ea00c 0x600007 1>,
2853 <DCC_WRITE 0x69ea01c 0x136800 1>,
2854 <DCC_READ 0x69ea014 1 1>,
2855 <DCC_WRITE 0x69ea01c 0x136810 1>,
2856 <DCC_READ 0x69ea014 1 1>,
2857 <DCC_WRITE 0x69ea01c 0x136820 1>,
2858 <DCC_READ 0x69ea014 1 1>,
2859 <DCC_WRITE 0x69ea01c 0x136830 1>,
2860 <DCC_READ 0x69ea014 1 1>,
2861 <DCC_WRITE 0x69ea01c 0x136840 1>,
2862 <DCC_READ 0x69ea014 1 1>,
2863 <DCC_WRITE 0x69ea01c 0x136850 1>,
2864 <DCC_READ 0x69ea014 1 1>,
2865 <DCC_WRITE 0x69ea01c 0x136860 1>,
2866 <DCC_READ 0x69ea014 1 1>,
2867 <DCC_WRITE 0x69ea01c 0x136870 1>,
2868 <DCC_READ 0x69ea014 1 1>,
2869 <DCC_WRITE 0x069ea01C 0x0003e9a0 1>,
2870 <DCC_WRITE 0x069ea01C 0x001368a0 1>,
2871 <DCC_READ 0x069ea014 1 1>,
2872 <DCC_WRITE 0x069ea01c 0x0003c0a0 1>,
2873 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2874 <DCC_READ 0x069ea014 1 1>,
2875 <DCC_WRITE 0x069ea01c 0x0003d1a0 1>,
2876 <DCC_WRITE 0x069ea01C 0x001368a0 1>,
2877 <DCC_READ 0x069ea014 1 1>,
2878 <DCC_WRITE 0x069ea01c 0x0003d2a0 1>,
2879 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2880 <DCC_READ 0x069ea014 1 1>,
2881 <DCC_WRITE 0x069ea01C 0x0003d5a0 1>,
2882 <DCC_WRITE 0x069ea01C 0x001368a0 1>,
2883 <DCC_READ 0x069ea014 1 1>,
2884 <DCC_WRITE 0x069ea01C 0x0003d6a0 1>,
2885 <DCC_WRITE 0x069ea01C 0x001368a0 1>,
2886 <DCC_READ 0x069ea014 1 1>,
2887 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2888 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2889 <DCC_READ 0x069ea014 1 1>,
2890 <DCC_WRITE 0x069ea01c 0x0003b1a0 1>,
2891 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2892 <DCC_READ 0x069ea014 1 1>,
2893 <DCC_WRITE 0x069ea01c 0x0003b2a0 1>,
2894 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2895 <DCC_READ 0x069ea014 1 1>,
2896 <DCC_WRITE 0x069ea01c 0x0003b5a0 1>,
2897 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2898 <DCC_READ 0x069ea014 1 1>,
2899 <DCC_WRITE 0x069ea01c 0x0003b6a0 1>,
2900 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2901 <DCC_READ 0x069ea014 1 1>,
2902 <DCC_WRITE 0x069ea01c 0x0003c2a0 1>,
2903 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2904 <DCC_READ 0x069ea014 1 1>,
2905 <DCC_WRITE 0x069ea01c 0x0003c5a0 1>,
2906 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2907 <DCC_READ 0x069ea014 1 1>,
2908 <DCC_WRITE 0x069ea01c 0x0003c6a0 1>,
2909 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2910 <DCC_READ 0x069ea014 1 1>,
2911 <DCC_WRITE 0x069ea01c 0x00f1e000 1>,
2912 <DCC_WRITE 0x069ea008 0x00000007 1>,
2913 <DCC_READ 0x013e7e00 31 0>,
2914 <DCC_READ 0x01132100 1 0>,
2915 <DCC_READ 0x01136044 4 0>,
2916 <DCC_READ 0x011360b0 1 0>,
2917 <DCC_READ 0x0113e030 2 0>,
2918 <DCC_READ 0x01141000 1 0>,
2919 <DCC_READ 0x01142028 1 0>,
2920 <DCC_READ 0x01148058 4 0>,
2921 <DCC_READ 0x01160410 3 0>,
2922 <DCC_READ 0x011604a0 1 0>,
2923 <DCC_READ 0x011604b8 1 0>,
2924 <DCC_READ 0x01165804 1 0>,
2925 <DCC_READ 0x01166418 1 0>,
2926 <DCC_READ 0x011b2100 1 0>,
2927 <DCC_READ 0x011b6044 4 0>,
2928 <DCC_READ 0x011be030 2 0>,
2929 <DCC_READ 0x011c1000 1 0>,
2930 <DCC_READ 0x011c2028 1 0>,
2931 <DCC_READ 0x011c8058 4 0>,
2932 <DCC_READ 0x011e0410 3 0>,
2933 <DCC_READ 0x011e04a0 1 0>,
2934 <DCC_READ 0x011e04b8 1 0>,
2935 <DCC_READ 0x011e5804 1 0>,
2936 <DCC_READ 0x011e6418 1 0>,
2937 <DCC_READ 0x01232100 1 0>,
2938 <DCC_READ 0x01236044 4 0>,
2939 <DCC_READ 0x012360B0 1 0>,
2940 <DCC_READ 0x0123E030 2 0>,
2941 <DCC_READ 0x01241000 1 0>,
2942 <DCC_READ 0x01242028 1 0>,
2943 <DCC_READ 0x01248058 4 0>,
2944 <DCC_READ 0x01260410 3 0>,
2945 <DCC_READ 0x012604a0 1 0>,
2946 <DCC_READ 0x012604b8 1 0>,
2947 <DCC_READ 0x01265804 1 0>,
2948 <DCC_READ 0x01266418 1 0>,
2949 <DCC_READ 0x012b2100 1 0>,
2950 <DCC_READ 0x012b6044 3 0>,
2951 <DCC_READ 0x012b6050 1 0>,
2952 <DCC_READ 0x012b60b0 1 0>,
2953 <DCC_READ 0x012be030 2 0>,
2954 <DCC_READ 0x012c1000 1 0>,
2955 <DCC_READ 0x012c2028 1 0>,
2956 <DCC_READ 0x012c8058 4 0>,
2957 <DCC_READ 0x012e0410 3 0>,
2958 <DCC_READ 0x012e04a0 1 0>,
2959 <DCC_READ 0x012e04b8 1 0>,
2960 <DCC_READ 0x012e5804 1 0>,
2961 <DCC_READ 0x012e6418 1 0>,
2962 <DCC_READ 0x01380900 8 0>,
2963 <DCC_READ 0x01380d00 5 0>,
2964 <DCC_READ 0x01350110 4 0>,
2965 <DCC_READ 0x01430280 1 0>,
2966 <DCC_READ 0x01430288 1 0>,
2967 <DCC_READ 0x0143028c 7 0>,
2968 <DCC_READ 0x01132100 1 0>,
2969 <DCC_READ 0x01136044 4 0>,
2970 <DCC_READ 0x011360b0 1 0>,
2971 <DCC_READ 0x0113e030 2 0>,
2972 <DCC_READ 0x01141000 1 0>,
2973 <DCC_READ 0x01142028 1 0>,
2974 <DCC_READ 0x01148058 4 0>,
2975 <DCC_READ 0x01160410 3 0>,
2976 <DCC_READ 0x011604a0 1 0>,
2977 <DCC_READ 0x011604b8 1 0>,
2978 <DCC_READ 0x01165804 1 0>,
2979 <DCC_READ 0x01166418 1 0>,
2980 <DCC_READ 0x011b2100 1 0>,
2981 <DCC_READ 0x011b6044 4 0>,
2982 <DCC_READ 0x011be030 2 0>,
2983 <DCC_READ 0x011c1000 1 0>,
2984 <DCC_READ 0x011c2028 1 0>,
2985 <DCC_READ 0x011c8058 4 0>,
2986 <DCC_READ 0x011e0410 3 0>,
2987 <DCC_READ 0x011e04a0 1 0>,
2988 <DCC_READ 0x011e04b8 1 0>,
2989 <DCC_READ 0x011e5804 1 0>,
2990 <DCC_READ 0x011e6418 1 0>,
2991 <DCC_READ 0x01232100 1 0>,
2992 <DCC_READ 0x01236044 4 0>,
2993 <DCC_READ 0x012360b0 1 0>,
2994 <DCC_READ 0x0123e030 2 0>,
2995 <DCC_READ 0x01241000 1 0>,
2996 <DCC_READ 0x01242028 1 0>,
2997 <DCC_READ 0x01248058 4 0>,
2998 <DCC_READ 0x01260410 3 0>,
2999 <DCC_READ 0x012604a0 1 0>,
3000 <DCC_READ 0x012604b8 1 0>,
3001 <DCC_READ 0x01265804 1 0>,
3002 <DCC_READ 0x01266418 1 0>,
3003 <DCC_READ 0x012b2100 1 0>,
3004 <DCC_READ 0x012b6044 3 0>,
3005 <DCC_READ 0x012b6050 1 0>,
3006 <DCC_READ 0x012b60b0 1 0>,
3007 <DCC_READ 0x012be030 2 0>,
3008 <DCC_READ 0x012C1000 1 0>,
3009 <DCC_READ 0x012C2028 1 0>,
3010 <DCC_READ 0x012C8058 4 0>,
3011 <DCC_READ 0x012e0410 3 0>,
3012 <DCC_READ 0x012e04a0 1 0>,
3013 <DCC_READ 0x012e04b8 1 0>,
3014 <DCC_READ 0x012e5804 1 0>,
3015 <DCC_READ 0x012e6418 1 0>,
3016 <DCC_READ 0x01380900 8 0>,
3017 <DCC_READ 0x01380d00 5 0>,
3018 <DCC_READ 0x01350110 4 0>,
3019 <DCC_READ 0x01430280 1 0>,
3020 <DCC_READ 0x01430288 1 0>,
3021 <DCC_READ 0x0143028c 7 0>,
3022 <DCC_READ 0x0c201244 1 0>,
3023 <DCC_READ 0x0c202244 1 0>;
Satyajit Desai260bd392017-02-22 10:28:02 -08003024 };
Syed Rameez Mustafa38ae7732017-03-29 14:55:38 -07003025
3026 qcom,msm-core@780000 {
3027 compatible = "qcom,apss-core-ea";
3028 reg = <0x780000 0x1000>;
3029 };
Yuanyuan Liu2da2cc02017-04-05 18:02:58 -07003030
3031 qcom,icnss@18800000 {
3032 compatible = "qcom,icnss";
3033 reg = <0x18800000 0x800000>,
3034 <0xa0000000 0x10000000>,
3035 <0xb0000000 0x10000>;
3036 reg-names = "membase", "smmu_iova_base", "smmu_iova_ipa";
Patrick Daly0bfea052017-04-18 16:44:07 -07003037 iommus = <&apps_smmu 0x0040 0x1>;
Yuanyuan Liu2da2cc02017-04-05 18:02:58 -07003038 interrupts = <0 414 0 /* CE0 */ >,
3039 <0 415 0 /* CE1 */ >,
3040 <0 416 0 /* CE2 */ >,
3041 <0 417 0 /* CE3 */ >,
3042 <0 418 0 /* CE4 */ >,
3043 <0 419 0 /* CE5 */ >,
3044 <0 420 0 /* CE6 */ >,
3045 <0 421 0 /* CE7 */ >,
3046 <0 422 0 /* CE8 */ >,
3047 <0 423 0 /* CE9 */ >,
3048 <0 424 0 /* CE10 */ >,
3049 <0 425 0 /* CE11 */ >;
3050 qcom,wlan-msa-memory = <0x100000>;
Yuanyuan Liu5438b742017-05-09 17:44:47 -07003051
3052 vdd-0.8-cx-mx-supply = <&pm8998_l5>;
3053 vdd-1.8-xo-supply = <&pm8998_l7>;
3054 vdd-1.3-rfa-supply = <&pm8998_l17>;
3055 vdd-3.3-ch0-supply = <&pm8998_l25>;
3056 qcom,vdd-0.8-cx-mx-config = <800000 800000>;
3057 qcom,vdd-3.3-ch0-config = <3104000 3312000>;
Hardik Kantilal Patelf908d6d2017-07-19 11:38:43 +05303058 qcom,smmu-s1-bypass;
Yuanyuan Liu2da2cc02017-04-05 18:02:58 -07003059 };
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003060
Manaf Meethalavalappu Pallikunhi5849bae2017-06-29 15:47:17 +05303061 qmi-tmd-devices {
3062 compatible = "qcom,qmi_cooling_devices";
3063
3064 modem {
3065 qcom,instance-id = <0x0>;
3066
3067 modem_pa: modem_pa {
3068 qcom,qmi-dev-name = "pa";
3069 #cooling-cells = <2>;
3070 };
3071
3072 modem_proc: modem_proc {
3073 qcom,qmi-dev-name = "modem";
3074 #cooling-cells = <2>;
3075 };
3076
3077 modem_current: modem_current {
3078 qcom,qmi-dev-name = "modem_current";
3079 #cooling-cells = <2>;
3080 };
3081
Ram Chandrasekar8a678712017-09-13 16:06:09 -06003082 modem_skin: modem_skin {
3083 qcom,qmi-dev-name = "modem_skin";
3084 #cooling-cells = <2>;
3085 };
3086
Manaf Meethalavalappu Pallikunhi5849bae2017-06-29 15:47:17 +05303087 modem_vdd: modem_vdd {
3088 qcom,qmi-dev-name = "cpuv_restriction_cold";
3089 #cooling-cells = <2>;
3090 };
3091 };
3092
3093 adsp {
3094 qcom,instance-id = <0x1>;
3095
3096 adsp_vdd: adsp_vdd {
3097 qcom,qmi-dev-name = "cpuv_restriction_cold";
3098 #cooling-cells = <2>;
3099 };
3100 };
3101
3102 cdsp {
3103 qcom,instance-id = <0x43>;
3104
3105 cdsp_vdd: cdsp_vdd {
3106 qcom,qmi-dev-name = "cpuv_restriction_cold";
3107 #cooling-cells = <2>;
3108 };
3109 };
3110
3111 slpi {
3112 qcom,instance-id = <0x53>;
3113
3114 slpi_vdd: slpi_vdd {
3115 qcom,qmi-dev-name = "cpuv_restriction_cold";
3116 #cooling-cells = <2>;
3117 };
3118 };
3119 };
3120
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003121 thermal_zones: thermal-zones {
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003122 aoss0-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003123 polling-delay-passive = <0>;
3124 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003125 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003126 thermal-sensors = <&tsens0 0>;
3127 trips {
3128 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003129 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003130 hysteresis = <1000>;
3131 type = "passive";
3132 };
3133 };
3134 };
3135
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003136 cpu0-silver-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003137 polling-delay-passive = <0>;
3138 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003139 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003140 thermal-sensors = <&tsens0 1>;
3141 trips {
3142 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003143 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003144 hysteresis = <1000>;
3145 type = "passive";
3146 };
3147 };
3148 };
3149
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003150 cpu1-silver-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003151 polling-delay-passive = <0>;
3152 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003153 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003154 thermal-sensors = <&tsens0 2>;
3155 trips {
3156 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003157 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003158 hysteresis = <1000>;
3159 type = "passive";
3160 };
3161 };
3162 };
3163
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003164 cpu2-silver-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003165 polling-delay-passive = <0>;
3166 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003167 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003168 thermal-sensors = <&tsens0 3>;
3169 trips {
3170 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003171 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003172 hysteresis = <1000>;
3173 type = "passive";
3174 };
3175 };
3176 };
3177
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003178 cpu3-silver-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003179 polling-delay-passive = <0>;
3180 polling-delay = <0>;
3181 thermal-sensors = <&tsens0 4>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003182 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003183 trips {
3184 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003185 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003186 hysteresis = <1000>;
3187 type = "passive";
3188 };
3189 };
3190 };
3191
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003192 kryo-l3-0-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003193 polling-delay-passive = <0>;
3194 polling-delay = <0>;
3195 thermal-sensors = <&tsens0 5>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003196 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003197 trips {
3198 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003199 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003200 hysteresis = <1000>;
3201 type = "passive";
3202 };
3203 };
3204 };
3205
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003206 kryo-l3-1-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003207 polling-delay-passive = <0>;
3208 polling-delay = <0>;
3209 thermal-sensors = <&tsens0 6>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003210 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003211 trips {
3212 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003213 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003214 hysteresis = <1000>;
3215 type = "passive";
3216 };
3217 };
3218 };
3219
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003220 cpu0-gold-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003221 polling-delay-passive = <0>;
3222 polling-delay = <0>;
3223 thermal-sensors = <&tsens0 7>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003224 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003225 trips {
3226 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003227 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003228 hysteresis = <1000>;
3229 type = "passive";
3230 };
3231 };
3232 };
3233
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003234 cpu1-gold-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003235 polling-delay-passive = <0>;
3236 polling-delay = <0>;
3237 thermal-sensors = <&tsens0 8>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003238 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003239 trips {
3240 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003241 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003242 hysteresis = <1000>;
3243 type = "passive";
3244 };
3245 };
3246 };
3247
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003248 cpu2-gold-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003249 polling-delay-passive = <0>;
3250 polling-delay = <0>;
3251 thermal-sensors = <&tsens0 9>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003252 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003253 trips {
3254 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003255 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003256 hysteresis = <1000>;
3257 type = "passive";
3258 };
3259 };
3260 };
3261
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003262 cpu3-gold-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003263 polling-delay-passive = <0>;
3264 polling-delay = <0>;
3265 thermal-sensors = <&tsens0 10>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003266 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003267 trips {
3268 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003269 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003270 hysteresis = <1000>;
3271 type = "passive";
3272 };
3273 };
3274 };
3275
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003276 gpu0-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003277 polling-delay-passive = <0>;
3278 polling-delay = <0>;
3279 thermal-sensors = <&tsens0 11>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003280 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003281 trips {
3282 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003283 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003284 hysteresis = <1000>;
3285 type = "passive";
3286 };
3287 };
3288 };
3289
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003290 gpu1-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003291 polling-delay-passive = <0>;
3292 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003293 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003294 thermal-sensors = <&tsens0 12>;
3295 trips {
3296 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003297 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003298 hysteresis = <1000>;
3299 type = "passive";
3300 };
3301 };
3302 };
3303
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003304 aoss1-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003305 polling-delay-passive = <0>;
3306 polling-delay = <0>;
3307 thermal-sensors = <&tsens1 0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003308 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003309 trips {
3310 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003311 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003312 hysteresis = <1000>;
3313 type = "passive";
3314 };
3315 };
3316 };
3317
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003318 mdm-dsp-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003319 polling-delay-passive = <0>;
3320 polling-delay = <0>;
3321 thermal-sensors = <&tsens1 1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003322 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003323 trips {
3324 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003325 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003326 hysteresis = <1000>;
3327 type = "passive";
3328 };
3329 };
3330 };
3331
3332
3333
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003334 ddr-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003335 polling-delay-passive = <0>;
3336 polling-delay = <0>;
3337 thermal-sensors = <&tsens1 2>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003338 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003339 trips {
3340 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003341 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003342 hysteresis = <1000>;
3343 type = "passive";
3344 };
3345 };
3346 };
3347
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003348 wlan-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003349 polling-delay-passive = <0>;
3350 polling-delay = <0>;
3351 thermal-sensors = <&tsens1 3>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003352 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003353 trips {
3354 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003355 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003356 hysteresis = <1000>;
3357 type = "passive";
3358 };
3359 };
3360 };
3361
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003362 compute-hvx-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003363 polling-delay-passive = <0>;
3364 polling-delay = <0>;
3365 thermal-sensors = <&tsens1 4>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003366 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003367 trips {
3368 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003369 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003370 hysteresis = <1000>;
3371 type = "passive";
3372 };
3373 };
3374 };
3375
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003376 camera-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003377 polling-delay-passive = <0>;
3378 polling-delay = <0>;
3379 thermal-sensors = <&tsens1 5>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003380 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003381 trips {
3382 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003383 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003384 hysteresis = <1000>;
3385 type = "passive";
3386 };
3387 };
3388 };
3389
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003390 mmss-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003391 polling-delay-passive = <0>;
3392 polling-delay = <0>;
3393 thermal-sensors = <&tsens1 6>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003394 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003395 trips {
3396 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003397 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003398 hysteresis = <1000>;
3399 type = "passive";
3400 };
3401 };
3402 };
3403
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003404 mdm-core-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003405 polling-delay-passive = <0>;
3406 polling-delay = <0>;
3407 thermal-sensors = <&tsens1 7>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003408 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003409 trips {
3410 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003411 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003412 hysteresis = <1000>;
3413 type = "passive";
3414 };
3415 };
3416 };
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003417
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003418 gpu-virt-max-step {
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003419 polling-delay-passive = <10>;
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003420 polling-delay = <100>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003421 thermal-governor = "step_wise";
3422 trips {
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003423 gpu_trip0: gpu-trip0 {
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003424 temperature = <95000>;
3425 hysteresis = <0>;
3426 type = "passive";
3427 };
3428 };
3429 cooling-maps {
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003430 gpu_cdev0 {
3431 trip = <&gpu_trip0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003432 cooling-device =
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003433 <&msm_gpu 0 THERMAL_NO_LIMIT>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003434 };
3435 };
3436 };
3437
Ram Chandrasekardebcd412017-06-23 13:47:38 -06003438 silv-virt-max-step {
3439 polling-delay-passive = <0>;
3440 polling-delay = <0>;
3441 thermal-governor = "step_wise";
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003442 trips {
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003443 silver-trip {
3444 temperature = <120000>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003445 hysteresis = <0>;
3446 type = "passive";
3447 };
3448 };
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003449 };
3450
Ram Chandrasekardebcd412017-06-23 13:47:38 -06003451 gold-virt-max-step {
3452 polling-delay-passive = <0>;
3453 polling-delay = <0>;
3454 thermal-governor = "step_wise";
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003455 trips {
3456 gold-trip {
3457 temperature = <120000>;
3458 hysteresis = <0>;
3459 type = "passive";
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003460 };
3461 };
3462 };
3463
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003464 pop-mem-step {
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003465 polling-delay-passive = <10>;
3466 polling-delay = <0>;
3467 thermal-sensors = <&tsens1 2>;
3468 thermal-governor = "step_wise";
3469 trips {
3470 pop_trip: pop-trip {
3471 temperature = <95000>;
3472 hysteresis = <0>;
3473 type = "passive";
3474 };
3475 };
3476 cooling-maps {
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003477 pop_cdev4 {
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003478 trip = <&pop_trip>;
3479 cooling-device =
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003480 <&CPU4 THERMAL_NO_LIMIT
3481 (THERMAL_MAX_LIMIT-1)>;
3482 };
3483 pop_cdev5 {
3484 trip = <&pop_trip>;
3485 cooling-device =
3486 <&CPU5 THERMAL_NO_LIMIT
3487 (THERMAL_MAX_LIMIT-1)>;
3488 };
3489 pop_cdev6 {
3490 trip = <&pop_trip>;
3491 cooling-device =
3492 <&CPU6 THERMAL_NO_LIMIT
3493 (THERMAL_MAX_LIMIT-1)>;
3494 };
3495 pop_cdev7 {
3496 trip = <&pop_trip>;
3497 cooling-device =
3498 <&CPU7 THERMAL_NO_LIMIT
3499 (THERMAL_MAX_LIMIT-1)>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003500 };
3501 };
3502 };
3503
Ram Chandrasekarb9880e42017-11-17 16:19:27 -07003504 cpu0-silver-step {
3505 polling-delay-passive = <100>;
3506 polling-delay = <0>;
3507 thermal-sensors = <&tsens0 1>;
3508 thermal-governor = "step_wise";
3509 trips {
3510 emerg_config0: emerg-config0 {
3511 temperature = <110000>;
3512 hysteresis = <10000>;
3513 type = "passive";
3514 };
3515 };
3516 cooling-maps {
3517 emerg_cdev0 {
3518 trip = <&emerg_config0>;
3519 cooling-device =
3520 <&CPU0 THERMAL_MAX_LIMIT
3521 THERMAL_MAX_LIMIT>;
3522 };
3523 };
3524 };
3525
3526 cpu1-silver-step {
3527 polling-delay-passive = <100>;
3528 polling-delay = <0>;
3529 thermal-sensors = <&tsens0 2>;
3530 thermal-governor = "step_wise";
3531 trips {
3532 emerg_config1: emerg-config1 {
3533 temperature = <110000>;
3534 hysteresis = <10000>;
3535 type = "passive";
3536 };
3537 };
3538 cooling-maps {
3539 emerg_cdev1 {
3540 trip = <&emerg_config1>;
3541 cooling-device =
3542 <&CPU1 THERMAL_MAX_LIMIT
3543 THERMAL_MAX_LIMIT>;
3544 };
3545 };
3546 };
3547
3548 cpu2-silver-step {
3549 polling-delay-passive = <100>;
3550 polling-delay = <0>;
3551 thermal-sensors = <&tsens0 3>;
3552 thermal-governor = "step_wise";
3553 trips {
3554 emerg_config2: emerg-config2 {
3555 temperature = <110000>;
3556 hysteresis = <10000>;
3557 type = "passive";
3558 };
3559 };
3560 cooling-maps {
3561 emerg_cdev2 {
3562 trip = <&emerg_config2>;
3563 cooling-device =
3564 <&CPU2 THERMAL_MAX_LIMIT
3565 THERMAL_MAX_LIMIT>;
3566 };
3567 };
3568 };
3569
3570 cpu3-silver-step {
3571 polling-delay-passive = <100>;
3572 polling-delay = <0>;
3573 thermal-sensors = <&tsens0 4>;
3574 thermal-governor = "step_wise";
3575 trips {
3576 emerg_config3: emerg-config3 {
3577 temperature = <110000>;
3578 hysteresis = <10000>;
3579 type = "passive";
3580 };
3581 };
3582 cooling-maps {
3583 emerg_cdev3 {
3584 trip = <&emerg_config3>;
3585 cooling-device =
3586 <&CPU3 THERMAL_MAX_LIMIT
3587 THERMAL_MAX_LIMIT>;
3588 };
3589 };
3590 };
3591
3592 cpu0-gold-step {
3593 polling-delay-passive = <100>;
3594 polling-delay = <0>;
3595 thermal-sensors = <&tsens0 7>;
3596 thermal-governor = "step_wise";
3597 trips {
3598 emerg_config4: emerg-config4 {
3599 temperature = <110000>;
3600 hysteresis = <10000>;
3601 type = "passive";
3602 };
3603 };
3604 cooling-maps {
3605 emerg_cdev4 {
3606 trip = <&emerg_config4>;
3607 cooling-device =
3608 <&CPU4 THERMAL_MAX_LIMIT
3609 THERMAL_MAX_LIMIT>;
3610 };
3611 };
3612 };
3613
3614 cpu1-gold-step {
3615 polling-delay-passive = <100>;
3616 polling-delay = <0>;
3617 thermal-sensors = <&tsens0 8>;
3618 thermal-governor = "step_wise";
3619 trips {
3620 emerg_config5: emerg-config5 {
3621 temperature = <110000>;
3622 hysteresis = <10000>;
3623 type = "passive";
3624 };
3625 };
3626 cooling-maps {
3627 emerg_cdev5 {
3628 trip = <&emerg_config5>;
3629 cooling-device =
3630 <&CPU5 THERMAL_MAX_LIMIT
3631 THERMAL_MAX_LIMIT>;
3632 };
3633 };
3634 };
3635
3636 cpu2-gold-step {
3637 polling-delay-passive = <100>;
3638 polling-delay = <0>;
3639 thermal-sensors = <&tsens0 9>;
3640 thermal-governor = "step_wise";
3641 trips {
3642 emerg_config6: emerg-config6 {
3643 temperature = <110000>;
3644 hysteresis = <10000>;
3645 type = "passive";
3646 };
3647 };
3648 cooling-maps {
3649 emerg_cdev6 {
3650 trip = <&emerg_config6>;
3651 cooling-device =
3652 <&CPU6 THERMAL_MAX_LIMIT
3653 THERMAL_MAX_LIMIT>;
3654 };
3655 };
3656 };
3657
3658 cpu3-gold-step {
3659 polling-delay-passive = <100>;
3660 polling-delay = <0>;
3661 thermal-sensors = <&tsens0 10>;
3662 thermal-governor = "step_wise";
3663 trips {
3664 emerg_config7: emerg-config7 {
3665 temperature = <110000>;
3666 hysteresis = <10000>;
3667 type = "passive";
3668 };
3669 };
3670 cooling-maps {
3671 emerg_cdev7 {
3672 trip = <&emerg_config7>;
3673 cooling-device =
3674 <&CPU7 THERMAL_MAX_LIMIT
3675 THERMAL_MAX_LIMIT>;
3676 };
3677 };
3678 };
3679
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003680 lmh-dcvs-01 {
3681 polling-delay-passive = <0>;
3682 polling-delay = <0>;
3683 thermal-governor = "user_space";
3684 thermal-sensors = <&lmh_dcvs1>;
3685
3686 trips {
3687 active-config {
3688 temperature = <95000>;
3689 hysteresis = <30000>;
3690 type = "passive";
3691 };
3692 };
3693 };
3694
3695 lmh-dcvs-00 {
3696 polling-delay-passive = <0>;
3697 polling-delay = <0>;
3698 thermal-governor = "user_space";
3699 thermal-sensors = <&lmh_dcvs0>;
3700
3701 trips {
3702 active-config {
3703 temperature = <95000>;
3704 hysteresis = <30000>;
3705 type = "passive";
3706 };
3707 };
3708 };
3709
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003710 };
3711
3712 tsens0: tsens@c222000 {
3713 compatible = "qcom,sdm845-tsens";
3714 reg = <0xc222000 0x4>,
3715 <0xc263000 0x1ff>;
3716 reg-names = "tsens_srot_physical",
3717 "tsens_tm_physical";
3718 interrupts = <0 506 0>, <0 508 0>;
3719 interrupt-names = "tsens-upper-lower", "tsens-critical";
3720 #thermal-sensor-cells = <1>;
3721 };
3722
3723 tsens1: tsens@c223000 {
3724 compatible = "qcom,sdm845-tsens";
3725 reg = <0xc223000 0x4>,
3726 <0xc265000 0x1ff>;
3727 reg-names = "tsens_srot_physical",
3728 "tsens_tm_physical";
3729 interrupts = <0 507 0>, <0 509 0>;
3730 interrupt-names = "tsens-upper-lower", "tsens-critical";
3731 #thermal-sensor-cells = <1>;
3732 };
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003733
Satyajit Desai89c4e2e2017-05-11 19:34:47 -07003734 mem_dump {
3735 compatible = "qcom,mem-dump";
3736 memory-region = <&dump_mem>;
3737
3738 rpmh_dump {
3739 qcom,dump-size = <0x2000000>;
3740 qcom,dump-id = <0xec>;
3741 };
3742
Channagoud Kadabi1b95f202017-11-06 11:38:23 -08003743 fcm_dump {
3744 qcom,dump-size = <0x400>;
3745 qcom,dump-id = <0xee>;
3746 };
3747
Satyajit Desai89c4e2e2017-05-11 19:34:47 -07003748 rpm_sw_dump {
3749 qcom,dump-size = <0x28000>;
3750 qcom,dump-id = <0xea>;
3751 };
3752
3753 pmic_dump {
3754 qcom,dump-size = <0x10000>;
3755 qcom,dump-id = <0xe4>;
3756 };
3757
3758 tmc_etf_dump {
3759 qcom,dump-size = <0x10000>;
3760 qcom,dump-id = <0xf0>;
3761 };
3762
3763 tmc_etf_swao_dump {
3764 qcom,dump-size = <0x8400>;
3765 qcom,dump-id = <0xf1>;
3766 };
3767
Satyajit Desai99df43f2017-05-25 17:49:54 -07003768 tmc_etr_reg_dump {
3769 qcom,dump-size = <0x1000>;
3770 qcom,dump-id = <0x100>;
3771 };
3772
3773 tmc_etf_reg_dump {
3774 qcom,dump-size = <0x1000>;
3775 qcom,dump-id = <0x101>;
3776 };
3777
3778 tmc_etf_swao_reg_dump {
3779 qcom,dump-size = <0x1000>;
3780 qcom,dump-id = <0x102>;
3781 };
3782
Satyajit Desai89c4e2e2017-05-11 19:34:47 -07003783 misc_data_dump {
3784 qcom,dump-size = <0x1000>;
3785 qcom,dump-id = <0xe8>;
3786 };
Satyajit Desai6729c4a2017-10-26 15:22:41 -07003787
3788 tpdm_swao_dump {
3789 qcom,dump-size = <0x512>;
3790 qcom,dump-id = <0xf2>;
3791 };
Satyajit Desai89c4e2e2017-05-11 19:34:47 -07003792 };
3793
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003794 gpi_dma0: qcom,gpi-dma@0x800000 {
Sujeev Diasdfe09e12017-08-31 18:31:04 -07003795 #dma-cells = <5>;
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003796 compatible = "qcom,gpi-dma";
3797 reg = <0x800000 0x60000>;
3798 reg-names = "gpi-top";
3799 interrupts = <0 244 0>, <0 245 0>, <0 246 0>, <0 247 0>,
3800 <0 248 0>, <0 249 0>, <0 250 0>, <0 251 0>,
3801 <0 252 0>, <0 253 0>, <0 254 0>, <0 255 0>,
3802 <0 256 0>;
3803 qcom,max-num-gpii = <13>;
3804 qcom,gpii-mask = <0xfa>;
3805 qcom,ev-factor = <2>;
3806 iommus = <&apps_smmu 0x0016 0x0>;
Sujeev Dias69484212017-08-31 10:06:53 -07003807 qcom,smmu-cfg = <0x1>;
3808 qcom,iova-range = <0x0 0x100000 0x0 0x100000>;
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003809 status = "ok";
3810 };
3811
3812 gpi_dma1: qcom,gpi-dma@0xa00000 {
Sujeev Diasdfe09e12017-08-31 18:31:04 -07003813 #dma-cells = <5>;
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003814 compatible = "qcom,gpi-dma";
3815 reg = <0xa00000 0x60000>;
3816 reg-names = "gpi-top";
3817 interrupts = <0 279 0>, <0 280 0>, <0 281 0>, <0 282 0>,
3818 <0 283 0>, <0 284 0>, <0 293 0>, <0 294 0>,
3819 <0 295 0>, <0 296 0>, <0 297 0>, <0 298 0>,
3820 <0 299 0>;
3821 qcom,max-num-gpii = <13>;
3822 qcom,gpii-mask = <0xfa>;
3823 qcom,ev-factor = <2>;
3824 iommus = <&apps_smmu 0x06d6 0x0>;
Sujeev Dias69484212017-08-31 10:06:53 -07003825 qcom,smmu-cfg = <0x1>;
3826 qcom,iova-range = <0x0 0x100000 0x0 0x100000>;
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003827 status = "ok";
3828 };
Udaya Bhaskara Reddy Mallavarapu55ef0cb2017-06-12 14:45:28 +05303829
3830 tspp: msm_tspp@0x8880000 {
3831 compatible = "qcom,msm_tspp";
3832 reg = <0x088a7000 0x200>, /* MSM_TSIF0_PHYS */
3833 <0x088a8000 0x200>, /* MSM_TSIF1_PHYS */
3834 <0x088a9000 0x1000>, /* MSM_TSPP_PHYS */
3835 <0x08884000 0x23000>; /* MSM_TSPP_BAM_PHYS */
3836 reg-names = "MSM_TSIF0_PHYS",
3837 "MSM_TSIF1_PHYS",
3838 "MSM_TSPP_PHYS",
3839 "MSM_TSPP_BAM_PHYS";
3840 interrupts = <0 121 0>, /* TSIF_TSPP_IRQ */
3841 <0 119 0>, /* TSIF0_IRQ */
3842 <0 120 0>, /* TSIF1_IRQ */
3843 <0 122 0>; /* TSIF_BAM_IRQ */
3844 interrupt-names = "TSIF_TSPP_IRQ",
3845 "TSIF0_IRQ",
3846 "TSIF1_IRQ",
3847 "TSIF_BAM_IRQ";
3848
3849 clock-names = "iface_clk", "ref_clk";
3850 clocks = <&clock_gcc GCC_TSIF_AHB_CLK>,
3851 <&clock_gcc GCC_TSIF_REF_CLK>;
3852
3853 qcom,msm-bus,name = "tsif";
3854 qcom,msm-bus,num-cases = <2>;
3855 qcom,msm-bus,num-paths = <1>;
3856 qcom,msm-bus,vectors-KBps =
3857 <82 512 0 0>, /* No vote */
3858 <82 512 12288 24576>;
3859 /* Max. bandwidth, 2xTSIF, each max of 96Mbps */
3860
3861 pinctrl-names = "disabled",
3862 "tsif0-mode1", "tsif0-mode2",
3863 "tsif1-mode1", "tsif1-mode2",
3864 "dual-tsif-mode1", "dual-tsif-mode2";
3865
3866 pinctrl-0 = <>; /* disabled */
3867 pinctrl-1 = <&tsif0_signals_active>; /* tsif0-mode1 */
3868 pinctrl-2 = <&tsif0_signals_active
3869 &tsif0_sync_active>; /* tsif0-mode2 */
3870 pinctrl-3 = <&tsif1_signals_active>; /* tsif1-mode1 */
3871 pinctrl-4 = <&tsif1_signals_active
3872 &tsif1_sync_active>; /* tsif1-mode2 */
3873 pinctrl-5 = <&tsif0_signals_active
3874 &tsif1_signals_active>; /* dual-tsif-mode1 */
3875 pinctrl-6 = <&tsif0_signals_active
3876 &tsif0_sync_active
3877 &tsif1_signals_active
3878 &tsif1_sync_active>; /* dual-tsif-mode2 */
Udaya Bhaskara Reddy Mallavarapu07bd0732017-07-27 16:37:54 +05303879
3880 qcom,smmu-s1-bypass;
3881 iommus = <&apps_smmu 0x20 0x0f>;
Udaya Bhaskara Reddy Mallavarapu55ef0cb2017-06-12 14:45:28 +05303882 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -07003883};
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003884
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003885&clock_cpucc {
3886 lmh_dcvs0: qcom,limits-dcvs@0 {
3887 compatible = "qcom,msm-hw-limits";
Ram Chandrasekar2d996582017-05-05 12:02:07 -06003888 interrupts = <GIC_SPI 32 IRQ_TYPE_LEVEL_HIGH>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003889 qcom,affinity = <0>;
3890 #thermal-sensor-cells = <0>;
3891 };
3892
3893 lmh_dcvs1: qcom,limits-dcvs@1 {
3894 compatible = "qcom,msm-hw-limits";
Ram Chandrasekar2d996582017-05-05 12:02:07 -06003895 interrupts = <GIC_SPI 33 IRQ_TYPE_LEVEL_HIGH>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003896 qcom,affinity = <1>;
3897 #thermal-sensor-cells = <0>;
Ram Chandrasekar302184f2017-08-14 11:27:14 -06003898 isens_vref-supply = <&pm8998_l1_ao>;
3899 isens-vref-settings = <880000 880000 20000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003900 };
Maya Erez6e14acb2017-05-16 09:59:02 +03003901
3902 wil6210: qcom,wil6210 {
3903 compatible = "qcom,wil6210";
3904 qcom,pcie-parent = <&pcie0>;
3905 qcom,wigig-en = <&tlmm 39 0>;
3906 qcom,msm-bus,name = "wil6210";
3907 qcom,msm-bus,num-cases = <2>;
3908 qcom,msm-bus,num-paths = <1>;
3909 qcom,msm-bus,vectors-KBps =
3910 <45 512 0 0>,
3911 <45 512 600000 800000>; /* ~4.6Gbps (MCS12) */
3912 qcom,use-ext-supply;
3913 vdd-supply= <&pm8998_s7>;
3914 vddio-supply= <&pm8998_s5>;
3915 qcom,use-ext-clocks;
3916 clocks = <&clock_rpmh RPMH_RF_CLK3>,
3917 <&clock_rpmh RPMH_RF_CLK3_A>;
3918 clock-names = "rf_clk3_clk", "rf_clk3_pin_clk";
3919 qcom,smmu-support;
Alexei Avshalom Lazare6a2ffc2017-09-24 14:12:42 +03003920 qcom,smmu-mapping = <0x20000000 0xe0000000>;
3921 qcom,smmu-s1-en;
3922 qcom,smmu-fast-map;
3923 qcom,smmu-coherent;
Maya Erezdea3d792017-06-08 09:20:07 +03003924 qcom,keep-radio-on-during-sleep;
Maya Erez6e14acb2017-05-16 09:59:02 +03003925 status = "disabled";
3926 };
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003927};
3928
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003929&pcie_0_gdsc {
3930 status = "ok";
3931};
3932
3933&pcie_1_gdsc {
3934 status = "ok";
3935};
3936
3937&ufs_card_gdsc {
3938 status = "ok";
3939};
3940
3941&ufs_phy_gdsc {
3942 status = "ok";
3943};
3944
3945&usb30_prim_gdsc {
3946 status = "ok";
3947};
3948
3949&usb30_sec_gdsc {
3950 status = "ok";
3951};
3952
3953&hlos1_vote_aggre_noc_mmu_audio_tbu_gdsc {
3954 status = "ok";
3955};
3956
3957&hlos1_vote_aggre_noc_mmu_pcie_tbu_gdsc {
3958 status = "ok";
3959};
3960
3961&hlos1_vote_aggre_noc_mmu_tbu1_gdsc {
3962 status = "ok";
3963};
3964
3965&hlos1_vote_aggre_noc_mmu_tbu2_gdsc {
3966 status = "ok";
3967};
3968
3969&hlos1_vote_mmnoc_mmu_tbu_hf0_gdsc {
3970 status = "ok";
3971};
3972
3973&hlos1_vote_mmnoc_mmu_tbu_hf1_gdsc {
3974 status = "ok";
3975};
3976
3977&hlos1_vote_mmnoc_mmu_tbu_sf_gdsc {
3978 status = "ok";
3979};
3980
3981&bps_gdsc {
Deepak Katragadda38f645b2017-06-29 12:16:06 -07003982 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003983 status = "ok";
3984};
3985
3986&ife_0_gdsc {
3987 status = "ok";
3988};
3989
3990&ife_1_gdsc {
3991 status = "ok";
3992};
3993
3994&ipe_0_gdsc {
Deepak Katragadda38f645b2017-06-29 12:16:06 -07003995 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003996 status = "ok";
3997};
3998
3999&ipe_1_gdsc {
Deepak Katragadda38f645b2017-06-29 12:16:06 -07004000 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07004001 status = "ok";
4002};
4003
4004&titan_top_gdsc {
4005 status = "ok";
4006};
4007
4008&mdss_core_gdsc {
4009 status = "ok";
4010};
4011
4012&gpu_cx_gdsc {
4013 status = "ok";
4014};
4015
Deepak Katragadda8d77fbb2016-10-17 13:04:17 -07004016&gpu_gx_gdsc {
Deepak Katragadda6c7e8e12017-04-05 13:21:16 -07004017 clock-names = "core_root_clk";
4018 clocks = <&clock_gfx GPU_CC_GX_GFX3D_CLK_SRC>;
4019 qcom,force-enable-root-clk;
Deepak Katragadda8d77fbb2016-10-17 13:04:17 -07004020 parent-supply = <&pm8005_s1_level>;
4021 status = "ok";
4022};
4023
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07004024&vcodec0_gdsc {
Deepak Katragaddacd267d02017-05-17 11:38:39 -07004025 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07004026 status = "ok";
4027};
4028
4029&vcodec1_gdsc {
Deepak Katragaddacd267d02017-05-17 11:38:39 -07004030 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07004031 status = "ok";
4032};
4033
4034&venus_gdsc {
4035 status = "ok";
4036};
David Collins5ab42b92016-07-07 17:38:51 -07004037
David Collins516e41e2017-03-10 11:58:17 -08004038#include "pm8998.dtsi"
David Collins516e41e2017-03-10 11:58:17 -08004039#include "pm8005.dtsi"
Kyle Yan6a20fae2017-02-14 13:34:41 -08004040#include "sdm845-regulator.dtsi"
4041#include "sdm845-coresight.dtsi"
4042#include "msm-arm-smmu-sdm845.dtsi"
4043#include "sdm845-ion.dtsi"
4044#include "sdm845-smp2p.dtsi"
4045#include "sdm845-camera.dtsi"
4046#include "sdm845-bus.dtsi"
Saurabh Kothawade78041ee2017-01-16 16:38:09 -08004047#include "sdm845-vidc.dtsi"
Mahesh Sivasubramanian7a7b3c72016-11-04 14:31:59 -06004048#include "sdm845-pm.dtsi"
Banajit Goswami7885c692017-03-16 16:00:34 -07004049#include "sdm845-pinctrl.dtsi"
Tony Truongc0e0a5f02017-03-15 11:57:40 -07004050#include "sdm845-pcie.dtsi"
Banajit Goswamic0b75812017-03-16 16:14:17 -07004051#include "sdm845-audio.dtsi"
Lokesh Batraf7f72ff2016-10-13 11:51:59 -07004052#include "sdm845-gpu.dtsi"
Pratham Pratap507936c2017-09-25 15:01:59 +05304053#include "sdm845-670-usb-common.dtsi"
Ram Chandrasekara3115282017-04-21 17:33:01 -06004054
4055&pm8998_temp_alarm {
4056 cooling-maps {
4057 trip0_cpu0 {
4058 trip = <&pm8998_trip0>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004059 cooling-device =
4060 <&CPU0 (THERMAL_MAX_LIMIT-1)
4061 (THERMAL_MAX_LIMIT-1)>;
4062 };
4063 trip0_cpu1 {
4064 trip = <&pm8998_trip0>;
4065 cooling-device =
4066 <&CPU1 (THERMAL_MAX_LIMIT-1)
4067 (THERMAL_MAX_LIMIT-1)>;
4068 };
4069 trip0_cpu2 {
4070 trip = <&pm8998_trip0>;
4071 cooling-device =
4072 <&CPU2 (THERMAL_MAX_LIMIT-1)
4073 (THERMAL_MAX_LIMIT-1)>;
4074 };
4075 trip0_cpu3 {
4076 trip = <&pm8998_trip0>;
4077 cooling-device =
4078 <&CPU3 (THERMAL_MAX_LIMIT-1)
4079 (THERMAL_MAX_LIMIT-1)>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004080 };
4081 trip0_cpu4 {
4082 trip = <&pm8998_trip0>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004083 cooling-device =
4084 <&CPU4 (THERMAL_MAX_LIMIT-1)
4085 (THERMAL_MAX_LIMIT-1)>;
4086 };
4087 trip0_cpu5 {
4088 trip = <&pm8998_trip0>;
4089 cooling-device =
4090 <&CPU5 (THERMAL_MAX_LIMIT-1)
4091 (THERMAL_MAX_LIMIT-1)>;
4092 };
4093 trip0_cpu6 {
4094 trip = <&pm8998_trip0>;
4095 cooling-device =
4096 <&CPU6 (THERMAL_MAX_LIMIT-1)
4097 (THERMAL_MAX_LIMIT-1)>;
4098 };
4099 trip0_cpu7 {
4100 trip = <&pm8998_trip0>;
4101 cooling-device =
4102 <&CPU7 (THERMAL_MAX_LIMIT-1)
4103 (THERMAL_MAX_LIMIT-1)>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004104 };
4105 trip1_cpu1 {
4106 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004107 cooling-device =
4108 <&CPU1 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004109 };
4110 trip1_cpu2 {
4111 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004112 cooling-device =
4113 <&CPU2 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004114 };
4115 trip1_cpu3 {
4116 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004117 cooling-device =
4118 <&CPU3 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004119 };
4120 trip1_cpu4 {
4121 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004122 cooling-device =
4123 <&CPU4 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004124 };
4125 trip1_cpu5 {
4126 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004127 cooling-device =
4128 <&CPU5 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004129 };
4130 trip1_cpu6 {
4131 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004132 cooling-device =
4133 <&CPU6 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004134 };
4135 trip1_cpu7 {
4136 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004137 cooling-device =
4138 <&CPU7 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004139 };
4140 };
4141};
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004142
4143&thermal_zones {
4144 aoss0-lowf {
4145 polling-delay-passive = <0>;
4146 polling-delay = <0>;
4147 thermal-governor = "low_limits_floor";
4148 thermal-sensors = <&tsens0 0>;
4149 tracks-low;
4150 trips {
4151 aoss0_trip: aoss0-trip {
4152 temperature = <5000>;
4153 hysteresis = <5000>;
4154 type = "passive";
4155 };
4156 };
4157 cooling-maps {
4158 cpu0_vdd_cdev {
4159 trip = <&aoss0_trip>;
4160 cooling-device = <&CPU0 4 4>;
4161 };
4162 cpu4_vdd_cdev {
4163 trip = <&aoss0_trip>;
4164 cooling-device = <&CPU4 9 9>;
4165 };
4166 gpu_vdd_cdev {
4167 trip = <&aoss0_trip>;
4168 cooling-device = <&msm_gpu 1 1>;
4169 };
4170 cx_vdd_cdev {
4171 trip = <&aoss0_trip>;
4172 cooling-device = <&cx_cdev 0 0>;
4173 };
4174 mx_vdd_cdev {
4175 trip = <&aoss0_trip>;
4176 cooling-device = <&mx_cdev 0 0>;
4177 };
4178 ebi_vdd_cdev {
4179 trip = <&aoss0_trip>;
4180 cooling-device = <&ebi_cdev 0 0>;
4181 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004182 modem_vdd_cdev {
4183 trip = <&aoss0_trip>;
4184 cooling-device = <&modem_vdd 0 0>;
4185 };
4186 adsp_vdd_cdev {
4187 trip = <&aoss0_trip>;
4188 cooling-device = <&adsp_vdd 0 0>;
4189 };
4190 cdsp_vdd_cdev {
4191 trip = <&aoss0_trip>;
4192 cooling-device = <&cdsp_vdd 0 0>;
4193 };
4194 slpi_vdd_cdev {
4195 trip = <&aoss0_trip>;
4196 cooling-device = <&slpi_vdd 0 0>;
4197 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004198 };
4199 };
4200
4201 cpu0-silver-lowf {
4202 polling-delay-passive = <0>;
4203 polling-delay = <0>;
4204 thermal-governor = "low_limits_floor";
4205 thermal-sensors = <&tsens0 1>;
4206 tracks-low;
4207 trips {
4208 cpu0_trip: cpu0-trip {
4209 temperature = <5000>;
4210 hysteresis = <5000>;
4211 type = "passive";
4212 };
4213 };
4214 cooling-maps {
4215 cpu0_vdd_cdev {
4216 trip = <&cpu0_trip>;
4217 cooling-device = <&CPU0 4 4>;
4218 };
4219 cpu4_vdd_cdev {
4220 trip = <&cpu0_trip>;
4221 cooling-device = <&CPU4 9 9>;
4222 };
4223 gpu_vdd_cdev {
4224 trip = <&cpu0_trip>;
4225 cooling-device = <&msm_gpu 1 1>;
4226 };
4227 cx_vdd_cdev {
4228 trip = <&cpu0_trip>;
4229 cooling-device = <&cx_cdev 0 0>;
4230 };
4231 mx_vdd_cdev {
4232 trip = <&cpu0_trip>;
4233 cooling-device = <&mx_cdev 0 0>;
4234 };
4235 ebi_vdd_cdev {
4236 trip = <&cpu0_trip>;
4237 cooling-device = <&ebi_cdev 0 0>;
4238 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004239 modem_vdd_cdev {
4240 trip = <&cpu0_trip>;
4241 cooling-device = <&modem_vdd 0 0>;
4242 };
4243 adsp_vdd_cdev {
4244 trip = <&cpu0_trip>;
4245 cooling-device = <&adsp_vdd 0 0>;
4246 };
4247 cdsp_vdd_cdev {
4248 trip = <&cpu0_trip>;
4249 cooling-device = <&cdsp_vdd 0 0>;
4250 };
4251 slpi_vdd_cdev {
4252 trip = <&cpu0_trip>;
4253 cooling-device = <&slpi_vdd 0 0>;
4254 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004255 };
4256 };
4257
4258 cpu1-silver-lowf {
4259 polling-delay-passive = <0>;
4260 polling-delay = <0>;
4261 thermal-governor = "low_limits_floor";
4262 thermal-sensors = <&tsens0 2>;
4263 tracks-low;
4264 trips {
4265 cpu1_trip: cpu1-trip {
4266 temperature = <5000>;
4267 hysteresis = <5000>;
4268 type = "passive";
4269 };
4270 };
4271 cooling-maps {
4272 cpu0_vdd_cdev {
4273 trip = <&cpu1_trip>;
4274 cooling-device = <&CPU0 4 4>;
4275 };
4276 cpu4_vdd_cdev {
4277 trip = <&cpu1_trip>;
4278 cooling-device = <&CPU4 9 9>;
4279 };
4280 gpu_vdd_cdev {
4281 trip = <&cpu1_trip>;
4282 cooling-device = <&msm_gpu 1 1>;
4283 };
4284 cx_vdd_cdev {
4285 trip = <&cpu1_trip>;
4286 cooling-device = <&cx_cdev 0 0>;
4287 };
4288 mx_vdd_cdev {
4289 trip = <&cpu1_trip>;
4290 cooling-device = <&mx_cdev 0 0>;
4291 };
4292 ebi_vdd_cdev {
4293 trip = <&cpu1_trip>;
4294 cooling-device = <&ebi_cdev 0 0>;
4295 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004296 modem_vdd_cdev {
4297 trip = <&cpu1_trip>;
4298 cooling-device = <&modem_vdd 0 0>;
4299 };
4300 adsp_vdd_cdev {
4301 trip = <&cpu1_trip>;
4302 cooling-device = <&adsp_vdd 0 0>;
4303 };
4304 cdsp_vdd_cdev {
4305 trip = <&cpu1_trip>;
4306 cooling-device = <&cdsp_vdd 0 0>;
4307 };
4308 slpi_vdd_cdev {
4309 trip = <&cpu1_trip>;
4310 cooling-device = <&slpi_vdd 0 0>;
4311 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004312 };
4313 };
4314
4315 cpu2-silver-lowf {
4316 polling-delay-passive = <0>;
4317 polling-delay = <0>;
4318 thermal-governor = "low_limits_floor";
4319 thermal-sensors = <&tsens0 3>;
4320 tracks-low;
4321 trips {
4322 cpu2_trip: cpu2-trip {
4323 temperature = <5000>;
4324 hysteresis = <5000>;
4325 type = "passive";
4326 };
4327 };
4328 cooling-maps {
4329 cpu0_vdd_cdev {
4330 trip = <&cpu2_trip>;
4331 cooling-device = <&CPU0 4 4>;
4332 };
4333 cpu4_vdd_cdev {
4334 trip = <&cpu2_trip>;
4335 cooling-device = <&CPU4 9 9>;
4336 };
4337 gpu_vdd_cdev {
4338 trip = <&cpu2_trip>;
4339 cooling-device = <&msm_gpu 1 1>;
4340 };
4341 cx_vdd_cdev {
4342 trip = <&cpu2_trip>;
4343 cooling-device = <&cx_cdev 0 0>;
4344 };
4345 mx_vdd_cdev {
4346 trip = <&cpu2_trip>;
4347 cooling-device = <&mx_cdev 0 0>;
4348 };
4349 ebi_vdd_cdev {
4350 trip = <&cpu2_trip>;
4351 cooling-device = <&ebi_cdev 0 0>;
4352 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004353 modem_vdd_cdev {
4354 trip = <&cpu2_trip>;
4355 cooling-device = <&modem_vdd 0 0>;
4356 };
4357 adsp_vdd_cdev {
4358 trip = <&cpu2_trip>;
4359 cooling-device = <&adsp_vdd 0 0>;
4360 };
4361 cdsp_vdd_cdev {
4362 trip = <&cpu2_trip>;
4363 cooling-device = <&cdsp_vdd 0 0>;
4364 };
4365 slpi_vdd_cdev {
4366 trip = <&cpu2_trip>;
4367 cooling-device = <&slpi_vdd 0 0>;
4368 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004369 };
4370 };
4371
4372 cpu3-silver-lowf {
4373 polling-delay-passive = <0>;
4374 polling-delay = <0>;
4375 thermal-governor = "low_limits_floor";
4376 thermal-sensors = <&tsens0 4>;
4377 tracks-low;
4378 trips {
4379 cpu3_trip: cpu3-trip {
4380 temperature = <5000>;
4381 hysteresis = <5000>;
4382 type = "passive";
4383 };
4384 };
4385 cooling-maps {
4386 cpu0_vdd_cdev {
4387 trip = <&cpu3_trip>;
4388 cooling-device = <&CPU0 4 4>;
4389 };
4390 cpu4_vdd_cdev {
4391 trip = <&cpu3_trip>;
4392 cooling-device = <&CPU4 9 9>;
4393 };
4394 gpu_vdd_cdev {
4395 trip = <&cpu3_trip>;
4396 cooling-device = <&msm_gpu 1 1>;
4397 };
4398 cx_vdd_cdev {
4399 trip = <&cpu3_trip>;
4400 cooling-device = <&cx_cdev 0 0>;
4401 };
4402 mx_vdd_cdev {
4403 trip = <&cpu3_trip>;
4404 cooling-device = <&mx_cdev 0 0>;
4405 };
4406 ebi_vdd_cdev {
4407 trip = <&cpu3_trip>;
4408 cooling-device = <&ebi_cdev 0 0>;
4409 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004410 modem_vdd_cdev {
4411 trip = <&cpu3_trip>;
4412 cooling-device = <&modem_vdd 0 0>;
4413 };
4414 adsp_vdd_cdev {
4415 trip = <&cpu3_trip>;
4416 cooling-device = <&adsp_vdd 0 0>;
4417 };
4418 cdsp_vdd_cdev {
4419 trip = <&cpu3_trip>;
4420 cooling-device = <&cdsp_vdd 0 0>;
4421 };
4422 slpi_vdd_cdev {
4423 trip = <&cpu3_trip>;
4424 cooling-device = <&slpi_vdd 0 0>;
4425 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004426 };
4427 };
4428
4429 kryo-l3-0-lowf {
4430 polling-delay-passive = <0>;
4431 polling-delay = <0>;
4432 thermal-governor = "low_limits_floor";
4433 thermal-sensors = <&tsens0 5>;
4434 tracks-low;
4435 trips {
4436 l3_0_trip: l3-0-trip {
4437 temperature = <5000>;
4438 hysteresis = <5000>;
4439 type = "passive";
4440 };
4441 };
4442 cooling-maps {
4443 cpu0_vdd_cdev {
4444 trip = <&l3_0_trip>;
4445 cooling-device = <&CPU0 4 4>;
4446 };
4447 cpu4_vdd_cdev {
4448 trip = <&l3_0_trip>;
4449 cooling-device = <&CPU4 9 9>;
4450 };
4451 gpu_vdd_cdev {
4452 trip = <&l3_0_trip>;
4453 cooling-device = <&msm_gpu 1 1>;
4454 };
4455 cx_vdd_cdev {
4456 trip = <&l3_0_trip>;
4457 cooling-device = <&cx_cdev 0 0>;
4458 };
4459 mx_vdd_cdev {
4460 trip = <&l3_0_trip>;
4461 cooling-device = <&mx_cdev 0 0>;
4462 };
4463 ebi_vdd_cdev {
4464 trip = <&l3_0_trip>;
4465 cooling-device = <&ebi_cdev 0 0>;
4466 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004467 modem_vdd_cdev {
4468 trip = <&l3_0_trip>;
4469 cooling-device = <&modem_vdd 0 0>;
4470 };
4471 adsp_vdd_cdev {
4472 trip = <&l3_0_trip>;
4473 cooling-device = <&adsp_vdd 0 0>;
4474 };
4475 cdsp_vdd_cdev {
4476 trip = <&l3_0_trip>;
4477 cooling-device = <&cdsp_vdd 0 0>;
4478 };
4479 slpi_vdd_cdev {
4480 trip = <&l3_0_trip>;
4481 cooling-device = <&slpi_vdd 0 0>;
4482 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004483 };
4484 };
4485
4486 kryo-l3-1-lowf {
4487 polling-delay-passive = <0>;
4488 polling-delay = <0>;
4489 thermal-governor = "low_limits_floor";
4490 thermal-sensors = <&tsens0 6>;
4491 tracks-low;
4492 trips {
4493 l3_1_trip: l3-1-trip {
4494 temperature = <5000>;
4495 hysteresis = <5000>;
4496 type = "passive";
4497 };
4498 };
4499 cooling-maps {
4500 cpu0_vdd_cdev {
4501 trip = <&l3_1_trip>;
4502 cooling-device = <&CPU0 4 4>;
4503 };
4504 cpu4_vdd_cdev {
4505 trip = <&l3_1_trip>;
4506 cooling-device = <&CPU4 9 9>;
4507 };
4508 gpu_vdd_cdev {
4509 trip = <&l3_1_trip>;
4510 cooling-device = <&msm_gpu 1 1>;
4511 };
4512 cx_vdd_cdev {
4513 trip = <&l3_1_trip>;
4514 cooling-device = <&cx_cdev 0 0>;
4515 };
4516 mx_vdd_cdev {
4517 trip = <&l3_1_trip>;
4518 cooling-device = <&mx_cdev 0 0>;
4519 };
4520 ebi_vdd_cdev {
4521 trip = <&l3_1_trip>;
4522 cooling-device = <&ebi_cdev 0 0>;
4523 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004524 modem_vdd_cdev {
4525 trip = <&l3_1_trip>;
4526 cooling-device = <&modem_vdd 0 0>;
4527 };
4528 adsp_vdd_cdev {
4529 trip = <&l3_1_trip>;
4530 cooling-device = <&adsp_vdd 0 0>;
4531 };
4532 cdsp_vdd_cdev {
4533 trip = <&l3_1_trip>;
4534 cooling-device = <&cdsp_vdd 0 0>;
4535 };
4536 slpi_vdd_cdev {
4537 trip = <&l3_1_trip>;
4538 cooling-device = <&slpi_vdd 0 0>;
4539 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004540 };
4541 };
4542
4543 cpu0-gold-lowf {
4544 polling-delay-passive = <0>;
4545 polling-delay = <0>;
4546 thermal-governor = "low_limits_floor";
4547 thermal-sensors = <&tsens0 7>;
4548 tracks-low;
4549 trips {
4550 cpug0_trip: cpug0-trip {
4551 temperature = <5000>;
4552 hysteresis = <5000>;
4553 type = "passive";
4554 };
4555 };
4556 cooling-maps {
4557 cpu0_vdd_cdev {
4558 trip = <&cpug0_trip>;
4559 cooling-device = <&CPU0 4 4>;
4560 };
4561 cpu4_vdd_cdev {
4562 trip = <&cpug0_trip>;
4563 cooling-device = <&CPU4 9 9>;
4564 };
4565 gpu_vdd_cdev {
4566 trip = <&cpug0_trip>;
4567 cooling-device = <&msm_gpu 1 1>;
4568 };
4569 cx_vdd_cdev {
4570 trip = <&cpug0_trip>;
4571 cooling-device = <&cx_cdev 0 0>;
4572 };
4573 mx_vdd_cdev {
4574 trip = <&cpug0_trip>;
4575 cooling-device = <&mx_cdev 0 0>;
4576 };
4577 ebi_vdd_cdev {
4578 trip = <&cpug0_trip>;
4579 cooling-device = <&ebi_cdev 0 0>;
4580 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004581 modem_vdd_cdev {
4582 trip = <&cpug0_trip>;
4583 cooling-device = <&modem_vdd 0 0>;
4584 };
4585 adsp_vdd_cdev {
4586 trip = <&cpug0_trip>;
4587 cooling-device = <&adsp_vdd 0 0>;
4588 };
4589 cdsp_vdd_cdev {
4590 trip = <&cpug0_trip>;
4591 cooling-device = <&cdsp_vdd 0 0>;
4592 };
4593 slpi_vdd_cdev {
4594 trip = <&cpug0_trip>;
4595 cooling-device = <&slpi_vdd 0 0>;
4596 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004597 };
4598 };
4599
4600 cpu1-gold-lowf {
4601 polling-delay-passive = <0>;
4602 polling-delay = <0>;
4603 thermal-governor = "low_limits_floor";
4604 thermal-sensors = <&tsens0 8>;
4605 tracks-low;
4606 trips {
4607 cpug1_trip: cpug1-trip {
4608 temperature = <5000>;
4609 hysteresis = <5000>;
4610 type = "passive";
4611 };
4612 };
4613 cooling-maps {
4614 cpu0_vdd_cdev {
4615 trip = <&cpug1_trip>;
4616 cooling-device = <&CPU0 4 4>;
4617 };
4618 cpu4_vdd_cdev {
4619 trip = <&cpug1_trip>;
4620 cooling-device = <&CPU4 9 9>;
4621 };
4622 gpu_vdd_cdev {
4623 trip = <&cpug1_trip>;
4624 cooling-device = <&msm_gpu 1 1>;
4625 };
4626 cx_vdd_cdev {
4627 trip = <&cpug1_trip>;
4628 cooling-device = <&cx_cdev 0 0>;
4629 };
4630 mx_vdd_cdev {
4631 trip = <&cpug1_trip>;
4632 cooling-device = <&mx_cdev 0 0>;
4633 };
4634 ebi_vdd_cdev {
4635 trip = <&cpug1_trip>;
4636 cooling-device = <&ebi_cdev 0 0>;
4637 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004638 modem_vdd_cdev {
4639 trip = <&cpug1_trip>;
4640 cooling-device = <&modem_vdd 0 0>;
4641 };
4642 adsp_vdd_cdev {
4643 trip = <&cpug1_trip>;
4644 cooling-device = <&adsp_vdd 0 0>;
4645 };
4646 cdsp_vdd_cdev {
4647 trip = <&cpug1_trip>;
4648 cooling-device = <&cdsp_vdd 0 0>;
4649 };
4650 slpi_vdd_cdev {
4651 trip = <&cpug1_trip>;
4652 cooling-device = <&slpi_vdd 0 0>;
4653 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004654 };
4655 };
4656
4657 cpu2-gold-lowf {
4658 polling-delay-passive = <0>;
4659 polling-delay = <0>;
4660 thermal-governor = "low_limits_floor";
4661 thermal-sensors = <&tsens0 9>;
4662 tracks-low;
4663 trips {
4664 cpug2_trip: cpug2-trip {
4665 temperature = <5000>;
4666 hysteresis = <5000>;
4667 type = "passive";
4668 };
4669 };
4670 cooling-maps {
4671 cpu0_vdd_cdev {
4672 trip = <&cpug2_trip>;
4673 cooling-device = <&CPU0 4 4>;
4674 };
4675 cpu4_vdd_cdev {
4676 trip = <&cpug2_trip>;
4677 cooling-device = <&CPU4 9 9>;
4678 };
4679 gpu_vdd_cdev {
4680 trip = <&cpug2_trip>;
4681 cooling-device = <&msm_gpu 1 1>;
4682 };
4683 cx_vdd_cdev {
4684 trip = <&cpug2_trip>;
4685 cooling-device = <&cx_cdev 0 0>;
4686 };
4687 mx_vdd_cdev {
4688 trip = <&cpug2_trip>;
4689 cooling-device = <&mx_cdev 0 0>;
4690 };
4691 ebi_vdd_cdev {
4692 trip = <&cpug2_trip>;
4693 cooling-device = <&ebi_cdev 0 0>;
4694 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004695 modem_vdd_cdev {
4696 trip = <&cpug2_trip>;
4697 cooling-device = <&modem_vdd 0 0>;
4698 };
4699 adsp_vdd_cdev {
4700 trip = <&cpug2_trip>;
4701 cooling-device = <&adsp_vdd 0 0>;
4702 };
4703 cdsp_vdd_cdev {
4704 trip = <&cpug2_trip>;
4705 cooling-device = <&cdsp_vdd 0 0>;
4706 };
4707 slpi_vdd_cdev {
4708 trip = <&cpug2_trip>;
4709 cooling-device = <&slpi_vdd 0 0>;
4710 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004711 };
4712 };
4713
4714 cpu3-gold-lowf {
4715 polling-delay-passive = <0>;
4716 polling-delay = <0>;
4717 thermal-governor = "low_limits_floor";
4718 thermal-sensors = <&tsens0 10>;
4719 tracks-low;
4720 trips {
4721 cpug3_trip: cpug3-trip {
4722 temperature = <5000>;
4723 hysteresis = <5000>;
4724 type = "passive";
4725 };
4726 };
4727 cooling-maps {
4728 cpu0_vdd_cdev {
4729 trip = <&cpug3_trip>;
4730 cooling-device = <&CPU0 4 4>;
4731 };
4732 cpu4_vdd_cdev {
4733 trip = <&cpug3_trip>;
4734 cooling-device = <&CPU4 9 9>;
4735 };
4736 gpu_vdd_cdev {
4737 trip = <&cpug3_trip>;
4738 cooling-device = <&msm_gpu 1 1>;
4739 };
4740 cx_vdd_cdev {
4741 trip = <&cpug3_trip>;
4742 cooling-device = <&cx_cdev 0 0>;
4743 };
4744 mx_vdd_cdev {
4745 trip = <&cpug3_trip>;
4746 cooling-device = <&mx_cdev 0 0>;
4747 };
4748 ebi_vdd_cdev {
4749 trip = <&cpug3_trip>;
4750 cooling-device = <&ebi_cdev 0 0>;
4751 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004752 modem_vdd_cdev {
4753 trip = <&cpug3_trip>;
4754 cooling-device = <&modem_vdd 0 0>;
4755 };
4756 adsp_vdd_cdev {
4757 trip = <&cpug3_trip>;
4758 cooling-device = <&adsp_vdd 0 0>;
4759 };
4760 cdsp_vdd_cdev {
4761 trip = <&cpug3_trip>;
4762 cooling-device = <&cdsp_vdd 0 0>;
4763 };
4764 slpi_vdd_cdev {
4765 trip = <&cpug3_trip>;
4766 cooling-device = <&slpi_vdd 0 0>;
4767 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004768 };
4769 };
4770
4771 gpu0-lowf {
4772 polling-delay-passive = <0>;
4773 polling-delay = <0>;
4774 thermal-governor = "low_limits_floor";
4775 thermal-sensors = <&tsens0 11>;
4776 tracks-low;
4777 trips {
4778 gpu0_trip_l: gpu0-trip {
4779 temperature = <5000>;
4780 hysteresis = <5000>;
4781 type = "passive";
4782 };
4783 };
4784 cooling-maps {
4785 cpu0_vdd_cdev {
4786 trip = <&gpu0_trip_l>;
4787 cooling-device = <&CPU0 4 4>;
4788 };
4789 cpu4_vdd_cdev {
4790 trip = <&gpu0_trip_l>;
4791 cooling-device = <&CPU4 9 9>;
4792 };
4793 gpu_vdd_cdev {
4794 trip = <&gpu0_trip_l>;
4795 cooling-device = <&msm_gpu 1 1>;
4796 };
4797 cx_vdd_cdev {
4798 trip = <&gpu0_trip_l>;
4799 cooling-device = <&cx_cdev 0 0>;
4800 };
4801 mx_vdd_cdev {
4802 trip = <&gpu0_trip_l>;
4803 cooling-device = <&mx_cdev 0 0>;
4804 };
4805 ebi_vdd_cdev {
4806 trip = <&gpu0_trip_l>;
4807 cooling-device = <&ebi_cdev 0 0>;
4808 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004809 modem_vdd_cdev {
4810 trip = <&gpu0_trip_l>;
4811 cooling-device = <&modem_vdd 0 0>;
4812 };
4813 adsp_vdd_cdev {
4814 trip = <&gpu0_trip_l>;
4815 cooling-device = <&adsp_vdd 0 0>;
4816 };
4817 cdsp_vdd_cdev {
4818 trip = <&gpu0_trip_l>;
4819 cooling-device = <&cdsp_vdd 0 0>;
4820 };
4821 slpi_vdd_cdev {
4822 trip = <&gpu0_trip_l>;
4823 cooling-device = <&slpi_vdd 0 0>;
4824 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004825 };
4826 };
4827
4828 gpu1-lowf {
4829 polling-delay-passive = <0>;
4830 polling-delay = <0>;
4831 thermal-governor = "low_limits_floor";
4832 thermal-sensors = <&tsens0 12>;
4833 tracks-low;
4834 trips {
4835 gpu1_trip_l: gpu1-trip_l {
4836 temperature = <5000>;
4837 hysteresis = <5000>;
4838 type = "passive";
4839 };
4840 };
4841 cooling-maps {
4842 cpu0_vdd_cdev {
4843 trip = <&gpu1_trip_l>;
4844 cooling-device = <&CPU0 4 4>;
4845 };
4846 cpu4_vdd_cdev {
4847 trip = <&gpu1_trip_l>;
4848 cooling-device = <&CPU4 9 9>;
4849 };
4850 gpu_vdd_cdev {
4851 trip = <&gpu1_trip_l>;
4852 cooling-device = <&msm_gpu 1 1>;
4853 };
4854 cx_vdd_cdev {
4855 trip = <&gpu1_trip_l>;
4856 cooling-device = <&cx_cdev 0 0>;
4857 };
4858 mx_vdd_cdev {
4859 trip = <&gpu1_trip_l>;
4860 cooling-device = <&mx_cdev 0 0>;
4861 };
4862 ebi_vdd_cdev {
4863 trip = <&gpu1_trip_l>;
4864 cooling-device = <&ebi_cdev 0 0>;
4865 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004866 modem_vdd_cdev {
4867 trip = <&gpu1_trip_l>;
4868 cooling-device = <&modem_vdd 0 0>;
4869 };
4870 adsp_vdd_cdev {
4871 trip = <&gpu1_trip_l>;
4872 cooling-device = <&adsp_vdd 0 0>;
4873 };
4874 cdsp_vdd_cdev {
4875 trip = <&gpu1_trip_l>;
4876 cooling-device = <&cdsp_vdd 0 0>;
4877 };
4878 slpi_vdd_cdev {
4879 trip = <&gpu1_trip_l>;
4880 cooling-device = <&slpi_vdd 0 0>;
4881 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004882 };
4883 };
4884
4885 aoss1-lowf {
4886 polling-delay-passive = <0>;
4887 polling-delay = <0>;
4888 thermal-governor = "low_limits_floor";
4889 thermal-sensors = <&tsens1 0>;
4890 tracks-low;
4891 trips {
4892 aoss1_trip: aoss1-trip {
4893 temperature = <5000>;
4894 hysteresis = <5000>;
4895 type = "passive";
4896 };
4897 };
4898 cooling-maps {
4899 cpu0_vdd_cdev {
4900 trip = <&aoss1_trip>;
4901 cooling-device = <&CPU0 4 4>;
4902 };
4903 cpu4_vdd_cdev {
4904 trip = <&aoss1_trip>;
4905 cooling-device = <&CPU4 9 9>;
4906 };
4907 gpu_vdd_cdev {
4908 trip = <&aoss1_trip>;
4909 cooling-device = <&msm_gpu 1 1>;
4910 };
4911 cx_vdd_cdev {
4912 trip = <&aoss1_trip>;
4913 cooling-device = <&cx_cdev 0 0>;
4914 };
4915 mx_vdd_cdev {
4916 trip = <&aoss1_trip>;
4917 cooling-device = <&mx_cdev 0 0>;
4918 };
4919 ebi_vdd_cdev {
4920 trip = <&aoss1_trip>;
4921 cooling-device = <&ebi_cdev 0 0>;
4922 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004923 modem_vdd_cdev {
4924 trip = <&aoss1_trip>;
4925 cooling-device = <&modem_vdd 0 0>;
4926 };
4927 adsp_vdd_cdev {
4928 trip = <&aoss1_trip>;
4929 cooling-device = <&adsp_vdd 0 0>;
4930 };
4931 cdsp_vdd_cdev {
4932 trip = <&aoss1_trip>;
4933 cooling-device = <&cdsp_vdd 0 0>;
4934 };
4935 slpi_vdd_cdev {
4936 trip = <&aoss1_trip>;
4937 cooling-device = <&slpi_vdd 0 0>;
4938 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004939 };
4940 };
4941
4942 mdm-dsp-lowf {
4943 polling-delay-passive = <0>;
4944 polling-delay = <0>;
4945 thermal-governor = "low_limits_floor";
4946 thermal-sensors = <&tsens1 1>;
4947 tracks-low;
4948 trips {
4949 dsp_trip: dsp-trip {
4950 temperature = <5000>;
4951 hysteresis = <5000>;
4952 type = "passive";
4953 };
4954 };
4955 cooling-maps {
4956 cpu0_vdd_cdev {
4957 trip = <&dsp_trip>;
4958 cooling-device = <&CPU0 4 4>;
4959 };
4960 cpu4_vdd_cdev {
4961 trip = <&dsp_trip>;
4962 cooling-device = <&CPU4 9 9>;
4963 };
4964 gpu_vdd_cdev {
4965 trip = <&dsp_trip>;
4966 cooling-device = <&msm_gpu 1 1>;
4967 };
4968 cx_vdd_cdev {
4969 trip = <&dsp_trip>;
4970 cooling-device = <&cx_cdev 0 0>;
4971 };
4972 mx_vdd_cdev {
4973 trip = <&dsp_trip>;
4974 cooling-device = <&mx_cdev 0 0>;
4975 };
4976 ebi_vdd_cdev {
4977 trip = <&dsp_trip>;
4978 cooling-device = <&ebi_cdev 0 0>;
4979 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004980 modem_vdd_cdev {
4981 trip = <&dsp_trip>;
4982 cooling-device = <&modem_vdd 0 0>;
4983 };
4984 adsp_vdd_cdev {
4985 trip = <&dsp_trip>;
4986 cooling-device = <&adsp_vdd 0 0>;
4987 };
4988 cdsp_vdd_cdev {
4989 trip = <&dsp_trip>;
4990 cooling-device = <&cdsp_vdd 0 0>;
4991 };
4992 slpi_vdd_cdev {
4993 trip = <&dsp_trip>;
4994 cooling-device = <&slpi_vdd 0 0>;
4995 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004996 };
4997 };
4998
4999 ddr-lowf {
5000 polling-delay-passive = <0>;
5001 polling-delay = <0>;
5002 thermal-governor = "low_limits_floor";
5003 thermal-sensors = <&tsens1 2>;
5004 tracks-low;
5005 trips {
5006 ddr_trip: ddr-trip {
5007 temperature = <5000>;
5008 hysteresis = <5000>;
5009 type = "passive";
5010 };
5011 };
5012 cooling-maps {
5013 cpu0_vdd_cdev {
5014 trip = <&ddr_trip>;
5015 cooling-device = <&CPU0 4 4>;
5016 };
5017 cpu4_vdd_cdev {
5018 trip = <&ddr_trip>;
5019 cooling-device = <&CPU4 9 9>;
5020 };
5021 gpu_vdd_cdev {
5022 trip = <&ddr_trip>;
5023 cooling-device = <&msm_gpu 1 1>;
5024 };
5025 cx_vdd_cdev {
5026 trip = <&ddr_trip>;
5027 cooling-device = <&cx_cdev 0 0>;
5028 };
5029 mx_vdd_cdev {
5030 trip = <&ddr_trip>;
5031 cooling-device = <&mx_cdev 0 0>;
5032 };
5033 ebi_vdd_cdev {
5034 trip = <&ddr_trip>;
5035 cooling-device = <&ebi_cdev 0 0>;
5036 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005037 modem_vdd_cdev {
5038 trip = <&ddr_trip>;
5039 cooling-device = <&modem_vdd 0 0>;
5040 };
5041 adsp_vdd_cdev {
5042 trip = <&ddr_trip>;
5043 cooling-device = <&adsp_vdd 0 0>;
5044 };
5045 cdsp_vdd_cdev {
5046 trip = <&ddr_trip>;
5047 cooling-device = <&cdsp_vdd 0 0>;
5048 };
5049 slpi_vdd_cdev {
5050 trip = <&ddr_trip>;
5051 cooling-device = <&slpi_vdd 0 0>;
5052 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005053 };
5054 };
5055
5056 wlan-lowf {
5057 polling-delay-passive = <0>;
5058 polling-delay = <0>;
5059 thermal-governor = "low_limits_floor";
5060 thermal-sensors = <&tsens1 3>;
5061 tracks-low;
5062 trips {
5063 wlan_trip: wlan-trip {
5064 temperature = <5000>;
5065 hysteresis = <5000>;
5066 type = "passive";
5067 };
5068 };
5069 cooling-maps {
5070 cpu0_vdd_cdev {
5071 trip = <&wlan_trip>;
5072 cooling-device = <&CPU0 4 4>;
5073 };
5074 cpu4_vdd_cdev {
5075 trip = <&wlan_trip>;
5076 cooling-device = <&CPU4 9 9>;
5077 };
5078 gpu_vdd_cdev {
5079 trip = <&wlan_trip>;
5080 cooling-device = <&msm_gpu 1 1>;
5081 };
5082 cx_vdd_cdev {
5083 trip = <&wlan_trip>;
5084 cooling-device = <&cx_cdev 0 0>;
5085 };
5086 mx_vdd_cdev {
5087 trip = <&wlan_trip>;
5088 cooling-device = <&mx_cdev 0 0>;
5089 };
5090 ebi_vdd_cdev {
5091 trip = <&wlan_trip>;
5092 cooling-device = <&ebi_cdev 0 0>;
5093 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005094 modem_vdd_cdev {
5095 trip = <&wlan_trip>;
5096 cooling-device = <&modem_vdd 0 0>;
5097 };
5098 adsp_vdd_cdev {
5099 trip = <&wlan_trip>;
5100 cooling-device = <&adsp_vdd 0 0>;
5101 };
5102 cdsp_vdd_cdev {
5103 trip = <&wlan_trip>;
5104 cooling-device = <&cdsp_vdd 0 0>;
5105 };
5106 slpi_vdd_cdev {
5107 trip = <&wlan_trip>;
5108 cooling-device = <&slpi_vdd 0 0>;
5109 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005110 };
5111 };
5112
5113 compute-hvx-lowf {
5114 polling-delay-passive = <0>;
5115 polling-delay = <0>;
5116 thermal-governor = "low_limits_floor";
5117 thermal-sensors = <&tsens1 4>;
5118 tracks-low;
5119 trips {
5120 hvx_trip: hvx-trip {
5121 temperature = <5000>;
5122 hysteresis = <5000>;
5123 type = "passive";
5124 };
5125 };
5126 cooling-maps {
5127 cpu0_vdd_cdev {
5128 trip = <&hvx_trip>;
5129 cooling-device = <&CPU0 4 4>;
5130 };
5131 cpu4_vdd_cdev {
5132 trip = <&hvx_trip>;
5133 cooling-device = <&CPU4 9 9>;
5134 };
5135 gpu_vdd_cdev {
5136 trip = <&hvx_trip>;
5137 cooling-device = <&msm_gpu 1 1>;
5138 };
5139 cx_vdd_cdev {
5140 trip = <&hvx_trip>;
5141 cooling-device = <&cx_cdev 0 0>;
5142 };
5143 mx_vdd_cdev {
5144 trip = <&hvx_trip>;
5145 cooling-device = <&mx_cdev 0 0>;
5146 };
5147 ebi_vdd_cdev {
5148 trip = <&hvx_trip>;
5149 cooling-device = <&ebi_cdev 0 0>;
5150 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005151 modem_vdd_cdev {
5152 trip = <&hvx_trip>;
5153 cooling-device = <&modem_vdd 0 0>;
5154 };
5155 adsp_vdd_cdev {
5156 trip = <&hvx_trip>;
5157 cooling-device = <&adsp_vdd 0 0>;
5158 };
5159 cdsp_vdd_cdev {
5160 trip = <&hvx_trip>;
5161 cooling-device = <&cdsp_vdd 0 0>;
5162 };
5163 slpi_vdd_cdev {
5164 trip = <&hvx_trip>;
5165 cooling-device = <&slpi_vdd 0 0>;
5166 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005167 };
5168 };
5169
5170 camera-lowf {
5171 polling-delay-passive = <0>;
5172 polling-delay = <0>;
5173 thermal-governor = "low_limits_floor";
5174 thermal-sensors = <&tsens1 5>;
5175 tracks-low;
5176 trips {
5177 camera_trip: camera-trip {
5178 temperature = <5000>;
5179 hysteresis = <5000>;
5180 type = "passive";
5181 };
5182 };
5183 cooling-maps {
5184 cpu0_vdd_cdev {
5185 trip = <&camera_trip>;
5186 cooling-device = <&CPU0 4 4>;
5187 };
5188 cpu4_vdd_cdev {
5189 trip = <&camera_trip>;
5190 cooling-device = <&CPU4 9 9>;
5191 };
5192 gpu_vdd_cdev {
5193 trip = <&camera_trip>;
5194 cooling-device = <&msm_gpu 1 1>;
5195 };
5196 cx_vdd_cdev {
5197 trip = <&camera_trip>;
5198 cooling-device = <&cx_cdev 0 0>;
5199 };
5200 mx_vdd_cdev {
5201 trip = <&camera_trip>;
5202 cooling-device = <&mx_cdev 0 0>;
5203 };
5204 ebi_vdd_cdev {
5205 trip = <&camera_trip>;
5206 cooling-device = <&ebi_cdev 0 0>;
5207 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005208 modem_vdd_cdev {
5209 trip = <&camera_trip>;
5210 cooling-device = <&modem_vdd 0 0>;
5211 };
5212 adsp_vdd_cdev {
5213 trip = <&camera_trip>;
5214 cooling-device = <&adsp_vdd 0 0>;
5215 };
5216 cdsp_vdd_cdev {
5217 trip = <&camera_trip>;
5218 cooling-device = <&cdsp_vdd 0 0>;
5219 };
5220 slpi_vdd_cdev {
5221 trip = <&camera_trip>;
5222 cooling-device = <&slpi_vdd 0 0>;
5223 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005224 };
5225 };
5226
5227 mmss-lowf {
5228 polling-delay-passive = <0>;
5229 polling-delay = <0>;
5230 thermal-governor = "low_limits_floor";
5231 thermal-sensors = <&tsens1 6>;
5232 tracks-low;
5233 trips {
5234 mmss_trip: mmss-trip {
5235 temperature = <5000>;
5236 hysteresis = <5000>;
5237 type = "passive";
5238 };
5239 };
5240 cooling-maps {
5241 cpu0_vdd_cdev {
5242 trip = <&mmss_trip>;
5243 cooling-device = <&CPU0 4 4>;
5244 };
5245 cpu4_vdd_cdev {
5246 trip = <&mmss_trip>;
5247 cooling-device = <&CPU4 9 9>;
5248 };
5249 gpu_vdd_cdev {
5250 trip = <&mmss_trip>;
5251 cooling-device = <&msm_gpu 1 1>;
5252 };
5253 cx_vdd_cdev {
5254 trip = <&mmss_trip>;
5255 cooling-device = <&cx_cdev 0 0>;
5256 };
5257 mx_vdd_cdev {
5258 trip = <&mmss_trip>;
5259 cooling-device = <&mx_cdev 0 0>;
5260 };
5261 ebi_vdd_cdev {
5262 trip = <&mmss_trip>;
5263 cooling-device = <&ebi_cdev 0 0>;
5264 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005265 modem_vdd_cdev {
5266 trip = <&mmss_trip>;
5267 cooling-device = <&modem_vdd 0 0>;
5268 };
5269 adsp_vdd_cdev {
5270 trip = <&mmss_trip>;
5271 cooling-device = <&adsp_vdd 0 0>;
5272 };
5273 cdsp_vdd_cdev {
5274 trip = <&mmss_trip>;
5275 cooling-device = <&cdsp_vdd 0 0>;
5276 };
5277 slpi_vdd_cdev {
5278 trip = <&mmss_trip>;
5279 cooling-device = <&slpi_vdd 0 0>;
5280 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005281 };
5282 };
5283
5284 mdm-core-lowf {
5285 polling-delay-passive = <0>;
5286 polling-delay = <0>;
5287 thermal-governor = "low_limits_floor";
5288 thermal-sensors = <&tsens1 7>;
5289 tracks-low;
5290 trips {
5291 mdm_trip: mdm-trip {
5292 temperature = <5000>;
5293 hysteresis = <5000>;
5294 type = "passive";
5295 };
5296 };
5297 cooling-maps {
5298 cpu0_vdd_cdev {
5299 trip = <&mdm_trip>;
5300 cooling-device = <&CPU0 4 4>;
5301 };
5302 cpu4_vdd_cdev {
5303 trip = <&mdm_trip>;
5304 cooling-device = <&CPU4 9 9>;
5305 };
5306 gpu_vdd_cdev {
5307 trip = <&mdm_trip>;
5308 cooling-device = <&msm_gpu 1 1>;
5309 };
5310 cx_vdd_cdev {
5311 trip = <&mdm_trip>;
5312 cooling-device = <&cx_cdev 0 0>;
5313 };
5314 mx_vdd_cdev {
5315 trip = <&mdm_trip>;
5316 cooling-device = <&mx_cdev 0 0>;
5317 };
5318 ebi_vdd_cdev {
5319 trip = <&mdm_trip>;
5320 cooling-device = <&ebi_cdev 0 0>;
5321 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005322 modem_vdd_cdev {
5323 trip = <&mdm_trip>;
5324 cooling-device = <&modem_vdd 0 0>;
5325 };
5326 adsp_vdd_cdev {
5327 trip = <&mdm_trip>;
5328 cooling-device = <&adsp_vdd 0 0>;
5329 };
5330 cdsp_vdd_cdev {
5331 trip = <&mdm_trip>;
5332 cooling-device = <&cdsp_vdd 0 0>;
5333 };
5334 slpi_vdd_cdev {
5335 trip = <&mdm_trip>;
5336 cooling-device = <&slpi_vdd 0 0>;
5337 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005338 };
5339 };
5340};