blob: f158f07d19aca020810aef6d8044faee36de82c3 [file] [log] [blame]
Sameer Thalappild45eaa42018-02-06 17:18:52 -08001/* Copyright (c) 2016-2018, The Linux Foundation. All rights reserved.
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -07002 *
3 * This program is free software; you can redistribute it and/or modify
4 * it under the terms of the GNU General Public License version 2 and
5 * only version 2 as published by the Free Software Foundation.
6 *
7 * This program is distributed in the hope that it will be useful,
8 * but WITHOUT ANY WARRANTY; without even the implied warranty of
9 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
10 * GNU General Public License for more details.
11 */
12
13#include "skeleton64.dtsi"
Kyle Yan6a20fae2017-02-14 13:34:41 -080014#include <dt-bindings/clock/qcom,gcc-sdm845.h>
15#include <dt-bindings/clock/qcom,camcc-sdm845.h>
16#include <dt-bindings/clock/qcom,dispcc-sdm845.h>
17#include <dt-bindings/clock/qcom,gpucc-sdm845.h>
18#include <dt-bindings/clock/qcom,videocc-sdm845.h>
19#include <dt-bindings/clock/qcom,cpucc-sdm845.h>
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -080020#include <dt-bindings/clock/qcom,rpmh.h>
Taniya Dasa8d52b92017-04-18 17:02:49 +053021#include <dt-bindings/clock/qcom,aop-qmp.h>
David Collins5ab42b92016-07-07 17:38:51 -070022#include <dt-bindings/regulator/qcom,rpmh-regulator.h>
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -070023#include <dt-bindings/interrupt-controller/arm-gic.h>
Lina Iyer9f782ba2016-10-11 15:13:50 -060024#include <dt-bindings/soc/qcom,tcs-mbox.h>
David Collins86dc5b52017-04-11 14:29:36 -070025#include <dt-bindings/spmi/spmi.h>
Ram Chandrasekar36ffe552017-04-17 16:33:05 -060026#include <dt-bindings/thermal/thermal.h>
Stephen Boydb1adf312017-04-03 16:02:12 -070027#include <dt-bindings/msm/msm-bus-ids.h>
Satyajit Desai9f293262017-09-29 14:31:44 -070028#include <dt-bindings/soc/qcom,dcc_v2.h>
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070029
Stephen Boyd08290522017-06-16 09:48:48 -070030#define MHZ_TO_MBPS(mhz, w) ((mhz * 1000000 * w) / (1024 * 1024))
31
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070032/ {
Kyle Yan6a20fae2017-02-14 13:34:41 -080033 model = "Qualcomm Technologies, Inc. SDM845";
34 compatible = "qcom,sdm845";
Kyle Yanfd7d1422017-08-04 16:14:21 -070035 qcom,msm-id = <321 0x10000>;
Archana Sathyakumar00a36ab2017-03-03 14:38:26 -070036 interrupt-parent = <&pdc>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070037
Subhash Jadavani35c309a2016-12-19 13:58:57 -080038 aliases {
39 ufshc1 = &ufshc_mem; /* Embedded UFS slot */
Tony Truongc0e0a5f02017-03-15 11:57:40 -070040 pci-domain0 = &pcie0;
Tony Truong16938352017-05-04 13:39:24 -070041 pci-domain1 = &pcie1;
Xiaonian Wang3c14ea32017-04-08 06:39:42 +080042 sdhc2 = &sdhc_2; /* SDC2 SD card slot */
Subhash Jadavani35c309a2016-12-19 13:58:57 -080043 };
44
Puja Guptaa91fb842017-06-12 18:58:06 -070045 aliases {
46 serial0 = &qupv3_se9_2uart;
47 spi0 = &qupv3_se8_spi;
48 i2c0 = &qupv3_se10_i2c;
49 i2c1 = &qupv3_se3_i2c;
50 hsuart0 = &qupv3_se6_4uart;
51 };
52
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070053 cpus {
54 #address-cells = <2>;
55 #size-cells = <0>;
56
57 CPU0: cpu@0 {
58 device_type = "cpu";
59 compatible = "arm,armv8";
60 reg = <0x0 0x0>;
Trilok Soni39f76f22016-12-15 14:56:26 -080061 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -070062 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070063 cache-size = <0x8000>;
64 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -060065 qcom,lmh-dcvs = <&lmh_dcvs0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -060066 #cooling-cells = <2>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070067 next-level-cache = <&L2_0>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -070068 sched-energy-costs = <&CPU_COST_0 &CLUSTER_COST_0>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070069 L2_0: l2-cache {
70 compatible = "arm,arch-cache";
71 cache-size = <0x20000>;
72 cache-level = <2>;
73 next-level-cache = <&L3_0>;
74
75 L3_0: l3-cache {
76 compatible = "arm,arch-cache";
77 cache-size = <0x200000>;
78 cache-level = <3>;
79 };
80 };
Kyle Yanf7f2ddf2016-11-22 17:07:38 -080081 L1_I_0: l1-icache {
82 compatible = "arm,arch-cache";
Channagoud Kadabi6077a782017-10-05 12:13:29 -070083 qcom,dump-size = <0x12000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -080084 };
85 L1_D_0: l1-dcache {
86 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -070087 qcom,dump-size = <0xa000>;
88 };
89 L1_TLB_0: l1-tlb {
90 qcom,dump-size = <0x3000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -080091 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070092 };
93
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -070094 CPU1: cpu@100 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070095 device_type = "cpu";
96 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -070097 reg = <0x0 0x100>;
Trilok Soni39f76f22016-12-15 14:56:26 -080098 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -070099 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700100 cache-size = <0x8000>;
101 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600102 qcom,lmh-dcvs = <&lmh_dcvs0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600103 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700104 next-level-cache = <&L2_100>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700105 sched-energy-costs = <&CPU_COST_0 &CLUSTER_COST_0>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700106 L2_100: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700107 compatible = "arm,arch-cache";
108 cache-size = <0x20000>;
109 cache-level = <2>;
110 next-level-cache = <&L3_0>;
111 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700112 L1_I_100: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800113 compatible = "arm,arch-cache";
Channagoud Kadabi6077a782017-10-05 12:13:29 -0700114 qcom,dump-size = <0x12000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800115 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700116 L1_D_100: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800117 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700118 qcom,dump-size = <0xa000>;
119 };
120 L1_TLB_100: l1-tlb {
121 qcom,dump-size = <0x3000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800122 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700123 };
124
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700125 CPU2: cpu@200 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700126 device_type = "cpu";
127 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700128 reg = <0x0 0x200>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800129 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700130 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700131 cache-size = <0x8000>;
132 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600133 qcom,lmh-dcvs = <&lmh_dcvs0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600134 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700135 next-level-cache = <&L2_200>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700136 sched-energy-costs = <&CPU_COST_0 &CLUSTER_COST_0>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700137 L2_200: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700138 compatible = "arm,arch-cache";
139 cache-size = <0x20000>;
140 cache-level = <2>;
141 next-level-cache = <&L3_0>;
142 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700143 L1_I_200: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800144 compatible = "arm,arch-cache";
Channagoud Kadabi6077a782017-10-05 12:13:29 -0700145 qcom,dump-size = <0x12000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800146 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700147 L1_D_200: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800148 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700149 qcom,dump-size = <0xa000>;
150 };
151 L1_TLB_200: l1-tlb {
152 qcom,dump-size = <0x3000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800153 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700154 };
155
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700156 CPU3: cpu@300 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700157 device_type = "cpu";
158 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700159 reg = <0x0 0x300>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800160 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700161 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700162 cache-size = <0x8000>;
163 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600164 qcom,lmh-dcvs = <&lmh_dcvs0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600165 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700166 next-level-cache = <&L2_300>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700167 sched-energy-costs = <&CPU_COST_0 &CLUSTER_COST_0>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700168 L2_300: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700169 compatible = "arm,arch-cache";
170 cache-size = <0x20000>;
171 cache-level = <2>;
172 next-level-cache = <&L3_0>;
173 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700174 L1_I_300: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800175 compatible = "arm,arch-cache";
Channagoud Kadabi6077a782017-10-05 12:13:29 -0700176 qcom,dump-size = <0x12000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800177 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700178 L1_D_300: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800179 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700180 qcom,dump-size = <0xa000>;
181 };
182 L1_TLB_300: l1-tlb {
183 qcom,dump-size = <0x3000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800184 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700185 };
186
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700187 CPU4: cpu@400 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700188 device_type = "cpu";
189 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700190 reg = <0x0 0x400>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800191 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700192 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700193 cache-size = <0x20000>;
194 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600195 qcom,lmh-dcvs = <&lmh_dcvs1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600196 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700197 next-level-cache = <&L2_400>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700198 sched-energy-costs = <&CPU_COST_1 &CLUSTER_COST_1>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700199 L2_400: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700200 compatible = "arm,arch-cache";
201 cache-size = <0x40000>;
202 cache-level = <2>;
203 next-level-cache = <&L3_0>;
204 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700205 L1_I_400: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800206 compatible = "arm,arch-cache";
Channagoud Kadabi6077a782017-10-05 12:13:29 -0700207 qcom,dump-size = <0x24000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800208 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700209 L1_D_400: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800210 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700211 qcom,dump-size = <0x14000>;
212 };
213 L1_TLB_400: l1-tlb {
Channagoud Kadabiac64fd22017-10-09 16:10:08 -0700214 qcom,dump-size = <0x3c00>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800215 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700216 };
217
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700218 CPU5: cpu@500 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700219 device_type = "cpu";
220 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700221 reg = <0x0 0x500>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800222 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700223 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700224 cache-size = <0x20000>;
225 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600226 qcom,lmh-dcvs = <&lmh_dcvs1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600227 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700228 next-level-cache = <&L2_500>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700229 sched-energy-costs = <&CPU_COST_1 &CLUSTER_COST_1>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700230 L2_500: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700231 compatible = "arm,arch-cache";
232 cache-size = <0x40000>;
233 cache-level = <2>;
234 next-level-cache = <&L3_0>;
235 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700236 L1_I_500: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800237 compatible = "arm,arch-cache";
Channagoud Kadabi6077a782017-10-05 12:13:29 -0700238 qcom,dump-size = <0x24000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800239 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700240 L1_D_500: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800241 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700242 qcom,dump-size = <0x14000>;
243 };
244 L1_TLB_500: l1-tlb {
Channagoud Kadabiac64fd22017-10-09 16:10:08 -0700245 qcom,dump-size = <0x3c00>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800246 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700247 };
248
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700249 CPU6: cpu@600 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700250 device_type = "cpu";
251 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700252 reg = <0x0 0x600>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800253 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700254 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700255 cache-size = <0x20000>;
256 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600257 qcom,lmh-dcvs = <&lmh_dcvs1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600258 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700259 next-level-cache = <&L2_600>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700260 sched-energy-costs = <&CPU_COST_1 &CLUSTER_COST_1>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700261 L2_600: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700262 compatible = "arm,arch-cache";
263 cache-size = <0x40000>;
264 cache-level = <2>;
265 next-level-cache = <&L3_0>;
266 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700267 L1_I_600: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800268 compatible = "arm,arch-cache";
Channagoud Kadabi6077a782017-10-05 12:13:29 -0700269 qcom,dump-size = <0x24000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800270 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700271 L1_D_600: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800272 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700273 qcom,dump-size = <0x14000>;
274 };
275 L1_TLB_600: l1-tlb {
Channagoud Kadabiac64fd22017-10-09 16:10:08 -0700276 qcom,dump-size = <0x3c00>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800277 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700278 };
279
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700280 CPU7: cpu@700 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700281 device_type = "cpu";
282 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700283 reg = <0x0 0x700>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800284 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700285 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700286 cache-size = <0x20000>;
287 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600288 qcom,lmh-dcvs = <&lmh_dcvs1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600289 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700290 next-level-cache = <&L2_700>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700291 sched-energy-costs = <&CPU_COST_1 &CLUSTER_COST_1>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700292 L2_700: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700293 compatible = "arm,arch-cache";
294 cache-size = <0x40000>;
295 cache-level = <2>;
296 next-level-cache = <&L3_0>;
297 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700298 L1_I_700: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800299 compatible = "arm,arch-cache";
Channagoud Kadabi6077a782017-10-05 12:13:29 -0700300 qcom,dump-size = <0x24000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800301 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700302 L1_D_700: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800303 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700304 qcom,dump-size = <0x14000>;
305 };
306 L1_TLB_700: l1-tlb {
Channagoud Kadabiac64fd22017-10-09 16:10:08 -0700307 qcom,dump-size = <0x3c00>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800308 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700309 };
310
311 cpu-map {
312 cluster0 {
313 core0 {
314 cpu = <&CPU0>;
315 };
316
317 core1 {
318 cpu = <&CPU1>;
319 };
320
321 core2 {
322 cpu = <&CPU2>;
323 };
324
325 core3 {
326 cpu = <&CPU3>;
327 };
328 };
329
330 cluster1 {
331 core0 {
332 cpu = <&CPU4>;
333 };
334
335 core1 {
336 cpu = <&CPU5>;
337 };
338
339 core2 {
340 cpu = <&CPU6>;
341 };
342
343 core3 {
344 cpu = <&CPU7>;
345 };
346 };
347 };
348 };
349
Joonwoo Parkf3f7dac2017-08-17 16:02:29 -0700350 energy_costs: energy-costs {
Joonwoo Park32850e82017-06-12 16:01:57 -0700351 compatible = "sched-energy";
352
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700353 CPU_COST_0: core-cost0 {
354 busy-cost-data = <
Joonwoo Park32850e82017-06-12 16:01:57 -0700355 300000 31
356 422400 38
357 499200 42
358 576000 46
359 652800 51
360 748800 58
361 825600 64
362 902400 70
363 979200 76
364 1056000 83
365 1132800 90
366 1209600 97
367 1286400 105
368 1363200 114
369 1440000 124
370 1516800 136
371 1593600 152
372 1651200 167 /* speedbin 0,1 */
373 1670400 173 /* speedbin 2 */
374 1708800 186 /* speedbin 0,1 */
375 1747200 201 /* speedbin 2 */
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700376 >;
377 idle-cost-data = <
378 22 18 14 12
379 >;
380 };
381 CPU_COST_1: core-cost1 {
382 busy-cost-data = <
Joonwoo Park32850e82017-06-12 16:01:57 -0700383 300000 258
384 422400 260
385 499200 261
386 576000 263
387 652800 267
388 729600 272
389 806400 280
390 883200 291
391 960000 305
392 1036800 324
393 1113600 348
394 1190400 378
395 1267200 415
396 1344000 460
397 1420800 513
398 1497600 576
399 1574400 649
400 1651200 732
401 1728000 824
402 1804800 923
403 1881600 1027
404 1958400 1131
405 2035000 1228 /* speedbin 1,2 */
406 2092000 1290 /* speedbin 1 */
407 2112000 1308 /* speedbin 2 */
408 2208000 1363 /* speedbin 2 */
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700409 >;
410 idle-cost-data = <
Joonwoo Parka5bb67e2017-05-15 15:48:25 -0700411 100 80 60 40
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700412 >;
413 };
414 CLUSTER_COST_0: cluster-cost0 {
415 busy-cost-data = <
Joonwoo Park32850e82017-06-12 16:01:57 -0700416 300000 3
417 422400 4
418 499200 4
419 576000 4
420 652800 5
421 748800 5
422 825600 6
423 902400 7
424 979200 7
425 1056000 8
426 1132800 9
427 1209600 9
428 1286400 10
429 1363200 11
430 1440000 12
431 1516800 13
432 1593600 15
433 1651200 17 /* speedbin 0,1 */
434 1670400 19 /* speedbin 2 */
435 1708800 21 /* speedbin 0,1 */
436 1747200 23 /* speedbin 2 */
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700437 >;
438 idle-cost-data = <
439 4 3 2 1
440 >;
441 };
442 CLUSTER_COST_1: cluster-cost1 {
443 busy-cost-data = <
Joonwoo Park32850e82017-06-12 16:01:57 -0700444 300000 24
445 422400 24
446 499200 25
447 576000 25
448 652800 26
449 729600 27
450 806400 28
451 883200 29
452 960000 30
453 1036800 32
454 1113600 34
455 1190400 37
456 1267200 40
457 1344000 45
458 1420800 50
459 1497600 57
460 1574400 64
461 1651200 74
462 1728000 84
463 1804800 96
464 1881600 106
465 1958400 113
466 2035000 120 /* speedbin 1,2 */
467 2092000 125 /* speedbin 1 */
468 2112000 127 /* speedbin 2 */
469 2208000 130 /* speedbin 2 */
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700470 >;
471 idle-cost-data = <
472 4 3 2 1
473 >;
474 };
475 }; /* energy-costs */
476
Trilok Soni39f76f22016-12-15 14:56:26 -0800477 psci {
478 compatible = "arm,psci-1.0";
479 method = "smc";
480 };
481
Channagoud Kadabiffbc5f12017-07-06 17:09:43 -0700482 chosen {
483 bootargs = "rcupdate.rcu_expedited=1";
484 };
485
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700486 soc: soc { };
Patrick Dalyff211c82016-07-19 20:26:40 -0700487
Puja Gupta0f42ee32017-05-03 15:32:31 -0700488 vendor: vendor {
489 #address-cells = <1>;
490 #size-cells = <1>;
491 ranges = <0 0 0 0xffffffff>;
492 compatible = "simple-bus";
493 };
494
Puja Guptacce5d0b2017-05-05 14:22:25 -0700495 firmware: firmware {
496 android {
497 compatible = "android,firmware";
Puja Gupta30684862017-06-08 16:17:00 -0700498 vbmeta {
499 compatible = "android,vbmeta";
500 parts = "vbmeta,boot,system,vendor,dtbo";
501 };
502
Puja Guptacce5d0b2017-05-05 14:22:25 -0700503 fstab {
504 compatible = "android,fstab";
505 vendor {
506 compatible = "android,vendor";
507 dev = "/dev/block/platform/soc/1d84000.ufshc/by-name/vendor";
508 type = "ext4";
509 mnt_flags = "ro,barrier=1,discard";
Puja Gupta30684862017-06-08 16:17:00 -0700510 fsmgr_flags = "wait,slotselect,avb";
Puja Guptacce5d0b2017-05-05 14:22:25 -0700511 };
512 };
513 };
514 };
515
Patrick Dalyff211c82016-07-19 20:26:40 -0700516 reserved-memory {
517 #address-cells = <2>;
518 #size-cells = <2>;
519 ranges;
520
Patrick Daly04471a62017-06-30 14:26:00 -0700521 hyp_region: hyp_region@85700000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700522 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700523 reg = <0 0x85700000 0 0x600000>;
Patrick Daly2ff257e2017-06-06 16:28:50 -0700524 };
525
Patrick Daly04471a62017-06-30 14:26:00 -0700526 xbl_region: xbl_region@85e00000 {
527 no-map;
528 reg = <0 0x85e00000 0 0x100000>;
529 };
530
531 removed_region: removed_region@85fc0000 {
Patrick Daly2ff257e2017-06-06 16:28:50 -0700532 no-map;
533 reg = <0 0x85fc0000 0 0x2f40000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700534 };
535
Patrick Daly61495942017-12-07 12:28:11 -0800536 qseecom_mem: qseecom_region@0x8ab00000 {
Patrick Daly04471a62017-06-30 14:26:00 -0700537 compatible = "shared-dma-pool";
Patrick Daly61495942017-12-07 12:28:11 -0800538 no-map;
539 reg = <0 0x8ab00000 0 0x1400000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700540 };
541
Patrick Daly61495942017-12-07 12:28:11 -0800542 pil_camera_mem: camera_region@0x8bf00000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700543 compatible = "removed-dma-pool";
544 no-map;
Patrick Daly61495942017-12-07 12:28:11 -0800545 reg = <0 0x8bf00000 0 0x500000>;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700546 };
547
Patrick Daly61495942017-12-07 12:28:11 -0800548 pil_ipa_fw_mem: ips_fw_region@0x8c400000 {
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700549 compatible = "removed-dma-pool";
550 no-map;
Patrick Daly61495942017-12-07 12:28:11 -0800551 reg = <0 0x8c400000 0 0x10000>;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700552 };
553
Patrick Daly61495942017-12-07 12:28:11 -0800554 pil_ipa_gsi_mem: ipa_gsi_region@0x8c410000 {
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700555 compatible = "removed-dma-pool";
556 no-map;
Patrick Daly61495942017-12-07 12:28:11 -0800557 reg = <0 0x8c410000 0 0x5000>;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700558 };
559
Patrick Daly61495942017-12-07 12:28:11 -0800560 pil_gpu_mem: gpu_region@0x8c415000 {
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700561 compatible = "removed-dma-pool";
562 no-map;
Patrick Daly61495942017-12-07 12:28:11 -0800563 reg = <0 0x8c415000 0 0x2000>;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700564 };
565
Patrick Daly61495942017-12-07 12:28:11 -0800566 pil_adsp_mem: adsp_region@0x8c500000 {
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700567 compatible = "removed-dma-pool";
568 no-map;
Patrick Daly61495942017-12-07 12:28:11 -0800569 reg = <0 0x8c500000 0 0x1a00000>;
Patrick Daly04471a62017-06-30 14:26:00 -0700570 };
571
Patrick Daly61495942017-12-07 12:28:11 -0800572 wlan_fw_region: wlan_fw_region@0x8df00000 {
Patrick Daly04471a62017-06-30 14:26:00 -0700573 compatible = "removed-dma-pool";
574 no-map;
Patrick Daly61495942017-12-07 12:28:11 -0800575 reg = <0 0x8df00000 0 0x100000>;
576 };
577
578 pil_modem_mem: modem_region@0x8e000000 {
579 compatible = "removed-dma-pool";
580 no-map;
581 reg = <0 0x8e000000 0 0x7800000>;
582 };
583
584 pil_video_mem: video_region@0x95800000 {
585 compatible = "removed-dma-pool";
586 no-map;
587 reg = <0 0x95800000 0 0x500000>;
588 };
589
590 pil_cdsp_mem: cdsp_region@0x95d00000 {
591 compatible = "removed-dma-pool";
592 no-map;
593 reg = <0 0x95d00000 0 0x800000>;
594 };
595
596 pil_mba_mem: mba_region@0x96500000 {
597 compatible = "removed-dma-pool";
598 no-map;
599 reg = <0 0x96500000 0 0x200000>;
600 };
601
602 pil_slpi_mem: slpi_region@0x96700000 {
603 compatible = "removed-dma-pool";
604 no-map;
605 reg = <0 0x96700000 0 0x1400000>;
606 };
607
608 pil_spss_mem: pil_spss_region@0x97b00000 {
609 compatible = "removed-dma-pool";
610 no-map;
611 reg = <0 0x97b00000 0 0x100000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700612 };
613
614 adsp_mem: adsp_region {
615 compatible = "shared-dma-pool";
616 alloc-ranges = <0 0x00000000 0 0xffffffff>;
617 reusable;
618 alignment = <0 0x400000>;
c_mtharud8dde202017-11-10 09:23:19 +0530619 size = <0 0x1000000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700620 };
621
Patrick Dalyc5fff412017-12-06 15:38:32 -0800622 qseecom_ta_mem: qseecom_ta_region {
623 compatible = "shared-dma-pool";
624 alloc-ranges = <0 0x00000000 0 0xffffffff>;
625 reusable;
626 alignment = <0 0x400000>;
627 size = <0 0x1000000>;
628 };
629
Sudarshan Rajagopalanc3e15fc2017-05-17 18:34:42 -0700630 secure_sp_mem: secure_sp_region { /* SPSS-HLOS ION shared mem */
Patrick Dalyff211c82016-07-19 20:26:40 -0700631 compatible = "shared-dma-pool";
632 alloc-ranges = <0 0x00000000 0 0xffffffff>; /* 32-bit */
633 reusable;
634 alignment = <0 0x400000>;
635 size = <0 0x800000>;
636 };
637
Shashank Babu Chinta Venkatae19344a2017-05-15 14:01:15 -0700638 cont_splash_memory: cont_splash_region@9d400000 {
639 reg = <0x0 0x9d400000 0x0 0x02400000>;
640 label = "cont_splash_region";
641 };
642
Patrick Dalyff211c82016-07-19 20:26:40 -0700643 secure_display_memory: secure_display_region {
644 compatible = "shared-dma-pool";
645 alloc-ranges = <0 0x00000000 0 0xffffffff>;
646 reusable;
647 alignment = <0 0x400000>;
648 size = <0 0x5c00000>;
649 };
650
Satyajit Desai89c4e2e2017-05-11 19:34:47 -0700651 dump_mem: mem_dump_region {
652 compatible = "shared-dma-pool";
653 reusable;
654 size = <0 0x2400000>;
655 };
656
Patrick Dalyff211c82016-07-19 20:26:40 -0700657 /* global autoconfigured region for contiguous allocations */
658 linux,cma {
659 compatible = "shared-dma-pool";
660 alloc-ranges = <0 0x00000000 0 0xffffffff>;
661 reusable;
662 alignment = <0 0x400000>;
663 size = <0 0x2000000>;
664 linux,cma-default;
665 };
666 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700667};
668
Kyle Yan6a20fae2017-02-14 13:34:41 -0800669#include "msm-gdsc-sdm845.dtsi"
Shashank Babu Chinta Venkata46bb3b52017-04-05 12:14:18 -0700670#include "sdm845-sde-pll.dtsi"
tharun kumar7eca0bb2017-06-28 16:49:18 +0530671#include "msm-rdbg.dtsi"
Kyle Yan6a20fae2017-02-14 13:34:41 -0800672#include "sdm845-sde.dtsi"
Girish Mahadevan2e2fbe72017-03-28 13:28:18 -0600673#include "sdm845-qupv3.dtsi"
Deepak Katragadda7b16dba2016-08-05 18:06:30 -0700674
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700675&soc {
676 #address-cells = <1>;
677 #size-cells = <1>;
678 ranges = <0 0 0 0xffffffff>;
679 compatible = "simple-bus";
680
Satyajit Desai22f91102017-09-06 16:35:19 -0700681 jtag_mm0: jtagmm@7040000 {
682 compatible = "qcom,jtagv8-mm";
683 reg = <0x7040000 0x1000>;
684 reg-names = "etm-base";
685
686 clocks = <&clock_aop QDSS_CLK>;
687 clock-names = "core_clk";
688
689 qcom,coresight-jtagmm-cpu = <&CPU0>;
690 };
691
692 jtag_mm1: jtagmm@7140000 {
693 compatible = "qcom,jtagv8-mm";
694 reg = <0x7140000 0x1000>;
695 reg-names = "etm-base";
696
697 clocks = <&clock_aop QDSS_CLK>;
698 clock-names = "core_clk";
699
700 qcom,coresight-jtagmm-cpu = <&CPU1>;
701 };
702
703 jtag_mm2: jtagmm@7240000 {
704 compatible = "qcom,jtagv8-mm";
705 reg = <0x7240000 0x1000>;
706 reg-names = "etm-base";
707
708 clocks = <&clock_aop QDSS_CLK>;
709 clock-names = "core_clk";
710
711 qcom,coresight-jtagmm-cpu = <&CPU2>;
712 };
713
714 jtag_mm3: jtagmm@7340000 {
715 compatible = "qcom,jtagv8-mm";
716 reg = <0x7340000 0x1000>;
717 reg-names = "etm-base";
718
719 clocks = <&clock_aop QDSS_CLK>;
720 clock-names = "core_clk";
721
722 qcom,coresight-jtagmm-cpu = <&CPU3>;
723 };
724
725 jtag_mm4: jtagmm@7440000 {
726 compatible = "qcom,jtagv8-mm";
727 reg = <0x7440000 0x1000>;
728 reg-names = "etm-base";
729
730 clocks = <&clock_aop QDSS_CLK>;
731 clock-names = "core_clk";
732
733 qcom,coresight-jtagmm-cpu = <&CPU4>;
734 };
735
736 jtag_mm5: jtagmm@7540000 {
737 compatible = "qcom,jtagv8-mm";
738 reg = <0x7540000 0x1000>;
739 reg-names = "etm-base";
740
741 clocks = <&clock_aop QDSS_CLK>;
742 clock-names = "core_clk";
743
744 qcom,coresight-jtagmm-cpu = <&CPU5>;
745 };
746
747 jtag_mm6: jtagmm@7640000 {
748 compatible = "qcom,jtagv8-mm";
749 reg = <0x7640000 0x1000>;
750 reg-names = "etm-base";
751
752 clocks = <&clock_aop QDSS_CLK>;
753 clock-names = "core_clk";
754
755 qcom,coresight-jtagmm-cpu = <&CPU6>;
756 };
757
758 jtag_mm7: jtagmm@7740000 {
759 compatible = "qcom,jtagv8-mm";
760 reg = <0x7740000 0x1000>;
761 reg-names = "etm-base";
762
763 clocks = <&clock_aop QDSS_CLK>;
764 clock-names = "core_clk";
765
766 qcom,coresight-jtagmm-cpu = <&CPU7>;
767 };
768
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700769 intc: interrupt-controller@17a00000 {
770 compatible = "arm,gic-v3";
771 #interrupt-cells = <3>;
772 interrupt-controller;
773 #redistributor-regions = <1>;
774 redistributor-stride = <0x0 0x20000>;
775 reg = <0x17a00000 0x10000>, /* GICD */
Kyle Yanc59b3552016-09-29 16:25:03 -0700776 <0x17a60000 0x100000>; /* GICR * 8 */
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700777 interrupts = <1 9 4>;
Archana Sathyakumar00a36ab2017-03-03 14:38:26 -0700778 interrupt-parent = <&intc>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700779 };
780
Raghavendra Kakarla04f032162017-12-08 19:11:54 +0530781 pdc: interrupt-controller@b220000{
782 compatible = "qcom,pdc-sdm845";
783 reg = <0xb220000 0x400>;
784 #interrupt-cells = <3>;
785 interrupt-parent = <&intc>;
786 interrupt-controller;
787 };
788
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700789 timer {
790 compatible = "arm,armv8-timer";
791 interrupts = <1 1 0xf08>,
792 <1 2 0xf08>,
793 <1 3 0xf08>,
794 <1 0 0xf08>;
795 clock-frequency = <19200000>;
796 };
797
798 timer@0x17C90000{
799 #address-cells = <1>;
800 #size-cells = <1>;
801 ranges;
802 compatible = "arm,armv7-timer-mem";
803 reg = <0x17C90000 0x1000>;
804 clock-frequency = <19200000>;
805
806 frame@0x17CA0000 {
807 frame-number = <0>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800808 interrupts = <0 7 0x4>,
809 <0 6 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700810 reg = <0x17CA0000 0x1000>,
811 <0x17CB0000 0x1000>;
812 };
813
814 frame@17cc0000 {
815 frame-number = <1>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800816 interrupts = <0 8 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700817 reg = <0x17cc0000 0x1000>;
818 status = "disabled";
819 };
820
821 frame@17cd0000 {
822 frame-number = <2>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800823 interrupts = <0 9 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700824 reg = <0x17cd0000 0x1000>;
825 status = "disabled";
826 };
827
828 frame@17ce0000 {
829 frame-number = <3>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800830 interrupts = <0 10 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700831 reg = <0x17ce0000 0x1000>;
832 status = "disabled";
833 };
834
835 frame@17cf0000 {
836 frame-number = <4>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800837 interrupts = <0 11 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700838 reg = <0x17cf0000 0x1000>;
839 status = "disabled";
840 };
841
842 frame@17d00000 {
843 frame-number = <5>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800844 interrupts = <0 12 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700845 reg = <0x17d00000 0x1000>;
846 status = "disabled";
847 };
848
849 frame@17d10000 {
850 frame-number = <6>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800851 interrupts = <0 13 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700852 reg = <0x17d10000 0x1000>;
853 status = "disabled";
854 };
855 };
Deepak Katragaddacfb593f2016-07-15 12:30:37 -0700856
Kyle Yana795b9d2017-02-14 16:16:13 -0800857 restart@10ac000 {
858 compatible = "qcom,pshold";
859 reg = <0xC264000 0x4>,
860 <0x1fd3000 0x4>;
861 reg-names = "pshold-base", "tcsr-boot-misc-detect";
862 };
863
Mahesh Sivasubramanian4782ca62017-06-15 14:59:31 -0600864 aop-msg-client {
865 compatible = "qcom,debugfs-qmp-client";
866 mboxes = <&qmp_aop 0>;
867 mbox-names = "aop";
868 };
869
David Collinsef3dd9c2017-01-12 14:14:23 -0800870 spmi_bus: qcom,spmi@c440000 {
871 compatible = "qcom,spmi-pmic-arb";
872 reg = <0xc440000 0x1100>,
873 <0xc600000 0x2000000>,
874 <0xe600000 0x100000>,
875 <0xe700000 0xa0000>,
876 <0xc40a000 0x26000>;
877 reg-names = "core", "chnls", "obsrvr", "intr", "cnfg";
878 interrupt-names = "periph_irq";
879 interrupts = <GIC_SPI 481 IRQ_TYPE_NONE>;
880 qcom,ee = <0>;
881 qcom,channel = <0>;
882 #address-cells = <2>;
883 #size-cells = <0>;
884 interrupt-controller;
885 #interrupt-cells = <4>;
886 cell-index = <0>;
David Collins4938fce2017-09-28 17:41:31 -0700887 qcom,enable-ahb-bus-workaround;
David Collinsef3dd9c2017-01-12 14:14:23 -0800888 };
889
David Collins86dc5b52017-04-11 14:29:36 -0700890 spmi_debug_bus: qcom,spmi-debug@6b22000 {
891 compatible = "qcom,spmi-pmic-arb-debug";
892 reg = <0x6b22000 0x60>, <0x7820A8 4>;
893 reg-names = "core", "fuse";
David Collins42936de2017-06-08 14:52:43 -0700894 clocks = <&clock_aop QDSS_CLK>;
895 clock-names = "core_clk";
David Collins86dc5b52017-04-11 14:29:36 -0700896 qcom,fuse-disable-bit = <12>;
897 #address-cells = <2>;
898 #size-cells = <0>;
899
900 qcom,pm8998-debug@0 {
901 compatible = "qcom,spmi-pmic";
902 reg = <0x0 SPMI_USID>;
903 #address-cells = <2>;
904 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700905 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700906 };
907
908 qcom,pm8998-debug@1 {
909 compatible = "qcom,spmi-pmic";
910 reg = <0x1 SPMI_USID>;
911 #address-cells = <2>;
912 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700913 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700914 };
915
916 qcom,pmi8998-debug@2 {
917 compatible = "qcom,spmi-pmic";
918 reg = <0x2 SPMI_USID>;
919 #address-cells = <2>;
920 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700921 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700922 };
923
924 qcom,pmi8998-debug@3 {
925 compatible = "qcom,spmi-pmic";
926 reg = <0x3 SPMI_USID>;
927 #address-cells = <2>;
928 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700929 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700930 };
931
932 qcom,pm8005-debug@4 {
933 compatible = "qcom,spmi-pmic";
934 reg = <0x4 SPMI_USID>;
935 #address-cells = <2>;
936 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700937 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700938 };
939
940 qcom,pm8005-debug@5 {
941 compatible = "qcom,spmi-pmic";
942 reg = <0x5 SPMI_USID>;
943 #address-cells = <2>;
944 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700945 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700946 };
947 };
948
Rohit Gupta64b7e652017-03-01 10:47:52 -0800949 cpubw: qcom,cpubw {
950 compatible = "qcom,devbw";
951 governor = "performance";
Stephen Boyd567b1fc2017-06-06 17:47:12 -0700952 qcom,src-dst-ports =
953 <MSM_BUS_MASTER_AMPSS_M0 MSM_BUS_SLAVE_LLCC>;
Rohit Gupta64b7e652017-03-01 10:47:52 -0800954 qcom,active-only;
955 qcom,bw-tbl =
Stephen Boydc4ea8372017-06-06 18:45:15 -0700956 < MHZ_TO_MBPS(150, 16) >, /* 2288 MB/s */
957 < MHZ_TO_MBPS(300, 16) >, /* 4577 MB/s */
958 < MHZ_TO_MBPS(426, 16) >, /* 6500 MB/s */
959 < MHZ_TO_MBPS(533, 16) >, /* 8132 MB/s */
960 < MHZ_TO_MBPS(600, 16) >, /* 9155 MB/s */
961 < MHZ_TO_MBPS(700, 16) >; /* 10681 MB/s */
Rohit Gupta64b7e652017-03-01 10:47:52 -0800962 };
963
964 bwmon: qcom,cpu-bwmon {
965 compatible = "qcom,bimc-bwmon4";
966 reg = <0x1436400 0x300>, <0x1436300 0x200>;
967 reg-names = "base", "global_base";
968 interrupts = <0 581 4>;
969 qcom,mport = <0>;
970 qcom,hw-timer-hz = <19200000>;
971 qcom,target-dev = <&cpubw>;
972 };
973
Stephen Boydb1adf312017-04-03 16:02:12 -0700974 llccbw: qcom,llccbw {
975 compatible = "qcom,devbw";
Jonathan Avila81b63f02017-09-27 13:21:19 -0700976 governor = "performance";
Stephen Boydb1adf312017-04-03 16:02:12 -0700977 qcom,src-dst-ports =
Stephen Boyd567b1fc2017-06-06 17:47:12 -0700978 <MSM_BUS_MASTER_LLCC MSM_BUS_SLAVE_EBI_CH0>;
Stephen Boydb1adf312017-04-03 16:02:12 -0700979 qcom,active-only;
980 qcom,bw-tbl =
Stephen Boydc4ea8372017-06-06 18:45:15 -0700981 < MHZ_TO_MBPS( 200, 4) >, /* 762 MB/s */
982 < MHZ_TO_MBPS( 300, 4) >, /* 1144 MB/s */
983 < MHZ_TO_MBPS( 451, 4) >, /* 1720 MB/s */
984 < MHZ_TO_MBPS( 547, 4) >, /* 2086 MB/s */
985 < MHZ_TO_MBPS( 681, 4) >, /* 2597 MB/s */
986 < MHZ_TO_MBPS( 768, 4) >, /* 2929 MB/s */
987 < MHZ_TO_MBPS(1017, 4) >, /* 3879 MB/s */
988 < MHZ_TO_MBPS(1296, 4) >, /* 4943 MB/s */
989 < MHZ_TO_MBPS(1555, 4) >, /* 5931 MB/s */
990 < MHZ_TO_MBPS(1804, 4) >; /* 6881 MB/s */
Stephen Boydb1adf312017-04-03 16:02:12 -0700991 };
992
993 llcc_bwmon: qcom,llcc-bwmon {
994 compatible = "qcom,bimc-bwmon5";
995 reg = <0x0114A000 0x1000>;
996 reg-names = "base";
997 interrupts = <GIC_SPI 580 IRQ_TYPE_LEVEL_HIGH>;
998 qcom,hw-timer-hz = <19200000>;
999 qcom,target-dev = <&llccbw>;
1000 qcom,count-unit = <0x400000>;
1001 qcom,byte-mid-mask = <0xe000>;
1002 qcom,byte-mid-match = <0xe000>;
1003 };
1004
Rohit Gupta44171c72017-03-06 14:07:50 -08001005 memlat_cpu0: qcom,memlat-cpu0 {
1006 compatible = "qcom,devbw";
1007 governor = "powersave";
1008 qcom,src-dst-ports = <1 512>;
1009 qcom,active-only;
1010 qcom,bw-tbl =
Stephen Boydc4ea8372017-06-06 18:45:15 -07001011 < MHZ_TO_MBPS( 200, 4) >, /* 762 MB/s */
1012 < MHZ_TO_MBPS( 300, 4) >, /* 1144 MB/s */
1013 < MHZ_TO_MBPS( 451, 4) >, /* 1720 MB/s */
1014 < MHZ_TO_MBPS( 547, 4) >, /* 2086 MB/s */
1015 < MHZ_TO_MBPS( 681, 4) >, /* 2597 MB/s */
1016 < MHZ_TO_MBPS( 768, 4) >, /* 2929 MB/s */
1017 < MHZ_TO_MBPS(1017, 4) >, /* 3879 MB/s */
1018 < MHZ_TO_MBPS(1296, 4) >, /* 4943 MB/s */
1019 < MHZ_TO_MBPS(1555, 4) >, /* 5931 MB/s */
1020 < MHZ_TO_MBPS(1804, 4) >; /* 6881 MB/s */
Rohit Gupta44171c72017-03-06 14:07:50 -08001021 };
1022
1023 memlat_cpu4: qcom,memlat-cpu4 {
1024 compatible = "qcom,devbw";
1025 governor = "powersave";
1026 qcom,src-dst-ports = <1 512>;
1027 qcom,active-only;
1028 status = "ok";
1029 qcom,bw-tbl =
Stephen Boydc4ea8372017-06-06 18:45:15 -07001030 < MHZ_TO_MBPS( 200, 4) >, /* 762 MB/s */
1031 < MHZ_TO_MBPS( 300, 4) >, /* 1144 MB/s */
1032 < MHZ_TO_MBPS( 451, 4) >, /* 1720 MB/s */
1033 < MHZ_TO_MBPS( 547, 4) >, /* 2086 MB/s */
1034 < MHZ_TO_MBPS( 681, 4) >, /* 2597 MB/s */
1035 < MHZ_TO_MBPS( 768, 4) >, /* 2929 MB/s */
1036 < MHZ_TO_MBPS(1017, 4) >, /* 3879 MB/s */
1037 < MHZ_TO_MBPS(1296, 4) >, /* 4943 MB/s */
1038 < MHZ_TO_MBPS(1555, 4) >, /* 5931 MB/s */
1039 < MHZ_TO_MBPS(1804, 4) >; /* 6881 MB/s */
Rohit Gupta44171c72017-03-06 14:07:50 -08001040 };
1041
David Daicbf740d2017-04-05 17:13:54 -07001042 snoc_cnoc_keepalive: qcom,snoc_cnoc_keepalive {
1043 compatible = "qcom,devbw";
1044 governor = "powersave";
1045 qcom,src-dst-ports = <139 627>;
1046 qcom,active-only;
1047 status = "ok";
1048 qcom,bw-tbl =
1049 < 1 >;
1050 };
1051
Rohit Gupta44171c72017-03-06 14:07:50 -08001052 devfreq_memlat_0: qcom,cpu0-memlat-mon {
1053 compatible = "qcom,arm-memlat-mon";
1054 qcom,cpulist = <&CPU0 &CPU1 &CPU2 &CPU3>;
1055 qcom,target-dev = <&memlat_cpu0>;
1056 qcom,cachemiss-ev = <0x2A>;
1057 qcom,core-dev-table =
Stephen Boydc4ea8372017-06-06 18:45:15 -07001058 < 300000 MHZ_TO_MBPS( 200, 4) >,
1059 < 748800 MHZ_TO_MBPS( 451, 4) >,
1060 < 1132800 MHZ_TO_MBPS( 547, 4) >,
1061 < 1440000 MHZ_TO_MBPS( 768, 4) >,
1062 < 1593600 MHZ_TO_MBPS(1017, 4) >;
Rohit Gupta44171c72017-03-06 14:07:50 -08001063 };
1064
1065 devfreq_memlat_4: qcom,cpu4-memlat-mon {
1066 compatible = "qcom,arm-memlat-mon";
1067 qcom,cpulist = <&CPU4 &CPU5 &CPU6 &CPU7>;
1068 qcom,target-dev = <&memlat_cpu4>;
1069 qcom,cachemiss-ev = <0x2A>;
1070 qcom,core-dev-table =
Stephen Boydc4ea8372017-06-06 18:45:15 -07001071 < 300000 MHZ_TO_MBPS( 200, 4) >,
1072 < 499200 MHZ_TO_MBPS( 451, 4) >,
1073 < 806400 MHZ_TO_MBPS( 547, 4) >,
1074 < 1036800 MHZ_TO_MBPS( 768, 4) >,
1075 < 1190400 MHZ_TO_MBPS(1017, 4) >,
1076 < 1574400 MHZ_TO_MBPS(1296, 4) >,
1077 < 1728000 MHZ_TO_MBPS(1555, 4) >,
1078 < 1958400 MHZ_TO_MBPS(1804, 4) >;
Rohit Gupta44171c72017-03-06 14:07:50 -08001079 };
1080
1081 l3_cpu0: qcom,l3-cpu0 {
1082 compatible = "devfreq-simple-dev";
1083 clock-names = "devfreq_clk";
1084 clocks = <&clock_cpucc L3_CLUSTER0_VOTE_CLK>;
1085 governor = "performance";
Rohit Gupta44171c72017-03-06 14:07:50 -08001086 };
1087
1088 l3_cpu4: qcom,l3-cpu4 {
1089 compatible = "devfreq-simple-dev";
1090 clock-names = "devfreq_clk";
1091 clocks = <&clock_cpucc L3_CLUSTER1_VOTE_CLK>;
1092 governor = "performance";
Rohit Gupta44171c72017-03-06 14:07:50 -08001093 };
1094
1095 devfreq_l3lat_0: qcom,cpu0-l3lat-mon {
1096 compatible = "qcom,arm-memlat-mon";
1097 qcom,cpulist = <&CPU0 &CPU1 &CPU2 &CPU3>;
1098 qcom,target-dev = <&l3_cpu0>;
1099 qcom,cachemiss-ev = <0x17>;
1100 qcom,core-dev-table =
Rohit Gupta6cbadca2017-07-10 16:29:46 -07001101 < 300000 300000000 >,
1102 < 748800 576000000 >,
1103 < 979200 652800000 >,
1104 < 1209600 806400000 >,
1105 < 1516800 883200000 >,
1106 < 1593600 960000000 >,
Rohit Gupta53fdca02017-07-12 16:01:52 -07001107 < 1708800 1305600000 >;
Rohit Gupta44171c72017-03-06 14:07:50 -08001108 };
1109
1110 devfreq_l3lat_4: qcom,cpu4-l3lat-mon {
1111 compatible = "qcom,arm-memlat-mon";
1112 qcom,cpulist = <&CPU4 &CPU5 &CPU6 &CPU7>;
1113 qcom,target-dev = <&l3_cpu4>;
1114 qcom,cachemiss-ev = <0x17>;
1115 qcom,core-dev-table =
Rohit Gupta6cbadca2017-07-10 16:29:46 -07001116 < 300000 300000000 >,
1117 < 1036800 576000000 >,
1118 < 1190400 806400000 >,
1119 < 1574400 883200000 >,
1120 < 1804800 960000000 >,
Rohit Gupta53fdca02017-07-12 16:01:52 -07001121 < 1958400 1305600000 >;
Rohit Gupta44171c72017-03-06 14:07:50 -08001122 };
1123
Jonathan Avila2d49ac12017-10-17 15:00:15 -07001124 l3_cdsp: qcom,l3-cdsp {
1125 compatible = "devfreq-simple-dev";
1126 clock-names = "devfreq_clk";
1127 clocks = <&clock_cpucc L3_MISC_VOTE_CLK>;
1128 governor = "powersave";
1129 };
1130
Patrick Fay4b46f422017-04-05 10:09:49 -07001131 cpu_pmu: cpu-pmu {
1132 compatible = "arm,armv8-pmuv3";
1133 qcom,irq-is-percpu;
1134 interrupts = <1 5 4>;
1135 };
1136
Rohit Gupta3097ad72017-05-19 17:31:13 -07001137 mincpubw: qcom,mincpubw {
1138 compatible = "qcom,devbw";
1139 governor = "powersave";
1140 qcom,src-dst-ports = <1 512>;
1141 qcom,active-only;
1142 qcom,bw-tbl =
Stephen Boydc4ea8372017-06-06 18:45:15 -07001143 < MHZ_TO_MBPS( 200, 4) >, /* 762 MB/s */
1144 < MHZ_TO_MBPS( 300, 4) >, /* 1144 MB/s */
1145 < MHZ_TO_MBPS( 451, 4) >, /* 1720 MB/s */
1146 < MHZ_TO_MBPS( 547, 4) >, /* 2086 MB/s */
1147 < MHZ_TO_MBPS( 681, 4) >, /* 2597 MB/s */
1148 < MHZ_TO_MBPS( 768, 4) >, /* 2929 MB/s */
1149 < MHZ_TO_MBPS(1017, 4) >, /* 3879 MB/s */
1150 < MHZ_TO_MBPS(1296, 4) >, /* 4943 MB/s */
1151 < MHZ_TO_MBPS(1555, 4) >, /* 5931 MB/s */
1152 < MHZ_TO_MBPS(1804, 4) >; /* 6881 MB/s */
Rohit Gupta3097ad72017-05-19 17:31:13 -07001153 };
1154
Stephen Boyd31aac5f2017-09-01 09:16:06 -07001155 devfreq_cpufreq: devfreq-cpufreq {
Rohit Gupta3097ad72017-05-19 17:31:13 -07001156 mincpubw-cpufreq {
1157 target-dev = <&mincpubw>;
1158 cpu-to-dev-map-0 =
Stephen Boydc4ea8372017-06-06 18:45:15 -07001159 < 1708800 MHZ_TO_MBPS(200, 4) >;
Rohit Gupta3097ad72017-05-19 17:31:13 -07001160 cpu-to-dev-map-4 =
Stephen Boydc4ea8372017-06-06 18:45:15 -07001161 < 1881600 MHZ_TO_MBPS(200, 4) >,
1162 < 2208000 MHZ_TO_MBPS(681, 4) >;
Rohit Gupta3097ad72017-05-19 17:31:13 -07001163 };
1164 };
1165
Jonathan Avilac7a6fd52017-10-12 15:24:05 -07001166 devfreq_compute: qcom,devfreq-compute {
1167 compatible = "qcom,arm-cpu-mon";
1168 qcom,cpulist = <&CPU4 &CPU5 &CPU6 &CPU7>;
1169 qcom,target-dev = <&mincpubw>;
1170 qcom,core-dev-table =
1171 < 1881600 MHZ_TO_MBPS(200, 4) >,
1172 < 2208000 MHZ_TO_MBPS(681, 4) >;
1173 };
1174
Taniya Das9b421102017-05-05 13:59:58 +05301175 clock_rpmh: qcom,rpmhclk {
1176 compatible = "qcom,rpmh-clk-sdm845";
1177 #clock-cells = <1>;
1178 mboxes = <&apps_rsc 0>;
1179 mbox-names = "apps";
1180 };
1181
Deepak Katragaddaf8b9cc62016-11-02 15:17:15 -07001182 clock_gcc: qcom,gcc@100000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001183 compatible = "qcom,gcc-sdm845", "syscon";
Deepak Katragaddaf8b9cc62016-11-02 15:17:15 -07001184 reg = <0x100000 0x1f0000>;
1185 reg-names = "cc_base";
David Collins3a457942016-12-09 16:59:51 -08001186 vdd_cx-supply = <&pm8998_s9_level>;
1187 vdd_cx_ao-supply = <&pm8998_s9_level_ao>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001188 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001189 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001190 };
1191
Deepak Katragaddab09ab882016-11-09 17:47:29 -08001192 clock_videocc: qcom,videocc@ab00000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001193 compatible = "qcom,video_cc-sdm845", "syscon";
Deepak Katragaddab09ab882016-11-09 17:47:29 -08001194 reg = <0xab00000 0x10000>;
1195 reg-names = "cc_base";
David Collins3a457942016-12-09 16:59:51 -08001196 vdd_cx-supply = <&pm8998_s9_level>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001197 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001198 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001199 };
1200
Deepak Katragadda7f073cb2016-12-15 14:22:38 -08001201 clock_camcc: qcom,camcc@ad00000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001202 compatible = "qcom,cam_cc-sdm845", "syscon";
Deepak Katragadda7f073cb2016-12-15 14:22:38 -08001203 reg = <0xad00000 0x10000>;
1204 reg-names = "cc_base";
1205 vdd_cx-supply = <&pm8998_s9_level>;
1206 vdd_mx-supply = <&pm8998_s6_level>;
Pavan Kumar Chilamkurthi6bc57c12017-05-31 22:16:55 -07001207 qcom,cam_cc_csi0phytimer_clk_src-opp-handle = <&cam_csiphy0>;
1208 qcom,cam_cc_csi1phytimer_clk_src-opp-handle = <&cam_csiphy1>;
1209 qcom,cam_cc_csi2phytimer_clk_src-opp-handle = <&cam_csiphy2>;
1210 qcom,cam_cc_cci_clk_src-opp-handle = <&cam_cci>;
1211 qcom,cam_cc_ife_0_csid_clk_src-opp-handle = <&cam_csid0>;
1212 qcom,cam_cc_ife_0_clk_src-opp-handle = <&cam_vfe0>;
1213 qcom,cam_cc_ife_1_csid_clk_src-opp-handle = <&cam_csid1>;
1214 qcom,cam_cc_ife_1_clk_src-opp-handle = <&cam_vfe1>;
1215 qcom,cam_cc_ife_lite_csid_clk_src-opp-handle = <&cam_csid_lite>;
1216 qcom,cam_cc_ife_lite_clk_src-opp-handle = <&cam_vfe_lite>;
1217 qcom,cam_cc_icp_clk_src-opp-handle = <&cam_a5>;
1218 qcom,cam_cc_ipe_0_clk_src-opp-handle = <&cam_ipe0>;
1219 qcom,cam_cc_ipe_1_clk_src-opp-handle = <&cam_ipe1>;
1220 qcom,cam_cc_bps_clk_src-opp-handle = <&cam_bps>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001221 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001222 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001223 };
1224
Deepak Katragaddad738ee32016-12-16 14:29:48 -08001225 clock_dispcc: qcom,dispcc@af00000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001226 compatible = "qcom,dispcc-sdm845", "syscon";
Deepak Katragadda7c7730b2017-04-14 12:09:49 -07001227 reg = <0xaf00000 0x10000>;
Deepak Katragaddad738ee32016-12-16 14:29:48 -08001228 reg-names = "cc_base";
1229 vdd_cx-supply = <&pm8998_s9_level>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001230 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001231 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001232 };
1233
Vicky Wallace4dc00682017-02-22 19:04:40 -08001234 clock_gpucc: qcom,gpucc@5090000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001235 compatible = "qcom,gpucc-sdm845", "syscon";
Vicky Wallace4dc00682017-02-22 19:04:40 -08001236 reg = <0x5090000 0x9000>;
1237 reg-names = "cc_base";
1238 vdd_cx-supply = <&pm8998_s9_level>;
Vicky Wallace27bf50402017-08-24 19:38:36 -07001239 vdd_mx-supply = <&pm8998_s6_level>;
Vicky Wallace4af7a402017-04-04 19:29:42 -07001240 qcom,gpu_cc_gmu_clk_src-opp-handle = <&gmu>;
Vicky Wallace4dc00682017-02-22 19:04:40 -08001241 #clock-cells = <1>;
1242 #reset-cells = <1>;
1243 };
1244
1245 clock_gfx: qcom,gfxcc@5090000 {
1246 compatible = "qcom,gfxcc-sdm845";
1247 reg = <0x5090000 0x9000>;
1248 reg-names = "cc_base";
1249 vdd_gfx-supply = <&pm8005_s1_level>;
Vicky Wallace4af7a402017-04-04 19:29:42 -07001250 qcom,gpu_cc_gx_gfx3d_clk_src-opp-handle = <&msm_gpu>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001251 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001252 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001253 };
Subhash Jadavani877ec812016-08-04 13:23:24 -07001254
Deepak Katragadda6d1a5042017-05-11 09:31:58 -07001255 cpucc_debug: syscon@17970018 {
1256 compatible = "syscon";
1257 reg = <0x17970018 0x4>;
1258 };
1259
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001260 clock_cpucc: qcom,cpucc@0x17d41000 {
1261 compatible = "qcom,clk-cpu-osm";
1262 reg = <0x17d41000 0x1400>,
1263 <0x17d43000 0x1400>,
David Collins1e048402017-11-29 15:43:09 -08001264 <0x17d45800 0x1400>;
1265 reg-names = "osm_l3_base", "osm_pwrcl_base", "osm_perfcl_base";
Deepak Katragadda02617bd2017-11-10 16:03:43 -08001266 vdd_l3_mx_ao-supply = <&pm8998_s6_level_ao>;
1267 vdd_pwrcl_mx_ao-supply = <&pm8998_s6_level_ao>;
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001268
Deepak Katragadda02617bd2017-11-10 16:03:43 -08001269 qcom,mx-turbo-freq = <1478400000 1689600000 3300000001>;
Jonathan Avila2d49ac12017-10-17 15:00:15 -07001270 l3-devs = <&l3_cpu0 &l3_cpu4 &l3_cdsp>;
Deepak Katragadda34272742017-05-24 11:42:40 -07001271
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001272 clock-names = "xo_ao";
1273 clocks = <&clock_rpmh RPMH_CXO_CLK_A>;
Deepak Katragadda95b77242016-12-19 14:10:03 -08001274 #clock-cells = <1>;
Deepak Katragadda95b77242016-12-19 14:10:03 -08001275 };
1276
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001277 clock_debug: qcom,cc-debug@100000 {
1278 compatible = "qcom,debugcc-sdm845";
1279 qcom,cc-count = <5>;
1280 qcom,gcc = <&clock_gcc>;
1281 qcom,videocc = <&clock_videocc>;
1282 qcom,camcc = <&clock_camcc>;
1283 qcom,dispcc = <&clock_dispcc>;
1284 qcom,gpucc = <&clock_gpucc>;
Deepak Katragadda6d1a5042017-05-11 09:31:58 -07001285 qcom,cpucc = <&cpucc_debug>;
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001286 clock-names = "xo_clk_src";
1287 clocks = <&clock_rpmh RPMH_CXO_CLK>;
1288 #clock-cells = <1>;
1289 };
1290
Taniya Dasa8d52b92017-04-18 17:02:49 +05301291 clock_aop: qcom,aopclk {
Deepak Katragadda90954d72017-07-27 14:22:24 -07001292 compatible = "qcom,aop-qmp-clk-v1";
Taniya Dasa8d52b92017-04-18 17:02:49 +05301293 #clock-cells = <1>;
1294 mboxes = <&qmp_aop 0>;
1295 mbox-names = "qdss_clk";
1296 };
1297
AnilKumar Chimata2e815902017-04-13 12:14:56 -07001298 ufs_ice: ufsice@1d90000 {
1299 compatible = "qcom,ice";
1300 reg = <0x1d90000 0x8000>;
1301 qcom,enable-ice-clk;
1302 clock-names = "ufs_core_clk", "bus_clk",
1303 "iface_clk", "ice_core_clk";
1304 clocks = <&clock_gcc GCC_UFS_PHY_AXI_CLK>,
1305 <&clock_gcc GCC_UFS_MEM_CLKREF_CLK>,
1306 <&clock_gcc GCC_UFS_PHY_AHB_CLK>,
1307 <&clock_gcc GCC_UFS_PHY_ICE_CORE_CLK>;
1308 qcom,op-freq-hz = <0>, <0>, <0>, <300000000>;
1309 vdd-hba-supply = <&ufs_phy_gdsc>;
1310 qcom,msm-bus,name = "ufs_ice_noc";
1311 qcom,msm-bus,num-cases = <2>;
1312 qcom,msm-bus,num-paths = <1>;
1313 qcom,msm-bus,vectors-KBps =
1314 <1 650 0 0>, /* No vote */
1315 <1 650 1000 0>; /* Max. bandwidth */
1316 qcom,bus-vector-names = "MIN",
1317 "MAX";
1318 qcom,instance-type = "ufs";
1319 };
1320
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001321 ufsphy_mem: ufsphy_mem@1d87000 {
Subhash Jadavani877ec812016-08-04 13:23:24 -07001322 reg = <0x1d87000 0xda8>; /* PHY regs */
1323 reg-names = "phy_mem";
1324 #phy-cells = <0>;
1325
Subhash Jadavanib606c842017-04-03 18:03:57 -07001326 lanes-per-direction = <2>;
1327
Subhash Jadavani9981b032017-03-24 17:24:05 -07001328 clock-names = "ref_clk_src",
1329 "ref_clk",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001330 "ref_aux_clk";
Osvaldo Banuelos918ffad2017-04-12 14:07:45 -07001331 clocks = <&clock_rpmh RPMH_CXO_CLK>,
Subhash Jadavani9981b032017-03-24 17:24:05 -07001332 <&clock_gcc GCC_UFS_MEM_CLKREF_CLK>,
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001333 <&clock_gcc GCC_UFS_PHY_PHY_AUX_HW_CTL_CLK>;
Subhash Jadavani877ec812016-08-04 13:23:24 -07001334
1335 status = "disabled";
1336 };
1337
Subhash Jadavanibb52a442017-04-27 16:50:58 -07001338 ufshc_mem: ufshc@1d84000 {
Subhash Jadavani877ec812016-08-04 13:23:24 -07001339 compatible = "qcom,ufshc";
1340 reg = <0x1d84000 0x2500>;
1341 interrupts = <0 265 0>;
1342 phys = <&ufsphy_mem>;
1343 phy-names = "ufsphy";
AnilKumar Chimata2e815902017-04-13 12:14:56 -07001344 ufs-qcom-crypto = <&ufs_ice>;
Subhash Jadavani877ec812016-08-04 13:23:24 -07001345
Subhash Jadavani588f2092016-09-08 17:58:31 -07001346 lanes-per-direction = <2>;
Subhash Jadavani5534d492016-12-13 16:13:19 -08001347 dev-ref-clk-freq = <0>; /* 19.2 MHz */
Subhash Jadavani588f2092016-09-08 17:58:31 -07001348
Subhash Jadavani877ec812016-08-04 13:23:24 -07001349 clock-names =
1350 "core_clk",
1351 "bus_aggr_clk",
1352 "iface_clk",
1353 "core_clk_unipro",
1354 "core_clk_ice",
Subhash Jadavani9981b032017-03-24 17:24:05 -07001355 "ref_clk",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001356 "tx_lane0_sync_clk",
1357 "rx_lane0_sync_clk",
1358 "rx_lane1_sync_clk";
1359 clocks =
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001360 <&clock_gcc GCC_UFS_PHY_AXI_HW_CTL_CLK>,
1361 <&clock_gcc GCC_AGGRE_UFS_PHY_AXI_HW_CTL_CLK>,
Subhash Jadavani877ec812016-08-04 13:23:24 -07001362 <&clock_gcc GCC_UFS_PHY_AHB_CLK>,
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001363 <&clock_gcc GCC_UFS_PHY_UNIPRO_CORE_HW_CTL_CLK>,
1364 <&clock_gcc GCC_UFS_PHY_ICE_CORE_HW_CTL_CLK>,
Osvaldo Banuelos918ffad2017-04-12 14:07:45 -07001365 <&clock_rpmh RPMH_CXO_CLK>,
Subhash Jadavani877ec812016-08-04 13:23:24 -07001366 <&clock_gcc GCC_UFS_PHY_TX_SYMBOL_0_CLK>,
1367 <&clock_gcc GCC_UFS_PHY_RX_SYMBOL_0_CLK>,
1368 <&clock_gcc GCC_UFS_PHY_RX_SYMBOL_1_CLK>;
1369 freq-table-hz =
1370 <50000000 200000000>,
1371 <0 0>,
1372 <0 0>,
1373 <37500000 150000000>,
1374 <75000000 300000000>,
1375 <0 0>,
1376 <0 0>,
Subhash Jadavani9981b032017-03-24 17:24:05 -07001377 <0 0>,
Subhash Jadavani877ec812016-08-04 13:23:24 -07001378 <0 0>;
1379
Sayali Lokhande49c1dde2017-10-10 15:46:19 +05301380 non-removable;
Subhash Jadavani35c309a2016-12-19 13:58:57 -08001381 qcom,msm-bus,name = "ufshc_mem";
Subhash Jadavani588f2092016-09-08 17:58:31 -07001382 qcom,msm-bus,num-cases = <22>;
Subhash Jadavani877ec812016-08-04 13:23:24 -07001383 qcom,msm-bus,num-paths = <2>;
1384 qcom,msm-bus,vectors-KBps =
Subhash Jadavani63705c42017-03-27 16:37:28 -07001385 /*
1386 * During HS G3 UFS runs at nominal voltage corner, vote
1387 * higher bandwidth to push other buses in the data path
1388 * to run at nominal to achieve max throughput.
1389 * 4GBps pushes BIMC to run at nominal.
1390 * 200MBps pushes CNOC to run at nominal.
1391 * Vote for half of this bandwidth for HS G3 1-lane.
1392 * For max bandwidth, vote high enough to push the buses
1393 * to run in turbo voltage corner.
1394 */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001395 <123 512 0 0>, <1 757 0 0>, /* No vote */
1396 <123 512 922 0>, <1 757 1000 0>, /* PWM G1 */
1397 <123 512 1844 0>, <1 757 1000 0>, /* PWM G2 */
1398 <123 512 3688 0>, <1 757 1000 0>, /* PWM G3 */
1399 <123 512 7376 0>, <1 757 1000 0>, /* PWM G4 */
1400 <123 512 1844 0>, <1 757 1000 0>, /* PWM G1 L2 */
1401 <123 512 3688 0>, <1 757 1000 0>, /* PWM G2 L2 */
1402 <123 512 7376 0>, <1 757 1000 0>, /* PWM G3 L2 */
1403 <123 512 14752 0>, <1 757 1000 0>, /* PWM G4 L2 */
1404 <123 512 127796 0>, <1 757 1000 0>, /* HS G1 RA */
1405 <123 512 255591 0>, <1 757 1000 0>, /* HS G2 RA */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001406 <123 512 2097152 0>, <1 757 102400 0>, /* HS G3 RA */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001407 <123 512 255591 0>, <1 757 1000 0>, /* HS G1 RA L2 */
1408 <123 512 511181 0>, <1 757 1000 0>, /* HS G2 RA L2 */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001409 <123 512 4194304 0>, <1 757 204800 0>, /* HS G3 RA L2 */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001410 <123 512 149422 0>, <1 757 1000 0>, /* HS G1 RB */
1411 <123 512 298189 0>, <1 757 1000 0>, /* HS G2 RB */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001412 <123 512 2097152 0>, <1 757 102400 0>, /* HS G3 RB */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001413 <123 512 298189 0>, <1 757 1000 0>, /* HS G1 RB L2 */
1414 <123 512 596378 0>, <1 757 1000 0>, /* HS G2 RB L2 */
Can Guo82a760c2017-11-04 09:01:19 +08001415 /* As UFS working in HS G3 RB L2 mode, aggregated
1416 * bandwidth (AB) should take care of providing
1417 * optimum throughput requested. However, as tested,
1418 * in order to scale up CNOC clock, instantaneous
1419 * bindwidth (IB) needs to be given a proper value too.
1420 */
1421 <123 512 4194304 0>, <1 757 204800 409600>, /* HS G3 RB L2 */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001422 <123 512 7643136 0>, <1 757 307200 0>; /* Max. bandwidth */
1423
Subhash Jadavani877ec812016-08-04 13:23:24 -07001424 qcom,bus-vector-names = "MIN",
1425 "PWM_G1_L1", "PWM_G2_L1", "PWM_G3_L1", "PWM_G4_L1",
Subhash Jadavani588f2092016-09-08 17:58:31 -07001426 "PWM_G1_L2", "PWM_G2_L2", "PWM_G3_L2", "PWM_G4_L2",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001427 "HS_RA_G1_L1", "HS_RA_G2_L1", "HS_RA_G3_L1",
Subhash Jadavani588f2092016-09-08 17:58:31 -07001428 "HS_RA_G1_L2", "HS_RA_G2_L2", "HS_RA_G3_L2",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001429 "HS_RB_G1_L1", "HS_RB_G2_L1", "HS_RB_G3_L1",
Subhash Jadavani588f2092016-09-08 17:58:31 -07001430 "HS_RB_G1_L2", "HS_RB_G2_L2", "HS_RB_G3_L2",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001431 "MAX";
1432
Subhash Jadavani63705c42017-03-27 16:37:28 -07001433 /* PM QoS */
1434 qcom,pm-qos-cpu-groups = <0x0f 0xf0>;
1435 qcom,pm-qos-cpu-group-latency-us = <70 70>;
1436 qcom,pm-qos-default-cpu = <0>;
1437
Subhash Jadavaniafe2a792017-03-31 21:08:29 -07001438 pinctrl-names = "dev-reset-assert", "dev-reset-deassert";
1439 pinctrl-0 = <&ufs_dev_reset_assert>;
1440 pinctrl-1 = <&ufs_dev_reset_deassert>;
Subhash Jadavani63705c42017-03-27 16:37:28 -07001441
1442 resets = <&clock_gcc GCC_UFS_PHY_BCR>;
1443 reset-names = "core_reset";
1444
Subhash Jadavani877ec812016-08-04 13:23:24 -07001445 status = "disabled";
1446 };
Satyajit Desai17da0592016-08-08 18:38:32 -07001447
Xiaonian Wang3c14ea32017-04-08 06:39:42 +08001448 sdhc_2: sdhci@8804000 {
1449 compatible = "qcom,sdhci-msm-v5";
1450 reg = <0x8804000 0x1000>;
1451 reg-names = "hc_mem";
1452
1453 interrupts = <0 204 0>, <0 222 0>;
1454 interrupt-names = "hc_irq", "pwr_irq";
1455
1456 qcom,bus-width = <4>;
1457 qcom,large-address-bus;
1458
1459 qcom,msm-bus,name = "sdhc2";
1460 qcom,msm-bus,num-cases = <8>;
1461 qcom,msm-bus,num-paths = <2>;
1462 qcom,msm-bus,vectors-KBps =
1463 /* No vote */
1464 <81 512 0 0>, <1 608 0 0>,
1465 /* 400 KB/s*/
1466 <81 512 1046 1600>,
1467 <1 608 1600 1600>,
1468 /* 20 MB/s */
1469 <81 512 52286 80000>,
1470 <1 608 80000 80000>,
1471 /* 25 MB/s */
1472 <81 512 65360 100000>,
1473 <1 608 100000 100000>,
1474 /* 50 MB/s */
1475 <81 512 130718 200000>,
1476 <1 608 133320 133320>,
1477 /* 100 MB/s */
1478 <81 512 261438 200000>,
1479 <1 608 150000 150000>,
1480 /* 200 MB/s */
1481 <81 512 261438 400000>,
1482 <1 608 300000 300000>,
1483 /* Max. bandwidth */
1484 <81 512 1338562 4096000>,
1485 <1 608 1338562 4096000>;
1486 qcom,bus-bw-vectors-bps = <0 400000 20000000 25000000 50000000
Subhash Jadavani0842b272017-07-19 17:05:13 -07001487 100750000 200000000 4294967295>;
Xiaonian Wang3c14ea32017-04-08 06:39:42 +08001488
Xiaonian Wang5d7e5d12017-04-07 19:51:23 -07001489 qcom,sdr104-wa;
1490
Bao D. Nguyen40d42ae2017-06-29 21:20:25 -07001491 qcom,restore-after-cx-collapse;
1492
Subhash Jadavani0842b272017-07-19 17:05:13 -07001493 qcom,clk-rates = <400000 20000000 25000000
1494 50000000 100000000 201500000>;
1495 qcom,bus-speed-mode = "SDR12", "SDR25", "SDR50", "DDR50",
1496 "SDR104";
1497
1498 qcom,devfreq,freq-table = <50000000 201500000>;
Xiaonian Wang3c14ea32017-04-08 06:39:42 +08001499 clocks = <&clock_gcc GCC_SDCC2_AHB_CLK>,
1500 <&clock_gcc GCC_SDCC2_APPS_CLK>;
1501 clock-names = "iface_clk", "core_clk";
1502
Can Guoe8148342017-10-16 12:10:27 +08001503 /* PM QoS */
1504 qcom,pm-qos-irq-type = "affine_irq";
1505 qcom,pm-qos-irq-latency = <70 70>;
1506 qcom,pm-qos-cpu-groups = <0x3f 0xc0>;
1507 qcom,pm-qos-legacy-latency-us = <70 70>, <70 70>;
1508
Xiaonian Wang3c14ea32017-04-08 06:39:42 +08001509 status = "disabled";
1510 };
1511
Kyle Yan384b13c2016-10-18 11:11:37 -07001512 pil_modem: qcom,mss@4080000 {
1513 compatible = "qcom,pil-q6v55-mss";
1514 reg = <0x4080000 0x100>,
1515 <0x1f63000 0x008>,
1516 <0x1f65000 0x008>,
1517 <0x1f64000 0x008>,
1518 <0x4180000 0x020>,
Kyle Yan8e805302017-05-01 11:13:45 -07001519 <0xc2b0000 0x004>,
Kyle Yan02f80392017-05-01 14:40:32 -07001520 <0xb2e0100 0x004>,
1521 <0x4180044 0x004>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001522 reg-names = "qdsp6_base", "halt_q6", "halt_modem",
Kyle Yan8e805302017-05-01 11:13:45 -07001523 "halt_nc", "rmb_base", "restart_reg",
Kyle Yan02f80392017-05-01 14:40:32 -07001524 "pdc_sync", "alt_reset";
Kyle Yan384b13c2016-10-18 11:11:37 -07001525
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001526 clocks = <&clock_rpmh RPMH_CXO_CLK>,
Kyle Yan384b13c2016-10-18 11:11:37 -07001527 <&clock_gcc GCC_MSS_CFG_AHB_CLK>,
1528 <&clock_gcc GCC_MSS_Q6_MEMNOC_AXI_CLK>,
1529 <&clock_gcc GCC_BOOT_ROM_AHB_CLK>,
1530 <&clock_gcc GCC_MSS_GPLL0_DIV_CLK_SRC>,
1531 <&clock_gcc GCC_MSS_SNOC_AXI_CLK>,
Kyle Yan5eb4ef92017-04-17 11:59:36 -07001532 <&clock_gcc GCC_MSS_MFAB_AXIS_CLK>,
1533 <&clock_gcc GCC_PRNG_AHB_CLK>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001534 clock-names = "xo", "iface_clk", "bus_clk",
1535 "mem_clk", "gpll0_mss_clk", "snoc_axi_clk",
Kyle Yanf7c86b72017-04-25 13:11:26 -07001536 "mnoc_axi_clk", "prng_clk";
1537 qcom,proxy-clock-names = "xo", "prng_clk";
Kyle Yan384b13c2016-10-18 11:11:37 -07001538 qcom,active-clock-names = "iface_clk", "bus_clk", "mem_clk",
1539 "gpll0_mss_clk", "snoc_axi_clk",
1540 "mnoc_axi_clk";
1541
1542 interrupts = <0 266 1>;
David Collins3a457942016-12-09 16:59:51 -08001543 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001544 vdd_cx-voltage = <RPMH_REGULATOR_LEVEL_TURBO>;
David Collins3a457942016-12-09 16:59:51 -08001545 vdd_mx-supply = <&pm8998_s6_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001546 vdd_mx-uV = <RPMH_REGULATOR_LEVEL_TURBO>;
Kyle Yan9df31602017-10-12 11:52:59 -07001547 vdd_mss-supply = <&pm8005_s2_level>;
Kyle Yandbec5572017-10-15 15:18:05 -07001548 vdd_mss-uV = <RPMH_REGULATOR_LEVEL_TURBO>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001549 qcom,firmware-name = "modem";
1550 qcom,pil-self-auth;
1551 qcom,sysmon-id = <0>;
1552 qcom,ssctl-instance-id = <0x12>;
1553 qcom,override-acc;
Kyle Yana56d7182017-09-13 11:22:48 -07001554 qcom,signal-aop;
Kyle Yan384b13c2016-10-18 11:11:37 -07001555 qcom,qdsp6v65-1-0;
Kyle Yanf248e352017-09-14 11:15:58 -07001556 qcom,mss_pdc_offset = <8>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001557 status = "ok";
1558 memory-region = <&pil_modem_mem>;
1559 qcom,mem-protect-id = <0xF>;
1560
1561 /* GPIO inputs from mss */
1562 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_1_in 0 0>;
1563 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_1_in 1 0>;
1564 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_1_in 2 0>;
1565 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_1_in 3 0>;
1566 qcom,gpio-shutdown-ack = <&smp2pgpio_ssr_smp2p_1_in 7 0>;
1567
1568 /* GPIO output to mss */
1569 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_1_out 0 0>;
Kyle Yana56d7182017-09-13 11:22:48 -07001570
1571 mboxes = <&qmp_aop 0>;
1572 mbox-names = "mss-pil";
Channagoud Kadabi814df402017-04-04 13:55:26 -07001573 qcom,mba-mem@0 {
1574 compatible = "qcom,pil-mba-mem";
1575 memory-region = <&pil_mba_mem>;
1576 };
Kyle Yan384b13c2016-10-18 11:11:37 -07001577 };
1578
Kyle Yand119cf82016-10-19 14:49:04 -07001579 qcom,lpass@17300000 {
1580 compatible = "qcom,pil-tz-generic";
1581 reg = <0x17300000 0x00100>;
1582 interrupts = <0 162 1>;
1583
David Collins3a457942016-12-09 16:59:51 -08001584 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yand119cf82016-10-19 14:49:04 -07001585 qcom,proxy-reg-names = "vdd_cx";
Kyle Yand8326b62017-01-05 15:11:02 -08001586 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
Kyle Yand119cf82016-10-19 14:49:04 -07001587
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001588 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yand119cf82016-10-19 14:49:04 -07001589 clock-names = "xo";
1590 qcom,proxy-clock-names = "xo";
1591
1592 qcom,pas-id = <1>;
1593 qcom,proxy-timeout-ms = <10000>;
1594 qcom,smem-id = <423>;
1595 qcom,sysmon-id = <1>;
1596 status = "ok";
1597 qcom,ssctl-instance-id = <0x14>;
1598 qcom,firmware-name = "adsp";
Kyle Yana56d7182017-09-13 11:22:48 -07001599 qcom,signal-aop;
Kyle Yand119cf82016-10-19 14:49:04 -07001600 memory-region = <&pil_adsp_mem>;
1601
1602 /* GPIO inputs from lpass */
1603 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_2_in 0 0>;
1604 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_2_in 2 0>;
1605 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_2_in 1 0>;
1606 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_2_in 3 0>;
1607
1608 /* GPIO output to lpass */
1609 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_2_out 0 0>;
Kyle Yana56d7182017-09-13 11:22:48 -07001610
1611 mboxes = <&qmp_aop 0>;
1612 mbox-names = "adsp-pil";
Kyle Yand119cf82016-10-19 14:49:04 -07001613 };
1614
Kyle Yanb693da32016-10-20 14:01:09 -07001615 qcom,ssc@5c00000 {
1616 compatible = "qcom,pil-tz-generic";
1617 reg = <0x5c00000 0x4000>;
Kyle Yanb3a29ae2017-05-23 13:37:11 -07001618 interrupts = <0 494 1>;
Kyle Yanb693da32016-10-20 14:01:09 -07001619
David Collins3a457942016-12-09 16:59:51 -08001620 vdd_cx-supply = <&pm8998_l27_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001621 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 0>;
Kyle Yan2d11cb92017-10-16 11:57:36 -07001622 vdd_mx-supply = <&pm8998_l4_level>;
1623 qcom,vdd_mx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 0>;
1624 qcom,proxy-reg-names = "vdd_cx", "vdd_mx";
Kyle Yanb693da32016-10-20 14:01:09 -07001625 qcom,keep-proxy-regs-on;
1626
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001627 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yanb693da32016-10-20 14:01:09 -07001628 clock-names = "xo";
1629 qcom,proxy-clock-names = "xo";
1630
1631 qcom,pas-id = <12>;
1632 qcom,proxy-timeout-ms = <10000>;
1633 qcom,smem-id = <424>;
1634 qcom,sysmon-id = <3>;
1635 qcom,ssctl-instance-id = <0x16>;
Kyle Yana56d7182017-09-13 11:22:48 -07001636 qcom,signal-aop;
Kyle Yanb693da32016-10-20 14:01:09 -07001637 qcom,firmware-name = "slpi";
1638 status = "ok";
1639 memory-region = <&pil_slpi_mem>;
1640
1641 /* GPIO inputs from ssc */
1642 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_3_in 0 0>;
1643 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_3_in 2 0>;
1644 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_3_in 1 0>;
1645 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_3_in 3 0>;
1646
1647 /* GPIO output to ssc */
1648 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_3_out 0 0>;
Kyle Yana56d7182017-09-13 11:22:48 -07001649
1650 mboxes = <&qmp_aop 0>;
1651 mbox-names = "slpi-pil";
Kyle Yanb693da32016-10-20 14:01:09 -07001652 };
1653
Sagar Dhariab7394b42016-11-29 01:01:01 -07001654 slim_aud: slim@171c0000 {
1655 cell-index = <1>;
1656 compatible = "qcom,slim-ngd";
1657 reg = <0x171c0000 0x2c000>,
1658 <0x17184000 0x2a000>;
1659 reg-names = "slimbus_physical", "slimbus_bam_physical";
1660 interrupts = <0 163 0>, <0 164 0>;
1661 interrupt-names = "slimbus_irq", "slimbus_bam_irq";
Karthikeyan Ramasubramanianb5d07ee2017-02-13 12:26:39 -07001662 qcom,apps-ch-pipes = <0x780000>;
Sagar Dhariab7394b42016-11-29 01:01:01 -07001663 qcom,ea-pc = <0x270>;
Karthikeyan Ramasubramanian9cd18ff2017-05-09 17:11:26 -06001664 qcom,iommu-s1-bypass;
1665
1666 iommu_slim_aud_ctrl_cb: qcom,iommu_slim_ctrl_cb {
1667 compatible = "qcom,iommu-slim-ctrl-cb";
1668 iommus = <&apps_smmu 0x1806 0x0>,
1669 <&apps_smmu 0x180d 0x0>,
1670 <&apps_smmu 0x180e 0x1>,
1671 <&apps_smmu 0x1810 0x1>;
1672 };
Sagar Dhariab7394b42016-11-29 01:01:01 -07001673 };
1674
Karthikeyan Ramasubramanian430c6462017-01-18 15:20:05 -07001675 slim_qca: slim@17240000 {
Sungjun Parkb4a9b3c2017-05-04 10:12:35 -07001676 status = "ok";
Karthikeyan Ramasubramanian430c6462017-01-18 15:20:05 -07001677 cell-index = <3>;
1678 compatible = "qcom,slim-ngd";
1679 reg = <0x17240000 0x2c000>,
1680 <0x17204000 0x20000>;
1681 reg-names = "slimbus_physical", "slimbus_bam_physical";
1682 interrupts = <0 291 0>, <0 292 0>;
1683 interrupt-names = "slimbus_irq", "slimbus_bam_irq";
Karthikeyan Ramasubramanian9cd18ff2017-05-09 17:11:26 -06001684 qcom,iommu-s1-bypass;
1685
1686 iommu_slim_qca_ctrl_cb: qcom,iommu_slim_ctrl_cb {
1687 compatible = "qcom,iommu-slim-ctrl-cb";
1688 iommus = <&apps_smmu 0x1813 0x0>;
1689 };
Sungjun Parkb4a9b3c2017-05-04 10:12:35 -07001690
1691 /* Slimbus Slave DT for WCN3990 */
1692 btfmslim_codec: wcn3990 {
1693 compatible = "qcom,btfmslim_slave";
1694 elemental-addr = [00 01 20 02 17 02];
1695 qcom,btfm-slim-ifd = "btfmslim_slave_ifd";
1696 qcom,btfm-slim-ifd-elemental-addr = [00 00 20 02 17 02];
1697 };
Karthikeyan Ramasubramanian430c6462017-01-18 15:20:05 -07001698 };
1699
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -07001700 eud: qcom,msm-eud@88e0000 {
1701 compatible = "qcom,msm-eud";
1702 interrupt-names = "eud_irq";
1703 interrupts = <GIC_SPI 492 IRQ_TYPE_LEVEL_HIGH>;
Kyle Yan3801a1f2016-09-27 18:29:55 -07001704 reg = <0x88e0000 0x2000>;
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -07001705 reg-names = "eud_base";
Satya Durga Srinivasu Prabhala5a497782017-09-22 13:47:47 -07001706 clocks = <&clock_gcc GCC_USB_PHY_CFG_AHB2PHY_CLK>;
1707 clock-names = "cfg_ahb_clk";
Vamsi Krishna Samavedam61262a12017-10-17 20:45:42 -07001708 vdda33-supply = <&pm8998_l24>;
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -07001709 status = "ok";
1710 };
1711
Kyle Yan79653352016-10-20 15:40:45 -07001712 qcom,spss@1880000 {
1713 compatible = "qcom,pil-tz-generic";
1714 reg = <0x188101c 0x4>,
1715 <0x1881024 0x4>,
1716 <0x1881028 0x4>,
1717 <0x188103c 0x4>,
1718 <0x1882014 0x4>;
1719 reg-names = "sp2soc_irq_status", "sp2soc_irq_clr",
1720 "sp2soc_irq_mask", "rmb_err", "rmb_err_spare2";
1721 interrupts = <0 352 1>;
1722
David Collins3a457942016-12-09 16:59:51 -08001723 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yan79653352016-10-20 15:40:45 -07001724 qcom,proxy-reg-names = "vdd_cx";
Kyle Yand8326b62017-01-05 15:11:02 -08001725 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
David Collins3a457942016-12-09 16:59:51 -08001726 vdd_mx-supply = <&pm8998_s6_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001727 vdd_mx-uV = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
Kyle Yan79653352016-10-20 15:40:45 -07001728
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001729 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yan79653352016-10-20 15:40:45 -07001730 clock-names = "xo";
1731 qcom,proxy-clock-names = "xo";
1732 qcom,pil-generic-irq-handler;
1733 status = "ok";
1734
1735 qcom,pas-id = <14>;
1736 qcom,proxy-timeout-ms = <10000>;
Kyle Yana56d7182017-09-13 11:22:48 -07001737 qcom,signal-aop;
Kyle Yan79653352016-10-20 15:40:45 -07001738 qcom,firmware-name = "spss";
1739 memory-region = <&pil_spss_mem>;
1740 qcom,spss-scsr-bits = <24 25>;
Kyle Yana56d7182017-09-13 11:22:48 -07001741
1742 mboxes = <&qmp_aop 0>;
1743 mbox-names = "spss-pil";
Kyle Yan79653352016-10-20 15:40:45 -07001744 };
1745
Satyajit Desai17da0592016-08-08 18:38:32 -07001746 wdog: qcom,wdt@17980000{
1747 compatible = "qcom,msm-watchdog";
1748 reg = <0x17980000 0x1000>;
1749 reg-names = "wdt-base";
Satyajit Desaidb4f2e6e2017-04-17 14:08:59 -07001750 interrupts = <0 0 0>, <0 1 0>;
Satyajit Desai17da0592016-08-08 18:38:32 -07001751 qcom,bark-time = <11000>;
Channagoud Kadabi63d9d4d2017-08-25 15:36:31 -07001752 qcom,pet-time = <9360>;
Satyajit Desai17da0592016-08-08 18:38:32 -07001753 qcom,ipi-ping;
1754 qcom,wakeup-enable;
1755 };
Satyajit Desai5e2b88a2016-08-10 17:08:08 -07001756
Kyle Yan02e95f72016-10-18 14:38:41 -07001757 qcom,turing@8300000 {
1758 compatible = "qcom,pil-tz-generic";
1759 reg = <0x8300000 0x100000>;
1760 interrupts = <0 578 1>;
1761
David Collins3a457942016-12-09 16:59:51 -08001762 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001763 qcom,proxy-reg-names = "vdd_cx";
Kyle Yand8326b62017-01-05 15:11:02 -08001764 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001765
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001766 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001767 clock-names = "xo";
1768 qcom,proxy-clock-names = "xo";
1769
1770 qcom,pas-id = <18>;
1771 qcom,proxy-timeout-ms = <10000>;
Kyle Yana7b79262017-04-09 11:37:24 -07001772 qcom,smem-id = <601>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001773 qcom,sysmon-id = <7>;
1774 qcom,ssctl-instance-id = <0x17>;
1775 qcom,firmware-name = "cdsp";
Kyle Yana56d7182017-09-13 11:22:48 -07001776 qcom,signal-aop;
Kyle Yan02e95f72016-10-18 14:38:41 -07001777 memory-region = <&pil_cdsp_mem>;
1778
1779 /* GPIO inputs from turing */
1780 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_5_in 0 0>;
1781 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_5_in 2 0>;
1782 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_5_in 1 0>;
1783 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_5_in 3 0>;
1784
1785 /* GPIO output to turing*/
1786 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_5_out 0 0>;
1787 status = "ok";
Kyle Yana56d7182017-09-13 11:22:48 -07001788
1789 mboxes = <&qmp_aop 0>;
1790 mbox-names = "cdsp-pil";
Kyle Yan02e95f72016-10-18 14:38:41 -07001791 };
1792
Kyle Yan74c74252017-02-13 13:30:45 -08001793 qcom,msm-rtb {
1794 compatible = "qcom,msm-rtb";
1795 qcom,rtb-size = <0x100000>;
1796 };
1797
Channagoud Kadabi31282232017-04-26 14:39:09 -07001798 qcom,mpm2-sleep-counter@0x0c221000 {
1799 compatible = "qcom,mpm2-sleep-counter";
1800 reg = <0x0c221000 0x1000>;
1801 clock-frequency = <32768>;
1802 };
1803
Sathish Ambley917cbd22017-02-28 10:46:26 -08001804 qcom,msm-cdsp-loader {
1805 compatible = "qcom,cdsp-loader";
1806 qcom,proc-img-to-load = "cdsp";
1807 };
1808
Sathish Ambley521f22a2017-04-21 14:19:45 -07001809 qcom,msm-adsprpc-mem {
1810 compatible = "qcom,msm-adsprpc-mem-region";
1811 memory-region = <&adsp_mem>;
1812 };
1813
Sathish Ambley37e87362016-11-12 15:18:48 -08001814 qcom,msm_fastrpc {
1815 compatible = "qcom,msm-fastrpc-compute";
Tharun Kumar Merugu26bf52e2017-08-11 12:03:29 +05301816 qcom,rpc-latency-us = <611>;
Sathish Ambley37e87362016-11-12 15:18:48 -08001817
1818 qcom,msm_fastrpc_compute_cb1 {
1819 compatible = "qcom,msm-fastrpc-compute-cb";
1820 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001821 iommus = <&apps_smmu 0x1401 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301822 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001823 };
1824 qcom,msm_fastrpc_compute_cb2 {
1825 compatible = "qcom,msm-fastrpc-compute-cb";
1826 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001827 iommus = <&apps_smmu 0x1402 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301828 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001829 };
1830 qcom,msm_fastrpc_compute_cb3 {
1831 compatible = "qcom,msm-fastrpc-compute-cb";
1832 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001833 iommus = <&apps_smmu 0x1403 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301834 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001835 };
1836 qcom,msm_fastrpc_compute_cb4 {
1837 compatible = "qcom,msm-fastrpc-compute-cb";
1838 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001839 iommus = <&apps_smmu 0x1404 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301840 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001841 };
1842 qcom,msm_fastrpc_compute_cb5 {
1843 compatible = "qcom,msm-fastrpc-compute-cb";
1844 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001845 iommus = <&apps_smmu 0x1405 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301846 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001847 };
1848 qcom,msm_fastrpc_compute_cb6 {
1849 compatible = "qcom,msm-fastrpc-compute-cb";
1850 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001851 iommus = <&apps_smmu 0x1406 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301852 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001853 };
1854 qcom,msm_fastrpc_compute_cb7 {
1855 compatible = "qcom,msm-fastrpc-compute-cb";
1856 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001857 iommus = <&apps_smmu 0x1407 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301858 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001859 };
1860 qcom,msm_fastrpc_compute_cb8 {
1861 compatible = "qcom,msm-fastrpc-compute-cb";
1862 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001863 iommus = <&apps_smmu 0x1408 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301864 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001865 };
Sathish Ambley521f22a2017-04-21 14:19:45 -07001866 qcom,msm_fastrpc_compute_cb9 {
1867 compatible = "qcom,msm-fastrpc-compute-cb";
1868 label = "cdsprpc-smd";
1869 qcom,secure-context-bank;
Patrick Dalyac495012017-04-18 16:42:00 -07001870 iommus = <&apps_smmu 0x1409 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301871 dma-coherent;
Sathish Ambley521f22a2017-04-21 14:19:45 -07001872 };
1873 qcom,msm_fastrpc_compute_cb10 {
1874 compatible = "qcom,msm-fastrpc-compute-cb";
1875 label = "cdsprpc-smd";
1876 qcom,secure-context-bank;
Patrick Dalyac495012017-04-18 16:42:00 -07001877 iommus = <&apps_smmu 0x140A 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301878 dma-coherent;
Sathish Ambley521f22a2017-04-21 14:19:45 -07001879 };
1880 qcom,msm_fastrpc_compute_cb11 {
1881 compatible = "qcom,msm-fastrpc-compute-cb";
1882 label = "adsprpc-smd";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07001883 iommus = <&apps_smmu 0x1823 0x0>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301884 dma-coherent;
Sathish Ambley521f22a2017-04-21 14:19:45 -07001885 };
1886 qcom,msm_fastrpc_compute_cb12 {
1887 compatible = "qcom,msm-fastrpc-compute-cb";
1888 label = "adsprpc-smd";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07001889 iommus = <&apps_smmu 0x1824 0x0>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301890 dma-coherent;
Sathish Ambley521f22a2017-04-21 14:19:45 -07001891 };
Sathish Ambley37e87362016-11-12 15:18:48 -08001892 };
1893
Satyajit Desai5e2b88a2016-08-10 17:08:08 -07001894 qcom,msm-imem@146bf000 {
1895 compatible = "qcom,msm-imem";
1896 reg = <0x146bf000 0x1000>;
1897 ranges = <0x0 0x146bf000 0x1000>;
1898 #address-cells = <1>;
1899 #size-cells = <1>;
1900
1901 mem_dump_table@10 {
1902 compatible = "qcom,msm-imem-mem_dump_table";
1903 reg = <0x10 8>;
1904 };
Kyle Yan3d71bbe2016-11-01 16:02:26 -07001905
Kyle Yana795b9d2017-02-14 16:16:13 -08001906 restart_reason@65c {
1907 compatible = "qcom,msm-imem-restart_reason";
1908 reg = <0x65c 4>;
1909 };
1910
Channagoud Kadabi31282232017-04-26 14:39:09 -07001911 boot_stats@6b0 {
1912 compatible = "qcom,msm-imem-boot_stats";
1913 reg = <0x6b0 32>;
1914 };
1915
Kyle Yan3d71bbe2016-11-01 16:02:26 -07001916 pil@94c {
1917 compatible = "qcom,msm-imem-pil";
1918 reg = <0x94c 200>;
1919 };
Channagoud Kadabic2513422017-04-25 18:53:42 -07001920
1921 kaslr_offset@6d0 {
1922 compatible = "qcom,msm-imem-kaslr_offset";
1923 reg = <0x6d0 12>;
1924 };
Mayank Rana0d883092017-05-05 17:30:55 -07001925
1926 diag_dload@c8 {
1927 compatible = "qcom,msm-imem-diag-dload";
1928 reg = <0xc8 200>;
1929 };
Satyajit Desai5e2b88a2016-08-10 17:08:08 -07001930 };
Kyle Yanddc44242016-06-20 14:42:14 -07001931
Kyle Yan74747da2016-09-14 16:24:30 -07001932 qcom,venus@aae0000 {
1933 compatible = "qcom,pil-tz-generic";
1934 reg = <0xaae0000 0x4000>;
1935
1936 vdd-supply = <&venus_gdsc>;
1937 qcom,proxy-reg-names = "vdd";
1938
1939 clocks = <&clock_videocc VIDEO_CC_VENUS_CTL_CORE_CLK>,
1940 <&clock_videocc VIDEO_CC_VENUS_AHB_CLK>,
1941 <&clock_videocc VIDEO_CC_VENUS_CTL_AXI_CLK>;
1942 clock-names = "core_clk", "iface_clk", "bus_clk";
1943 qcom,proxy-clock-names = "core_clk", "iface_clk", "bus_clk";
1944
1945 qcom,pas-id = <9>;
1946 qcom,msm-bus,name = "pil-venus";
1947 qcom,msm-bus,num-cases = <2>;
1948 qcom,msm-bus,num-paths = <1>;
1949 qcom,msm-bus,vectors-KBps =
1950 <63 512 0 0>,
1951 <63 512 0 304000>;
1952 qcom,proxy-timeout-ms = <100>;
1953 qcom,firmware-name = "venus";
1954 memory-region = <&pil_video_mem>;
1955 status = "ok";
1956 };
1957
Ananda Kishore47727742017-05-04 01:04:30 +05301958 ssc_sensors: qcom,msm-ssc-sensors {
1959 compatible = "qcom,msm-ssc-sensors";
1960 status = "ok";
1961 qcom,firmware-name = "slpi";
1962 };
1963
Kyle Yan49dd9f22016-12-02 11:56:05 -08001964 cpuss_dump {
1965 compatible = "qcom,cpuss-dump";
1966 qcom,l1_i_cache0 {
1967 qcom,dump-node = <&L1_I_0>;
1968 qcom,dump-id = <0x60>;
1969 };
1970 qcom,l1_i_cache1 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001971 qcom,dump-node = <&L1_I_100>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001972 qcom,dump-id = <0x61>;
1973 };
1974 qcom,l1_i_cache2 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001975 qcom,dump-node = <&L1_I_200>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001976 qcom,dump-id = <0x62>;
1977 };
1978 qcom,l1_i_cache3 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001979 qcom,dump-node = <&L1_I_300>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001980 qcom,dump-id = <0x63>;
1981 };
1982 qcom,l1_i_cache100 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001983 qcom,dump-node = <&L1_I_400>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001984 qcom,dump-id = <0x64>;
1985 };
1986 qcom,l1_i_cache101 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001987 qcom,dump-node = <&L1_I_500>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001988 qcom,dump-id = <0x65>;
1989 };
1990 qcom,l1_i_cache102 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001991 qcom,dump-node = <&L1_I_600>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001992 qcom,dump-id = <0x66>;
1993 };
1994 qcom,l1_i_cache103 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07001995 qcom,dump-node = <&L1_I_700>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08001996 qcom,dump-id = <0x67>;
1997 };
1998 qcom,l1_d_cache0 {
1999 qcom,dump-node = <&L1_D_0>;
2000 qcom,dump-id = <0x80>;
2001 };
2002 qcom,l1_d_cache1 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002003 qcom,dump-node = <&L1_D_100>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002004 qcom,dump-id = <0x81>;
2005 };
2006 qcom,l1_d_cache2 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002007 qcom,dump-node = <&L1_D_200>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002008 qcom,dump-id = <0x82>;
2009 };
2010 qcom,l1_d_cache3 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002011 qcom,dump-node = <&L1_D_300>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002012 qcom,dump-id = <0x83>;
2013 };
2014 qcom,l1_d_cache100 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002015 qcom,dump-node = <&L1_D_400>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002016 qcom,dump-id = <0x84>;
2017 };
2018 qcom,l1_d_cache101 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002019 qcom,dump-node = <&L1_D_500>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002020 qcom,dump-id = <0x85>;
2021 };
2022 qcom,l1_d_cache102 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002023 qcom,dump-node = <&L1_D_600>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002024 qcom,dump-id = <0x86>;
2025 };
2026 qcom,l1_d_cache103 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002027 qcom,dump-node = <&L1_D_700>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002028 qcom,dump-id = <0x87>;
2029 };
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002030 qcom,llcc1_d_cache {
2031 qcom,dump-node = <&LLCC_1>;
Channagoud Kadabi641331f2017-05-26 15:30:25 -07002032 qcom,dump-id = <0x140>;
Channagoud Kadabif4fa1692017-01-17 12:34:29 -08002033 };
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002034 qcom,llcc2_d_cache {
2035 qcom,dump-node = <&LLCC_2>;
Channagoud Kadabi641331f2017-05-26 15:30:25 -07002036 qcom,dump-id = <0x141>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002037 };
2038 qcom,llcc3_d_cache {
2039 qcom,dump-node = <&LLCC_3>;
Channagoud Kadabi641331f2017-05-26 15:30:25 -07002040 qcom,dump-id = <0x142>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002041 };
2042 qcom,llcc4_d_cache {
2043 qcom,dump-node = <&LLCC_4>;
Channagoud Kadabi641331f2017-05-26 15:30:25 -07002044 qcom,dump-id = <0x143>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002045 };
Channagoud Kadabief56fcb2017-05-15 16:28:39 -07002046 qcom,l1_tlb_dump0 {
2047 qcom,dump-node = <&L1_TLB_0>;
2048 qcom,dump-id = <0x20>;
2049 };
2050 qcom,l1_tlb_dump100 {
2051 qcom,dump-node = <&L1_TLB_100>;
2052 qcom,dump-id = <0x21>;
2053 };
2054 qcom,l1_tlb_dump200 {
2055 qcom,dump-node = <&L1_TLB_200>;
2056 qcom,dump-id = <0x22>;
2057 };
2058 qcom,l1_tlb_dump300 {
2059 qcom,dump-node = <&L1_TLB_300>;
2060 qcom,dump-id = <0x23>;
2061 };
2062 qcom,l1_tlb_dump400 {
2063 qcom,dump-node = <&L1_TLB_400>;
2064 qcom,dump-id = <0x24>;
2065 };
2066 qcom,l1_tlb_dump500 {
2067 qcom,dump-node = <&L1_TLB_500>;
2068 qcom,dump-id = <0x25>;
2069 };
2070 qcom,l1_tlb_dump600 {
2071 qcom,dump-node = <&L1_TLB_600>;
2072 qcom,dump-id = <0x26>;
2073 };
2074 qcom,l1_tlb_dump700 {
2075 qcom,dump-node = <&L1_TLB_700>;
2076 qcom,dump-id = <0x27>;
2077 };
Kyle Yan49dd9f22016-12-02 11:56:05 -08002078 };
2079
Kyle Yanddc44242016-06-20 14:42:14 -07002080 kryo3xx-erp {
2081 compatible = "arm,arm64-kryo3xx-cpu-erp";
2082 interrupts = <1 6 4>,
2083 <1 7 4>,
2084 <0 34 4>,
2085 <0 35 4>;
2086
2087 interrupt-names = "l1-l2-faultirq",
2088 "l1-l2-errirq",
2089 "l3-scu-errirq",
2090 "l3-scu-faultirq";
2091 };
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002092
Channagoud Kadabi59a7ff22017-03-27 21:59:51 -07002093 qcom,llcc@1100000 {
Channagoud Kadabi8751c892016-10-14 13:40:19 -07002094 compatible = "qcom,llcc-core", "syscon", "simple-mfd";
Channagoud Kadabi59a7ff22017-03-27 21:59:51 -07002095 reg = <0x1100000 0x250000>;
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002096 reg-names = "llcc_base";
Channagoud Kadabi59a7ff22017-03-27 21:59:51 -07002097 qcom,llcc-banks-off = <0x0 0x80000 0x100000 0x180000>;
2098 qcom,llcc-broadcast-off = <0x200000>;
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002099
Kyle Yan6a20fae2017-02-14 13:34:41 -08002100 llcc: qcom,sdm845-llcc {
2101 compatible = "qcom,sdm845-llcc";
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002102 #cache-cells = <1>;
2103 max-slices = <32>;
2104 };
2105
Sankaran Nampoothiricddf47d2017-06-27 17:42:57 +05302106 qcom,llcc-perfmon {
2107 compatible = "qcom,llcc-perfmon";
2108 };
2109
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002110 qcom,llcc-erp {
2111 compatible = "qcom,llcc-erp";
Channagoud Kadabic26a8912016-11-21 13:57:20 -08002112 interrupt-names = "ecc_irq";
2113 interrupts = <GIC_SPI 582 IRQ_TYPE_LEVEL_HIGH>;
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002114 };
2115
2116 qcom,llcc-amon {
2117 compatible = "qcom,llcc-amon";
2118 };
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002119
2120 LLCC_1: llcc_1_dcache {
Channagoud Kadabi028a01d2017-09-22 13:14:32 -07002121 qcom,dump-size = <0x1141c0>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002122 };
2123
2124 LLCC_2: llcc_2_dcache {
Channagoud Kadabi028a01d2017-09-22 13:14:32 -07002125 qcom,dump-size = <0x1141c0>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002126 };
2127
2128 LLCC_3: llcc_3_dcache {
Channagoud Kadabi028a01d2017-09-22 13:14:32 -07002129 qcom,dump-size = <0x1141c0>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002130 };
2131
2132 LLCC_4: llcc_4_dcache {
Channagoud Kadabi028a01d2017-09-22 13:14:32 -07002133 qcom,dump-size = <0x1141c0>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002134 };
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002135 };
Chris Lewecef30b2016-08-22 13:52:49 -07002136
2137 qcom,ipc-spinlock@1f40000 {
2138 compatible = "qcom,ipc-spinlock-sfpb";
2139 reg = <0x1f40000 0x8000>;
2140 qcom,num-locks = <8>;
2141 };
Chris Lew05f9fb72016-08-22 13:55:10 -07002142
2143 qcom,smem@86000000 {
2144 compatible = "qcom,smem";
2145 reg = <0x86000000 0x200000>,
2146 <0x17911008 0x4>,
2147 <0x778000 0x7000>,
2148 <0x1fd4000 0x8>;
2149 reg-names = "smem", "irq-reg-base", "aux-mem1",
2150 "smem_targ_info_reg";
2151 qcom,mpu-enabled;
2152 };
Chris Lew031aed02016-08-22 13:58:59 -07002153
2154 qcom,glink-mailbox-xprt-spss@1885008 {
2155 compatible = "qcom,glink-mailbox-xprt";
2156 reg = <0x1885008 0x8>,
2157 <0x1885010 0x4>,
2158 <0x188501c 0x4>,
2159 <0x1886008 0x4>;
2160 reg-names = "mbox-loc-addr", "mbox-loc-size", "irq-reg-base",
2161 "irq-rx-reset";
2162 qcom,irq-mask = <0x1>;
2163 interrupts = <0 348 4>;
2164 label = "spss";
2165 qcom,tx-ring-size = <0x400>;
2166 qcom,rx-ring-size = <0x400>;
2167 };
Lina Iyer9f782ba2016-10-11 15:13:50 -06002168
Chris Lew72829772017-06-13 17:08:03 -07002169 qmp_aop: qcom,qmp-aop@c300000 {
Chris Lew39305592017-03-03 17:18:07 -08002170 compatible = "qcom,qmp-mbox";
2171 label = "aop";
2172 reg = <0xc300000 0x100000>,
2173 <0x1799000c 0x4>;
2174 reg-names = "msgram", "irq-reg-base";
2175 qcom,irq-mask = <0x1>;
2176 interrupts = <0 389 1>;
Chris Lew72829772017-06-13 17:08:03 -07002177 priority = <0>;
Chris Lew2a451512017-04-13 15:53:21 -07002178 mbox-desc-offset = <0x0>;
Chris Lew39305592017-03-03 17:18:07 -08002179 #mbox-cells = <1>;
2180 };
2181
Lina Iyer9f782ba2016-10-11 15:13:50 -06002182 apps_rsc: mailbox@179e0000 {
2183 compatible = "qcom,tcs-drv";
Lina Iyer1a410842017-03-21 13:52:43 -06002184 label = "apps_rsc";
Lina Iyer9f782ba2016-10-11 15:13:50 -06002185 reg = <0x179e0000 0x100>, <0x179e0d00 0x3000>;
2186 interrupts = <0 5 0>;
2187 #mbox-cells = <1>;
2188 qcom,drv-id = <2>;
Lina Iyer45df8962017-02-13 14:37:09 -07002189 qcom,tcs-config = <ACTIVE_TCS 2>,
2190 <SLEEP_TCS 3>,
2191 <WAKE_TCS 3>,
2192 <CONTROL_TCS 1>;
Lina Iyer9f782ba2016-10-11 15:13:50 -06002193 };
Lina Iyer4522ca42016-10-18 16:57:19 -06002194
2195 disp_rsc: mailbox@af20000 {
Lina Iyer4522ca42016-10-18 16:57:19 -06002196 compatible = "qcom,tcs-drv";
Lina Iyer1a410842017-03-21 13:52:43 -06002197 label = "display_rsc";
Lina Iyer4522ca42016-10-18 16:57:19 -06002198 reg = <0xaf20000 0x100>, <0xaf21c00 0x3000>;
2199 interrupts = <0 129 0>;
2200 #mbox-cells = <1>;
2201 qcom,drv-id = <0>;
2202 qcom,tcs-config = <SLEEP_TCS 1>,
2203 <WAKE_TCS 1>,
2204 <ACTIVE_TCS 0>,
2205 <CONTROL_TCS 1>;
2206 };
Lina Iyerac0d4ed2016-10-20 13:48:31 -06002207
2208 system_pm {
2209 compatible = "qcom,system-pm";
2210 mboxes = <&apps_rsc 0>;
2211 };
Karthikeyan Ramasubramanian47260462016-09-19 14:15:45 -06002212
2213 qcom,glink-smem-native-xprt-modem@86000000 {
2214 compatible = "qcom,glink-smem-native-xprt";
2215 reg = <0x86000000 0x200000>,
2216 <0x1799000c 0x4>;
2217 reg-names = "smem", "irq-reg-base";
2218 qcom,irq-mask = <0x1000>;
2219 interrupts = <GIC_SPI 449 IRQ_TYPE_EDGE_RISING>;
2220 label = "mpss";
2221 };
2222
2223 qcom,glink-smem-native-xprt-adsp@86000000 {
2224 compatible = "qcom,glink-smem-native-xprt";
2225 reg = <0x86000000 0x200000>,
2226 <0x1799000c 0x4>;
2227 reg-names = "smem", "irq-reg-base";
2228 qcom,irq-mask = <0x100>;
2229 interrupts = <GIC_SPI 156 IRQ_TYPE_EDGE_RISING>;
2230 label = "lpass";
Chris Lewa696c272017-10-02 15:27:00 -07002231 cpu-affinity = <1 2>;
Chris Lew13311dd2017-05-11 13:04:33 -07002232 qcom,qos-config = <&glink_qos_adsp>;
2233 qcom,ramp-time = <0xaf>;
2234 };
2235
2236 glink_qos_adsp: qcom,glink-qos-config-adsp {
2237 compatible = "qcom,glink-qos-config";
2238 qcom,flow-info = <0x3c 0x0>,
2239 <0x3c 0x0>,
2240 <0x3c 0x0>,
2241 <0x3c 0x0>;
2242 qcom,mtu-size = <0x800>;
2243 qcom,tput-stats-cycle = <0xa>;
Karthikeyan Ramasubramanian47260462016-09-19 14:15:45 -06002244 };
2245
2246 qcom,glink-smem-native-xprt-dsps@86000000 {
2247 compatible = "qcom,glink-smem-native-xprt";
2248 reg = <0x86000000 0x200000>,
2249 <0x1799000c 0x4>;
2250 reg-names = "smem", "irq-reg-base";
2251 qcom,irq-mask = <0x1000000>;
2252 interrupts = <GIC_SPI 170 IRQ_TYPE_EDGE_RISING>;
2253 label = "dsps";
2254 };
2255
Chris Lew5d4752f2017-05-11 13:14:30 -07002256 glink_spi_xprt_wdsp: qcom,glink-spi-xprt-wdsp {
2257 compatible = "qcom,glink-spi-xprt";
2258 label = "wdsp";
2259 qcom,remote-fifo-config = <&glink_fifo_wdsp>;
2260 qcom,qos-config = <&glink_qos_wdsp>;
2261 qcom,ramp-time = <0x10>,
2262 <0x20>,
2263 <0x30>,
2264 <0x40>;
2265 };
2266
2267 glink_fifo_wdsp: qcom,glink-fifo-config-wdsp {
2268 compatible = "qcom,glink-fifo-config";
2269 qcom,out-read-idx-reg = <0x12000>;
2270 qcom,out-write-idx-reg = <0x12004>;
2271 qcom,in-read-idx-reg = <0x1200C>;
2272 qcom,in-write-idx-reg = <0x12010>;
2273 };
2274
2275 glink_qos_wdsp: qcom,glink-qos-config-wdsp {
2276 compatible = "qcom,glink-qos-config";
2277 qcom,flow-info = <0x80 0x0>,
2278 <0x70 0x1>,
2279 <0x60 0x2>,
2280 <0x50 0x3>;
2281 qcom,mtu-size = <0x800>;
2282 qcom,tput-stats-cycle = <0xa>;
2283 };
2284
Karthikeyan Ramasubramanian47260462016-09-19 14:15:45 -06002285 qcom,glink-smem-native-xprt-cdsp@86000000 {
2286 compatible = "qcom,glink-smem-native-xprt";
2287 reg = <0x86000000 0x200000>,
2288 <0x1799000c 0x4>;
2289 reg-names = "smem", "irq-reg-base";
2290 qcom,irq-mask = <0x10>;
2291 interrupts = <GIC_SPI 574 IRQ_TYPE_EDGE_RISING>;
2292 label = "cdsp";
2293 };
Karthikeyan Ramasubramaniana0e3ff52016-09-19 14:31:36 -06002294
2295 glink_mpss: qcom,glink-ssr-modem {
2296 compatible = "qcom,glink_ssr";
2297 label = "modem";
2298 qcom,edge = "mpss";
2299 qcom,notify-edges = <&glink_lpass>, <&glink_dsps>,
2300 <&glink_cdsp>, <&glink_spss>;
2301 qcom,xprt = "smem";
2302 };
2303
2304 glink_lpass: qcom,glink-ssr-adsp {
2305 compatible = "qcom,glink_ssr";
2306 label = "adsp";
2307 qcom,edge = "lpass";
2308 qcom,notify-edges = <&glink_mpss>, <&glink_dsps>, <&glink_cdsp>;
2309 qcom,xprt = "smem";
2310 };
2311
2312 glink_dsps: qcom,glink-ssr-dsps {
2313 compatible = "qcom,glink_ssr";
2314 label = "slpi";
2315 qcom,edge = "dsps";
2316 qcom,notify-edges = <&glink_mpss>, <&glink_lpass>,
2317 <&glink_cdsp>;
2318 qcom,xprt = "smem";
2319 };
2320
2321 glink_cdsp: qcom,glink-ssr-cdsp {
2322 compatible = "qcom,glink_ssr";
2323 label = "cdsp";
2324 qcom,edge = "cdsp";
2325 qcom,notify-edges = <&glink_mpss>, <&glink_lpass>,
2326 <&glink_dsps>;
2327 qcom,xprt = "smem";
2328 };
2329
2330 glink_spss: qcom,glink-ssr-spss {
2331 compatible = "qcom,glink_ssr";
2332 label = "spss";
2333 qcom,edge = "spss";
2334 qcom,notify-edges = <&glink_mpss>;
2335 qcom,xprt = "mailbox";
2336 };
Karthikeyan Ramasubramanian8f0c1002016-09-19 15:44:53 -06002337
2338 qcom,ipc_router {
2339 compatible = "qcom,ipc_router";
2340 qcom,node-id = <1>;
2341 };
2342
2343 qcom,ipc_router_modem_xprt {
2344 compatible = "qcom,ipc_router_glink_xprt";
2345 qcom,ch-name = "IPCRTR";
2346 qcom,xprt-remote = "mpss";
2347 qcom,glink-xprt = "smem";
2348 qcom,xprt-linkid = <1>;
2349 qcom,xprt-version = <1>;
2350 qcom,fragmented-data;
2351 };
2352
2353 qcom,ipc_router_q6_xprt {
2354 compatible = "qcom,ipc_router_glink_xprt";
2355 qcom,ch-name = "IPCRTR";
2356 qcom,xprt-remote = "lpass";
2357 qcom,glink-xprt = "smem";
2358 qcom,xprt-linkid = <1>;
2359 qcom,xprt-version = <1>;
2360 qcom,fragmented-data;
2361 };
2362
2363 qcom,ipc_router_dsps_xprt {
2364 compatible = "qcom,ipc_router_glink_xprt";
2365 qcom,ch-name = "IPCRTR";
2366 qcom,xprt-remote = "dsps";
2367 qcom,glink-xprt = "smem";
2368 qcom,xprt-linkid = <1>;
2369 qcom,xprt-version = <1>;
2370 qcom,fragmented-data;
Arun Kumar Neelakantam6947b8b2017-06-29 21:39:22 +05302371 qcom,dynamic-wakeup-source;
Karthikeyan Ramasubramanian8f0c1002016-09-19 15:44:53 -06002372 };
2373
2374 qcom,ipc_router_cdsp_xprt {
2375 compatible = "qcom,ipc_router_glink_xprt";
2376 qcom,ch-name = "IPCRTR";
2377 qcom,xprt-remote = "cdsp";
2378 qcom,glink-xprt = "smem";
2379 qcom,xprt-linkid = <1>;
2380 qcom,xprt-version = <1>;
2381 qcom,fragmented-data;
2382 };
Karthikeyan Ramasubramanian608a2522016-09-19 15:50:38 -06002383
Chris Lewa342b702017-08-14 11:17:51 -07002384 qcom,qsee_ipc_irq_bridge {
2385 compatible = "qcom,qsee-ipc-irq-bridge";
2386
Chris Lew3667a9f2017-09-27 08:47:11 -07002387 qcom,qsee-ipc-irq-spss {
Chris Lewa342b702017-08-14 11:17:51 -07002388 qcom,rx-irq-clr = <0x1888008 0x4>;
2389 qcom,rx-irq-clr-mask = <0x1>;
2390 qcom,dev-name = "qsee_ipc_irq_spss";
2391 interrupts = <0 349 4>;
2392 label = "spss";
2393 };
2394 };
2395
Kineret Berger4e328852017-02-16 10:49:03 +02002396 qcom,spcom {
2397 compatible = "qcom,spcom";
2398
2399 /* predefined channels, remote side is server */
2400 qcom,spcom-ch-names = "sp_kernel", "sp_ssr";
2401 status = "ok";
2402 };
2403
Reut Zysman0be87ce2017-03-19 14:35:54 +02002404 spss_utils: qcom,spss_utils {
2405 compatible = "qcom,spss-utils";
2406 /* spss fuses physical address */
2407 qcom,spss-fuse1-addr = <0x007841c4>;
2408 qcom,spss-fuse1-bit = <27>;
2409 qcom,spss-fuse2-addr = <0x007841c4>;
2410 qcom,spss-fuse2-bit = <26>;
2411 qcom,spss-dev-firmware-name = "spss1d"; /* 8 chars max */
2412 qcom,spss-test-firmware-name = "spss1t"; /* 8 chars max */
2413 qcom,spss-prod-firmware-name = "spss1p"; /* 8 chars max */
2414 qcom,spss-debug-reg-addr = <0x01886020>;
2415 status = "ok";
2416 };
2417
Karthikeyan Ramasubramanian608a2522016-09-19 15:50:38 -06002418 qcom,glink_pkt {
2419 compatible = "qcom,glinkpkt";
2420
2421 qcom,glinkpkt-at-mdm0 {
2422 qcom,glinkpkt-transport = "smem";
2423 qcom,glinkpkt-edge = "mpss";
2424 qcom,glinkpkt-ch-name = "DS";
2425 qcom,glinkpkt-dev-name = "at_mdm0";
2426 };
2427
2428 qcom,glinkpkt-loopback_cntl {
2429 qcom,glinkpkt-transport = "lloop";
2430 qcom,glinkpkt-edge = "local";
2431 qcom,glinkpkt-ch-name = "LOCAL_LOOPBACK_CLNT";
2432 qcom,glinkpkt-dev-name = "glink_pkt_loopback_ctrl";
2433 };
2434
2435 qcom,glinkpkt-loopback_data {
2436 qcom,glinkpkt-transport = "lloop";
2437 qcom,glinkpkt-edge = "local";
2438 qcom,glinkpkt-ch-name = "glink_pkt_lloop_CLNT";
2439 qcom,glinkpkt-dev-name = "glink_pkt_loopback";
2440 };
2441
2442 qcom,glinkpkt-apr-apps2 {
2443 qcom,glinkpkt-transport = "smem";
2444 qcom,glinkpkt-edge = "adsp";
2445 qcom,glinkpkt-ch-name = "apr_apps2";
2446 qcom,glinkpkt-dev-name = "apr_apps2";
2447 };
2448
2449 qcom,glinkpkt-data40-cntl {
2450 qcom,glinkpkt-transport = "smem";
2451 qcom,glinkpkt-edge = "mpss";
2452 qcom,glinkpkt-ch-name = "DATA40_CNTL";
2453 qcom,glinkpkt-dev-name = "smdcntl8";
2454 };
2455
2456 qcom,glinkpkt-data1 {
2457 qcom,glinkpkt-transport = "smem";
2458 qcom,glinkpkt-edge = "mpss";
2459 qcom,glinkpkt-ch-name = "DATA1";
2460 qcom,glinkpkt-dev-name = "smd7";
2461 };
2462
2463 qcom,glinkpkt-data4 {
2464 qcom,glinkpkt-transport = "smem";
2465 qcom,glinkpkt-edge = "mpss";
2466 qcom,glinkpkt-ch-name = "DATA4";
2467 qcom,glinkpkt-dev-name = "smd8";
2468 };
2469
2470 qcom,glinkpkt-data11 {
2471 qcom,glinkpkt-transport = "smem";
2472 qcom,glinkpkt-edge = "mpss";
2473 qcom,glinkpkt-ch-name = "DATA11";
2474 qcom,glinkpkt-dev-name = "smd11";
2475 };
2476 };
Amir Levyca8989f2016-11-30 15:31:36 +02002477
Yan He907385d2016-11-14 17:13:30 -08002478 qcom,sps {
2479 compatible = "qcom,msm_sps_4k";
2480 qcom,pipe-attr-ee;
2481 };
2482
Abir Ghosh089b50d02017-04-27 21:40:38 -07002483 qcom,qbt1000 {
2484 compatible = "qcom,qbt1000";
2485 clock-names = "core", "iface";
2486 clock-frequency = <25000000>;
2487 qcom,ipc-gpio = <&tlmm 121 0>;
2488 qcom,finger-detect-gpio = <&pm8998_gpios 5 0>;
2489 };
2490
AnilKumar Chimatae9577f42017-04-18 22:52:12 -07002491 qcom_seecom: qseecom@86d00000 {
2492 compatible = "qcom,qseecom";
2493 reg = <0x86d00000 0x2200000>;
2494 reg-names = "secapp-region";
2495 qcom,hlos-num-ce-hw-instances = <1>;
2496 qcom,hlos-ce-hw-instance = <0>;
2497 qcom,qsee-ce-hw-instance = <0>;
2498 qcom,disk-encrypt-pipe-pair = <2>;
2499 qcom,support-fde;
2500 qcom,no-clock-support;
AnilKumar Chimataa9de12a2017-07-03 18:00:34 +05302501 qcom,fde-key-size;
AnilKumar Chimatae9577f42017-04-18 22:52:12 -07002502 qcom,msm-bus,name = "qseecom-noc";
2503 qcom,msm-bus,num-cases = <4>;
2504 qcom,msm-bus,num-paths = <1>;
2505 qcom,msm-bus,vectors-KBps =
2506 <125 512 0 0>,
2507 <125 512 200000 400000>,
2508 <125 512 300000 800000>,
2509 <125 512 400000 1000000>;
2510 clock-names = "core_clk_src", "core_clk",
2511 "iface_clk", "bus_clk";
2512 clocks = <&clock_gcc GCC_CE1_CLK>,
2513 <&clock_gcc GCC_CE1_CLK>,
2514 <&clock_gcc GCC_CE1_AHB_CLK>,
2515 <&clock_gcc GCC_CE1_AXI_CLK>;
2516 qcom,ce-opp-freq = <171430000>;
2517 qcom,qsee-reentrancy-support = <2>;
2518 };
2519
AnilKumar Chimata51e70432017-04-18 22:52:12 -07002520 qcom_rng: qrng@793000 {
2521 compatible = "qcom,msm-rng";
2522 reg = <0x793000 0x1000>;
2523 qcom,msm-rng-iface-clk;
2524 qcom,no-qrng-config;
2525 qcom,msm-bus,name = "msm-rng-noc";
2526 qcom,msm-bus,num-cases = <2>;
2527 qcom,msm-bus,num-paths = <1>;
2528 qcom,msm-bus,vectors-KBps =
2529 <1 618 0 0>, /* No vote */
Zhen Kong39570f02017-11-10 14:05:03 -08002530 <1 618 0 300000>; /* 75 MHz */
AnilKumar Chimata51e70432017-04-18 22:52:12 -07002531 clocks = <&clock_gcc GCC_PRNG_AHB_CLK>;
2532 clock-names = "iface_clk";
2533 };
2534
AnilKumar Chimatac3297842017-04-18 22:52:12 -07002535 qcom_tzlog: tz-log@146bf720 {
2536 compatible = "qcom,tz-log";
2537 reg = <0x146bf720 0x3000>;
2538 qcom,hyplog-enabled;
2539 hyplog-address-offset = <0x410>;
2540 hyplog-size-offset = <0x414>;
2541 };
2542
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002543 qcom_cedev: qcedev@1de0000 {
2544 compatible = "qcom,qcedev";
2545 reg = <0x1de0000 0x20000>,
2546 <0x1dc4000 0x24000>;
2547 reg-names = "crypto-base","crypto-bam-base";
2548 interrupts = <0 272 0>;
Zhen Kong12ce0da2017-08-31 12:33:21 -07002549 qcom,bam-pipe-pair = <3>;
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002550 qcom,ce-hw-instance = <0>;
2551 qcom,ce-device = <0>;
2552 qcom,ce-hw-shared;
2553 qcom,bam-ee = <0>;
2554 qcom,msm-bus,name = "qcedev-noc";
2555 qcom,msm-bus,num-cases = <2>;
2556 qcom,msm-bus,num-paths = <1>;
2557 qcom,msm-bus,vectors-KBps =
2558 <125 512 0 0>,
2559 <125 512 393600 393600>;
2560 clock-names = "core_clk_src", "core_clk",
2561 "iface_clk", "bus_clk";
2562 clocks = <&clock_gcc GCC_CE1_CLK>,
2563 <&clock_gcc GCC_CE1_CLK>,
2564 <&clock_gcc GCC_CE1_AHB_CLK>,
2565 <&clock_gcc GCC_CE1_AXI_CLK>;
2566 qcom,ce-opp-freq = <171430000>;
AnilKumar Chimatafb8eae42017-05-03 13:04:47 -07002567 qcom,request-bw-before-clk;
Zhen Kong12ce0da2017-08-31 12:33:21 -07002568 qcom,smmu-s1-enable;
2569 iommus = <&apps_smmu 0x706 0x1>,
2570 <&apps_smmu 0x716 0x1>;
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002571 };
2572
Tatenda Chipeperekwad1ae6b12017-07-10 12:54:29 -07002573 qcom_msmhdcp: qcom,msm_hdcp {
2574 compatible = "qcom,msm-hdcp";
2575 };
2576
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002577 qcom_crypto: qcrypto@1de0000 {
2578 compatible = "qcom,qcrypto";
2579 reg = <0x1de0000 0x20000>,
2580 <0x1dc4000 0x24000>;
2581 reg-names = "crypto-base","crypto-bam-base";
2582 interrupts = <0 272 0>;
2583 qcom,bam-pipe-pair = <2>;
2584 qcom,ce-hw-instance = <0>;
2585 qcom,ce-device = <0>;
2586 qcom,bam-ee = <0>;
2587 qcom,ce-hw-shared;
2588 qcom,clk-mgmt-sus-res;
2589 qcom,msm-bus,name = "qcrypto-noc";
2590 qcom,msm-bus,num-cases = <2>;
2591 qcom,msm-bus,num-paths = <1>;
2592 qcom,msm-bus,vectors-KBps =
2593 <125 512 0 0>,
2594 <125 512 393600 393600>;
2595 clock-names = "core_clk_src", "core_clk",
2596 "iface_clk", "bus_clk";
2597 clocks = <&clock_gcc GCC_CE1_CLK>,
2598 <&clock_gcc GCC_CE1_CLK>,
2599 <&clock_gcc GCC_CE1_AHB_CLK>,
2600 <&clock_gcc GCC_CE1_AXI_CLK>;
2601 qcom,ce-opp-freq = <171430000>;
AnilKumar Chimatafb8eae42017-05-03 13:04:47 -07002602 qcom,request-bw-before-clk;
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002603 qcom,use-sw-aes-cbc-ecb-ctr-algo;
2604 qcom,use-sw-aes-xts-algo;
2605 qcom,use-sw-aes-ccm-algo;
2606 qcom,use-sw-ahash-algo;
2607 qcom,use-sw-aead-algo;
2608 qcom,use-sw-hmac-algo;
Zhen Kong12ce0da2017-08-31 12:33:21 -07002609 qcom,smmu-s1-enable;
2610 iommus = <&apps_smmu 0x704 0x1>,
2611 <&apps_smmu 0x714 0x1>;
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002612 };
2613
Amir Levyca8989f2016-11-30 15:31:36 +02002614 qcom,msm_gsi {
2615 compatible = "qcom,msm_gsi";
2616 };
2617
Ritesh Harjani0cd528f2017-04-19 14:19:55 +05302618 qcom,rmtfs_sharedmem@0 {
2619 compatible = "qcom,sharedmem-uio";
2620 reg = <0x0 0x200000>;
2621 reg-names = "rmtfs";
2622 qcom,client-id = <0x00000001>;
Sahitya Tummalafb2ae1c2017-10-05 15:03:45 +05302623 qcom,guard-memory;
Ritesh Harjani0cd528f2017-04-19 14:19:55 +05302624 };
2625
Amir Levy9654f172016-11-30 15:33:23 +02002626 qcom,rmnet-ipa {
2627 compatible = "qcom,rmnet-ipa3";
2628 qcom,rmnet-ipa-ssr;
2629 qcom,ipa-loaduC;
2630 qcom,ipa-advertise-sg-support;
Skylar Changfdadb6e62017-04-19 15:49:52 -07002631 qcom,ipa-napi-enable;
Amir Levy9654f172016-11-30 15:33:23 +02002632 };
2633
Amir Levyca8989f2016-11-30 15:31:36 +02002634 ipa_hw: qcom,ipa@01e00000 {
2635 compatible = "qcom,ipa";
2636 reg = <0x1e00000 0x34000>,
2637 <0x1e04000 0x2c000>;
2638 reg-names = "ipa-base", "gsi-base";
2639 interrupts =
2640 <0 311 0>,
2641 <0 432 0>;
2642 interrupt-names = "ipa-irq", "gsi-irq";
2643 qcom,ipa-hw-ver = <13>; /* IPA core version = IPAv3.5.1 */
2644 qcom,ipa-hw-mode = <1>;
2645 qcom,ee = <0>;
Amir Levyca8989f2016-11-30 15:31:36 +02002646 qcom,use-ipa-tethering-bridge;
2647 qcom,modem-cfg-emb-pipe-flt;
2648 qcom,ipa-wdi2;
2649 qcom,use-64-bit-dma-mask;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002650 qcom,arm-smmu;
Himanshu Agarwal37b8a662017-11-15 11:55:08 +05302651 qcom,smmu-fast-map;
Ghanim Fodi448abca2017-03-05 18:41:27 +02002652 qcom,bandwidth-vote-for-ipa;
Amir Levyca8989f2016-11-30 15:31:36 +02002653 qcom,msm-bus,name = "ipa";
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002654 qcom,msm-bus,num-cases = <5>;
Ghanim Fodi448abca2017-03-05 18:41:27 +02002655 qcom,msm-bus,num-paths = <4>;
Amir Levyca8989f2016-11-30 15:31:36 +02002656 qcom,msm-bus,vectors-KBps =
2657 /* No vote */
2658 <90 512 0 0>,
2659 <90 585 0 0>,
2660 <1 676 0 0>,
Ghanim Fodi448abca2017-03-05 18:41:27 +02002661 <143 777 0 0>,
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002662 /* SVS2 */
2663 <90 512 80000 600000>,
2664 <90 585 80000 350000>,
2665 <1 676 40000 40000>, /*gcc_config_noc_clk_src */
2666 <143 777 0 75>, /* IB defined for IPA2X_clk in MHz*/
Amir Levyca8989f2016-11-30 15:31:36 +02002667 /* SVS */
2668 <90 512 80000 640000>,
2669 <90 585 80000 640000>,
2670 <1 676 80000 80000>,
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002671 <143 777 0 150>, /* IB defined for IPA2X_clk in MHz*/
Amir Levyca8989f2016-11-30 15:31:36 +02002672 /* NOMINAL */
2673 <90 512 206000 960000>,
2674 <90 585 206000 960000>,
2675 <1 676 206000 160000>,
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002676 <143 777 0 300>, /* IB defined for IPA2X_clk in MHz*/
Amir Levyca8989f2016-11-30 15:31:36 +02002677 /* TURBO */
2678 <90 512 206000 3600000>,
2679 <90 585 206000 3600000>,
Ghanim Fodi448abca2017-03-05 18:41:27 +02002680 <1 676 206000 300000>,
David Daic063f0f2017-07-05 11:21:21 -07002681 <143 777 0 355>; /* IB defined for IPA clk in MHz*/
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002682 qcom,bus-vector-names =
2683 "MIN", "SVS2", "SVS", "NOMINAL", "TURBO";
Amir Levyca8989f2016-11-30 15:31:36 +02002684
2685 /* IPA RAM mmap */
2686 qcom,ipa-ram-mmap = <
2687 0x280 /* ofst_start; */
2688 0x0 /* nat_ofst; */
2689 0x0 /* nat_size; */
2690 0x288 /* v4_flt_hash_ofst; */
2691 0x78 /* v4_flt_hash_size; */
2692 0x4000 /* v4_flt_hash_size_ddr; */
2693 0x308 /* v4_flt_nhash_ofst; */
2694 0x78 /* v4_flt_nhash_size; */
2695 0x4000 /* v4_flt_nhash_size_ddr; */
2696 0x388 /* v6_flt_hash_ofst; */
2697 0x78 /* v6_flt_hash_size; */
2698 0x4000 /* v6_flt_hash_size_ddr; */
2699 0x408 /* v6_flt_nhash_ofst; */
2700 0x78 /* v6_flt_nhash_size; */
2701 0x4000 /* v6_flt_nhash_size_ddr; */
2702 0xf /* v4_rt_num_index; */
2703 0x0 /* v4_modem_rt_index_lo; */
2704 0x7 /* v4_modem_rt_index_hi; */
2705 0x8 /* v4_apps_rt_index_lo; */
2706 0xe /* v4_apps_rt_index_hi; */
2707 0x488 /* v4_rt_hash_ofst; */
2708 0x78 /* v4_rt_hash_size; */
2709 0x4000 /* v4_rt_hash_size_ddr; */
2710 0x508 /* v4_rt_nhash_ofst; */
2711 0x78 /* v4_rt_nhash_size; */
2712 0x4000 /* v4_rt_nhash_size_ddr; */
2713 0xf /* v6_rt_num_index; */
2714 0x0 /* v6_modem_rt_index_lo; */
2715 0x7 /* v6_modem_rt_index_hi; */
2716 0x8 /* v6_apps_rt_index_lo; */
2717 0xe /* v6_apps_rt_index_hi; */
2718 0x588 /* v6_rt_hash_ofst; */
2719 0x78 /* v6_rt_hash_size; */
2720 0x4000 /* v6_rt_hash_size_ddr; */
2721 0x608 /* v6_rt_nhash_ofst; */
2722 0x78 /* v6_rt_nhash_size; */
2723 0x4000 /* v6_rt_nhash_size_ddr; */
2724 0x688 /* modem_hdr_ofst; */
2725 0x140 /* modem_hdr_size; */
2726 0x7c8 /* apps_hdr_ofst; */
2727 0x0 /* apps_hdr_size; */
2728 0x800 /* apps_hdr_size_ddr; */
2729 0x7d0 /* modem_hdr_proc_ctx_ofst; */
2730 0x200 /* modem_hdr_proc_ctx_size; */
2731 0x9d0 /* apps_hdr_proc_ctx_ofst; */
2732 0x200 /* apps_hdr_proc_ctx_size; */
2733 0x0 /* apps_hdr_proc_ctx_size_ddr; */
2734 0x0 /* modem_comp_decomp_ofst; diff */
2735 0x0 /* modem_comp_decomp_size; diff */
2736 0xbd8 /* modem_ofst; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002737 0x1024 /* modem_size; */
2738 0x2000 /* apps_v4_flt_hash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002739 0x0 /* apps_v4_flt_hash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002740 0x2000 /* apps_v4_flt_nhash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002741 0x0 /* apps_v4_flt_nhash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002742 0x2000 /* apps_v6_flt_hash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002743 0x0 /* apps_v6_flt_hash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002744 0x2000 /* apps_v6_flt_nhash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002745 0x0 /* apps_v6_flt_nhash_size; */
2746 0x80 /* uc_info_ofst; */
2747 0x200 /* uc_info_size; */
2748 0x2000 /* end_ofst; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002749 0x2000 /* apps_v4_rt_hash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002750 0x0 /* apps_v4_rt_hash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002751 0x2000 /* apps_v4_rt_nhash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002752 0x0 /* apps_v4_rt_nhash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002753 0x2000 /* apps_v6_rt_hash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002754 0x0 /* apps_v6_rt_hash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002755 0x2000 /* apps_v6_rt_nhash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002756 0x0 /* apps_v6_rt_nhash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002757 0x1c00 /* uc_event_ring_ofst; */
2758 0x400 /* uc_event_ring_size; */
Amir Levyca8989f2016-11-30 15:31:36 +02002759 >;
Ghanim Fodi154110e2017-04-07 19:27:15 +03002760
2761 /* smp2p gpio information */
2762 qcom,smp2pgpio_map_ipa_1_out {
2763 compatible = "qcom,smp2pgpio-map-ipa-1-out";
2764 gpios = <&smp2pgpio_ipa_1_out 0 0>;
2765 };
2766
2767 qcom,smp2pgpio_map_ipa_1_in {
2768 compatible = "qcom,smp2pgpio-map-ipa-1-in";
2769 gpios = <&smp2pgpio_ipa_1_in 0 0>;
2770 };
Ghanim Fodib8d30752017-04-08 13:41:24 +03002771
2772 ipa_smmu_ap: ipa_smmu_ap {
2773 compatible = "qcom,ipa-smmu-ap-cb";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07002774 iommus = <&apps_smmu 0x720 0x0>;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002775 qcom,iova-mapping = <0x20000000 0x40000000>;
Michael Adisumarta389894e2017-10-09 14:22:10 -07002776 qcom,additional-mapping =
2777 /* modem tables in IMEM */
2778 <0x146BD000 0x146BD000 0x2000>;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002779 };
2780
2781 ipa_smmu_wlan: ipa_smmu_wlan {
2782 compatible = "qcom,ipa-smmu-wlan-cb";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07002783 iommus = <&apps_smmu 0x721 0x0>;
Michael Adisumarta389894e2017-10-09 14:22:10 -07002784 qcom,additional-mapping =
2785 /* ipa-uc ram */
2786 <0x1E60000 0x1E60000 0x80000>;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002787 };
2788
2789 ipa_smmu_uc: ipa_smmu_uc {
2790 compatible = "qcom,ipa-smmu-uc-cb";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07002791 iommus = <&apps_smmu 0x722 0x0>;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002792 qcom,iova-mapping = <0x40000000 0x20000000>;
2793 };
Amir Levyca8989f2016-11-30 15:31:36 +02002794 };
Channagoud Kadabi39d26f22016-10-27 18:41:04 -07002795
Amir Levyf5eede22017-02-07 09:16:50 +02002796 qcom,ipa_fws {
2797 compatible = "qcom,pil-tz-generic";
2798 qcom,pas-id = <0xf>;
2799 qcom,firmware-name = "ipa_fws";
Michael Adisumarta0738b5d2017-09-25 20:44:32 -07002800 qcom,pil-force-shutdown;
Ghanim Fodicce23e02017-12-11 13:19:13 +02002801 memory-region = <&pil_ipa_fw_mem>;
Amir Levyf5eede22017-02-07 09:16:50 +02002802 };
2803
Channagoud Kadabi39d26f22016-10-27 18:41:04 -07002804 qcom,chd_sliver {
2805 compatible = "qcom,core-hang-detect";
2806 label = "silver";
2807 qcom,threshold-arr = <0x17e00058 0x17e10058
2808 0x17e20058 0x17e30058>;
2809 qcom,config-arr = <0x17e00060 0x17e10060
2810 0x17e20060 0x17e30060>;
2811 };
2812
2813 qcom,chd_gold {
2814 compatible = "qcom,core-hang-detect";
2815 label = "gold";
2816 qcom,threshold-arr = <0x17e40058 0x17e50058
2817 0x17e60058 0x17e70058>;
2818 qcom,config-arr = <0x17e40060 0x17e50060
2819 0x17e60060 0x17e70060>;
2820 };
2821
2822 qcom,ghd {
Kyle Yan5dda2452016-11-16 16:44:17 -08002823 compatible = "qcom,gladiator-hang-detect-v2";
Channagoud Kadabi39d26f22016-10-27 18:41:04 -07002824 qcom,threshold-arr = <0x1799041c 0x17990420>;
2825 qcom,config-reg = <0x17990434>;
2826 };
Mahesh Sivasubramanianf0dddb62016-10-19 14:17:44 -06002827
Kyle Yan3a641f42016-11-21 14:00:04 -08002828 qcom,msm-gladiator-v3@17900000 {
2829 compatible = "qcom,msm-gladiator-v3";
2830 reg = <0x17900000 0xd080>;
2831 reg-names = "gladiator_base";
2832 interrupts = <0 17 0>;
2833 };
2834
Mahesh Sivasubramanianf0dddb62016-10-19 14:17:44 -06002835 cmd_db: qcom,cmd-db@861e0000 {
2836 compatible = "qcom,cmd-db";
Mahesh Sivasubramaniand65a35e2017-04-28 11:18:13 -06002837 reg = <0xc3f000c 8>;
Mahesh Sivasubramanianf0dddb62016-10-19 14:17:44 -06002838 };
Satyajit Desai260bd392017-02-22 10:28:02 -08002839
2840 dcc: dcc_v2@10a2000 {
2841 compatible = "qcom,dcc_v2";
2842 reg = <0x10a2000 0x1000>,
2843 <0x10ae000 0x2000>;
2844 reg-names = "dcc-base", "dcc-ram-base";
Satyajit Desaiabf54902017-04-19 17:24:56 -07002845
2846 dcc-ram-offset = <0x6000>;
Satyajit Desai9f293262017-09-29 14:31:44 -07002847
2848 qcom,curr-link-list = <2>;
2849 qcom,link-list = <DCC_READ 0x1740300 6 0>,
2850 <DCC_READ 0x1620500 4 0>,
2851 <DCC_READ 0x7840000 1 0>,
2852 <DCC_READ 0x7841010 12 0>,
2853 <DCC_READ 0x7842000 16 0>,
2854 <DCC_READ 0x7842500 2 0>,
2855 <DCC_LOOP 7 0 0>,
2856 <DCC_READ 0x7841000 1 0>,
2857 <DCC_LOOP 1 0 0>,
2858 <DCC_LOOP 165 0 0>,
2859 <DCC_READ 0x7841008 2 0>,
2860 <DCC_LOOP 1 0 0>,
2861 <DCC_READ 0x17dc3a84 2 0>,
2862 <DCC_READ 0x17db3a84 1 0>,
2863 <DCC_READ 0x1301000 2 0>,
2864 <DCC_READ 0x17990044 1 0>,
2865 <DCC_READ 0x17d45f00 1 0>,
2866 <DCC_READ 0x17d45f08 6 0>,
2867 <DCC_READ 0x17d45f80 1 0>,
2868 <DCC_READ 0x17d47418 1 0>,
2869 <DCC_READ 0x17d47570 1 0>,
2870 <DCC_READ 0x17d47588 1 0>,
2871 <DCC_READ 0x17d43700 1 0>,
2872 <DCC_READ 0x17d43708 6 0>,
2873 <DCC_READ 0x17d43780 1 0>,
2874 <DCC_READ 0x17d44c18 1 0>,
2875 <DCC_READ 0x17d44d70 1 0>,
2876 <DCC_READ 0x17d44d88 1 0>,
2877 <DCC_READ 0x17d41700 1 0>,
2878 <DCC_READ 0x17d41708 6 0>,
2879 <DCC_READ 0x17d41780 1 0>,
2880 <DCC_READ 0x17d42c18 1 0>,
2881 <DCC_READ 0x17d42d70 1 0>,
2882 <DCC_READ 0x17d42d88 1 0>,
2883 <DCC_WRITE 0x69ea00c 0x600007 1>,
2884 <DCC_WRITE 0x69ea01c 0x136800 1>,
2885 <DCC_READ 0x69ea014 1 1>,
2886 <DCC_WRITE 0x69ea01c 0x136810 1>,
2887 <DCC_READ 0x69ea014 1 1>,
2888 <DCC_WRITE 0x69ea01c 0x136820 1>,
2889 <DCC_READ 0x69ea014 1 1>,
2890 <DCC_WRITE 0x69ea01c 0x136830 1>,
2891 <DCC_READ 0x69ea014 1 1>,
2892 <DCC_WRITE 0x69ea01c 0x136840 1>,
2893 <DCC_READ 0x69ea014 1 1>,
2894 <DCC_WRITE 0x69ea01c 0x136850 1>,
2895 <DCC_READ 0x69ea014 1 1>,
2896 <DCC_WRITE 0x69ea01c 0x136860 1>,
2897 <DCC_READ 0x69ea014 1 1>,
2898 <DCC_WRITE 0x69ea01c 0x136870 1>,
2899 <DCC_READ 0x69ea014 1 1>,
2900 <DCC_WRITE 0x069ea01C 0x0003e9a0 1>,
2901 <DCC_WRITE 0x069ea01C 0x001368a0 1>,
2902 <DCC_READ 0x069ea014 1 1>,
2903 <DCC_WRITE 0x069ea01c 0x0003c0a0 1>,
2904 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2905 <DCC_READ 0x069ea014 1 1>,
2906 <DCC_WRITE 0x069ea01c 0x0003d1a0 1>,
2907 <DCC_WRITE 0x069ea01C 0x001368a0 1>,
2908 <DCC_READ 0x069ea014 1 1>,
2909 <DCC_WRITE 0x069ea01c 0x0003d2a0 1>,
2910 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2911 <DCC_READ 0x069ea014 1 1>,
2912 <DCC_WRITE 0x069ea01C 0x0003d5a0 1>,
2913 <DCC_WRITE 0x069ea01C 0x001368a0 1>,
2914 <DCC_READ 0x069ea014 1 1>,
2915 <DCC_WRITE 0x069ea01C 0x0003d6a0 1>,
2916 <DCC_WRITE 0x069ea01C 0x001368a0 1>,
2917 <DCC_READ 0x069ea014 1 1>,
2918 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2919 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2920 <DCC_READ 0x069ea014 1 1>,
2921 <DCC_WRITE 0x069ea01c 0x0003b1a0 1>,
2922 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2923 <DCC_READ 0x069ea014 1 1>,
2924 <DCC_WRITE 0x069ea01c 0x0003b2a0 1>,
2925 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2926 <DCC_READ 0x069ea014 1 1>,
2927 <DCC_WRITE 0x069ea01c 0x0003b5a0 1>,
2928 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2929 <DCC_READ 0x069ea014 1 1>,
2930 <DCC_WRITE 0x069ea01c 0x0003b6a0 1>,
2931 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2932 <DCC_READ 0x069ea014 1 1>,
2933 <DCC_WRITE 0x069ea01c 0x0003c2a0 1>,
2934 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2935 <DCC_READ 0x069ea014 1 1>,
2936 <DCC_WRITE 0x069ea01c 0x0003c5a0 1>,
2937 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2938 <DCC_READ 0x069ea014 1 1>,
2939 <DCC_WRITE 0x069ea01c 0x0003c6a0 1>,
2940 <DCC_WRITE 0x069ea01c 0x001368a0 1>,
2941 <DCC_READ 0x069ea014 1 1>,
2942 <DCC_WRITE 0x069ea01c 0x00f1e000 1>,
2943 <DCC_WRITE 0x069ea008 0x00000007 1>,
2944 <DCC_READ 0x013e7e00 31 0>,
2945 <DCC_READ 0x01132100 1 0>,
2946 <DCC_READ 0x01136044 4 0>,
2947 <DCC_READ 0x011360b0 1 0>,
2948 <DCC_READ 0x0113e030 2 0>,
2949 <DCC_READ 0x01141000 1 0>,
2950 <DCC_READ 0x01142028 1 0>,
2951 <DCC_READ 0x01148058 4 0>,
2952 <DCC_READ 0x01160410 3 0>,
2953 <DCC_READ 0x011604a0 1 0>,
2954 <DCC_READ 0x011604b8 1 0>,
2955 <DCC_READ 0x01165804 1 0>,
2956 <DCC_READ 0x01166418 1 0>,
2957 <DCC_READ 0x011b2100 1 0>,
2958 <DCC_READ 0x011b6044 4 0>,
2959 <DCC_READ 0x011be030 2 0>,
2960 <DCC_READ 0x011c1000 1 0>,
2961 <DCC_READ 0x011c2028 1 0>,
2962 <DCC_READ 0x011c8058 4 0>,
2963 <DCC_READ 0x011e0410 3 0>,
2964 <DCC_READ 0x011e04a0 1 0>,
2965 <DCC_READ 0x011e04b8 1 0>,
2966 <DCC_READ 0x011e5804 1 0>,
2967 <DCC_READ 0x011e6418 1 0>,
2968 <DCC_READ 0x01232100 1 0>,
2969 <DCC_READ 0x01236044 4 0>,
2970 <DCC_READ 0x012360B0 1 0>,
2971 <DCC_READ 0x0123E030 2 0>,
2972 <DCC_READ 0x01241000 1 0>,
2973 <DCC_READ 0x01242028 1 0>,
2974 <DCC_READ 0x01248058 4 0>,
2975 <DCC_READ 0x01260410 3 0>,
2976 <DCC_READ 0x012604a0 1 0>,
2977 <DCC_READ 0x012604b8 1 0>,
2978 <DCC_READ 0x01265804 1 0>,
2979 <DCC_READ 0x01266418 1 0>,
2980 <DCC_READ 0x012b2100 1 0>,
2981 <DCC_READ 0x012b6044 3 0>,
2982 <DCC_READ 0x012b6050 1 0>,
2983 <DCC_READ 0x012b60b0 1 0>,
2984 <DCC_READ 0x012be030 2 0>,
2985 <DCC_READ 0x012c1000 1 0>,
2986 <DCC_READ 0x012c2028 1 0>,
2987 <DCC_READ 0x012c8058 4 0>,
2988 <DCC_READ 0x012e0410 3 0>,
2989 <DCC_READ 0x012e04a0 1 0>,
2990 <DCC_READ 0x012e04b8 1 0>,
2991 <DCC_READ 0x012e5804 1 0>,
2992 <DCC_READ 0x012e6418 1 0>,
2993 <DCC_READ 0x01380900 8 0>,
2994 <DCC_READ 0x01380d00 5 0>,
2995 <DCC_READ 0x01350110 4 0>,
2996 <DCC_READ 0x01430280 1 0>,
2997 <DCC_READ 0x01430288 1 0>,
2998 <DCC_READ 0x0143028c 7 0>,
2999 <DCC_READ 0x01132100 1 0>,
3000 <DCC_READ 0x01136044 4 0>,
3001 <DCC_READ 0x011360b0 1 0>,
3002 <DCC_READ 0x0113e030 2 0>,
3003 <DCC_READ 0x01141000 1 0>,
3004 <DCC_READ 0x01142028 1 0>,
3005 <DCC_READ 0x01148058 4 0>,
3006 <DCC_READ 0x01160410 3 0>,
3007 <DCC_READ 0x011604a0 1 0>,
3008 <DCC_READ 0x011604b8 1 0>,
3009 <DCC_READ 0x01165804 1 0>,
3010 <DCC_READ 0x01166418 1 0>,
3011 <DCC_READ 0x011b2100 1 0>,
3012 <DCC_READ 0x011b6044 4 0>,
3013 <DCC_READ 0x011be030 2 0>,
3014 <DCC_READ 0x011c1000 1 0>,
3015 <DCC_READ 0x011c2028 1 0>,
3016 <DCC_READ 0x011c8058 4 0>,
3017 <DCC_READ 0x011e0410 3 0>,
3018 <DCC_READ 0x011e04a0 1 0>,
3019 <DCC_READ 0x011e04b8 1 0>,
3020 <DCC_READ 0x011e5804 1 0>,
3021 <DCC_READ 0x011e6418 1 0>,
3022 <DCC_READ 0x01232100 1 0>,
3023 <DCC_READ 0x01236044 4 0>,
3024 <DCC_READ 0x012360b0 1 0>,
3025 <DCC_READ 0x0123e030 2 0>,
3026 <DCC_READ 0x01241000 1 0>,
3027 <DCC_READ 0x01242028 1 0>,
3028 <DCC_READ 0x01248058 4 0>,
3029 <DCC_READ 0x01260410 3 0>,
3030 <DCC_READ 0x012604a0 1 0>,
3031 <DCC_READ 0x012604b8 1 0>,
3032 <DCC_READ 0x01265804 1 0>,
3033 <DCC_READ 0x01266418 1 0>,
3034 <DCC_READ 0x012b2100 1 0>,
3035 <DCC_READ 0x012b6044 3 0>,
3036 <DCC_READ 0x012b6050 1 0>,
3037 <DCC_READ 0x012b60b0 1 0>,
3038 <DCC_READ 0x012be030 2 0>,
3039 <DCC_READ 0x012C1000 1 0>,
3040 <DCC_READ 0x012C2028 1 0>,
3041 <DCC_READ 0x012C8058 4 0>,
3042 <DCC_READ 0x012e0410 3 0>,
3043 <DCC_READ 0x012e04a0 1 0>,
3044 <DCC_READ 0x012e04b8 1 0>,
3045 <DCC_READ 0x012e5804 1 0>,
3046 <DCC_READ 0x012e6418 1 0>,
3047 <DCC_READ 0x01380900 8 0>,
3048 <DCC_READ 0x01380d00 5 0>,
3049 <DCC_READ 0x01350110 4 0>,
3050 <DCC_READ 0x01430280 1 0>,
3051 <DCC_READ 0x01430288 1 0>,
3052 <DCC_READ 0x0143028c 7 0>,
3053 <DCC_READ 0x0c201244 1 0>,
3054 <DCC_READ 0x0c202244 1 0>;
Satyajit Desai260bd392017-02-22 10:28:02 -08003055 };
Syed Rameez Mustafa38ae7732017-03-29 14:55:38 -07003056
3057 qcom,msm-core@780000 {
3058 compatible = "qcom,apss-core-ea";
3059 reg = <0x780000 0x1000>;
3060 };
Yuanyuan Liu2da2cc02017-04-05 18:02:58 -07003061
3062 qcom,icnss@18800000 {
3063 compatible = "qcom,icnss";
3064 reg = <0x18800000 0x800000>,
3065 <0xa0000000 0x10000000>,
3066 <0xb0000000 0x10000>;
3067 reg-names = "membase", "smmu_iova_base", "smmu_iova_ipa";
Patrick Daly0bfea052017-04-18 16:44:07 -07003068 iommus = <&apps_smmu 0x0040 0x1>;
Yuanyuan Liu2da2cc02017-04-05 18:02:58 -07003069 interrupts = <0 414 0 /* CE0 */ >,
3070 <0 415 0 /* CE1 */ >,
3071 <0 416 0 /* CE2 */ >,
3072 <0 417 0 /* CE3 */ >,
3073 <0 418 0 /* CE4 */ >,
3074 <0 419 0 /* CE5 */ >,
3075 <0 420 0 /* CE6 */ >,
3076 <0 421 0 /* CE7 */ >,
3077 <0 422 0 /* CE8 */ >,
3078 <0 423 0 /* CE9 */ >,
3079 <0 424 0 /* CE10 */ >,
3080 <0 425 0 /* CE11 */ >;
3081 qcom,wlan-msa-memory = <0x100000>;
Sameer Thalappil167e3f92017-12-15 15:04:58 -08003082 qcom,gpio-force-fatal-error = <&smp2pgpio_wlan_1_in 0 0>;
Sameer Thalappild45eaa42018-02-06 17:18:52 -08003083 qcom,gpio-early-crash-ind = <&smp2pgpio_wlan_1_in 1 0>;
Yuanyuan Liu5438b742017-05-09 17:44:47 -07003084
3085 vdd-0.8-cx-mx-supply = <&pm8998_l5>;
3086 vdd-1.8-xo-supply = <&pm8998_l7>;
3087 vdd-1.3-rfa-supply = <&pm8998_l17>;
3088 vdd-3.3-ch0-supply = <&pm8998_l25>;
3089 qcom,vdd-0.8-cx-mx-config = <800000 800000>;
3090 qcom,vdd-3.3-ch0-config = <3104000 3312000>;
Yuanyuan Liu2da2cc02017-04-05 18:02:58 -07003091 };
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003092
Manaf Meethalavalappu Pallikunhi5849bae2017-06-29 15:47:17 +05303093 qmi-tmd-devices {
3094 compatible = "qcom,qmi_cooling_devices";
3095
3096 modem {
3097 qcom,instance-id = <0x0>;
3098
3099 modem_pa: modem_pa {
3100 qcom,qmi-dev-name = "pa";
3101 #cooling-cells = <2>;
3102 };
3103
3104 modem_proc: modem_proc {
3105 qcom,qmi-dev-name = "modem";
3106 #cooling-cells = <2>;
3107 };
3108
3109 modem_current: modem_current {
3110 qcom,qmi-dev-name = "modem_current";
3111 #cooling-cells = <2>;
3112 };
3113
Ram Chandrasekar8a678712017-09-13 16:06:09 -06003114 modem_skin: modem_skin {
3115 qcom,qmi-dev-name = "modem_skin";
3116 #cooling-cells = <2>;
3117 };
3118
Manaf Meethalavalappu Pallikunhi5849bae2017-06-29 15:47:17 +05303119 modem_vdd: modem_vdd {
3120 qcom,qmi-dev-name = "cpuv_restriction_cold";
3121 #cooling-cells = <2>;
3122 };
3123 };
3124
3125 adsp {
3126 qcom,instance-id = <0x1>;
3127
3128 adsp_vdd: adsp_vdd {
3129 qcom,qmi-dev-name = "cpuv_restriction_cold";
3130 #cooling-cells = <2>;
3131 };
3132 };
3133
3134 cdsp {
3135 qcom,instance-id = <0x43>;
3136
3137 cdsp_vdd: cdsp_vdd {
3138 qcom,qmi-dev-name = "cpuv_restriction_cold";
3139 #cooling-cells = <2>;
3140 };
3141 };
3142
3143 slpi {
3144 qcom,instance-id = <0x53>;
3145
3146 slpi_vdd: slpi_vdd {
3147 qcom,qmi-dev-name = "cpuv_restriction_cold";
3148 #cooling-cells = <2>;
3149 };
3150 };
3151 };
3152
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003153 thermal_zones: thermal-zones {
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003154 aoss0-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003155 polling-delay-passive = <0>;
3156 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003157 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003158 thermal-sensors = <&tsens0 0>;
3159 trips {
3160 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003161 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003162 hysteresis = <1000>;
3163 type = "passive";
3164 };
3165 };
3166 };
3167
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003168 cpu0-silver-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003169 polling-delay-passive = <0>;
3170 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003171 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003172 thermal-sensors = <&tsens0 1>;
3173 trips {
3174 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003175 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003176 hysteresis = <1000>;
3177 type = "passive";
3178 };
3179 };
3180 };
3181
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003182 cpu1-silver-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003183 polling-delay-passive = <0>;
3184 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003185 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003186 thermal-sensors = <&tsens0 2>;
3187 trips {
3188 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003189 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003190 hysteresis = <1000>;
3191 type = "passive";
3192 };
3193 };
3194 };
3195
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003196 cpu2-silver-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003197 polling-delay-passive = <0>;
3198 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003199 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003200 thermal-sensors = <&tsens0 3>;
3201 trips {
3202 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003203 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003204 hysteresis = <1000>;
3205 type = "passive";
3206 };
3207 };
3208 };
3209
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003210 cpu3-silver-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003211 polling-delay-passive = <0>;
3212 polling-delay = <0>;
3213 thermal-sensors = <&tsens0 4>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003214 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003215 trips {
3216 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003217 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003218 hysteresis = <1000>;
3219 type = "passive";
3220 };
3221 };
3222 };
3223
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003224 kryo-l3-0-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003225 polling-delay-passive = <0>;
3226 polling-delay = <0>;
3227 thermal-sensors = <&tsens0 5>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003228 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003229 trips {
3230 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003231 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003232 hysteresis = <1000>;
3233 type = "passive";
3234 };
3235 };
3236 };
3237
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003238 kryo-l3-1-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003239 polling-delay-passive = <0>;
3240 polling-delay = <0>;
3241 thermal-sensors = <&tsens0 6>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003242 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003243 trips {
3244 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003245 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003246 hysteresis = <1000>;
3247 type = "passive";
3248 };
3249 };
3250 };
3251
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003252 cpu0-gold-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003253 polling-delay-passive = <0>;
3254 polling-delay = <0>;
3255 thermal-sensors = <&tsens0 7>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003256 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003257 trips {
3258 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003259 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003260 hysteresis = <1000>;
3261 type = "passive";
3262 };
3263 };
3264 };
3265
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003266 cpu1-gold-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003267 polling-delay-passive = <0>;
3268 polling-delay = <0>;
3269 thermal-sensors = <&tsens0 8>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003270 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003271 trips {
3272 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003273 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003274 hysteresis = <1000>;
3275 type = "passive";
3276 };
3277 };
3278 };
3279
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003280 cpu2-gold-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003281 polling-delay-passive = <0>;
3282 polling-delay = <0>;
3283 thermal-sensors = <&tsens0 9>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003284 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003285 trips {
3286 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003287 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003288 hysteresis = <1000>;
3289 type = "passive";
3290 };
3291 };
3292 };
3293
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003294 cpu3-gold-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003295 polling-delay-passive = <0>;
3296 polling-delay = <0>;
3297 thermal-sensors = <&tsens0 10>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003298 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003299 trips {
3300 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003301 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003302 hysteresis = <1000>;
3303 type = "passive";
3304 };
3305 };
3306 };
3307
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003308 gpu0-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003309 polling-delay-passive = <0>;
3310 polling-delay = <0>;
3311 thermal-sensors = <&tsens0 11>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003312 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003313 trips {
3314 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003315 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003316 hysteresis = <1000>;
3317 type = "passive";
3318 };
3319 };
3320 };
3321
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003322 gpu1-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003323 polling-delay-passive = <0>;
3324 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003325 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003326 thermal-sensors = <&tsens0 12>;
3327 trips {
3328 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003329 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003330 hysteresis = <1000>;
3331 type = "passive";
3332 };
3333 };
3334 };
3335
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003336 aoss1-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003337 polling-delay-passive = <0>;
3338 polling-delay = <0>;
3339 thermal-sensors = <&tsens1 0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003340 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003341 trips {
3342 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003343 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003344 hysteresis = <1000>;
3345 type = "passive";
3346 };
3347 };
3348 };
3349
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003350 mdm-dsp-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003351 polling-delay-passive = <0>;
3352 polling-delay = <0>;
3353 thermal-sensors = <&tsens1 1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003354 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003355 trips {
3356 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003357 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003358 hysteresis = <1000>;
3359 type = "passive";
3360 };
3361 };
3362 };
3363
3364
3365
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003366 ddr-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003367 polling-delay-passive = <0>;
3368 polling-delay = <0>;
3369 thermal-sensors = <&tsens1 2>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003370 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003371 trips {
3372 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003373 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003374 hysteresis = <1000>;
3375 type = "passive";
3376 };
3377 };
3378 };
3379
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003380 wlan-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003381 polling-delay-passive = <0>;
3382 polling-delay = <0>;
3383 thermal-sensors = <&tsens1 3>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003384 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003385 trips {
3386 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003387 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003388 hysteresis = <1000>;
3389 type = "passive";
3390 };
3391 };
3392 };
3393
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003394 compute-hvx-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003395 polling-delay-passive = <0>;
3396 polling-delay = <0>;
3397 thermal-sensors = <&tsens1 4>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003398 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003399 trips {
3400 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003401 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003402 hysteresis = <1000>;
3403 type = "passive";
3404 };
3405 };
3406 };
3407
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003408 camera-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003409 polling-delay-passive = <0>;
3410 polling-delay = <0>;
3411 thermal-sensors = <&tsens1 5>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003412 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003413 trips {
3414 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003415 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003416 hysteresis = <1000>;
3417 type = "passive";
3418 };
3419 };
3420 };
3421
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003422 mmss-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003423 polling-delay-passive = <0>;
3424 polling-delay = <0>;
3425 thermal-sensors = <&tsens1 6>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003426 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003427 trips {
3428 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003429 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003430 hysteresis = <1000>;
3431 type = "passive";
3432 };
3433 };
3434 };
3435
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003436 mdm-core-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003437 polling-delay-passive = <0>;
3438 polling-delay = <0>;
3439 thermal-sensors = <&tsens1 7>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003440 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003441 trips {
3442 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003443 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003444 hysteresis = <1000>;
3445 type = "passive";
3446 };
3447 };
3448 };
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003449
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003450 gpu-virt-max-step {
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003451 polling-delay-passive = <10>;
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003452 polling-delay = <100>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003453 thermal-governor = "step_wise";
3454 trips {
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003455 gpu_trip0: gpu-trip0 {
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003456 temperature = <95000>;
3457 hysteresis = <0>;
3458 type = "passive";
3459 };
3460 };
3461 cooling-maps {
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003462 gpu_cdev0 {
3463 trip = <&gpu_trip0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003464 cooling-device =
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003465 <&msm_gpu 0 THERMAL_NO_LIMIT>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003466 };
3467 };
3468 };
3469
Ram Chandrasekardebcd412017-06-23 13:47:38 -06003470 silv-virt-max-step {
3471 polling-delay-passive = <0>;
3472 polling-delay = <0>;
3473 thermal-governor = "step_wise";
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003474 trips {
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003475 silver-trip {
3476 temperature = <120000>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003477 hysteresis = <0>;
3478 type = "passive";
3479 };
3480 };
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003481 };
3482
Ram Chandrasekardebcd412017-06-23 13:47:38 -06003483 gold-virt-max-step {
3484 polling-delay-passive = <0>;
3485 polling-delay = <0>;
3486 thermal-governor = "step_wise";
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003487 trips {
3488 gold-trip {
3489 temperature = <120000>;
3490 hysteresis = <0>;
3491 type = "passive";
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003492 };
3493 };
3494 };
3495
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003496 pop-mem-step {
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003497 polling-delay-passive = <10>;
3498 polling-delay = <0>;
3499 thermal-sensors = <&tsens1 2>;
3500 thermal-governor = "step_wise";
3501 trips {
3502 pop_trip: pop-trip {
3503 temperature = <95000>;
3504 hysteresis = <0>;
3505 type = "passive";
3506 };
3507 };
3508 cooling-maps {
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003509 pop_cdev4 {
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003510 trip = <&pop_trip>;
3511 cooling-device =
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003512 <&CPU4 THERMAL_NO_LIMIT
3513 (THERMAL_MAX_LIMIT-1)>;
3514 };
3515 pop_cdev5 {
3516 trip = <&pop_trip>;
3517 cooling-device =
3518 <&CPU5 THERMAL_NO_LIMIT
3519 (THERMAL_MAX_LIMIT-1)>;
3520 };
3521 pop_cdev6 {
3522 trip = <&pop_trip>;
3523 cooling-device =
3524 <&CPU6 THERMAL_NO_LIMIT
3525 (THERMAL_MAX_LIMIT-1)>;
3526 };
3527 pop_cdev7 {
3528 trip = <&pop_trip>;
3529 cooling-device =
3530 <&CPU7 THERMAL_NO_LIMIT
3531 (THERMAL_MAX_LIMIT-1)>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003532 };
3533 };
3534 };
3535
Ram Chandrasekarb9880e42017-11-17 16:19:27 -07003536 cpu0-silver-step {
3537 polling-delay-passive = <100>;
3538 polling-delay = <0>;
3539 thermal-sensors = <&tsens0 1>;
3540 thermal-governor = "step_wise";
3541 trips {
3542 emerg_config0: emerg-config0 {
3543 temperature = <110000>;
3544 hysteresis = <10000>;
3545 type = "passive";
3546 };
3547 };
3548 cooling-maps {
3549 emerg_cdev0 {
3550 trip = <&emerg_config0>;
3551 cooling-device =
3552 <&CPU0 THERMAL_MAX_LIMIT
3553 THERMAL_MAX_LIMIT>;
3554 };
3555 };
3556 };
3557
3558 cpu1-silver-step {
3559 polling-delay-passive = <100>;
3560 polling-delay = <0>;
3561 thermal-sensors = <&tsens0 2>;
3562 thermal-governor = "step_wise";
3563 trips {
3564 emerg_config1: emerg-config1 {
3565 temperature = <110000>;
3566 hysteresis = <10000>;
3567 type = "passive";
3568 };
3569 };
3570 cooling-maps {
3571 emerg_cdev1 {
3572 trip = <&emerg_config1>;
3573 cooling-device =
3574 <&CPU1 THERMAL_MAX_LIMIT
3575 THERMAL_MAX_LIMIT>;
3576 };
3577 };
3578 };
3579
3580 cpu2-silver-step {
3581 polling-delay-passive = <100>;
3582 polling-delay = <0>;
3583 thermal-sensors = <&tsens0 3>;
3584 thermal-governor = "step_wise";
3585 trips {
3586 emerg_config2: emerg-config2 {
3587 temperature = <110000>;
3588 hysteresis = <10000>;
3589 type = "passive";
3590 };
3591 };
3592 cooling-maps {
3593 emerg_cdev2 {
3594 trip = <&emerg_config2>;
3595 cooling-device =
3596 <&CPU2 THERMAL_MAX_LIMIT
3597 THERMAL_MAX_LIMIT>;
3598 };
3599 };
3600 };
3601
3602 cpu3-silver-step {
3603 polling-delay-passive = <100>;
3604 polling-delay = <0>;
3605 thermal-sensors = <&tsens0 4>;
3606 thermal-governor = "step_wise";
3607 trips {
3608 emerg_config3: emerg-config3 {
3609 temperature = <110000>;
3610 hysteresis = <10000>;
3611 type = "passive";
3612 };
3613 };
3614 cooling-maps {
3615 emerg_cdev3 {
3616 trip = <&emerg_config3>;
3617 cooling-device =
3618 <&CPU3 THERMAL_MAX_LIMIT
3619 THERMAL_MAX_LIMIT>;
3620 };
3621 };
3622 };
3623
3624 cpu0-gold-step {
3625 polling-delay-passive = <100>;
3626 polling-delay = <0>;
3627 thermal-sensors = <&tsens0 7>;
3628 thermal-governor = "step_wise";
3629 trips {
3630 emerg_config4: emerg-config4 {
3631 temperature = <110000>;
3632 hysteresis = <10000>;
3633 type = "passive";
3634 };
3635 };
3636 cooling-maps {
3637 emerg_cdev4 {
3638 trip = <&emerg_config4>;
3639 cooling-device =
3640 <&CPU4 THERMAL_MAX_LIMIT
3641 THERMAL_MAX_LIMIT>;
3642 };
3643 };
3644 };
3645
3646 cpu1-gold-step {
3647 polling-delay-passive = <100>;
3648 polling-delay = <0>;
3649 thermal-sensors = <&tsens0 8>;
3650 thermal-governor = "step_wise";
3651 trips {
3652 emerg_config5: emerg-config5 {
3653 temperature = <110000>;
3654 hysteresis = <10000>;
3655 type = "passive";
3656 };
3657 };
3658 cooling-maps {
3659 emerg_cdev5 {
3660 trip = <&emerg_config5>;
3661 cooling-device =
3662 <&CPU5 THERMAL_MAX_LIMIT
3663 THERMAL_MAX_LIMIT>;
3664 };
3665 };
3666 };
3667
3668 cpu2-gold-step {
3669 polling-delay-passive = <100>;
3670 polling-delay = <0>;
3671 thermal-sensors = <&tsens0 9>;
3672 thermal-governor = "step_wise";
3673 trips {
3674 emerg_config6: emerg-config6 {
3675 temperature = <110000>;
3676 hysteresis = <10000>;
3677 type = "passive";
3678 };
3679 };
3680 cooling-maps {
3681 emerg_cdev6 {
3682 trip = <&emerg_config6>;
3683 cooling-device =
3684 <&CPU6 THERMAL_MAX_LIMIT
3685 THERMAL_MAX_LIMIT>;
3686 };
3687 };
3688 };
3689
3690 cpu3-gold-step {
3691 polling-delay-passive = <100>;
3692 polling-delay = <0>;
3693 thermal-sensors = <&tsens0 10>;
3694 thermal-governor = "step_wise";
3695 trips {
3696 emerg_config7: emerg-config7 {
3697 temperature = <110000>;
3698 hysteresis = <10000>;
3699 type = "passive";
3700 };
3701 };
3702 cooling-maps {
3703 emerg_cdev7 {
3704 trip = <&emerg_config7>;
3705 cooling-device =
3706 <&CPU7 THERMAL_MAX_LIMIT
3707 THERMAL_MAX_LIMIT>;
3708 };
3709 };
3710 };
3711
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003712 lmh-dcvs-01 {
3713 polling-delay-passive = <0>;
3714 polling-delay = <0>;
3715 thermal-governor = "user_space";
3716 thermal-sensors = <&lmh_dcvs1>;
3717
3718 trips {
3719 active-config {
3720 temperature = <95000>;
3721 hysteresis = <30000>;
3722 type = "passive";
3723 };
3724 };
3725 };
3726
3727 lmh-dcvs-00 {
3728 polling-delay-passive = <0>;
3729 polling-delay = <0>;
3730 thermal-governor = "user_space";
3731 thermal-sensors = <&lmh_dcvs0>;
3732
3733 trips {
3734 active-config {
3735 temperature = <95000>;
3736 hysteresis = <30000>;
3737 type = "passive";
3738 };
3739 };
3740 };
3741
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003742 };
3743
3744 tsens0: tsens@c222000 {
3745 compatible = "qcom,sdm845-tsens";
3746 reg = <0xc222000 0x4>,
3747 <0xc263000 0x1ff>;
3748 reg-names = "tsens_srot_physical",
3749 "tsens_tm_physical";
3750 interrupts = <0 506 0>, <0 508 0>;
3751 interrupt-names = "tsens-upper-lower", "tsens-critical";
3752 #thermal-sensor-cells = <1>;
3753 };
3754
3755 tsens1: tsens@c223000 {
3756 compatible = "qcom,sdm845-tsens";
3757 reg = <0xc223000 0x4>,
3758 <0xc265000 0x1ff>;
3759 reg-names = "tsens_srot_physical",
3760 "tsens_tm_physical";
3761 interrupts = <0 507 0>, <0 509 0>;
3762 interrupt-names = "tsens-upper-lower", "tsens-critical";
3763 #thermal-sensor-cells = <1>;
3764 };
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003765
Satyajit Desai89c4e2e2017-05-11 19:34:47 -07003766 mem_dump {
3767 compatible = "qcom,mem-dump";
3768 memory-region = <&dump_mem>;
3769
3770 rpmh_dump {
3771 qcom,dump-size = <0x2000000>;
3772 qcom,dump-id = <0xec>;
3773 };
3774
Channagoud Kadabi1b95f202017-11-06 11:38:23 -08003775 fcm_dump {
Channagoud Kadabi59b19152017-12-21 10:38:02 -08003776 qcom,dump-size = <0x8400>;
Channagoud Kadabi1b95f202017-11-06 11:38:23 -08003777 qcom,dump-id = <0xee>;
3778 };
3779
Satyajit Desai89c4e2e2017-05-11 19:34:47 -07003780 rpm_sw_dump {
3781 qcom,dump-size = <0x28000>;
3782 qcom,dump-id = <0xea>;
3783 };
3784
3785 pmic_dump {
3786 qcom,dump-size = <0x10000>;
3787 qcom,dump-id = <0xe4>;
3788 };
3789
3790 tmc_etf_dump {
3791 qcom,dump-size = <0x10000>;
3792 qcom,dump-id = <0xf0>;
3793 };
3794
3795 tmc_etf_swao_dump {
3796 qcom,dump-size = <0x8400>;
3797 qcom,dump-id = <0xf1>;
3798 };
3799
Satyajit Desai99df43f2017-05-25 17:49:54 -07003800 tmc_etr_reg_dump {
3801 qcom,dump-size = <0x1000>;
3802 qcom,dump-id = <0x100>;
3803 };
3804
3805 tmc_etf_reg_dump {
3806 qcom,dump-size = <0x1000>;
3807 qcom,dump-id = <0x101>;
3808 };
3809
3810 tmc_etf_swao_reg_dump {
3811 qcom,dump-size = <0x1000>;
3812 qcom,dump-id = <0x102>;
3813 };
3814
Satyajit Desai89c4e2e2017-05-11 19:34:47 -07003815 misc_data_dump {
3816 qcom,dump-size = <0x1000>;
3817 qcom,dump-id = <0xe8>;
3818 };
Satyajit Desai6729c4a2017-10-26 15:22:41 -07003819
3820 tpdm_swao_dump {
3821 qcom,dump-size = <0x512>;
3822 qcom,dump-id = <0xf2>;
3823 };
Satyajit Desai89c4e2e2017-05-11 19:34:47 -07003824 };
3825
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003826 gpi_dma0: qcom,gpi-dma@0x800000 {
Sujeev Diasdfe09e12017-08-31 18:31:04 -07003827 #dma-cells = <5>;
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003828 compatible = "qcom,gpi-dma";
3829 reg = <0x800000 0x60000>;
3830 reg-names = "gpi-top";
3831 interrupts = <0 244 0>, <0 245 0>, <0 246 0>, <0 247 0>,
3832 <0 248 0>, <0 249 0>, <0 250 0>, <0 251 0>,
3833 <0 252 0>, <0 253 0>, <0 254 0>, <0 255 0>,
3834 <0 256 0>;
3835 qcom,max-num-gpii = <13>;
3836 qcom,gpii-mask = <0xfa>;
3837 qcom,ev-factor = <2>;
3838 iommus = <&apps_smmu 0x0016 0x0>;
Sujeev Dias69484212017-08-31 10:06:53 -07003839 qcom,smmu-cfg = <0x1>;
3840 qcom,iova-range = <0x0 0x100000 0x0 0x100000>;
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003841 status = "ok";
3842 };
3843
3844 gpi_dma1: qcom,gpi-dma@0xa00000 {
Sujeev Diasdfe09e12017-08-31 18:31:04 -07003845 #dma-cells = <5>;
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003846 compatible = "qcom,gpi-dma";
3847 reg = <0xa00000 0x60000>;
3848 reg-names = "gpi-top";
3849 interrupts = <0 279 0>, <0 280 0>, <0 281 0>, <0 282 0>,
3850 <0 283 0>, <0 284 0>, <0 293 0>, <0 294 0>,
3851 <0 295 0>, <0 296 0>, <0 297 0>, <0 298 0>,
3852 <0 299 0>;
3853 qcom,max-num-gpii = <13>;
3854 qcom,gpii-mask = <0xfa>;
3855 qcom,ev-factor = <2>;
3856 iommus = <&apps_smmu 0x06d6 0x0>;
Sujeev Dias69484212017-08-31 10:06:53 -07003857 qcom,smmu-cfg = <0x1>;
3858 qcom,iova-range = <0x0 0x100000 0x0 0x100000>;
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003859 status = "ok";
3860 };
Udaya Bhaskara Reddy Mallavarapu55ef0cb2017-06-12 14:45:28 +05303861
3862 tspp: msm_tspp@0x8880000 {
3863 compatible = "qcom,msm_tspp";
3864 reg = <0x088a7000 0x200>, /* MSM_TSIF0_PHYS */
3865 <0x088a8000 0x200>, /* MSM_TSIF1_PHYS */
3866 <0x088a9000 0x1000>, /* MSM_TSPP_PHYS */
3867 <0x08884000 0x23000>; /* MSM_TSPP_BAM_PHYS */
3868 reg-names = "MSM_TSIF0_PHYS",
3869 "MSM_TSIF1_PHYS",
3870 "MSM_TSPP_PHYS",
3871 "MSM_TSPP_BAM_PHYS";
3872 interrupts = <0 121 0>, /* TSIF_TSPP_IRQ */
3873 <0 119 0>, /* TSIF0_IRQ */
3874 <0 120 0>, /* TSIF1_IRQ */
3875 <0 122 0>; /* TSIF_BAM_IRQ */
3876 interrupt-names = "TSIF_TSPP_IRQ",
3877 "TSIF0_IRQ",
3878 "TSIF1_IRQ",
3879 "TSIF_BAM_IRQ";
3880
3881 clock-names = "iface_clk", "ref_clk";
3882 clocks = <&clock_gcc GCC_TSIF_AHB_CLK>,
3883 <&clock_gcc GCC_TSIF_REF_CLK>;
3884
3885 qcom,msm-bus,name = "tsif";
3886 qcom,msm-bus,num-cases = <2>;
3887 qcom,msm-bus,num-paths = <1>;
3888 qcom,msm-bus,vectors-KBps =
3889 <82 512 0 0>, /* No vote */
3890 <82 512 12288 24576>;
3891 /* Max. bandwidth, 2xTSIF, each max of 96Mbps */
3892
3893 pinctrl-names = "disabled",
3894 "tsif0-mode1", "tsif0-mode2",
3895 "tsif1-mode1", "tsif1-mode2",
3896 "dual-tsif-mode1", "dual-tsif-mode2";
3897
3898 pinctrl-0 = <>; /* disabled */
3899 pinctrl-1 = <&tsif0_signals_active>; /* tsif0-mode1 */
3900 pinctrl-2 = <&tsif0_signals_active
3901 &tsif0_sync_active>; /* tsif0-mode2 */
3902 pinctrl-3 = <&tsif1_signals_active>; /* tsif1-mode1 */
3903 pinctrl-4 = <&tsif1_signals_active
3904 &tsif1_sync_active>; /* tsif1-mode2 */
3905 pinctrl-5 = <&tsif0_signals_active
3906 &tsif1_signals_active>; /* dual-tsif-mode1 */
3907 pinctrl-6 = <&tsif0_signals_active
3908 &tsif0_sync_active
3909 &tsif1_signals_active
3910 &tsif1_sync_active>; /* dual-tsif-mode2 */
Udaya Bhaskara Reddy Mallavarapu07bd0732017-07-27 16:37:54 +05303911
3912 qcom,smmu-s1-bypass;
3913 iommus = <&apps_smmu 0x20 0x0f>;
Udaya Bhaskara Reddy Mallavarapu55ef0cb2017-06-12 14:45:28 +05303914 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -07003915};
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003916
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003917&clock_cpucc {
3918 lmh_dcvs0: qcom,limits-dcvs@0 {
3919 compatible = "qcom,msm-hw-limits";
Ram Chandrasekar2d996582017-05-05 12:02:07 -06003920 interrupts = <GIC_SPI 32 IRQ_TYPE_LEVEL_HIGH>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003921 qcom,affinity = <0>;
3922 #thermal-sensor-cells = <0>;
3923 };
3924
3925 lmh_dcvs1: qcom,limits-dcvs@1 {
3926 compatible = "qcom,msm-hw-limits";
Ram Chandrasekar2d996582017-05-05 12:02:07 -06003927 interrupts = <GIC_SPI 33 IRQ_TYPE_LEVEL_HIGH>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003928 qcom,affinity = <1>;
3929 #thermal-sensor-cells = <0>;
Ram Chandrasekar302184f2017-08-14 11:27:14 -06003930 isens_vref-supply = <&pm8998_l1_ao>;
3931 isens-vref-settings = <880000 880000 20000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003932 };
Maya Erez6e14acb2017-05-16 09:59:02 +03003933
3934 wil6210: qcom,wil6210 {
3935 compatible = "qcom,wil6210";
3936 qcom,pcie-parent = <&pcie0>;
3937 qcom,wigig-en = <&tlmm 39 0>;
3938 qcom,msm-bus,name = "wil6210";
3939 qcom,msm-bus,num-cases = <2>;
3940 qcom,msm-bus,num-paths = <1>;
3941 qcom,msm-bus,vectors-KBps =
3942 <45 512 0 0>,
3943 <45 512 600000 800000>; /* ~4.6Gbps (MCS12) */
3944 qcom,use-ext-supply;
3945 vdd-supply= <&pm8998_s7>;
3946 vddio-supply= <&pm8998_s5>;
3947 qcom,use-ext-clocks;
3948 clocks = <&clock_rpmh RPMH_RF_CLK3>,
3949 <&clock_rpmh RPMH_RF_CLK3_A>;
3950 clock-names = "rf_clk3_clk", "rf_clk3_pin_clk";
3951 qcom,smmu-support;
Alexei Avshalom Lazare6a2ffc2017-09-24 14:12:42 +03003952 qcom,smmu-mapping = <0x20000000 0xe0000000>;
3953 qcom,smmu-s1-en;
3954 qcom,smmu-fast-map;
3955 qcom,smmu-coherent;
Maya Erezdea3d792017-06-08 09:20:07 +03003956 qcom,keep-radio-on-during-sleep;
Maya Erez6e14acb2017-05-16 09:59:02 +03003957 status = "disabled";
3958 };
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003959};
3960
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003961&pcie_0_gdsc {
3962 status = "ok";
3963};
3964
3965&pcie_1_gdsc {
3966 status = "ok";
3967};
3968
3969&ufs_card_gdsc {
3970 status = "ok";
3971};
3972
3973&ufs_phy_gdsc {
3974 status = "ok";
3975};
3976
3977&usb30_prim_gdsc {
3978 status = "ok";
3979};
3980
3981&usb30_sec_gdsc {
3982 status = "ok";
3983};
3984
3985&hlos1_vote_aggre_noc_mmu_audio_tbu_gdsc {
3986 status = "ok";
3987};
3988
3989&hlos1_vote_aggre_noc_mmu_pcie_tbu_gdsc {
3990 status = "ok";
3991};
3992
3993&hlos1_vote_aggre_noc_mmu_tbu1_gdsc {
3994 status = "ok";
3995};
3996
3997&hlos1_vote_aggre_noc_mmu_tbu2_gdsc {
3998 status = "ok";
3999};
4000
4001&hlos1_vote_mmnoc_mmu_tbu_hf0_gdsc {
4002 status = "ok";
4003};
4004
4005&hlos1_vote_mmnoc_mmu_tbu_hf1_gdsc {
4006 status = "ok";
4007};
4008
4009&hlos1_vote_mmnoc_mmu_tbu_sf_gdsc {
4010 status = "ok";
4011};
4012
4013&bps_gdsc {
Deepak Katragadda38f645b2017-06-29 12:16:06 -07004014 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07004015 status = "ok";
4016};
4017
4018&ife_0_gdsc {
4019 status = "ok";
4020};
4021
4022&ife_1_gdsc {
4023 status = "ok";
4024};
4025
4026&ipe_0_gdsc {
Deepak Katragadda38f645b2017-06-29 12:16:06 -07004027 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07004028 status = "ok";
4029};
4030
4031&ipe_1_gdsc {
Deepak Katragadda38f645b2017-06-29 12:16:06 -07004032 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07004033 status = "ok";
4034};
4035
4036&titan_top_gdsc {
4037 status = "ok";
4038};
4039
4040&mdss_core_gdsc {
4041 status = "ok";
4042};
4043
4044&gpu_cx_gdsc {
4045 status = "ok";
4046};
4047
Deepak Katragadda8d77fbb2016-10-17 13:04:17 -07004048&gpu_gx_gdsc {
Deepak Katragadda6c7e8e12017-04-05 13:21:16 -07004049 clock-names = "core_root_clk";
4050 clocks = <&clock_gfx GPU_CC_GX_GFX3D_CLK_SRC>;
4051 qcom,force-enable-root-clk;
Deepak Katragadda8d77fbb2016-10-17 13:04:17 -07004052 parent-supply = <&pm8005_s1_level>;
4053 status = "ok";
4054};
4055
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07004056&vcodec0_gdsc {
Deepak Katragaddacd267d02017-05-17 11:38:39 -07004057 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07004058 status = "ok";
4059};
4060
4061&vcodec1_gdsc {
Deepak Katragaddacd267d02017-05-17 11:38:39 -07004062 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07004063 status = "ok";
4064};
4065
4066&venus_gdsc {
4067 status = "ok";
4068};
David Collins5ab42b92016-07-07 17:38:51 -07004069
David Collins516e41e2017-03-10 11:58:17 -08004070#include "pm8998.dtsi"
David Collins516e41e2017-03-10 11:58:17 -08004071#include "pm8005.dtsi"
Kyle Yan6a20fae2017-02-14 13:34:41 -08004072#include "sdm845-regulator.dtsi"
4073#include "sdm845-coresight.dtsi"
4074#include "msm-arm-smmu-sdm845.dtsi"
4075#include "sdm845-ion.dtsi"
4076#include "sdm845-smp2p.dtsi"
4077#include "sdm845-camera.dtsi"
4078#include "sdm845-bus.dtsi"
Saurabh Kothawade78041ee2017-01-16 16:38:09 -08004079#include "sdm845-vidc.dtsi"
Mahesh Sivasubramanian7a7b3c72016-11-04 14:31:59 -06004080#include "sdm845-pm.dtsi"
Banajit Goswami7885c692017-03-16 16:00:34 -07004081#include "sdm845-pinctrl.dtsi"
Tony Truongc0e0a5f02017-03-15 11:57:40 -07004082#include "sdm845-pcie.dtsi"
Banajit Goswamic0b75812017-03-16 16:14:17 -07004083#include "sdm845-audio.dtsi"
Lokesh Batraf7f72ff2016-10-13 11:51:59 -07004084#include "sdm845-gpu.dtsi"
Pratham Pratap507936c2017-09-25 15:01:59 +05304085#include "sdm845-670-usb-common.dtsi"
Ram Chandrasekara3115282017-04-21 17:33:01 -06004086
4087&pm8998_temp_alarm {
4088 cooling-maps {
4089 trip0_cpu0 {
4090 trip = <&pm8998_trip0>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004091 cooling-device =
4092 <&CPU0 (THERMAL_MAX_LIMIT-1)
4093 (THERMAL_MAX_LIMIT-1)>;
4094 };
4095 trip0_cpu1 {
4096 trip = <&pm8998_trip0>;
4097 cooling-device =
4098 <&CPU1 (THERMAL_MAX_LIMIT-1)
4099 (THERMAL_MAX_LIMIT-1)>;
4100 };
4101 trip0_cpu2 {
4102 trip = <&pm8998_trip0>;
4103 cooling-device =
4104 <&CPU2 (THERMAL_MAX_LIMIT-1)
4105 (THERMAL_MAX_LIMIT-1)>;
4106 };
4107 trip0_cpu3 {
4108 trip = <&pm8998_trip0>;
4109 cooling-device =
4110 <&CPU3 (THERMAL_MAX_LIMIT-1)
4111 (THERMAL_MAX_LIMIT-1)>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004112 };
4113 trip0_cpu4 {
4114 trip = <&pm8998_trip0>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004115 cooling-device =
4116 <&CPU4 (THERMAL_MAX_LIMIT-1)
4117 (THERMAL_MAX_LIMIT-1)>;
4118 };
4119 trip0_cpu5 {
4120 trip = <&pm8998_trip0>;
4121 cooling-device =
4122 <&CPU5 (THERMAL_MAX_LIMIT-1)
4123 (THERMAL_MAX_LIMIT-1)>;
4124 };
4125 trip0_cpu6 {
4126 trip = <&pm8998_trip0>;
4127 cooling-device =
4128 <&CPU6 (THERMAL_MAX_LIMIT-1)
4129 (THERMAL_MAX_LIMIT-1)>;
4130 };
4131 trip0_cpu7 {
4132 trip = <&pm8998_trip0>;
4133 cooling-device =
4134 <&CPU7 (THERMAL_MAX_LIMIT-1)
4135 (THERMAL_MAX_LIMIT-1)>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004136 };
4137 trip1_cpu1 {
4138 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004139 cooling-device =
4140 <&CPU1 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004141 };
4142 trip1_cpu2 {
4143 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004144 cooling-device =
4145 <&CPU2 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004146 };
4147 trip1_cpu3 {
4148 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004149 cooling-device =
4150 <&CPU3 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004151 };
4152 trip1_cpu4 {
4153 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004154 cooling-device =
4155 <&CPU4 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004156 };
4157 trip1_cpu5 {
4158 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004159 cooling-device =
4160 <&CPU5 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004161 };
4162 trip1_cpu6 {
4163 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004164 cooling-device =
4165 <&CPU6 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004166 };
4167 trip1_cpu7 {
4168 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06004169 cooling-device =
4170 <&CPU7 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06004171 };
4172 };
4173};
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004174
4175&thermal_zones {
4176 aoss0-lowf {
4177 polling-delay-passive = <0>;
4178 polling-delay = <0>;
4179 thermal-governor = "low_limits_floor";
4180 thermal-sensors = <&tsens0 0>;
4181 tracks-low;
4182 trips {
4183 aoss0_trip: aoss0-trip {
4184 temperature = <5000>;
4185 hysteresis = <5000>;
4186 type = "passive";
4187 };
4188 };
4189 cooling-maps {
4190 cpu0_vdd_cdev {
4191 trip = <&aoss0_trip>;
4192 cooling-device = <&CPU0 4 4>;
4193 };
4194 cpu4_vdd_cdev {
4195 trip = <&aoss0_trip>;
4196 cooling-device = <&CPU4 9 9>;
4197 };
4198 gpu_vdd_cdev {
4199 trip = <&aoss0_trip>;
4200 cooling-device = <&msm_gpu 1 1>;
4201 };
4202 cx_vdd_cdev {
4203 trip = <&aoss0_trip>;
4204 cooling-device = <&cx_cdev 0 0>;
4205 };
4206 mx_vdd_cdev {
4207 trip = <&aoss0_trip>;
4208 cooling-device = <&mx_cdev 0 0>;
4209 };
4210 ebi_vdd_cdev {
4211 trip = <&aoss0_trip>;
4212 cooling-device = <&ebi_cdev 0 0>;
4213 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004214 modem_vdd_cdev {
4215 trip = <&aoss0_trip>;
4216 cooling-device = <&modem_vdd 0 0>;
4217 };
4218 adsp_vdd_cdev {
4219 trip = <&aoss0_trip>;
4220 cooling-device = <&adsp_vdd 0 0>;
4221 };
4222 cdsp_vdd_cdev {
4223 trip = <&aoss0_trip>;
4224 cooling-device = <&cdsp_vdd 0 0>;
4225 };
4226 slpi_vdd_cdev {
4227 trip = <&aoss0_trip>;
4228 cooling-device = <&slpi_vdd 0 0>;
4229 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004230 };
4231 };
4232
4233 cpu0-silver-lowf {
4234 polling-delay-passive = <0>;
4235 polling-delay = <0>;
4236 thermal-governor = "low_limits_floor";
4237 thermal-sensors = <&tsens0 1>;
4238 tracks-low;
4239 trips {
4240 cpu0_trip: cpu0-trip {
4241 temperature = <5000>;
4242 hysteresis = <5000>;
4243 type = "passive";
4244 };
4245 };
4246 cooling-maps {
4247 cpu0_vdd_cdev {
4248 trip = <&cpu0_trip>;
4249 cooling-device = <&CPU0 4 4>;
4250 };
4251 cpu4_vdd_cdev {
4252 trip = <&cpu0_trip>;
4253 cooling-device = <&CPU4 9 9>;
4254 };
4255 gpu_vdd_cdev {
4256 trip = <&cpu0_trip>;
4257 cooling-device = <&msm_gpu 1 1>;
4258 };
4259 cx_vdd_cdev {
4260 trip = <&cpu0_trip>;
4261 cooling-device = <&cx_cdev 0 0>;
4262 };
4263 mx_vdd_cdev {
4264 trip = <&cpu0_trip>;
4265 cooling-device = <&mx_cdev 0 0>;
4266 };
4267 ebi_vdd_cdev {
4268 trip = <&cpu0_trip>;
4269 cooling-device = <&ebi_cdev 0 0>;
4270 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004271 modem_vdd_cdev {
4272 trip = <&cpu0_trip>;
4273 cooling-device = <&modem_vdd 0 0>;
4274 };
4275 adsp_vdd_cdev {
4276 trip = <&cpu0_trip>;
4277 cooling-device = <&adsp_vdd 0 0>;
4278 };
4279 cdsp_vdd_cdev {
4280 trip = <&cpu0_trip>;
4281 cooling-device = <&cdsp_vdd 0 0>;
4282 };
4283 slpi_vdd_cdev {
4284 trip = <&cpu0_trip>;
4285 cooling-device = <&slpi_vdd 0 0>;
4286 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004287 };
4288 };
4289
4290 cpu1-silver-lowf {
4291 polling-delay-passive = <0>;
4292 polling-delay = <0>;
4293 thermal-governor = "low_limits_floor";
4294 thermal-sensors = <&tsens0 2>;
4295 tracks-low;
4296 trips {
4297 cpu1_trip: cpu1-trip {
4298 temperature = <5000>;
4299 hysteresis = <5000>;
4300 type = "passive";
4301 };
4302 };
4303 cooling-maps {
4304 cpu0_vdd_cdev {
4305 trip = <&cpu1_trip>;
4306 cooling-device = <&CPU0 4 4>;
4307 };
4308 cpu4_vdd_cdev {
4309 trip = <&cpu1_trip>;
4310 cooling-device = <&CPU4 9 9>;
4311 };
4312 gpu_vdd_cdev {
4313 trip = <&cpu1_trip>;
4314 cooling-device = <&msm_gpu 1 1>;
4315 };
4316 cx_vdd_cdev {
4317 trip = <&cpu1_trip>;
4318 cooling-device = <&cx_cdev 0 0>;
4319 };
4320 mx_vdd_cdev {
4321 trip = <&cpu1_trip>;
4322 cooling-device = <&mx_cdev 0 0>;
4323 };
4324 ebi_vdd_cdev {
4325 trip = <&cpu1_trip>;
4326 cooling-device = <&ebi_cdev 0 0>;
4327 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004328 modem_vdd_cdev {
4329 trip = <&cpu1_trip>;
4330 cooling-device = <&modem_vdd 0 0>;
4331 };
4332 adsp_vdd_cdev {
4333 trip = <&cpu1_trip>;
4334 cooling-device = <&adsp_vdd 0 0>;
4335 };
4336 cdsp_vdd_cdev {
4337 trip = <&cpu1_trip>;
4338 cooling-device = <&cdsp_vdd 0 0>;
4339 };
4340 slpi_vdd_cdev {
4341 trip = <&cpu1_trip>;
4342 cooling-device = <&slpi_vdd 0 0>;
4343 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004344 };
4345 };
4346
4347 cpu2-silver-lowf {
4348 polling-delay-passive = <0>;
4349 polling-delay = <0>;
4350 thermal-governor = "low_limits_floor";
4351 thermal-sensors = <&tsens0 3>;
4352 tracks-low;
4353 trips {
4354 cpu2_trip: cpu2-trip {
4355 temperature = <5000>;
4356 hysteresis = <5000>;
4357 type = "passive";
4358 };
4359 };
4360 cooling-maps {
4361 cpu0_vdd_cdev {
4362 trip = <&cpu2_trip>;
4363 cooling-device = <&CPU0 4 4>;
4364 };
4365 cpu4_vdd_cdev {
4366 trip = <&cpu2_trip>;
4367 cooling-device = <&CPU4 9 9>;
4368 };
4369 gpu_vdd_cdev {
4370 trip = <&cpu2_trip>;
4371 cooling-device = <&msm_gpu 1 1>;
4372 };
4373 cx_vdd_cdev {
4374 trip = <&cpu2_trip>;
4375 cooling-device = <&cx_cdev 0 0>;
4376 };
4377 mx_vdd_cdev {
4378 trip = <&cpu2_trip>;
4379 cooling-device = <&mx_cdev 0 0>;
4380 };
4381 ebi_vdd_cdev {
4382 trip = <&cpu2_trip>;
4383 cooling-device = <&ebi_cdev 0 0>;
4384 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004385 modem_vdd_cdev {
4386 trip = <&cpu2_trip>;
4387 cooling-device = <&modem_vdd 0 0>;
4388 };
4389 adsp_vdd_cdev {
4390 trip = <&cpu2_trip>;
4391 cooling-device = <&adsp_vdd 0 0>;
4392 };
4393 cdsp_vdd_cdev {
4394 trip = <&cpu2_trip>;
4395 cooling-device = <&cdsp_vdd 0 0>;
4396 };
4397 slpi_vdd_cdev {
4398 trip = <&cpu2_trip>;
4399 cooling-device = <&slpi_vdd 0 0>;
4400 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004401 };
4402 };
4403
4404 cpu3-silver-lowf {
4405 polling-delay-passive = <0>;
4406 polling-delay = <0>;
4407 thermal-governor = "low_limits_floor";
4408 thermal-sensors = <&tsens0 4>;
4409 tracks-low;
4410 trips {
4411 cpu3_trip: cpu3-trip {
4412 temperature = <5000>;
4413 hysteresis = <5000>;
4414 type = "passive";
4415 };
4416 };
4417 cooling-maps {
4418 cpu0_vdd_cdev {
4419 trip = <&cpu3_trip>;
4420 cooling-device = <&CPU0 4 4>;
4421 };
4422 cpu4_vdd_cdev {
4423 trip = <&cpu3_trip>;
4424 cooling-device = <&CPU4 9 9>;
4425 };
4426 gpu_vdd_cdev {
4427 trip = <&cpu3_trip>;
4428 cooling-device = <&msm_gpu 1 1>;
4429 };
4430 cx_vdd_cdev {
4431 trip = <&cpu3_trip>;
4432 cooling-device = <&cx_cdev 0 0>;
4433 };
4434 mx_vdd_cdev {
4435 trip = <&cpu3_trip>;
4436 cooling-device = <&mx_cdev 0 0>;
4437 };
4438 ebi_vdd_cdev {
4439 trip = <&cpu3_trip>;
4440 cooling-device = <&ebi_cdev 0 0>;
4441 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004442 modem_vdd_cdev {
4443 trip = <&cpu3_trip>;
4444 cooling-device = <&modem_vdd 0 0>;
4445 };
4446 adsp_vdd_cdev {
4447 trip = <&cpu3_trip>;
4448 cooling-device = <&adsp_vdd 0 0>;
4449 };
4450 cdsp_vdd_cdev {
4451 trip = <&cpu3_trip>;
4452 cooling-device = <&cdsp_vdd 0 0>;
4453 };
4454 slpi_vdd_cdev {
4455 trip = <&cpu3_trip>;
4456 cooling-device = <&slpi_vdd 0 0>;
4457 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004458 };
4459 };
4460
4461 kryo-l3-0-lowf {
4462 polling-delay-passive = <0>;
4463 polling-delay = <0>;
4464 thermal-governor = "low_limits_floor";
4465 thermal-sensors = <&tsens0 5>;
4466 tracks-low;
4467 trips {
4468 l3_0_trip: l3-0-trip {
4469 temperature = <5000>;
4470 hysteresis = <5000>;
4471 type = "passive";
4472 };
4473 };
4474 cooling-maps {
4475 cpu0_vdd_cdev {
4476 trip = <&l3_0_trip>;
4477 cooling-device = <&CPU0 4 4>;
4478 };
4479 cpu4_vdd_cdev {
4480 trip = <&l3_0_trip>;
4481 cooling-device = <&CPU4 9 9>;
4482 };
4483 gpu_vdd_cdev {
4484 trip = <&l3_0_trip>;
4485 cooling-device = <&msm_gpu 1 1>;
4486 };
4487 cx_vdd_cdev {
4488 trip = <&l3_0_trip>;
4489 cooling-device = <&cx_cdev 0 0>;
4490 };
4491 mx_vdd_cdev {
4492 trip = <&l3_0_trip>;
4493 cooling-device = <&mx_cdev 0 0>;
4494 };
4495 ebi_vdd_cdev {
4496 trip = <&l3_0_trip>;
4497 cooling-device = <&ebi_cdev 0 0>;
4498 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004499 modem_vdd_cdev {
4500 trip = <&l3_0_trip>;
4501 cooling-device = <&modem_vdd 0 0>;
4502 };
4503 adsp_vdd_cdev {
4504 trip = <&l3_0_trip>;
4505 cooling-device = <&adsp_vdd 0 0>;
4506 };
4507 cdsp_vdd_cdev {
4508 trip = <&l3_0_trip>;
4509 cooling-device = <&cdsp_vdd 0 0>;
4510 };
4511 slpi_vdd_cdev {
4512 trip = <&l3_0_trip>;
4513 cooling-device = <&slpi_vdd 0 0>;
4514 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004515 };
4516 };
4517
4518 kryo-l3-1-lowf {
4519 polling-delay-passive = <0>;
4520 polling-delay = <0>;
4521 thermal-governor = "low_limits_floor";
4522 thermal-sensors = <&tsens0 6>;
4523 tracks-low;
4524 trips {
4525 l3_1_trip: l3-1-trip {
4526 temperature = <5000>;
4527 hysteresis = <5000>;
4528 type = "passive";
4529 };
4530 };
4531 cooling-maps {
4532 cpu0_vdd_cdev {
4533 trip = <&l3_1_trip>;
4534 cooling-device = <&CPU0 4 4>;
4535 };
4536 cpu4_vdd_cdev {
4537 trip = <&l3_1_trip>;
4538 cooling-device = <&CPU4 9 9>;
4539 };
4540 gpu_vdd_cdev {
4541 trip = <&l3_1_trip>;
4542 cooling-device = <&msm_gpu 1 1>;
4543 };
4544 cx_vdd_cdev {
4545 trip = <&l3_1_trip>;
4546 cooling-device = <&cx_cdev 0 0>;
4547 };
4548 mx_vdd_cdev {
4549 trip = <&l3_1_trip>;
4550 cooling-device = <&mx_cdev 0 0>;
4551 };
4552 ebi_vdd_cdev {
4553 trip = <&l3_1_trip>;
4554 cooling-device = <&ebi_cdev 0 0>;
4555 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004556 modem_vdd_cdev {
4557 trip = <&l3_1_trip>;
4558 cooling-device = <&modem_vdd 0 0>;
4559 };
4560 adsp_vdd_cdev {
4561 trip = <&l3_1_trip>;
4562 cooling-device = <&adsp_vdd 0 0>;
4563 };
4564 cdsp_vdd_cdev {
4565 trip = <&l3_1_trip>;
4566 cooling-device = <&cdsp_vdd 0 0>;
4567 };
4568 slpi_vdd_cdev {
4569 trip = <&l3_1_trip>;
4570 cooling-device = <&slpi_vdd 0 0>;
4571 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004572 };
4573 };
4574
4575 cpu0-gold-lowf {
4576 polling-delay-passive = <0>;
4577 polling-delay = <0>;
4578 thermal-governor = "low_limits_floor";
4579 thermal-sensors = <&tsens0 7>;
4580 tracks-low;
4581 trips {
4582 cpug0_trip: cpug0-trip {
4583 temperature = <5000>;
4584 hysteresis = <5000>;
4585 type = "passive";
4586 };
4587 };
4588 cooling-maps {
4589 cpu0_vdd_cdev {
4590 trip = <&cpug0_trip>;
4591 cooling-device = <&CPU0 4 4>;
4592 };
4593 cpu4_vdd_cdev {
4594 trip = <&cpug0_trip>;
4595 cooling-device = <&CPU4 9 9>;
4596 };
4597 gpu_vdd_cdev {
4598 trip = <&cpug0_trip>;
4599 cooling-device = <&msm_gpu 1 1>;
4600 };
4601 cx_vdd_cdev {
4602 trip = <&cpug0_trip>;
4603 cooling-device = <&cx_cdev 0 0>;
4604 };
4605 mx_vdd_cdev {
4606 trip = <&cpug0_trip>;
4607 cooling-device = <&mx_cdev 0 0>;
4608 };
4609 ebi_vdd_cdev {
4610 trip = <&cpug0_trip>;
4611 cooling-device = <&ebi_cdev 0 0>;
4612 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004613 modem_vdd_cdev {
4614 trip = <&cpug0_trip>;
4615 cooling-device = <&modem_vdd 0 0>;
4616 };
4617 adsp_vdd_cdev {
4618 trip = <&cpug0_trip>;
4619 cooling-device = <&adsp_vdd 0 0>;
4620 };
4621 cdsp_vdd_cdev {
4622 trip = <&cpug0_trip>;
4623 cooling-device = <&cdsp_vdd 0 0>;
4624 };
4625 slpi_vdd_cdev {
4626 trip = <&cpug0_trip>;
4627 cooling-device = <&slpi_vdd 0 0>;
4628 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004629 };
4630 };
4631
4632 cpu1-gold-lowf {
4633 polling-delay-passive = <0>;
4634 polling-delay = <0>;
4635 thermal-governor = "low_limits_floor";
4636 thermal-sensors = <&tsens0 8>;
4637 tracks-low;
4638 trips {
4639 cpug1_trip: cpug1-trip {
4640 temperature = <5000>;
4641 hysteresis = <5000>;
4642 type = "passive";
4643 };
4644 };
4645 cooling-maps {
4646 cpu0_vdd_cdev {
4647 trip = <&cpug1_trip>;
4648 cooling-device = <&CPU0 4 4>;
4649 };
4650 cpu4_vdd_cdev {
4651 trip = <&cpug1_trip>;
4652 cooling-device = <&CPU4 9 9>;
4653 };
4654 gpu_vdd_cdev {
4655 trip = <&cpug1_trip>;
4656 cooling-device = <&msm_gpu 1 1>;
4657 };
4658 cx_vdd_cdev {
4659 trip = <&cpug1_trip>;
4660 cooling-device = <&cx_cdev 0 0>;
4661 };
4662 mx_vdd_cdev {
4663 trip = <&cpug1_trip>;
4664 cooling-device = <&mx_cdev 0 0>;
4665 };
4666 ebi_vdd_cdev {
4667 trip = <&cpug1_trip>;
4668 cooling-device = <&ebi_cdev 0 0>;
4669 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004670 modem_vdd_cdev {
4671 trip = <&cpug1_trip>;
4672 cooling-device = <&modem_vdd 0 0>;
4673 };
4674 adsp_vdd_cdev {
4675 trip = <&cpug1_trip>;
4676 cooling-device = <&adsp_vdd 0 0>;
4677 };
4678 cdsp_vdd_cdev {
4679 trip = <&cpug1_trip>;
4680 cooling-device = <&cdsp_vdd 0 0>;
4681 };
4682 slpi_vdd_cdev {
4683 trip = <&cpug1_trip>;
4684 cooling-device = <&slpi_vdd 0 0>;
4685 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004686 };
4687 };
4688
4689 cpu2-gold-lowf {
4690 polling-delay-passive = <0>;
4691 polling-delay = <0>;
4692 thermal-governor = "low_limits_floor";
4693 thermal-sensors = <&tsens0 9>;
4694 tracks-low;
4695 trips {
4696 cpug2_trip: cpug2-trip {
4697 temperature = <5000>;
4698 hysteresis = <5000>;
4699 type = "passive";
4700 };
4701 };
4702 cooling-maps {
4703 cpu0_vdd_cdev {
4704 trip = <&cpug2_trip>;
4705 cooling-device = <&CPU0 4 4>;
4706 };
4707 cpu4_vdd_cdev {
4708 trip = <&cpug2_trip>;
4709 cooling-device = <&CPU4 9 9>;
4710 };
4711 gpu_vdd_cdev {
4712 trip = <&cpug2_trip>;
4713 cooling-device = <&msm_gpu 1 1>;
4714 };
4715 cx_vdd_cdev {
4716 trip = <&cpug2_trip>;
4717 cooling-device = <&cx_cdev 0 0>;
4718 };
4719 mx_vdd_cdev {
4720 trip = <&cpug2_trip>;
4721 cooling-device = <&mx_cdev 0 0>;
4722 };
4723 ebi_vdd_cdev {
4724 trip = <&cpug2_trip>;
4725 cooling-device = <&ebi_cdev 0 0>;
4726 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004727 modem_vdd_cdev {
4728 trip = <&cpug2_trip>;
4729 cooling-device = <&modem_vdd 0 0>;
4730 };
4731 adsp_vdd_cdev {
4732 trip = <&cpug2_trip>;
4733 cooling-device = <&adsp_vdd 0 0>;
4734 };
4735 cdsp_vdd_cdev {
4736 trip = <&cpug2_trip>;
4737 cooling-device = <&cdsp_vdd 0 0>;
4738 };
4739 slpi_vdd_cdev {
4740 trip = <&cpug2_trip>;
4741 cooling-device = <&slpi_vdd 0 0>;
4742 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004743 };
4744 };
4745
4746 cpu3-gold-lowf {
4747 polling-delay-passive = <0>;
4748 polling-delay = <0>;
4749 thermal-governor = "low_limits_floor";
4750 thermal-sensors = <&tsens0 10>;
4751 tracks-low;
4752 trips {
4753 cpug3_trip: cpug3-trip {
4754 temperature = <5000>;
4755 hysteresis = <5000>;
4756 type = "passive";
4757 };
4758 };
4759 cooling-maps {
4760 cpu0_vdd_cdev {
4761 trip = <&cpug3_trip>;
4762 cooling-device = <&CPU0 4 4>;
4763 };
4764 cpu4_vdd_cdev {
4765 trip = <&cpug3_trip>;
4766 cooling-device = <&CPU4 9 9>;
4767 };
4768 gpu_vdd_cdev {
4769 trip = <&cpug3_trip>;
4770 cooling-device = <&msm_gpu 1 1>;
4771 };
4772 cx_vdd_cdev {
4773 trip = <&cpug3_trip>;
4774 cooling-device = <&cx_cdev 0 0>;
4775 };
4776 mx_vdd_cdev {
4777 trip = <&cpug3_trip>;
4778 cooling-device = <&mx_cdev 0 0>;
4779 };
4780 ebi_vdd_cdev {
4781 trip = <&cpug3_trip>;
4782 cooling-device = <&ebi_cdev 0 0>;
4783 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004784 modem_vdd_cdev {
4785 trip = <&cpug3_trip>;
4786 cooling-device = <&modem_vdd 0 0>;
4787 };
4788 adsp_vdd_cdev {
4789 trip = <&cpug3_trip>;
4790 cooling-device = <&adsp_vdd 0 0>;
4791 };
4792 cdsp_vdd_cdev {
4793 trip = <&cpug3_trip>;
4794 cooling-device = <&cdsp_vdd 0 0>;
4795 };
4796 slpi_vdd_cdev {
4797 trip = <&cpug3_trip>;
4798 cooling-device = <&slpi_vdd 0 0>;
4799 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004800 };
4801 };
4802
4803 gpu0-lowf {
4804 polling-delay-passive = <0>;
4805 polling-delay = <0>;
4806 thermal-governor = "low_limits_floor";
4807 thermal-sensors = <&tsens0 11>;
4808 tracks-low;
4809 trips {
4810 gpu0_trip_l: gpu0-trip {
4811 temperature = <5000>;
4812 hysteresis = <5000>;
4813 type = "passive";
4814 };
4815 };
4816 cooling-maps {
4817 cpu0_vdd_cdev {
4818 trip = <&gpu0_trip_l>;
4819 cooling-device = <&CPU0 4 4>;
4820 };
4821 cpu4_vdd_cdev {
4822 trip = <&gpu0_trip_l>;
4823 cooling-device = <&CPU4 9 9>;
4824 };
4825 gpu_vdd_cdev {
4826 trip = <&gpu0_trip_l>;
4827 cooling-device = <&msm_gpu 1 1>;
4828 };
4829 cx_vdd_cdev {
4830 trip = <&gpu0_trip_l>;
4831 cooling-device = <&cx_cdev 0 0>;
4832 };
4833 mx_vdd_cdev {
4834 trip = <&gpu0_trip_l>;
4835 cooling-device = <&mx_cdev 0 0>;
4836 };
4837 ebi_vdd_cdev {
4838 trip = <&gpu0_trip_l>;
4839 cooling-device = <&ebi_cdev 0 0>;
4840 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004841 modem_vdd_cdev {
4842 trip = <&gpu0_trip_l>;
4843 cooling-device = <&modem_vdd 0 0>;
4844 };
4845 adsp_vdd_cdev {
4846 trip = <&gpu0_trip_l>;
4847 cooling-device = <&adsp_vdd 0 0>;
4848 };
4849 cdsp_vdd_cdev {
4850 trip = <&gpu0_trip_l>;
4851 cooling-device = <&cdsp_vdd 0 0>;
4852 };
4853 slpi_vdd_cdev {
4854 trip = <&gpu0_trip_l>;
4855 cooling-device = <&slpi_vdd 0 0>;
4856 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004857 };
4858 };
4859
4860 gpu1-lowf {
4861 polling-delay-passive = <0>;
4862 polling-delay = <0>;
4863 thermal-governor = "low_limits_floor";
4864 thermal-sensors = <&tsens0 12>;
4865 tracks-low;
4866 trips {
4867 gpu1_trip_l: gpu1-trip_l {
4868 temperature = <5000>;
4869 hysteresis = <5000>;
4870 type = "passive";
4871 };
4872 };
4873 cooling-maps {
4874 cpu0_vdd_cdev {
4875 trip = <&gpu1_trip_l>;
4876 cooling-device = <&CPU0 4 4>;
4877 };
4878 cpu4_vdd_cdev {
4879 trip = <&gpu1_trip_l>;
4880 cooling-device = <&CPU4 9 9>;
4881 };
4882 gpu_vdd_cdev {
4883 trip = <&gpu1_trip_l>;
4884 cooling-device = <&msm_gpu 1 1>;
4885 };
4886 cx_vdd_cdev {
4887 trip = <&gpu1_trip_l>;
4888 cooling-device = <&cx_cdev 0 0>;
4889 };
4890 mx_vdd_cdev {
4891 trip = <&gpu1_trip_l>;
4892 cooling-device = <&mx_cdev 0 0>;
4893 };
4894 ebi_vdd_cdev {
4895 trip = <&gpu1_trip_l>;
4896 cooling-device = <&ebi_cdev 0 0>;
4897 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004898 modem_vdd_cdev {
4899 trip = <&gpu1_trip_l>;
4900 cooling-device = <&modem_vdd 0 0>;
4901 };
4902 adsp_vdd_cdev {
4903 trip = <&gpu1_trip_l>;
4904 cooling-device = <&adsp_vdd 0 0>;
4905 };
4906 cdsp_vdd_cdev {
4907 trip = <&gpu1_trip_l>;
4908 cooling-device = <&cdsp_vdd 0 0>;
4909 };
4910 slpi_vdd_cdev {
4911 trip = <&gpu1_trip_l>;
4912 cooling-device = <&slpi_vdd 0 0>;
4913 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004914 };
4915 };
4916
4917 aoss1-lowf {
4918 polling-delay-passive = <0>;
4919 polling-delay = <0>;
4920 thermal-governor = "low_limits_floor";
4921 thermal-sensors = <&tsens1 0>;
4922 tracks-low;
4923 trips {
4924 aoss1_trip: aoss1-trip {
4925 temperature = <5000>;
4926 hysteresis = <5000>;
4927 type = "passive";
4928 };
4929 };
4930 cooling-maps {
4931 cpu0_vdd_cdev {
4932 trip = <&aoss1_trip>;
4933 cooling-device = <&CPU0 4 4>;
4934 };
4935 cpu4_vdd_cdev {
4936 trip = <&aoss1_trip>;
4937 cooling-device = <&CPU4 9 9>;
4938 };
4939 gpu_vdd_cdev {
4940 trip = <&aoss1_trip>;
4941 cooling-device = <&msm_gpu 1 1>;
4942 };
4943 cx_vdd_cdev {
4944 trip = <&aoss1_trip>;
4945 cooling-device = <&cx_cdev 0 0>;
4946 };
4947 mx_vdd_cdev {
4948 trip = <&aoss1_trip>;
4949 cooling-device = <&mx_cdev 0 0>;
4950 };
4951 ebi_vdd_cdev {
4952 trip = <&aoss1_trip>;
4953 cooling-device = <&ebi_cdev 0 0>;
4954 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004955 modem_vdd_cdev {
4956 trip = <&aoss1_trip>;
4957 cooling-device = <&modem_vdd 0 0>;
4958 };
4959 adsp_vdd_cdev {
4960 trip = <&aoss1_trip>;
4961 cooling-device = <&adsp_vdd 0 0>;
4962 };
4963 cdsp_vdd_cdev {
4964 trip = <&aoss1_trip>;
4965 cooling-device = <&cdsp_vdd 0 0>;
4966 };
4967 slpi_vdd_cdev {
4968 trip = <&aoss1_trip>;
4969 cooling-device = <&slpi_vdd 0 0>;
4970 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004971 };
4972 };
4973
4974 mdm-dsp-lowf {
4975 polling-delay-passive = <0>;
4976 polling-delay = <0>;
4977 thermal-governor = "low_limits_floor";
4978 thermal-sensors = <&tsens1 1>;
4979 tracks-low;
4980 trips {
4981 dsp_trip: dsp-trip {
4982 temperature = <5000>;
4983 hysteresis = <5000>;
4984 type = "passive";
4985 };
4986 };
4987 cooling-maps {
4988 cpu0_vdd_cdev {
4989 trip = <&dsp_trip>;
4990 cooling-device = <&CPU0 4 4>;
4991 };
4992 cpu4_vdd_cdev {
4993 trip = <&dsp_trip>;
4994 cooling-device = <&CPU4 9 9>;
4995 };
4996 gpu_vdd_cdev {
4997 trip = <&dsp_trip>;
4998 cooling-device = <&msm_gpu 1 1>;
4999 };
5000 cx_vdd_cdev {
5001 trip = <&dsp_trip>;
5002 cooling-device = <&cx_cdev 0 0>;
5003 };
5004 mx_vdd_cdev {
5005 trip = <&dsp_trip>;
5006 cooling-device = <&mx_cdev 0 0>;
5007 };
5008 ebi_vdd_cdev {
5009 trip = <&dsp_trip>;
5010 cooling-device = <&ebi_cdev 0 0>;
5011 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005012 modem_vdd_cdev {
5013 trip = <&dsp_trip>;
5014 cooling-device = <&modem_vdd 0 0>;
5015 };
5016 adsp_vdd_cdev {
5017 trip = <&dsp_trip>;
5018 cooling-device = <&adsp_vdd 0 0>;
5019 };
5020 cdsp_vdd_cdev {
5021 trip = <&dsp_trip>;
5022 cooling-device = <&cdsp_vdd 0 0>;
5023 };
5024 slpi_vdd_cdev {
5025 trip = <&dsp_trip>;
5026 cooling-device = <&slpi_vdd 0 0>;
5027 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005028 };
5029 };
5030
5031 ddr-lowf {
5032 polling-delay-passive = <0>;
5033 polling-delay = <0>;
5034 thermal-governor = "low_limits_floor";
5035 thermal-sensors = <&tsens1 2>;
5036 tracks-low;
5037 trips {
5038 ddr_trip: ddr-trip {
5039 temperature = <5000>;
5040 hysteresis = <5000>;
5041 type = "passive";
5042 };
5043 };
5044 cooling-maps {
5045 cpu0_vdd_cdev {
5046 trip = <&ddr_trip>;
5047 cooling-device = <&CPU0 4 4>;
5048 };
5049 cpu4_vdd_cdev {
5050 trip = <&ddr_trip>;
5051 cooling-device = <&CPU4 9 9>;
5052 };
5053 gpu_vdd_cdev {
5054 trip = <&ddr_trip>;
5055 cooling-device = <&msm_gpu 1 1>;
5056 };
5057 cx_vdd_cdev {
5058 trip = <&ddr_trip>;
5059 cooling-device = <&cx_cdev 0 0>;
5060 };
5061 mx_vdd_cdev {
5062 trip = <&ddr_trip>;
5063 cooling-device = <&mx_cdev 0 0>;
5064 };
5065 ebi_vdd_cdev {
5066 trip = <&ddr_trip>;
5067 cooling-device = <&ebi_cdev 0 0>;
5068 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005069 modem_vdd_cdev {
5070 trip = <&ddr_trip>;
5071 cooling-device = <&modem_vdd 0 0>;
5072 };
5073 adsp_vdd_cdev {
5074 trip = <&ddr_trip>;
5075 cooling-device = <&adsp_vdd 0 0>;
5076 };
5077 cdsp_vdd_cdev {
5078 trip = <&ddr_trip>;
5079 cooling-device = <&cdsp_vdd 0 0>;
5080 };
5081 slpi_vdd_cdev {
5082 trip = <&ddr_trip>;
5083 cooling-device = <&slpi_vdd 0 0>;
5084 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005085 };
5086 };
5087
5088 wlan-lowf {
5089 polling-delay-passive = <0>;
5090 polling-delay = <0>;
5091 thermal-governor = "low_limits_floor";
5092 thermal-sensors = <&tsens1 3>;
5093 tracks-low;
5094 trips {
5095 wlan_trip: wlan-trip {
5096 temperature = <5000>;
5097 hysteresis = <5000>;
5098 type = "passive";
5099 };
5100 };
5101 cooling-maps {
5102 cpu0_vdd_cdev {
5103 trip = <&wlan_trip>;
5104 cooling-device = <&CPU0 4 4>;
5105 };
5106 cpu4_vdd_cdev {
5107 trip = <&wlan_trip>;
5108 cooling-device = <&CPU4 9 9>;
5109 };
5110 gpu_vdd_cdev {
5111 trip = <&wlan_trip>;
5112 cooling-device = <&msm_gpu 1 1>;
5113 };
5114 cx_vdd_cdev {
5115 trip = <&wlan_trip>;
5116 cooling-device = <&cx_cdev 0 0>;
5117 };
5118 mx_vdd_cdev {
5119 trip = <&wlan_trip>;
5120 cooling-device = <&mx_cdev 0 0>;
5121 };
5122 ebi_vdd_cdev {
5123 trip = <&wlan_trip>;
5124 cooling-device = <&ebi_cdev 0 0>;
5125 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005126 modem_vdd_cdev {
5127 trip = <&wlan_trip>;
5128 cooling-device = <&modem_vdd 0 0>;
5129 };
5130 adsp_vdd_cdev {
5131 trip = <&wlan_trip>;
5132 cooling-device = <&adsp_vdd 0 0>;
5133 };
5134 cdsp_vdd_cdev {
5135 trip = <&wlan_trip>;
5136 cooling-device = <&cdsp_vdd 0 0>;
5137 };
5138 slpi_vdd_cdev {
5139 trip = <&wlan_trip>;
5140 cooling-device = <&slpi_vdd 0 0>;
5141 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005142 };
5143 };
5144
5145 compute-hvx-lowf {
5146 polling-delay-passive = <0>;
5147 polling-delay = <0>;
5148 thermal-governor = "low_limits_floor";
5149 thermal-sensors = <&tsens1 4>;
5150 tracks-low;
5151 trips {
5152 hvx_trip: hvx-trip {
5153 temperature = <5000>;
5154 hysteresis = <5000>;
5155 type = "passive";
5156 };
5157 };
5158 cooling-maps {
5159 cpu0_vdd_cdev {
5160 trip = <&hvx_trip>;
5161 cooling-device = <&CPU0 4 4>;
5162 };
5163 cpu4_vdd_cdev {
5164 trip = <&hvx_trip>;
5165 cooling-device = <&CPU4 9 9>;
5166 };
5167 gpu_vdd_cdev {
5168 trip = <&hvx_trip>;
5169 cooling-device = <&msm_gpu 1 1>;
5170 };
5171 cx_vdd_cdev {
5172 trip = <&hvx_trip>;
5173 cooling-device = <&cx_cdev 0 0>;
5174 };
5175 mx_vdd_cdev {
5176 trip = <&hvx_trip>;
5177 cooling-device = <&mx_cdev 0 0>;
5178 };
5179 ebi_vdd_cdev {
5180 trip = <&hvx_trip>;
5181 cooling-device = <&ebi_cdev 0 0>;
5182 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005183 modem_vdd_cdev {
5184 trip = <&hvx_trip>;
5185 cooling-device = <&modem_vdd 0 0>;
5186 };
5187 adsp_vdd_cdev {
5188 trip = <&hvx_trip>;
5189 cooling-device = <&adsp_vdd 0 0>;
5190 };
5191 cdsp_vdd_cdev {
5192 trip = <&hvx_trip>;
5193 cooling-device = <&cdsp_vdd 0 0>;
5194 };
5195 slpi_vdd_cdev {
5196 trip = <&hvx_trip>;
5197 cooling-device = <&slpi_vdd 0 0>;
5198 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005199 };
5200 };
5201
5202 camera-lowf {
5203 polling-delay-passive = <0>;
5204 polling-delay = <0>;
5205 thermal-governor = "low_limits_floor";
5206 thermal-sensors = <&tsens1 5>;
5207 tracks-low;
5208 trips {
5209 camera_trip: camera-trip {
5210 temperature = <5000>;
5211 hysteresis = <5000>;
5212 type = "passive";
5213 };
5214 };
5215 cooling-maps {
5216 cpu0_vdd_cdev {
5217 trip = <&camera_trip>;
5218 cooling-device = <&CPU0 4 4>;
5219 };
5220 cpu4_vdd_cdev {
5221 trip = <&camera_trip>;
5222 cooling-device = <&CPU4 9 9>;
5223 };
5224 gpu_vdd_cdev {
5225 trip = <&camera_trip>;
5226 cooling-device = <&msm_gpu 1 1>;
5227 };
5228 cx_vdd_cdev {
5229 trip = <&camera_trip>;
5230 cooling-device = <&cx_cdev 0 0>;
5231 };
5232 mx_vdd_cdev {
5233 trip = <&camera_trip>;
5234 cooling-device = <&mx_cdev 0 0>;
5235 };
5236 ebi_vdd_cdev {
5237 trip = <&camera_trip>;
5238 cooling-device = <&ebi_cdev 0 0>;
5239 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005240 modem_vdd_cdev {
5241 trip = <&camera_trip>;
5242 cooling-device = <&modem_vdd 0 0>;
5243 };
5244 adsp_vdd_cdev {
5245 trip = <&camera_trip>;
5246 cooling-device = <&adsp_vdd 0 0>;
5247 };
5248 cdsp_vdd_cdev {
5249 trip = <&camera_trip>;
5250 cooling-device = <&cdsp_vdd 0 0>;
5251 };
5252 slpi_vdd_cdev {
5253 trip = <&camera_trip>;
5254 cooling-device = <&slpi_vdd 0 0>;
5255 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005256 };
5257 };
5258
5259 mmss-lowf {
5260 polling-delay-passive = <0>;
5261 polling-delay = <0>;
5262 thermal-governor = "low_limits_floor";
5263 thermal-sensors = <&tsens1 6>;
5264 tracks-low;
5265 trips {
5266 mmss_trip: mmss-trip {
5267 temperature = <5000>;
5268 hysteresis = <5000>;
5269 type = "passive";
5270 };
5271 };
5272 cooling-maps {
5273 cpu0_vdd_cdev {
5274 trip = <&mmss_trip>;
5275 cooling-device = <&CPU0 4 4>;
5276 };
5277 cpu4_vdd_cdev {
5278 trip = <&mmss_trip>;
5279 cooling-device = <&CPU4 9 9>;
5280 };
5281 gpu_vdd_cdev {
5282 trip = <&mmss_trip>;
5283 cooling-device = <&msm_gpu 1 1>;
5284 };
5285 cx_vdd_cdev {
5286 trip = <&mmss_trip>;
5287 cooling-device = <&cx_cdev 0 0>;
5288 };
5289 mx_vdd_cdev {
5290 trip = <&mmss_trip>;
5291 cooling-device = <&mx_cdev 0 0>;
5292 };
5293 ebi_vdd_cdev {
5294 trip = <&mmss_trip>;
5295 cooling-device = <&ebi_cdev 0 0>;
5296 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005297 modem_vdd_cdev {
5298 trip = <&mmss_trip>;
5299 cooling-device = <&modem_vdd 0 0>;
5300 };
5301 adsp_vdd_cdev {
5302 trip = <&mmss_trip>;
5303 cooling-device = <&adsp_vdd 0 0>;
5304 };
5305 cdsp_vdd_cdev {
5306 trip = <&mmss_trip>;
5307 cooling-device = <&cdsp_vdd 0 0>;
5308 };
5309 slpi_vdd_cdev {
5310 trip = <&mmss_trip>;
5311 cooling-device = <&slpi_vdd 0 0>;
5312 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005313 };
5314 };
5315
5316 mdm-core-lowf {
5317 polling-delay-passive = <0>;
5318 polling-delay = <0>;
5319 thermal-governor = "low_limits_floor";
5320 thermal-sensors = <&tsens1 7>;
5321 tracks-low;
5322 trips {
5323 mdm_trip: mdm-trip {
5324 temperature = <5000>;
5325 hysteresis = <5000>;
5326 type = "passive";
5327 };
5328 };
5329 cooling-maps {
5330 cpu0_vdd_cdev {
5331 trip = <&mdm_trip>;
5332 cooling-device = <&CPU0 4 4>;
5333 };
5334 cpu4_vdd_cdev {
5335 trip = <&mdm_trip>;
5336 cooling-device = <&CPU4 9 9>;
5337 };
5338 gpu_vdd_cdev {
5339 trip = <&mdm_trip>;
5340 cooling-device = <&msm_gpu 1 1>;
5341 };
5342 cx_vdd_cdev {
5343 trip = <&mdm_trip>;
5344 cooling-device = <&cx_cdev 0 0>;
5345 };
5346 mx_vdd_cdev {
5347 trip = <&mdm_trip>;
5348 cooling-device = <&mx_cdev 0 0>;
5349 };
5350 ebi_vdd_cdev {
5351 trip = <&mdm_trip>;
5352 cooling-device = <&ebi_cdev 0 0>;
5353 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005354 modem_vdd_cdev {
5355 trip = <&mdm_trip>;
5356 cooling-device = <&modem_vdd 0 0>;
5357 };
5358 adsp_vdd_cdev {
5359 trip = <&mdm_trip>;
5360 cooling-device = <&adsp_vdd 0 0>;
5361 };
5362 cdsp_vdd_cdev {
5363 trip = <&mdm_trip>;
5364 cooling-device = <&cdsp_vdd 0 0>;
5365 };
5366 slpi_vdd_cdev {
5367 trip = <&mdm_trip>;
5368 cooling-device = <&slpi_vdd 0 0>;
5369 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005370 };
5371 };
5372};