blob: 70a20c1f60ce81e331332016b09d9e260ba8f146 [file] [log] [blame]
Kyle Yand8326b62017-01-05 15:11:02 -08001/* Copyright (c) 2016-2017, The Linux Foundation. All rights reserved.
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -07002 *
3 * This program is free software; you can redistribute it and/or modify
4 * it under the terms of the GNU General Public License version 2 and
5 * only version 2 as published by the Free Software Foundation.
6 *
7 * This program is distributed in the hope that it will be useful,
8 * but WITHOUT ANY WARRANTY; without even the implied warranty of
9 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
10 * GNU General Public License for more details.
11 */
12
13#include "skeleton64.dtsi"
Kyle Yan6a20fae2017-02-14 13:34:41 -080014#include <dt-bindings/clock/qcom,gcc-sdm845.h>
15#include <dt-bindings/clock/qcom,camcc-sdm845.h>
16#include <dt-bindings/clock/qcom,dispcc-sdm845.h>
17#include <dt-bindings/clock/qcom,gpucc-sdm845.h>
18#include <dt-bindings/clock/qcom,videocc-sdm845.h>
19#include <dt-bindings/clock/qcom,cpucc-sdm845.h>
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -080020#include <dt-bindings/clock/qcom,rpmh.h>
Taniya Dasa8d52b92017-04-18 17:02:49 +053021#include <dt-bindings/clock/qcom,aop-qmp.h>
David Collins5ab42b92016-07-07 17:38:51 -070022#include <dt-bindings/regulator/qcom,rpmh-regulator.h>
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -070023#include <dt-bindings/interrupt-controller/arm-gic.h>
Lina Iyer9f782ba2016-10-11 15:13:50 -060024#include <dt-bindings/soc/qcom,tcs-mbox.h>
David Collins86dc5b52017-04-11 14:29:36 -070025#include <dt-bindings/spmi/spmi.h>
Ram Chandrasekar36ffe552017-04-17 16:33:05 -060026#include <dt-bindings/thermal/thermal.h>
Stephen Boydb1adf312017-04-03 16:02:12 -070027#include <dt-bindings/msm/msm-bus-ids.h>
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070028
29/ {
Kyle Yan6a20fae2017-02-14 13:34:41 -080030 model = "Qualcomm Technologies, Inc. SDM845";
31 compatible = "qcom,sdm845";
Kyle Yanfd7d1422017-08-04 16:14:21 -070032 qcom,msm-id = <321 0x10000>;
Archana Sathyakumar00a36ab2017-03-03 14:38:26 -070033 interrupt-parent = <&pdc>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070034
Subhash Jadavani35c309a2016-12-19 13:58:57 -080035 aliases {
36 ufshc1 = &ufshc_mem; /* Embedded UFS slot */
37 ufshc2 = &ufshc_card; /* Removable UFS slot */
Tony Truongc0e0a5f02017-03-15 11:57:40 -070038 pci-domain0 = &pcie0;
Tony Truong16938352017-05-04 13:39:24 -070039 pci-domain1 = &pcie1;
Xiaonian Wang3c14ea32017-04-08 06:39:42 +080040 sdhc2 = &sdhc_2; /* SDC2 SD card slot */
Subhash Jadavani35c309a2016-12-19 13:58:57 -080041 };
42
Puja Guptaa91fb842017-06-12 18:58:06 -070043 aliases {
44 serial0 = &qupv3_se9_2uart;
45 spi0 = &qupv3_se8_spi;
46 i2c0 = &qupv3_se10_i2c;
47 i2c1 = &qupv3_se3_i2c;
48 hsuart0 = &qupv3_se6_4uart;
49 };
50
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070051 cpus {
52 #address-cells = <2>;
53 #size-cells = <0>;
54
55 CPU0: cpu@0 {
56 device_type = "cpu";
57 compatible = "arm,armv8";
58 reg = <0x0 0x0>;
Trilok Soni39f76f22016-12-15 14:56:26 -080059 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -070060 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070061 cache-size = <0x8000>;
62 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -060063 qcom,lmh-dcvs = <&lmh_dcvs0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -060064 #cooling-cells = <2>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070065 next-level-cache = <&L2_0>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -070066 sched-energy-costs = <&CPU_COST_0 &CLUSTER_COST_0>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070067 L2_0: l2-cache {
68 compatible = "arm,arch-cache";
69 cache-size = <0x20000>;
70 cache-level = <2>;
71 next-level-cache = <&L3_0>;
72
73 L3_0: l3-cache {
74 compatible = "arm,arch-cache";
75 cache-size = <0x200000>;
76 cache-level = <3>;
77 };
78 };
Kyle Yanf7f2ddf2016-11-22 17:07:38 -080079 L1_I_0: l1-icache {
80 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -070081 qcom,dump-size = <0xa000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -080082 };
83 L1_D_0: l1-dcache {
84 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -070085 qcom,dump-size = <0xa000>;
86 };
87 L1_TLB_0: l1-tlb {
88 qcom,dump-size = <0x3000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -080089 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070090 };
91
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -070092 CPU1: cpu@100 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070093 device_type = "cpu";
94 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -070095 reg = <0x0 0x100>;
Trilok Soni39f76f22016-12-15 14:56:26 -080096 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -070097 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070098 cache-size = <0x8000>;
99 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600100 qcom,lmh-dcvs = <&lmh_dcvs0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600101 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700102 next-level-cache = <&L2_100>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700103 sched-energy-costs = <&CPU_COST_0 &CLUSTER_COST_0>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700104 L2_100: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700105 compatible = "arm,arch-cache";
106 cache-size = <0x20000>;
107 cache-level = <2>;
108 next-level-cache = <&L3_0>;
109 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700110 L1_I_100: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800111 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700112 qcom,dump-size = <0xa000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800113 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700114 L1_D_100: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800115 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700116 qcom,dump-size = <0xa000>;
117 };
118 L1_TLB_100: l1-tlb {
119 qcom,dump-size = <0x3000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800120 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700121 };
122
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700123 CPU2: cpu@200 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700124 device_type = "cpu";
125 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700126 reg = <0x0 0x200>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800127 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700128 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700129 cache-size = <0x8000>;
130 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600131 qcom,lmh-dcvs = <&lmh_dcvs0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600132 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700133 next-level-cache = <&L2_200>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700134 sched-energy-costs = <&CPU_COST_0 &CLUSTER_COST_0>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700135 L2_200: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700136 compatible = "arm,arch-cache";
137 cache-size = <0x20000>;
138 cache-level = <2>;
139 next-level-cache = <&L3_0>;
140 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700141 L1_I_200: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800142 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700143 qcom,dump-size = <0xa000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800144 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700145 L1_D_200: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800146 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700147 qcom,dump-size = <0xa000>;
148 };
149 L1_TLB_200: l1-tlb {
150 qcom,dump-size = <0x3000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800151 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700152 };
153
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700154 CPU3: cpu@300 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700155 device_type = "cpu";
156 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700157 reg = <0x0 0x300>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800158 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700159 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700160 cache-size = <0x8000>;
161 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600162 qcom,lmh-dcvs = <&lmh_dcvs0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600163 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700164 next-level-cache = <&L2_300>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700165 sched-energy-costs = <&CPU_COST_0 &CLUSTER_COST_0>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700166 L2_300: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700167 compatible = "arm,arch-cache";
168 cache-size = <0x20000>;
169 cache-level = <2>;
170 next-level-cache = <&L3_0>;
171 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700172 L1_I_300: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800173 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700174 qcom,dump-size = <0xa000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800175 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700176 L1_D_300: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800177 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700178 qcom,dump-size = <0xa000>;
179 };
180 L1_TLB_300: l1-tlb {
181 qcom,dump-size = <0x3000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800182 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700183 };
184
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700185 CPU4: cpu@400 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700186 device_type = "cpu";
187 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700188 reg = <0x0 0x400>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800189 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700190 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700191 cache-size = <0x20000>;
192 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600193 qcom,lmh-dcvs = <&lmh_dcvs1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600194 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700195 next-level-cache = <&L2_400>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700196 sched-energy-costs = <&CPU_COST_1 &CLUSTER_COST_1>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700197 L2_400: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700198 compatible = "arm,arch-cache";
199 cache-size = <0x40000>;
200 cache-level = <2>;
201 next-level-cache = <&L3_0>;
202 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700203 L1_I_400: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800204 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700205 qcom,dump-size = <0x14000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800206 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700207 L1_D_400: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800208 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700209 qcom,dump-size = <0x14000>;
210 };
211 L1_TLB_400: l1-tlb {
212 qcom,dump-size = <0x3c000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800213 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700214 };
215
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700216 CPU5: cpu@500 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700217 device_type = "cpu";
218 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700219 reg = <0x0 0x500>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800220 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700221 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700222 cache-size = <0x20000>;
223 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600224 qcom,lmh-dcvs = <&lmh_dcvs1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600225 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700226 next-level-cache = <&L2_500>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700227 sched-energy-costs = <&CPU_COST_1 &CLUSTER_COST_1>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700228 L2_500: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700229 compatible = "arm,arch-cache";
230 cache-size = <0x40000>;
231 cache-level = <2>;
232 next-level-cache = <&L3_0>;
233 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700234 L1_I_500: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800235 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700236 qcom,dump-size = <0x14000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800237 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700238 L1_D_500: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800239 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700240 qcom,dump-size = <0x14000>;
241 };
242 L1_TLB_500: l1-tlb {
243 qcom,dump-size = <0x3c000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800244 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700245 };
246
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700247 CPU6: cpu@600 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700248 device_type = "cpu";
249 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700250 reg = <0x0 0x600>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800251 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700252 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700253 cache-size = <0x20000>;
254 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600255 qcom,lmh-dcvs = <&lmh_dcvs1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600256 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700257 next-level-cache = <&L2_600>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700258 sched-energy-costs = <&CPU_COST_1 &CLUSTER_COST_1>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700259 L2_600: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700260 compatible = "arm,arch-cache";
261 cache-size = <0x40000>;
262 cache-level = <2>;
263 next-level-cache = <&L3_0>;
264 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700265 L1_I_600: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800266 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700267 qcom,dump-size = <0x14000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800268 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700269 L1_D_600: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800270 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700271 qcom,dump-size = <0x14000>;
272 };
273 L1_TLB_600: l1-tlb {
274 qcom,dump-size = <0x3c000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800275 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700276 };
277
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700278 CPU7: cpu@700 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700279 device_type = "cpu";
280 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700281 reg = <0x0 0x700>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800282 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700283 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700284 cache-size = <0x20000>;
285 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600286 qcom,lmh-dcvs = <&lmh_dcvs1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600287 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700288 next-level-cache = <&L2_700>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700289 sched-energy-costs = <&CPU_COST_1 &CLUSTER_COST_1>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700290 L2_700: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700291 compatible = "arm,arch-cache";
292 cache-size = <0x40000>;
293 cache-level = <2>;
294 next-level-cache = <&L3_0>;
295 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700296 L1_I_700: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800297 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700298 qcom,dump-size = <0x14000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800299 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700300 L1_D_700: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800301 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700302 qcom,dump-size = <0x14000>;
303 };
304 L1_TLB_700: l1-tlb {
305 qcom,dump-size = <0x3c000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800306 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700307 };
308
309 cpu-map {
310 cluster0 {
311 core0 {
312 cpu = <&CPU0>;
313 };
314
315 core1 {
316 cpu = <&CPU1>;
317 };
318
319 core2 {
320 cpu = <&CPU2>;
321 };
322
323 core3 {
324 cpu = <&CPU3>;
325 };
326 };
327
328 cluster1 {
329 core0 {
330 cpu = <&CPU4>;
331 };
332
333 core1 {
334 cpu = <&CPU5>;
335 };
336
337 core2 {
338 cpu = <&CPU6>;
339 };
340
341 core3 {
342 cpu = <&CPU7>;
343 };
344 };
345 };
346 };
347
Joonwoo Parkf3f7dac2017-08-17 16:02:29 -0700348 energy_costs: energy-costs {
Joonwoo Park32850e82017-06-12 16:01:57 -0700349 compatible = "sched-energy";
350
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700351 CPU_COST_0: core-cost0 {
352 busy-cost-data = <
Joonwoo Park32850e82017-06-12 16:01:57 -0700353 300000 31
354 422400 38
355 499200 42
356 576000 46
357 652800 51
358 748800 58
359 825600 64
360 902400 70
361 979200 76
362 1056000 83
363 1132800 90
364 1209600 97
365 1286400 105
366 1363200 114
367 1440000 124
368 1516800 136
369 1593600 152
370 1651200 167 /* speedbin 0,1 */
371 1670400 173 /* speedbin 2 */
372 1708800 186 /* speedbin 0,1 */
373 1747200 201 /* speedbin 2 */
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700374 >;
375 idle-cost-data = <
376 22 18 14 12
377 >;
378 };
379 CPU_COST_1: core-cost1 {
380 busy-cost-data = <
Joonwoo Park32850e82017-06-12 16:01:57 -0700381 300000 258
382 422400 260
383 499200 261
384 576000 263
385 652800 267
386 729600 272
387 806400 280
388 883200 291
389 960000 305
390 1036800 324
391 1113600 348
392 1190400 378
393 1267200 415
394 1344000 460
395 1420800 513
396 1497600 576
397 1574400 649
398 1651200 732
399 1728000 824
400 1804800 923
401 1881600 1027
402 1958400 1131
403 2035000 1228 /* speedbin 1,2 */
404 2092000 1290 /* speedbin 1 */
405 2112000 1308 /* speedbin 2 */
406 2208000 1363 /* speedbin 2 */
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700407 >;
408 idle-cost-data = <
Joonwoo Parka5bb67e2017-05-15 15:48:25 -0700409 100 80 60 40
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700410 >;
411 };
412 CLUSTER_COST_0: cluster-cost0 {
413 busy-cost-data = <
Joonwoo Park32850e82017-06-12 16:01:57 -0700414 300000 3
415 422400 4
416 499200 4
417 576000 4
418 652800 5
419 748800 5
420 825600 6
421 902400 7
422 979200 7
423 1056000 8
424 1132800 9
425 1209600 9
426 1286400 10
427 1363200 11
428 1440000 12
429 1516800 13
430 1593600 15
431 1651200 17 /* speedbin 0,1 */
432 1670400 19 /* speedbin 2 */
433 1708800 21 /* speedbin 0,1 */
434 1747200 23 /* speedbin 2 */
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700435 >;
436 idle-cost-data = <
437 4 3 2 1
438 >;
439 };
440 CLUSTER_COST_1: cluster-cost1 {
441 busy-cost-data = <
Joonwoo Park32850e82017-06-12 16:01:57 -0700442 300000 24
443 422400 24
444 499200 25
445 576000 25
446 652800 26
447 729600 27
448 806400 28
449 883200 29
450 960000 30
451 1036800 32
452 1113600 34
453 1190400 37
454 1267200 40
455 1344000 45
456 1420800 50
457 1497600 57
458 1574400 64
459 1651200 74
460 1728000 84
461 1804800 96
462 1881600 106
463 1958400 113
464 2035000 120 /* speedbin 1,2 */
465 2092000 125 /* speedbin 1 */
466 2112000 127 /* speedbin 2 */
467 2208000 130 /* speedbin 2 */
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700468 >;
469 idle-cost-data = <
470 4 3 2 1
471 >;
472 };
473 }; /* energy-costs */
474
Trilok Soni39f76f22016-12-15 14:56:26 -0800475 psci {
476 compatible = "arm,psci-1.0";
477 method = "smc";
478 };
479
Channagoud Kadabiffbc5f12017-07-06 17:09:43 -0700480 chosen {
481 bootargs = "rcupdate.rcu_expedited=1";
482 };
483
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700484 soc: soc { };
Patrick Dalyff211c82016-07-19 20:26:40 -0700485
Puja Gupta0f42ee32017-05-03 15:32:31 -0700486 vendor: vendor {
487 #address-cells = <1>;
488 #size-cells = <1>;
489 ranges = <0 0 0 0xffffffff>;
490 compatible = "simple-bus";
491 };
492
Puja Guptacce5d0b2017-05-05 14:22:25 -0700493 firmware: firmware {
494 android {
495 compatible = "android,firmware";
Puja Gupta30684862017-06-08 16:17:00 -0700496 vbmeta {
497 compatible = "android,vbmeta";
498 parts = "vbmeta,boot,system,vendor,dtbo";
499 };
500
Puja Guptacce5d0b2017-05-05 14:22:25 -0700501 fstab {
502 compatible = "android,fstab";
503 vendor {
504 compatible = "android,vendor";
505 dev = "/dev/block/platform/soc/1d84000.ufshc/by-name/vendor";
506 type = "ext4";
507 mnt_flags = "ro,barrier=1,discard";
Puja Gupta30684862017-06-08 16:17:00 -0700508 fsmgr_flags = "wait,slotselect,avb";
Puja Guptacce5d0b2017-05-05 14:22:25 -0700509 };
510 };
511 };
512 };
513
Patrick Dalyff211c82016-07-19 20:26:40 -0700514 reserved-memory {
515 #address-cells = <2>;
516 #size-cells = <2>;
517 ranges;
518
Patrick Daly04471a62017-06-30 14:26:00 -0700519 hyp_region: hyp_region@85700000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700520 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700521 reg = <0 0x85700000 0 0x600000>;
Patrick Daly2ff257e2017-06-06 16:28:50 -0700522 };
523
Patrick Daly04471a62017-06-30 14:26:00 -0700524 xbl_region: xbl_region@85e00000 {
525 no-map;
526 reg = <0 0x85e00000 0 0x100000>;
527 };
528
529 removed_region: removed_region@85fc0000 {
Patrick Daly2ff257e2017-06-06 16:28:50 -0700530 no-map;
531 reg = <0 0x85fc0000 0 0x2f40000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700532 };
533
Patrick Daly69c4d1d2016-10-19 16:03:09 -0700534 pil_camera_mem: camera_region@8ab00000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700535 compatible = "removed-dma-pool";
536 no-map;
Patrick Daly69c4d1d2016-10-19 16:03:09 -0700537 reg = <0 0x8ab00000 0 0x500000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700538 };
539
Patrick Daly04471a62017-06-30 14:26:00 -0700540 pil_adsp_mem: pil_adsp_region@8b100000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700541 compatible = "removed-dma-pool";
542 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700543 reg = <0 0x8b100000 0 0x1a00000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700544 };
545
Patrick Daly04471a62017-06-30 14:26:00 -0700546 wlan_fw_region: wlan_fw_region@8cb00000 {
547 compatible = "shared-dma-pool";
548 reg = <0 0x8cb00000 0 0x100000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700549 };
550
Patrick Daly04471a62017-06-30 14:26:00 -0700551 pil_modem_mem: modem_region@8cc00000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700552 compatible = "removed-dma-pool";
553 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700554 reg = <0 0x8cc00000 0 0x7600000>;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700555 };
556
Patrick Daly04471a62017-06-30 14:26:00 -0700557 pil_video_mem: pil_video_region@94200000 {
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700558 compatible = "removed-dma-pool";
559 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700560 reg = <0 0x94200000 0 0x500000>;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700561 };
562
Patrick Daly04471a62017-06-30 14:26:00 -0700563 pil_cdsp_mem: cdsp_regions@94700000 {
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700564 compatible = "removed-dma-pool";
565 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700566 reg = <0 0x94700000 0 0x800000>;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700567 };
568
Patrick Daly04471a62017-06-30 14:26:00 -0700569 pil_mba_mem: pil_mba_region@0x94f00000 {
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700570 compatible = "removed-dma-pool";
571 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700572 reg = <0 0x94f00000 0 0x200000>;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700573 };
574
Patrick Daly04471a62017-06-30 14:26:00 -0700575 pil_slpi_mem: pil_slpi_region@95100000 {
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700576 compatible = "removed-dma-pool";
577 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700578 reg = <0 0x95100000 0 0x1400000>;
579 };
580
581
582 pil_spss_mem: spss_region@96500000 {
583 compatible = "removed-dma-pool";
584 no-map;
585 reg = <0 0x96500000 0 0x100000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700586 };
587
588 adsp_mem: adsp_region {
589 compatible = "shared-dma-pool";
590 alloc-ranges = <0 0x00000000 0 0xffffffff>;
591 reusable;
592 alignment = <0 0x400000>;
Sathish Ambleyed346ea2017-03-14 10:00:50 -0700593 size = <0 0xc00000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700594 };
595
596 qseecom_mem: qseecom_region {
597 compatible = "shared-dma-pool";
598 alloc-ranges = <0 0x00000000 0 0xffffffff>;
Patrick Dalyb7af0832017-08-14 15:06:46 -0700599 no-map;
Patrick Dalyff211c82016-07-19 20:26:40 -0700600 alignment = <0 0x400000>;
601 size = <0 0x1400000>;
602 };
603
Sudarshan Rajagopalanc3e15fc2017-05-17 18:34:42 -0700604 secure_sp_mem: secure_sp_region { /* SPSS-HLOS ION shared mem */
Patrick Dalyff211c82016-07-19 20:26:40 -0700605 compatible = "shared-dma-pool";
606 alloc-ranges = <0 0x00000000 0 0xffffffff>; /* 32-bit */
607 reusable;
608 alignment = <0 0x400000>;
609 size = <0 0x800000>;
610 };
611
612 secure_display_memory: secure_display_region {
613 compatible = "shared-dma-pool";
614 alloc-ranges = <0 0x00000000 0 0xffffffff>;
615 reusable;
616 alignment = <0 0x400000>;
617 size = <0 0x5c00000>;
618 };
619
Satyajit Desai89c4e2e2017-05-11 19:34:47 -0700620 dump_mem: mem_dump_region {
621 compatible = "shared-dma-pool";
622 reusable;
623 size = <0 0x2400000>;
624 };
625
Patrick Dalyff211c82016-07-19 20:26:40 -0700626 /* global autoconfigured region for contiguous allocations */
627 linux,cma {
628 compatible = "shared-dma-pool";
629 alloc-ranges = <0 0x00000000 0 0xffffffff>;
630 reusable;
631 alignment = <0 0x400000>;
632 size = <0 0x2000000>;
633 linux,cma-default;
634 };
635 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700636};
637
Kyle Yan6a20fae2017-02-14 13:34:41 -0800638#include "msm-gdsc-sdm845.dtsi"
Shashank Babu Chinta Venkata46bb3b52017-04-05 12:14:18 -0700639#include "sdm845-sde-pll.dtsi"
tharun kumar7eca0bb2017-06-28 16:49:18 +0530640#include "msm-rdbg.dtsi"
Kyle Yan6a20fae2017-02-14 13:34:41 -0800641#include "sdm845-sde.dtsi"
Girish Mahadevan2e2fbe72017-03-28 13:28:18 -0600642#include "sdm845-qupv3.dtsi"
Deepak Katragadda7b16dba2016-08-05 18:06:30 -0700643
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700644&soc {
645 #address-cells = <1>;
646 #size-cells = <1>;
647 ranges = <0 0 0 0xffffffff>;
648 compatible = "simple-bus";
649
650 intc: interrupt-controller@17a00000 {
651 compatible = "arm,gic-v3";
652 #interrupt-cells = <3>;
653 interrupt-controller;
654 #redistributor-regions = <1>;
655 redistributor-stride = <0x0 0x20000>;
656 reg = <0x17a00000 0x10000>, /* GICD */
Kyle Yanc59b3552016-09-29 16:25:03 -0700657 <0x17a60000 0x100000>; /* GICR * 8 */
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700658 interrupts = <1 9 4>;
Archana Sathyakumar00a36ab2017-03-03 14:38:26 -0700659 interrupt-parent = <&intc>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700660 };
661
662 timer {
663 compatible = "arm,armv8-timer";
664 interrupts = <1 1 0xf08>,
665 <1 2 0xf08>,
666 <1 3 0xf08>,
667 <1 0 0xf08>;
668 clock-frequency = <19200000>;
669 };
670
671 timer@0x17C90000{
672 #address-cells = <1>;
673 #size-cells = <1>;
674 ranges;
675 compatible = "arm,armv7-timer-mem";
676 reg = <0x17C90000 0x1000>;
677 clock-frequency = <19200000>;
678
679 frame@0x17CA0000 {
680 frame-number = <0>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800681 interrupts = <0 7 0x4>,
682 <0 6 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700683 reg = <0x17CA0000 0x1000>,
684 <0x17CB0000 0x1000>;
685 };
686
687 frame@17cc0000 {
688 frame-number = <1>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800689 interrupts = <0 8 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700690 reg = <0x17cc0000 0x1000>;
691 status = "disabled";
692 };
693
694 frame@17cd0000 {
695 frame-number = <2>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800696 interrupts = <0 9 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700697 reg = <0x17cd0000 0x1000>;
698 status = "disabled";
699 };
700
701 frame@17ce0000 {
702 frame-number = <3>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800703 interrupts = <0 10 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700704 reg = <0x17ce0000 0x1000>;
705 status = "disabled";
706 };
707
708 frame@17cf0000 {
709 frame-number = <4>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800710 interrupts = <0 11 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700711 reg = <0x17cf0000 0x1000>;
712 status = "disabled";
713 };
714
715 frame@17d00000 {
716 frame-number = <5>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800717 interrupts = <0 12 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700718 reg = <0x17d00000 0x1000>;
719 status = "disabled";
720 };
721
722 frame@17d10000 {
723 frame-number = <6>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800724 interrupts = <0 13 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700725 reg = <0x17d10000 0x1000>;
726 status = "disabled";
727 };
728 };
Deepak Katragaddacfb593f2016-07-15 12:30:37 -0700729
Kyle Yana795b9d2017-02-14 16:16:13 -0800730 restart@10ac000 {
731 compatible = "qcom,pshold";
732 reg = <0xC264000 0x4>,
733 <0x1fd3000 0x4>;
734 reg-names = "pshold-base", "tcsr-boot-misc-detect";
735 };
736
Mahesh Sivasubramanian4782ca62017-06-15 14:59:31 -0600737 aop-msg-client {
738 compatible = "qcom,debugfs-qmp-client";
739 mboxes = <&qmp_aop 0>;
740 mbox-names = "aop";
741 };
742
David Collinsef3dd9c2017-01-12 14:14:23 -0800743 spmi_bus: qcom,spmi@c440000 {
744 compatible = "qcom,spmi-pmic-arb";
745 reg = <0xc440000 0x1100>,
746 <0xc600000 0x2000000>,
747 <0xe600000 0x100000>,
748 <0xe700000 0xa0000>,
749 <0xc40a000 0x26000>;
750 reg-names = "core", "chnls", "obsrvr", "intr", "cnfg";
751 interrupt-names = "periph_irq";
752 interrupts = <GIC_SPI 481 IRQ_TYPE_NONE>;
753 qcom,ee = <0>;
754 qcom,channel = <0>;
755 #address-cells = <2>;
756 #size-cells = <0>;
757 interrupt-controller;
758 #interrupt-cells = <4>;
759 cell-index = <0>;
760 };
761
David Collins86dc5b52017-04-11 14:29:36 -0700762 spmi_debug_bus: qcom,spmi-debug@6b22000 {
763 compatible = "qcom,spmi-pmic-arb-debug";
764 reg = <0x6b22000 0x60>, <0x7820A8 4>;
765 reg-names = "core", "fuse";
David Collins42936de2017-06-08 14:52:43 -0700766 clocks = <&clock_aop QDSS_CLK>;
767 clock-names = "core_clk";
David Collins86dc5b52017-04-11 14:29:36 -0700768 qcom,fuse-disable-bit = <12>;
769 #address-cells = <2>;
770 #size-cells = <0>;
771
772 qcom,pm8998-debug@0 {
773 compatible = "qcom,spmi-pmic";
774 reg = <0x0 SPMI_USID>;
775 #address-cells = <2>;
776 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700777 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700778 };
779
780 qcom,pm8998-debug@1 {
781 compatible = "qcom,spmi-pmic";
782 reg = <0x1 SPMI_USID>;
783 #address-cells = <2>;
784 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700785 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700786 };
787
788 qcom,pmi8998-debug@2 {
789 compatible = "qcom,spmi-pmic";
790 reg = <0x2 SPMI_USID>;
791 #address-cells = <2>;
792 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700793 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700794 };
795
796 qcom,pmi8998-debug@3 {
797 compatible = "qcom,spmi-pmic";
798 reg = <0x3 SPMI_USID>;
799 #address-cells = <2>;
800 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700801 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700802 };
803
804 qcom,pm8005-debug@4 {
805 compatible = "qcom,spmi-pmic";
806 reg = <0x4 SPMI_USID>;
807 #address-cells = <2>;
808 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700809 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700810 };
811
812 qcom,pm8005-debug@5 {
813 compatible = "qcom,spmi-pmic";
814 reg = <0x5 SPMI_USID>;
815 #address-cells = <2>;
816 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700817 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700818 };
819 };
820
Rohit Gupta64b7e652017-03-01 10:47:52 -0800821 cpubw: qcom,cpubw {
822 compatible = "qcom,devbw";
823 governor = "performance";
Stephen Boyd567b1fc2017-06-06 17:47:12 -0700824 qcom,src-dst-ports =
825 <MSM_BUS_MASTER_AMPSS_M0 MSM_BUS_SLAVE_LLCC>;
Rohit Gupta64b7e652017-03-01 10:47:52 -0800826 qcom,active-only;
827 qcom,bw-tbl =
Stephen Boyd567b1fc2017-06-06 17:47:12 -0700828 < 2288 /* 150 MHz */ >,
829 < 4577 /* 300 MHz */ >,
830 < 6500 /* 426 MHz */ >,
831 < 8132 /* 533 MHz */ >,
832 < 9155 /* 600 MHz */ >,
833 < 10681 /* 700 MHz */ >;
Rohit Gupta64b7e652017-03-01 10:47:52 -0800834 };
835
836 bwmon: qcom,cpu-bwmon {
837 compatible = "qcom,bimc-bwmon4";
838 reg = <0x1436400 0x300>, <0x1436300 0x200>;
839 reg-names = "base", "global_base";
840 interrupts = <0 581 4>;
841 qcom,mport = <0>;
842 qcom,hw-timer-hz = <19200000>;
843 qcom,target-dev = <&cpubw>;
844 };
845
Stephen Boydb1adf312017-04-03 16:02:12 -0700846 llccbw: qcom,llccbw {
847 compatible = "qcom,devbw";
848 governor = "powersave";
849 qcom,src-dst-ports =
Stephen Boyd567b1fc2017-06-06 17:47:12 -0700850 <MSM_BUS_MASTER_LLCC MSM_BUS_SLAVE_EBI_CH0>;
Stephen Boydb1adf312017-04-03 16:02:12 -0700851 qcom,active-only;
852 qcom,bw-tbl =
853 < 762 /* 200 MHz */ >,
854 < 1144 /* 300 MHz */ >,
855 < 1720 /* 451 MHz */ >,
856 < 2086 /* 547 MHz */ >,
857 < 2597 /* 681 MHz */ >,
858 < 2929 /* 768 MHz */ >,
859 < 3879 /* 1017 MHz */ >,
860 < 4943 /* 1296 MHz */ >,
861 < 5931 /* 1555 MHz */ >,
862 < 6881 /* 1804 MHz */ >;
863 };
864
865 llcc_bwmon: qcom,llcc-bwmon {
866 compatible = "qcom,bimc-bwmon5";
867 reg = <0x0114A000 0x1000>;
868 reg-names = "base";
869 interrupts = <GIC_SPI 580 IRQ_TYPE_LEVEL_HIGH>;
870 qcom,hw-timer-hz = <19200000>;
871 qcom,target-dev = <&llccbw>;
872 qcom,count-unit = <0x400000>;
873 qcom,byte-mid-mask = <0xe000>;
874 qcom,byte-mid-match = <0xe000>;
875 };
876
Rohit Gupta44171c72017-03-06 14:07:50 -0800877 memlat_cpu0: qcom,memlat-cpu0 {
878 compatible = "qcom,devbw";
879 governor = "powersave";
880 qcom,src-dst-ports = <1 512>;
881 qcom,active-only;
882 qcom,bw-tbl =
883 < 762 /* 200 MHz */ >,
884 < 1144 /* 300 MHz */ >,
885 < 1720 /* 451 MHz */ >,
886 < 2086 /* 547 MHz */ >,
887 < 2597 /* 681 MHz */ >,
888 < 2929 /* 768 MHz */ >,
889 < 3879 /* 1017 MHz */ >,
890 < 4943 /* 1296 MHz */ >,
891 < 5931 /* 1555 MHz */ >,
892 < 6881 /* 1804 MHz */ >;
893 };
894
895 memlat_cpu4: qcom,memlat-cpu4 {
896 compatible = "qcom,devbw";
897 governor = "powersave";
898 qcom,src-dst-ports = <1 512>;
899 qcom,active-only;
900 status = "ok";
901 qcom,bw-tbl =
902 < 762 /* 200 MHz */ >,
903 < 1144 /* 300 MHz */ >,
904 < 1720 /* 451 MHz */ >,
905 < 2086 /* 547 MHz */ >,
906 < 2597 /* 681 MHz */ >,
907 < 2929 /* 768 MHz */ >,
908 < 3879 /* 1017 MHz */ >,
909 < 4943 /* 1296 MHz */ >,
910 < 5931 /* 1555 MHz */ >,
911 < 6881 /* 1804 MHz */ >;
912 };
913
David Daicbf740d2017-04-05 17:13:54 -0700914 snoc_cnoc_keepalive: qcom,snoc_cnoc_keepalive {
915 compatible = "qcom,devbw";
916 governor = "powersave";
917 qcom,src-dst-ports = <139 627>;
918 qcom,active-only;
919 status = "ok";
920 qcom,bw-tbl =
921 < 1 >;
922 };
923
Rohit Gupta44171c72017-03-06 14:07:50 -0800924 devfreq_memlat_0: qcom,cpu0-memlat-mon {
925 compatible = "qcom,arm-memlat-mon";
926 qcom,cpulist = <&CPU0 &CPU1 &CPU2 &CPU3>;
927 qcom,target-dev = <&memlat_cpu0>;
928 qcom,cachemiss-ev = <0x2A>;
929 qcom,core-dev-table =
930 < 300000 762 >,
931 < 748800 1720 >,
Rohit Guptae10588f2017-07-10 11:24:57 -0700932 < 1132800 2086 >,
933 < 1440000 2929 >,
934 < 1593600 3879 >;
Rohit Gupta44171c72017-03-06 14:07:50 -0800935 };
936
937 devfreq_memlat_4: qcom,cpu4-memlat-mon {
938 compatible = "qcom,arm-memlat-mon";
939 qcom,cpulist = <&CPU4 &CPU5 &CPU6 &CPU7>;
940 qcom,target-dev = <&memlat_cpu4>;
941 qcom,cachemiss-ev = <0x2A>;
942 qcom,core-dev-table =
943 < 300000 762 >,
Rohit Guptae10588f2017-07-10 11:24:57 -0700944 < 499200 1720 >,
945 < 806400 2086 >,
Rohit Gupta44171c72017-03-06 14:07:50 -0800946 < 1036800 2929 >,
947 < 1190400 3879 >,
948 < 1574400 4943 >,
Rohit Guptae10588f2017-07-10 11:24:57 -0700949 < 1728000 5931 >,
Rohit Gupta44171c72017-03-06 14:07:50 -0800950 < 1958400 6881 >;
951 };
952
953 l3_cpu0: qcom,l3-cpu0 {
954 compatible = "devfreq-simple-dev";
955 clock-names = "devfreq_clk";
956 clocks = <&clock_cpucc L3_CLUSTER0_VOTE_CLK>;
957 governor = "performance";
Rohit Gupta44171c72017-03-06 14:07:50 -0800958 };
959
960 l3_cpu4: qcom,l3-cpu4 {
961 compatible = "devfreq-simple-dev";
962 clock-names = "devfreq_clk";
963 clocks = <&clock_cpucc L3_CLUSTER1_VOTE_CLK>;
964 governor = "performance";
Rohit Gupta44171c72017-03-06 14:07:50 -0800965 };
966
967 devfreq_l3lat_0: qcom,cpu0-l3lat-mon {
968 compatible = "qcom,arm-memlat-mon";
969 qcom,cpulist = <&CPU0 &CPU1 &CPU2 &CPU3>;
970 qcom,target-dev = <&l3_cpu0>;
971 qcom,cachemiss-ev = <0x17>;
972 qcom,core-dev-table =
Rohit Gupta6cbadca2017-07-10 16:29:46 -0700973 < 300000 300000000 >,
974 < 748800 576000000 >,
975 < 979200 652800000 >,
976 < 1209600 806400000 >,
977 < 1516800 883200000 >,
978 < 1593600 960000000 >,
Rohit Gupta53fdca02017-07-12 16:01:52 -0700979 < 1708800 1305600000 >;
Rohit Gupta44171c72017-03-06 14:07:50 -0800980 };
981
982 devfreq_l3lat_4: qcom,cpu4-l3lat-mon {
983 compatible = "qcom,arm-memlat-mon";
984 qcom,cpulist = <&CPU4 &CPU5 &CPU6 &CPU7>;
985 qcom,target-dev = <&l3_cpu4>;
986 qcom,cachemiss-ev = <0x17>;
987 qcom,core-dev-table =
Rohit Gupta6cbadca2017-07-10 16:29:46 -0700988 < 300000 300000000 >,
989 < 1036800 576000000 >,
990 < 1190400 806400000 >,
991 < 1574400 883200000 >,
992 < 1804800 960000000 >,
Rohit Gupta53fdca02017-07-12 16:01:52 -0700993 < 1958400 1305600000 >;
Rohit Gupta44171c72017-03-06 14:07:50 -0800994 };
995
Patrick Fay4b46f422017-04-05 10:09:49 -0700996 cpu_pmu: cpu-pmu {
997 compatible = "arm,armv8-pmuv3";
998 qcom,irq-is-percpu;
999 interrupts = <1 5 4>;
1000 };
1001
Rohit Gupta3097ad72017-05-19 17:31:13 -07001002 mincpubw: qcom,mincpubw {
1003 compatible = "qcom,devbw";
1004 governor = "powersave";
1005 qcom,src-dst-ports = <1 512>;
1006 qcom,active-only;
1007 qcom,bw-tbl =
1008 < 762 /* 200 MHz */ >,
1009 < 1144 /* 300 MHz */ >,
1010 < 1720 /* 451 MHz */ >,
1011 < 2086 /* 547 MHz */ >,
1012 < 2597 /* 681 MHz */ >,
1013 < 2929 /* 768 MHz */ >,
1014 < 3879 /* 1017 MHz */ >,
1015 < 4943 /* 1296 MHz */ >,
1016 < 5931 /* 1555 MHz */ >,
1017 < 6881 /* 1804 MHz */ >;
1018 };
1019
1020 devfreq-cpufreq {
1021 mincpubw-cpufreq {
1022 target-dev = <&mincpubw>;
1023 cpu-to-dev-map-0 =
1024 < 1708800 762 >;
1025 cpu-to-dev-map-4 =
Deepak Katragaddaf87cdd92017-05-22 16:28:21 -07001026 < 1881600 762 >,
1027 < 2208000 2597 >;
Rohit Gupta3097ad72017-05-19 17:31:13 -07001028 };
1029 };
1030
Taniya Das9b421102017-05-05 13:59:58 +05301031 clock_rpmh: qcom,rpmhclk {
1032 compatible = "qcom,rpmh-clk-sdm845";
1033 #clock-cells = <1>;
1034 mboxes = <&apps_rsc 0>;
1035 mbox-names = "apps";
1036 };
1037
Deepak Katragaddaf8b9cc62016-11-02 15:17:15 -07001038 clock_gcc: qcom,gcc@100000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001039 compatible = "qcom,gcc-sdm845", "syscon";
Deepak Katragaddaf8b9cc62016-11-02 15:17:15 -07001040 reg = <0x100000 0x1f0000>;
1041 reg-names = "cc_base";
David Collins3a457942016-12-09 16:59:51 -08001042 vdd_cx-supply = <&pm8998_s9_level>;
1043 vdd_cx_ao-supply = <&pm8998_s9_level_ao>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001044 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001045 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001046 };
1047
Deepak Katragaddab09ab882016-11-09 17:47:29 -08001048 clock_videocc: qcom,videocc@ab00000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001049 compatible = "qcom,video_cc-sdm845", "syscon";
Deepak Katragaddab09ab882016-11-09 17:47:29 -08001050 reg = <0xab00000 0x10000>;
1051 reg-names = "cc_base";
David Collins3a457942016-12-09 16:59:51 -08001052 vdd_cx-supply = <&pm8998_s9_level>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001053 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001054 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001055 };
1056
Deepak Katragadda7f073cb2016-12-15 14:22:38 -08001057 clock_camcc: qcom,camcc@ad00000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001058 compatible = "qcom,cam_cc-sdm845", "syscon";
Deepak Katragadda7f073cb2016-12-15 14:22:38 -08001059 reg = <0xad00000 0x10000>;
1060 reg-names = "cc_base";
1061 vdd_cx-supply = <&pm8998_s9_level>;
1062 vdd_mx-supply = <&pm8998_s6_level>;
Pavan Kumar Chilamkurthi6bc57c12017-05-31 22:16:55 -07001063 qcom,cam_cc_csi0phytimer_clk_src-opp-handle = <&cam_csiphy0>;
1064 qcom,cam_cc_csi1phytimer_clk_src-opp-handle = <&cam_csiphy1>;
1065 qcom,cam_cc_csi2phytimer_clk_src-opp-handle = <&cam_csiphy2>;
1066 qcom,cam_cc_cci_clk_src-opp-handle = <&cam_cci>;
1067 qcom,cam_cc_ife_0_csid_clk_src-opp-handle = <&cam_csid0>;
1068 qcom,cam_cc_ife_0_clk_src-opp-handle = <&cam_vfe0>;
1069 qcom,cam_cc_ife_1_csid_clk_src-opp-handle = <&cam_csid1>;
1070 qcom,cam_cc_ife_1_clk_src-opp-handle = <&cam_vfe1>;
1071 qcom,cam_cc_ife_lite_csid_clk_src-opp-handle = <&cam_csid_lite>;
1072 qcom,cam_cc_ife_lite_clk_src-opp-handle = <&cam_vfe_lite>;
1073 qcom,cam_cc_icp_clk_src-opp-handle = <&cam_a5>;
1074 qcom,cam_cc_ipe_0_clk_src-opp-handle = <&cam_ipe0>;
1075 qcom,cam_cc_ipe_1_clk_src-opp-handle = <&cam_ipe1>;
1076 qcom,cam_cc_bps_clk_src-opp-handle = <&cam_bps>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001077 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001078 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001079 };
1080
Deepak Katragaddad738ee32016-12-16 14:29:48 -08001081 clock_dispcc: qcom,dispcc@af00000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001082 compatible = "qcom,dispcc-sdm845", "syscon";
Deepak Katragadda7c7730b2017-04-14 12:09:49 -07001083 reg = <0xaf00000 0x10000>;
Deepak Katragaddad738ee32016-12-16 14:29:48 -08001084 reg-names = "cc_base";
1085 vdd_cx-supply = <&pm8998_s9_level>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001086 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001087 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001088 };
1089
Vicky Wallace4dc00682017-02-22 19:04:40 -08001090 clock_gpucc: qcom,gpucc@5090000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001091 compatible = "qcom,gpucc-sdm845", "syscon";
Vicky Wallace4dc00682017-02-22 19:04:40 -08001092 reg = <0x5090000 0x9000>;
1093 reg-names = "cc_base";
1094 vdd_cx-supply = <&pm8998_s9_level>;
Vicky Wallace4af7a402017-04-04 19:29:42 -07001095 qcom,gpu_cc_gmu_clk_src-opp-handle = <&gmu>;
Vicky Wallace4dc00682017-02-22 19:04:40 -08001096 #clock-cells = <1>;
1097 #reset-cells = <1>;
1098 };
1099
1100 clock_gfx: qcom,gfxcc@5090000 {
1101 compatible = "qcom,gfxcc-sdm845";
1102 reg = <0x5090000 0x9000>;
1103 reg-names = "cc_base";
1104 vdd_gfx-supply = <&pm8005_s1_level>;
1105 vdd_mx-supply = <&pm8998_s6_level>;
Vicky Wallace4af7a402017-04-04 19:29:42 -07001106 qcom,gpu_cc_gx_gfx3d_clk_src-opp-handle = <&msm_gpu>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001107 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001108 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001109 };
Subhash Jadavani877ec812016-08-04 13:23:24 -07001110
Deepak Katragadda6d1a5042017-05-11 09:31:58 -07001111 cpucc_debug: syscon@17970018 {
1112 compatible = "syscon";
1113 reg = <0x17970018 0x4>;
1114 };
1115
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001116 clock_cpucc: qcom,cpucc@0x17d41000 {
1117 compatible = "qcom,clk-cpu-osm";
1118 reg = <0x17d41000 0x1400>,
1119 <0x17d43000 0x1400>,
1120 <0x17d45800 0x1400>,
1121 <0x178d0000 0x1000>,
1122 <0x178c0000 0x1000>,
1123 <0x178b0000 0x1000>,
1124 <0x17d42400 0x0c00>,
1125 <0x17d44400 0x0c00>,
Deepak Katragadda274272b2017-05-09 15:02:38 -07001126 <0x17d46c00 0x0c00>,
1127 <0x00784130 0x4>,
1128 <0x00784130 0x4>,
1129 <0x00784130 0x4>;
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001130 reg-names = "osm_l3_base", "osm_pwrcl_base", "osm_perfcl_base",
Deepak Katragadda274272b2017-05-09 15:02:38 -07001131 "l3_pll", "pwrcl_pll", "perfcl_pll", "l3_sequencer",
1132 "pwrcl_sequencer", "perfcl_sequencer", "l3_efuse",
1133 "pwrcl_efuse", "perfcl_efuse";
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001134
1135 vdd-l3-supply = <&apc0_l3_vreg>;
1136 vdd-pwrcl-supply = <&apc0_pwrcl_vreg>;
1137 vdd-perfcl-supply = <&apc1_perfcl_vreg>;
1138
Deepak Katragadda34272742017-05-24 11:42:40 -07001139 l3-dev0 = <&l3_cpu0>;
1140 l3-dev4 = <&l3_cpu4>;
1141
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001142 qcom,l3-speedbin0-v0 =
1143 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1144 < 422400000 0x50140116 0x00002020 0x1 2 >,
1145 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1146 < 576000000 0x5014031e 0x00002020 0x1 4 >,
Deepak Katragadda15590742017-04-11 09:41:01 -07001147 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1148 < 729600000 0x401c0526 0x00002020 0x1 6 >,
Deepak Katragadda0e7b8332017-04-28 11:20:26 -07001149 < 806400000 0x401c062a 0x00002222 0x1 7 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001150 < 883200000 0x4024072e 0x00002525 0x1 8 >,
1151 < 960000000 0x40240832 0x00002828 0x1 9 >;
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001152
Deepak Katragadda274272b2017-05-09 15:02:38 -07001153 qcom,l3-speedbin1-v0 =
1154 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1155 < 422400000 0x50140116 0x00002020 0x1 2 >,
1156 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1157 < 576000000 0x5014031e 0x00002020 0x1 4 >,
1158 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1159 < 729600000 0x401c0526 0x00002020 0x1 6 >,
1160 < 806400000 0x401c062a 0x00002222 0x1 7 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001161 < 883200000 0x4024072e 0x00002525 0x1 8 >,
1162 < 960000000 0x40240832 0x00002828 0x1 9 >,
1163 < 1036800000 0x40240936 0x00002b2b 0x1 10 >,
1164 < 1094400000 0x402c0a39 0x00002e2e 0x1 11 >;
Deepak Katragadda274272b2017-05-09 15:02:38 -07001165
Deepak Katragaddaf87cdd92017-05-22 16:28:21 -07001166 qcom,l3-speedbin2-v0 =
1167 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1168 < 422400000 0x50140116 0x00002020 0x1 2 >,
1169 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1170 < 576000000 0x5014031e 0x00002020 0x1 4 >,
1171 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1172 < 729600000 0x401c0526 0x00002020 0x1 6 >,
1173 < 806400000 0x401c062a 0x00002222 0x1 7 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001174 < 883200000 0x4024072e 0x00002525 0x1 8 >,
1175 < 960000000 0x40240832 0x00002828 0x1 9 >,
1176 < 1036800000 0x40240936 0x00002b2b 0x1 10 >,
1177 < 1113600000 0x402c0a3a 0x00002e2e 0x1 11 >,
1178 < 1209600000 0x402c0b3f 0x00003232 0x1 12 >,
1179 < 1305600000 0x40340c44 0x00003636 0x1 13 >;
Deepak Katragaddaf87cdd92017-05-22 16:28:21 -07001180
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001181 qcom,pwrcl-speedbin0-v0 =
1182 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1183 < 422400000 0x50140116 0x00002020 0x1 2 >,
1184 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1185 < 576000000 0x5014031e 0x00002020 0x1 4 >,
Deepak Katragadda15590742017-04-11 09:41:01 -07001186 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1187 < 748800000 0x401c0527 0x00002020 0x1 6 >,
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001188 < 825600000 0x401c062b 0x00002222 0x1 7 >,
1189 < 902400000 0x4024072f 0x00002626 0x1 8 >,
1190 < 979200000 0x40240833 0x00002929 0x1 9 >,
1191 < 1056000000 0x402c0937 0x00002c2c 0x1 10 >,
1192 < 1132800000 0x402c0a3b 0x00002f2f 0x1 11 >,
Deepak Katragadda274272b2017-05-09 15:02:38 -07001193 < 1209600000 0x402c0b3f 0x00003232 0x1 12 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001194 < 1286400000 0x40340c43 0x00003636 0x1 13 >,
1195 < 1363200000 0x40340d47 0x00003939 0x1 14 >,
1196 < 1440000000 0x40340e4b 0x00003c3c 0x1 15 >,
1197 < 1516800000 0x403c0f4f 0x00003f3f 0x1 16 >,
1198 < 1593600000 0x403c1053 0x00004242 0x1 17 >;
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001199
Deepak Katragadda274272b2017-05-09 15:02:38 -07001200 qcom,pwrcl-speedbin1-v0 =
1201 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1202 < 422400000 0x50140116 0x00002020 0x1 2 >,
1203 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1204 < 576000000 0x5014031e 0x00002020 0x1 4 >,
1205 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1206 < 748800000 0x401c0527 0x00002020 0x1 6 >,
1207 < 825600000 0x401c062b 0x00002222 0x1 7 >,
1208 < 902400000 0x4024072f 0x00002626 0x1 8 >,
1209 < 979200000 0x40240833 0x00002929 0x1 9 >,
1210 < 1056000000 0x402c0937 0x00002c2c 0x1 10 >,
1211 < 1132800000 0x402c0a3b 0x00002f2f 0x1 11 >,
1212 < 1209600000 0x402c0b3f 0x00003232 0x1 12 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001213 < 1286400000 0x40340c43 0x00003636 0x1 13 >,
1214 < 1363200000 0x40340d47 0x00003939 0x1 14 >,
1215 < 1440000000 0x40340e4b 0x00003c3c 0x1 15 >,
1216 < 1516800000 0x403c0f4f 0x00003f3f 0x1 16 >,
1217 < 1593600000 0x403c1053 0x00004242 0x1 17 >,
1218 < 1651200000 0x403c1156 0x00004545 0x1 18 >,
1219 < 1708800000 0x40441259 0x00004747 0x1 19 >;
Deepak Katragadda274272b2017-05-09 15:02:38 -07001220
Deepak Katragaddaf87cdd92017-05-22 16:28:21 -07001221 qcom,pwrcl-speedbin2-v0 =
1222 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1223 < 422400000 0x50140116 0x00002020 0x1 2 >,
1224 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1225 < 576000000 0x5014031e 0x00002020 0x1 4 >,
1226 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1227 < 748800000 0x401c0527 0x00002020 0x1 6 >,
1228 < 825600000 0x401c062b 0x00002222 0x1 7 >,
1229 < 902400000 0x4024072f 0x00002626 0x1 8 >,
1230 < 979200000 0x40240833 0x00002929 0x1 9 >,
1231 < 1056000000 0x402c0937 0x00002c2c 0x1 10 >,
1232 < 1132800000 0x402c0a3b 0x00002f2f 0x1 11 >,
1233 < 1209600000 0x402c0b3f 0x00003232 0x1 12 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001234 < 1286400000 0x40340c43 0x00003636 0x1 13 >,
1235 < 1363200000 0x40340d47 0x00003939 0x1 14 >,
1236 < 1440000000 0x40340e4b 0x00003c3c 0x1 15 >,
1237 < 1516800000 0x403c0f4f 0x00003f3f 0x1 16 >,
1238 < 1593600000 0x403c1053 0x00004242 0x1 17 >,
1239 < 1670400000 0x40441157 0x00004646 0x1 18 >,
1240 < 1747200000 0x4044125b 0x00004949 0x1 19 >;
Deepak Katragaddaf87cdd92017-05-22 16:28:21 -07001241
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001242 qcom,perfcl-speedbin0-v0 =
1243 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1244 < 422400000 0x50140116 0x00002020 0x1 2 >,
1245 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1246 < 576000000 0x5014031e 0x00002020 0x1 4 >,
Deepak Katragadda15590742017-04-11 09:41:01 -07001247 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1248 < 729600000 0x401c0526 0x00002020 0x1 6 >,
1249 < 806400000 0x401c062a 0x00002222 0x1 7 >,
Deepak Katragadda5126b322017-04-17 17:20:51 -07001250 < 883200000 0x4024072e 0x00002525 0x1 8 >,
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001251 < 960000000 0x40240832 0x00002828 0x1 9 >,
1252 < 1036800000 0x40240936 0x00002b2b 0x1 10 >,
1253 < 1113600000 0x402c0a3a 0x00002e2e 0x1 11 >,
Deepak Katragadda0e7b8332017-04-28 11:20:26 -07001254 < 1190400000 0x402c0b3e 0x00003232 0x1 12 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001255 < 1267200000 0x40340c42 0x00003535 0x1 13 >,
1256 < 1344000000 0x40340d46 0x00003838 0x1 14 >,
1257 < 1420800000 0x40340e4a 0x00003b3b 0x1 15 >,
1258 < 1497600000 0x403c0f4e 0x00003e3e 0x1 16 >,
1259 < 1574400000 0x403c1052 0x00004242 0x1 17 >,
1260 < 1651200000 0x403c1156 0x00004545 0x1 18 >,
1261 < 1728000000 0x4044125a 0x00004848 0x1 19 >,
1262 < 1804800000 0x4044135e 0x00004b4b 0x1 20 >,
1263 < 1881600000 0x404c1462 0x00004e4e 0x1 21 >,
1264 < 1958400000 0x404c1566 0x00005252 0x1 22 >;
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001265
Deepak Katragadda274272b2017-05-09 15:02:38 -07001266 qcom,perfcl-speedbin1-v0 =
1267 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1268 < 422400000 0x50140116 0x00002020 0x1 2 >,
1269 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1270 < 576000000 0x5014031e 0x00002020 0x1 4 >,
1271 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1272 < 729600000 0x401c0526 0x00002020 0x1 6 >,
1273 < 806400000 0x401c062a 0x00002222 0x1 7 >,
1274 < 883200000 0x4024072e 0x00002525 0x1 8 >,
1275 < 960000000 0x40240832 0x00002828 0x1 9 >,
1276 < 1036800000 0x40240936 0x00002b2b 0x1 10 >,
1277 < 1113600000 0x402c0a3a 0x00002e2e 0x1 11 >,
1278 < 1190400000 0x402c0b3e 0x00003232 0x1 12 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001279 < 1267200000 0x40340c42 0x00003535 0x1 13 >,
1280 < 1344000000 0x40340d46 0x00003838 0x1 14 >,
1281 < 1420800000 0x40340e4a 0x00003b3b 0x1 15 >,
1282 < 1497600000 0x403c0f4e 0x00003e3e 0x1 16 >,
1283 < 1574400000 0x403c1052 0x00004242 0x1 17 >,
1284 < 1651200000 0x403c1156 0x00004545 0x1 18 >,
1285 < 1728000000 0x4044125a 0x00004848 0x1 19 >,
1286 < 1804800000 0x4044135e 0x00004b4b 0x1 20 >,
1287 < 1881600000 0x404c1462 0x00004e4e 0x1 21 >,
1288 < 1958400000 0x404c1566 0x00005252 0x1 22 >,
1289 < 2035200000 0x404c166a 0x00005555 0x1 23 >,
1290 < 2092800000 0x4054176d 0x00005757 0x1 24 >;
Deepak Katragadda274272b2017-05-09 15:02:38 -07001291
Deepak Katragaddaf87cdd92017-05-22 16:28:21 -07001292 qcom,perfcl-speedbin2-v0 =
1293 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1294 < 422400000 0x50140116 0x00002020 0x1 2 >,
1295 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1296 < 576000000 0x5014031e 0x00002020 0x1 4 >,
1297 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1298 < 729600000 0x401c0526 0x00002020 0x1 6 >,
1299 < 806400000 0x401c062a 0x00002222 0x1 7 >,
1300 < 883200000 0x4024072e 0x00002525 0x1 8 >,
1301 < 960000000 0x40240832 0x00002828 0x1 9 >,
1302 < 1036800000 0x40240936 0x00002b2b 0x1 10 >,
1303 < 1113600000 0x402c0a3a 0x00002e2e 0x1 11 >,
1304 < 1190400000 0x402c0b3e 0x00003232 0x1 12 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001305 < 1267200000 0x40340c42 0x00003535 0x1 13 >,
1306 < 1344000000 0x40340d46 0x00003838 0x1 14 >,
1307 < 1420800000 0x40340e4a 0x00003b3b 0x1 15 >,
1308 < 1497600000 0x403c0f4e 0x00003e3e 0x1 16 >,
1309 < 1574400000 0x403c1052 0x00004242 0x1 17 >,
1310 < 1651200000 0x403c1156 0x00004545 0x1 18 >,
1311 < 1728000000 0x4044125a 0x00004848 0x1 19 >,
1312 < 1804800000 0x4044135e 0x00004b4b 0x1 20 >,
1313 < 1881600000 0x404c1462 0x00004e4e 0x1 21 >,
1314 < 1958400000 0x404c1566 0x00005252 0x1 22 >,
1315 < 2035200000 0x404c166a 0x00005555 0x1 23 >,
1316 < 2112000000 0x4054176e 0x00005858 0x1 24 >,
1317 < 2208000000 0x40541873 0x00005c5c 0x1 25 >;
1318
1319 qcom,l3-memacc-level-vc-bin0 = <7 63>;
1320 qcom,l3-memacc-level-vc-bin1 = <7 9>;
1321 qcom,l3-memacc-level-vc-bin2 = <7 9>;
1322
1323 qcom,pwrcl-memacc-level-vc-bin0 = <12 63>;
1324 qcom,pwrcl-memacc-level-vc-bin1 = <12 17>;
1325 qcom,pwrcl-memacc-level-vc-bin2 = <12 17>;
1326
1327 qcom,perfcl-memacc-level-vc-bin0 = <12 18>;
1328 qcom,perfcl-memacc-level-vc-bin1 = <12 18>;
1329 qcom,perfcl-memacc-level-vc-bin2 = <12 18>;
Deepak Katragaddaf87cdd92017-05-22 16:28:21 -07001330
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001331 qcom,up-timer =
1332 <1000 1000 1000>;
1333 qcom,down-timer =
1334 <100000 100000 100000>;
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001335 qcom,set-ret-inactive;
1336 qcom,enable-llm-freq-vote;
1337 qcom,llm-freq-up-timer =
1338 <1000 1000 1000>;
1339 qcom,llm-freq-down-timer =
1340 <327675 327675 327675>;
1341 qcom,enable-llm-volt-vote;
1342 qcom,llm-volt-up-timer =
1343 <1000 1000 1000>;
1344 qcom,llm-volt-down-timer =
1345 <327675 327675 327675>;
1346 qcom,cc-reads = <10>;
1347 qcom,cc-delay = <5>;
1348 qcom,cc-factor = <100>;
1349 qcom,osm-clk-rate = <100000000>;
1350 qcom,xo-clk-rate = <19200000>;
1351
1352 qcom,l-val-base =
1353 <0x178d0004 0x178c0004 0x178b0004>;
1354 qcom,apcs-pll-user-ctl =
1355 <0x178d000c 0x178c000c 0x178b000c>;
1356 qcom,apcs-pll-min-freq =
1357 <0x17d41094 0x17d43094 0x17d45894>;
1358 qcom,apm-mode-ctl =
1359 <0x0 0x0 0x17d20010>;
1360 qcom,apm-status-ctrl =
1361 <0x0 0x0 0x17d20000>;
1362 qcom,perfcl-isense-addr = <0x17871480>;
1363 qcom,l3-mem-acc-addr = <0x17990170 0x17990170 0x17990170>;
1364 qcom,pwrcl-mem-acc-addr = <0x17990160 0x17990164 0x17990164>;
1365 qcom,perfcl-mem-acc-addr = <0x17990168 0x1799016c 0x1799016c>;
1366 qcom,cfg-gfmux-addr =<0x178d0084 0x178c0084 0x178b0084>;
1367 qcom,apcs-cbc-addr = <0x178d008c 0x178c008c 0x178b008c>;
1368 qcom,apcs-ramp-ctl-addr = <0x17840904 0x17840904 0x17830904>;
1369
1370 qcom,perfcl-apcs-apm-threshold-voltage = <800000>;
1371 qcom,perfcl-apcs-mem-acc-threshold-voltage = <852000>;
1372 qcom,boost-fsm-en;
1373 qcom,safe-fsm-en;
1374 qcom,ps-fsm-en;
1375 qcom,droop-fsm-en;
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001376
1377 clock-names = "xo_ao";
1378 clocks = <&clock_rpmh RPMH_CXO_CLK_A>;
Deepak Katragadda95b77242016-12-19 14:10:03 -08001379 #clock-cells = <1>;
1380 #reset-cells = <1>;
1381 };
1382
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001383 clock_debug: qcom,cc-debug@100000 {
1384 compatible = "qcom,debugcc-sdm845";
1385 qcom,cc-count = <5>;
1386 qcom,gcc = <&clock_gcc>;
1387 qcom,videocc = <&clock_videocc>;
1388 qcom,camcc = <&clock_camcc>;
1389 qcom,dispcc = <&clock_dispcc>;
1390 qcom,gpucc = <&clock_gpucc>;
Deepak Katragadda6d1a5042017-05-11 09:31:58 -07001391 qcom,cpucc = <&cpucc_debug>;
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001392 clock-names = "xo_clk_src";
1393 clocks = <&clock_rpmh RPMH_CXO_CLK>;
1394 #clock-cells = <1>;
1395 };
1396
Taniya Dasa8d52b92017-04-18 17:02:49 +05301397 clock_aop: qcom,aopclk {
Deepak Katragadda90954d72017-07-27 14:22:24 -07001398 compatible = "qcom,aop-qmp-clk-v1";
Taniya Dasa8d52b92017-04-18 17:02:49 +05301399 #clock-cells = <1>;
1400 mboxes = <&qmp_aop 0>;
1401 mbox-names = "qdss_clk";
1402 };
1403
AnilKumar Chimata2e815902017-04-13 12:14:56 -07001404 ufs_ice: ufsice@1d90000 {
1405 compatible = "qcom,ice";
1406 reg = <0x1d90000 0x8000>;
1407 qcom,enable-ice-clk;
1408 clock-names = "ufs_core_clk", "bus_clk",
1409 "iface_clk", "ice_core_clk";
1410 clocks = <&clock_gcc GCC_UFS_PHY_AXI_CLK>,
1411 <&clock_gcc GCC_UFS_MEM_CLKREF_CLK>,
1412 <&clock_gcc GCC_UFS_PHY_AHB_CLK>,
1413 <&clock_gcc GCC_UFS_PHY_ICE_CORE_CLK>;
1414 qcom,op-freq-hz = <0>, <0>, <0>, <300000000>;
1415 vdd-hba-supply = <&ufs_phy_gdsc>;
1416 qcom,msm-bus,name = "ufs_ice_noc";
1417 qcom,msm-bus,num-cases = <2>;
1418 qcom,msm-bus,num-paths = <1>;
1419 qcom,msm-bus,vectors-KBps =
1420 <1 650 0 0>, /* No vote */
1421 <1 650 1000 0>; /* Max. bandwidth */
1422 qcom,bus-vector-names = "MIN",
1423 "MAX";
1424 qcom,instance-type = "ufs";
1425 };
1426
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001427 ufsphy_mem: ufsphy_mem@1d87000 {
Subhash Jadavani877ec812016-08-04 13:23:24 -07001428 reg = <0x1d87000 0xda8>; /* PHY regs */
1429 reg-names = "phy_mem";
1430 #phy-cells = <0>;
1431
Subhash Jadavanib606c842017-04-03 18:03:57 -07001432 lanes-per-direction = <2>;
1433
Subhash Jadavani9981b032017-03-24 17:24:05 -07001434 clock-names = "ref_clk_src",
1435 "ref_clk",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001436 "ref_aux_clk";
Osvaldo Banuelos918ffad2017-04-12 14:07:45 -07001437 clocks = <&clock_rpmh RPMH_CXO_CLK>,
Subhash Jadavani9981b032017-03-24 17:24:05 -07001438 <&clock_gcc GCC_UFS_MEM_CLKREF_CLK>,
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001439 <&clock_gcc GCC_UFS_PHY_PHY_AUX_HW_CTL_CLK>;
Subhash Jadavani877ec812016-08-04 13:23:24 -07001440
1441 status = "disabled";
1442 };
1443
Subhash Jadavanibb52a442017-04-27 16:50:58 -07001444 ufshc_mem: ufshc@1d84000 {
Subhash Jadavani877ec812016-08-04 13:23:24 -07001445 compatible = "qcom,ufshc";
1446 reg = <0x1d84000 0x2500>;
1447 interrupts = <0 265 0>;
1448 phys = <&ufsphy_mem>;
1449 phy-names = "ufsphy";
AnilKumar Chimata2e815902017-04-13 12:14:56 -07001450 ufs-qcom-crypto = <&ufs_ice>;
Subhash Jadavani877ec812016-08-04 13:23:24 -07001451
Subhash Jadavani588f2092016-09-08 17:58:31 -07001452 lanes-per-direction = <2>;
Subhash Jadavani5534d492016-12-13 16:13:19 -08001453 dev-ref-clk-freq = <0>; /* 19.2 MHz */
Subhash Jadavani588f2092016-09-08 17:58:31 -07001454
Subhash Jadavani877ec812016-08-04 13:23:24 -07001455 clock-names =
1456 "core_clk",
1457 "bus_aggr_clk",
1458 "iface_clk",
1459 "core_clk_unipro",
1460 "core_clk_ice",
Subhash Jadavani9981b032017-03-24 17:24:05 -07001461 "ref_clk",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001462 "tx_lane0_sync_clk",
1463 "rx_lane0_sync_clk",
1464 "rx_lane1_sync_clk";
1465 clocks =
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001466 <&clock_gcc GCC_UFS_PHY_AXI_HW_CTL_CLK>,
1467 <&clock_gcc GCC_AGGRE_UFS_PHY_AXI_HW_CTL_CLK>,
Subhash Jadavani877ec812016-08-04 13:23:24 -07001468 <&clock_gcc GCC_UFS_PHY_AHB_CLK>,
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001469 <&clock_gcc GCC_UFS_PHY_UNIPRO_CORE_HW_CTL_CLK>,
1470 <&clock_gcc GCC_UFS_PHY_ICE_CORE_HW_CTL_CLK>,
Osvaldo Banuelos918ffad2017-04-12 14:07:45 -07001471 <&clock_rpmh RPMH_CXO_CLK>,
Subhash Jadavani877ec812016-08-04 13:23:24 -07001472 <&clock_gcc GCC_UFS_PHY_TX_SYMBOL_0_CLK>,
1473 <&clock_gcc GCC_UFS_PHY_RX_SYMBOL_0_CLK>,
1474 <&clock_gcc GCC_UFS_PHY_RX_SYMBOL_1_CLK>;
1475 freq-table-hz =
1476 <50000000 200000000>,
1477 <0 0>,
1478 <0 0>,
1479 <37500000 150000000>,
1480 <75000000 300000000>,
1481 <0 0>,
1482 <0 0>,
Subhash Jadavani9981b032017-03-24 17:24:05 -07001483 <0 0>,
Subhash Jadavani877ec812016-08-04 13:23:24 -07001484 <0 0>;
1485
Subhash Jadavani35c309a2016-12-19 13:58:57 -08001486 qcom,msm-bus,name = "ufshc_mem";
Subhash Jadavani588f2092016-09-08 17:58:31 -07001487 qcom,msm-bus,num-cases = <22>;
Subhash Jadavani877ec812016-08-04 13:23:24 -07001488 qcom,msm-bus,num-paths = <2>;
1489 qcom,msm-bus,vectors-KBps =
Subhash Jadavani63705c42017-03-27 16:37:28 -07001490 /*
1491 * During HS G3 UFS runs at nominal voltage corner, vote
1492 * higher bandwidth to push other buses in the data path
1493 * to run at nominal to achieve max throughput.
1494 * 4GBps pushes BIMC to run at nominal.
1495 * 200MBps pushes CNOC to run at nominal.
1496 * Vote for half of this bandwidth for HS G3 1-lane.
1497 * For max bandwidth, vote high enough to push the buses
1498 * to run in turbo voltage corner.
1499 */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001500 <123 512 0 0>, <1 757 0 0>, /* No vote */
1501 <123 512 922 0>, <1 757 1000 0>, /* PWM G1 */
1502 <123 512 1844 0>, <1 757 1000 0>, /* PWM G2 */
1503 <123 512 3688 0>, <1 757 1000 0>, /* PWM G3 */
1504 <123 512 7376 0>, <1 757 1000 0>, /* PWM G4 */
1505 <123 512 1844 0>, <1 757 1000 0>, /* PWM G1 L2 */
1506 <123 512 3688 0>, <1 757 1000 0>, /* PWM G2 L2 */
1507 <123 512 7376 0>, <1 757 1000 0>, /* PWM G3 L2 */
1508 <123 512 14752 0>, <1 757 1000 0>, /* PWM G4 L2 */
1509 <123 512 127796 0>, <1 757 1000 0>, /* HS G1 RA */
1510 <123 512 255591 0>, <1 757 1000 0>, /* HS G2 RA */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001511 <123 512 2097152 0>, <1 757 102400 0>, /* HS G3 RA */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001512 <123 512 255591 0>, <1 757 1000 0>, /* HS G1 RA L2 */
1513 <123 512 511181 0>, <1 757 1000 0>, /* HS G2 RA L2 */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001514 <123 512 4194304 0>, <1 757 204800 0>, /* HS G3 RA L2 */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001515 <123 512 149422 0>, <1 757 1000 0>, /* HS G1 RB */
1516 <123 512 298189 0>, <1 757 1000 0>, /* HS G2 RB */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001517 <123 512 2097152 0>, <1 757 102400 0>, /* HS G3 RB */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001518 <123 512 298189 0>, <1 757 1000 0>, /* HS G1 RB L2 */
1519 <123 512 596378 0>, <1 757 1000 0>, /* HS G2 RB L2 */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001520 <123 512 4194304 0>, <1 757 204800 0>, /* HS G3 RB L2 */
1521 <123 512 7643136 0>, <1 757 307200 0>; /* Max. bandwidth */
1522
Subhash Jadavani877ec812016-08-04 13:23:24 -07001523 qcom,bus-vector-names = "MIN",
1524 "PWM_G1_L1", "PWM_G2_L1", "PWM_G3_L1", "PWM_G4_L1",
Subhash Jadavani588f2092016-09-08 17:58:31 -07001525 "PWM_G1_L2", "PWM_G2_L2", "PWM_G3_L2", "PWM_G4_L2",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001526 "HS_RA_G1_L1", "HS_RA_G2_L1", "HS_RA_G3_L1",
Subhash Jadavani588f2092016-09-08 17:58:31 -07001527 "HS_RA_G1_L2", "HS_RA_G2_L2", "HS_RA_G3_L2",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001528 "HS_RB_G1_L1", "HS_RB_G2_L1", "HS_RB_G3_L1",
Subhash Jadavani588f2092016-09-08 17:58:31 -07001529 "HS_RB_G1_L2", "HS_RB_G2_L2", "HS_RB_G3_L2",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001530 "MAX";
1531
Subhash Jadavani63705c42017-03-27 16:37:28 -07001532 /* PM QoS */
1533 qcom,pm-qos-cpu-groups = <0x0f 0xf0>;
1534 qcom,pm-qos-cpu-group-latency-us = <70 70>;
1535 qcom,pm-qos-default-cpu = <0>;
1536
Subhash Jadavaniafe2a792017-03-31 21:08:29 -07001537 pinctrl-names = "dev-reset-assert", "dev-reset-deassert";
1538 pinctrl-0 = <&ufs_dev_reset_assert>;
1539 pinctrl-1 = <&ufs_dev_reset_deassert>;
Subhash Jadavani63705c42017-03-27 16:37:28 -07001540
1541 resets = <&clock_gcc GCC_UFS_PHY_BCR>;
1542 reset-names = "core_reset";
1543
Subhash Jadavani877ec812016-08-04 13:23:24 -07001544 status = "disabled";
1545 };
Satyajit Desai17da0592016-08-08 18:38:32 -07001546
Subhash Jadavanidd416c42017-05-15 11:54:10 -07001547 extcon_storage_cd: extcon_storage_cd {
1548 compatible = "extcon-gpio";
1549 extcon-id = <62>; /* EXTCON_MECHANICAL */
1550 status = "disabled";
1551 };
1552
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001553 ufsphy_card: ufsphy_card@1da7000 {
1554 reg = <0x1da7000 0xda8>; /* PHY regs */
1555 reg-names = "phy_mem";
1556 #phy-cells = <0>;
1557
Subhash Jadavanib606c842017-04-03 18:03:57 -07001558 lanes-per-direction = <1>;
1559
Subhash Jadavani9981b032017-03-24 17:24:05 -07001560 clock-names = "ref_clk_src",
1561 "ref_clk",
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001562 "ref_aux_clk";
Osvaldo Banuelos918ffad2017-04-12 14:07:45 -07001563 clocks = <&clock_rpmh RPMH_CXO_CLK>,
Subhash Jadavani9981b032017-03-24 17:24:05 -07001564 <&clock_gcc GCC_UFS_CARD_CLKREF_CLK>,
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001565 <&clock_gcc GCC_UFS_CARD_PHY_AUX_HW_CTL_CLK>;
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001566
1567 status = "disabled";
1568 };
1569
Subhash Jadavani35c309a2016-12-19 13:58:57 -08001570 ufshc_card: ufshc_card@1da4000 {
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001571 compatible = "qcom,ufshc";
1572 reg = <0x1da4000 0x2500>;
1573 interrupts = <0 125 0>;
1574 phys = <&ufsphy_card>;
1575 phy-names = "ufsphy";
1576
1577 lanes-per-direction = <1>;
1578 dev-ref-clk-freq = <0>; /* 19.2 MHz */
1579
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001580 clock-names =
1581 "core_clk",
1582 "bus_aggr_clk",
1583 "iface_clk",
1584 "core_clk_unipro",
1585 "core_clk_ice",
Subhash Jadavani9981b032017-03-24 17:24:05 -07001586 "ref_clk",
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001587 "tx_lane0_sync_clk",
1588 "rx_lane0_sync_clk";
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001589 clocks =
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001590 <&clock_gcc GCC_UFS_CARD_AXI_HW_CTL_CLK>,
1591 <&clock_gcc GCC_AGGRE_UFS_CARD_AXI_HW_CTL_CLK>,
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001592 <&clock_gcc GCC_UFS_CARD_AHB_CLK>,
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001593 <&clock_gcc GCC_UFS_CARD_UNIPRO_CORE_HW_CTL_CLK>,
1594 <&clock_gcc GCC_UFS_CARD_ICE_CORE_HW_CTL_CLK>,
Osvaldo Banuelos918ffad2017-04-12 14:07:45 -07001595 <&clock_rpmh RPMH_CXO_CLK>,
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001596 <&clock_gcc GCC_UFS_CARD_TX_SYMBOL_0_CLK>,
1597 <&clock_gcc GCC_UFS_CARD_RX_SYMBOL_0_CLK>;
1598 freq-table-hz =
1599 <50000000 200000000>,
1600 <0 0>,
1601 <0 0>,
1602 <37500000 150000000>,
1603 <75000000 300000000>,
1604 <0 0>,
Subhash Jadavani9981b032017-03-24 17:24:05 -07001605 <0 0>,
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001606 <0 0>;
1607
Subhash Jadavani35c309a2016-12-19 13:58:57 -08001608 qcom,msm-bus,name = "ufshc_card";
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001609 qcom,msm-bus,num-cases = <9>;
1610 qcom,msm-bus,num-paths = <2>;
1611 qcom,msm-bus,vectors-KBps =
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001612 <122 512 0 0>, <1 756 0 0>, /* No vote */
1613 <122 512 922 0>, <1 756 1000 0>, /* PWM G1 */
1614 <122 512 127796 0>, <1 756 1000 0>, /* HS G1 RA */
1615 <122 512 255591 0>, <1 756 1000 0>, /* HS G2 RA */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001616 <122 512 2097152 0>, <1 756 102400 0>, /* HS G3 RA */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001617 <122 512 149422 0>, <1 756 1000 0>, /* HS G1 RB */
1618 <122 512 298189 0>, <1 756 1000 0>, /* HS G2 RB */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001619 <122 512 2097152 0>, <1 756 102400 0>, /* HS G3 RB */
1620 <122 512 7643136 0>, <1 756 307200 0>; /* Max. bandwidth */
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001621 qcom,bus-vector-names = "MIN",
1622 "PWM_G1_L1",
1623 "HS_RA_G1_L1", "HS_RA_G2_L1", "HS_RA_G3_L1",
1624 "HS_RB_G1_L1", "HS_RB_G2_L1", "HS_RB_G3_L1",
1625 "MAX";
1626
Subhash Jadavani63705c42017-03-27 16:37:28 -07001627 /* PM QoS */
1628 qcom,pm-qos-cpu-groups = <0x0f 0xf0>;
1629 qcom,pm-qos-cpu-group-latency-us = <70 70>;
1630 qcom,pm-qos-default-cpu = <0>;
1631
1632 /*
1633 * Note: this instance doesn't have control over UFS device
1634 * reset
1635 */
1636
1637 resets = <&clock_gcc GCC_UFS_CARD_BCR>;
1638 reset-names = "core_reset";
1639
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001640 status = "disabled";
1641 };
1642
Xiaonian Wang3c14ea32017-04-08 06:39:42 +08001643 sdhc_2: sdhci@8804000 {
1644 compatible = "qcom,sdhci-msm-v5";
1645 reg = <0x8804000 0x1000>;
1646 reg-names = "hc_mem";
1647
1648 interrupts = <0 204 0>, <0 222 0>;
1649 interrupt-names = "hc_irq", "pwr_irq";
1650
1651 qcom,bus-width = <4>;
1652 qcom,large-address-bus;
1653
1654 qcom,msm-bus,name = "sdhc2";
1655 qcom,msm-bus,num-cases = <8>;
1656 qcom,msm-bus,num-paths = <2>;
1657 qcom,msm-bus,vectors-KBps =
1658 /* No vote */
1659 <81 512 0 0>, <1 608 0 0>,
1660 /* 400 KB/s*/
1661 <81 512 1046 1600>,
1662 <1 608 1600 1600>,
1663 /* 20 MB/s */
1664 <81 512 52286 80000>,
1665 <1 608 80000 80000>,
1666 /* 25 MB/s */
1667 <81 512 65360 100000>,
1668 <1 608 100000 100000>,
1669 /* 50 MB/s */
1670 <81 512 130718 200000>,
1671 <1 608 133320 133320>,
1672 /* 100 MB/s */
1673 <81 512 261438 200000>,
1674 <1 608 150000 150000>,
1675 /* 200 MB/s */
1676 <81 512 261438 400000>,
1677 <1 608 300000 300000>,
1678 /* Max. bandwidth */
1679 <81 512 1338562 4096000>,
1680 <1 608 1338562 4096000>;
1681 qcom,bus-bw-vectors-bps = <0 400000 20000000 25000000 50000000
Subhash Jadavani0842b272017-07-19 17:05:13 -07001682 100750000 200000000 4294967295>;
Xiaonian Wang3c14ea32017-04-08 06:39:42 +08001683
Xiaonian Wang5d7e5d12017-04-07 19:51:23 -07001684 qcom,sdr104-wa;
1685
Bao D. Nguyen40d42ae2017-06-29 21:20:25 -07001686 qcom,restore-after-cx-collapse;
1687
Subhash Jadavani0842b272017-07-19 17:05:13 -07001688 qcom,clk-rates = <400000 20000000 25000000
1689 50000000 100000000 201500000>;
1690 qcom,bus-speed-mode = "SDR12", "SDR25", "SDR50", "DDR50",
1691 "SDR104";
1692
1693 qcom,devfreq,freq-table = <50000000 201500000>;
Xiaonian Wang3c14ea32017-04-08 06:39:42 +08001694 clocks = <&clock_gcc GCC_SDCC2_AHB_CLK>,
1695 <&clock_gcc GCC_SDCC2_APPS_CLK>;
1696 clock-names = "iface_clk", "core_clk";
1697
1698 status = "disabled";
1699 };
1700
Kyle Yan384b13c2016-10-18 11:11:37 -07001701 pil_modem: qcom,mss@4080000 {
1702 compatible = "qcom,pil-q6v55-mss";
1703 reg = <0x4080000 0x100>,
1704 <0x1f63000 0x008>,
1705 <0x1f65000 0x008>,
1706 <0x1f64000 0x008>,
1707 <0x4180000 0x020>,
Kyle Yan8e805302017-05-01 11:13:45 -07001708 <0xc2b0000 0x004>,
Kyle Yan02f80392017-05-01 14:40:32 -07001709 <0xb2e0100 0x004>,
1710 <0x4180044 0x004>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001711 reg-names = "qdsp6_base", "halt_q6", "halt_modem",
Kyle Yan8e805302017-05-01 11:13:45 -07001712 "halt_nc", "rmb_base", "restart_reg",
Kyle Yan02f80392017-05-01 14:40:32 -07001713 "pdc_sync", "alt_reset";
Kyle Yan384b13c2016-10-18 11:11:37 -07001714
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001715 clocks = <&clock_rpmh RPMH_CXO_CLK>,
Kyle Yan384b13c2016-10-18 11:11:37 -07001716 <&clock_gcc GCC_MSS_CFG_AHB_CLK>,
1717 <&clock_gcc GCC_MSS_Q6_MEMNOC_AXI_CLK>,
1718 <&clock_gcc GCC_BOOT_ROM_AHB_CLK>,
1719 <&clock_gcc GCC_MSS_GPLL0_DIV_CLK_SRC>,
1720 <&clock_gcc GCC_MSS_SNOC_AXI_CLK>,
Kyle Yan5eb4ef92017-04-17 11:59:36 -07001721 <&clock_gcc GCC_MSS_MFAB_AXIS_CLK>,
1722 <&clock_gcc GCC_PRNG_AHB_CLK>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001723 clock-names = "xo", "iface_clk", "bus_clk",
1724 "mem_clk", "gpll0_mss_clk", "snoc_axi_clk",
Kyle Yanf7c86b72017-04-25 13:11:26 -07001725 "mnoc_axi_clk", "prng_clk";
1726 qcom,proxy-clock-names = "xo", "prng_clk";
Kyle Yan384b13c2016-10-18 11:11:37 -07001727 qcom,active-clock-names = "iface_clk", "bus_clk", "mem_clk",
1728 "gpll0_mss_clk", "snoc_axi_clk",
1729 "mnoc_axi_clk";
1730
1731 interrupts = <0 266 1>;
David Collins3a457942016-12-09 16:59:51 -08001732 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001733 vdd_cx-voltage = <RPMH_REGULATOR_LEVEL_TURBO>;
David Collins3a457942016-12-09 16:59:51 -08001734 vdd_mx-supply = <&pm8998_s6_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001735 vdd_mx-uV = <RPMH_REGULATOR_LEVEL_TURBO>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001736 qcom,firmware-name = "modem";
1737 qcom,pil-self-auth;
1738 qcom,sysmon-id = <0>;
1739 qcom,ssctl-instance-id = <0x12>;
1740 qcom,override-acc;
1741 qcom,qdsp6v65-1-0;
1742 status = "ok";
1743 memory-region = <&pil_modem_mem>;
1744 qcom,mem-protect-id = <0xF>;
1745
1746 /* GPIO inputs from mss */
1747 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_1_in 0 0>;
1748 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_1_in 1 0>;
1749 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_1_in 2 0>;
1750 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_1_in 3 0>;
1751 qcom,gpio-shutdown-ack = <&smp2pgpio_ssr_smp2p_1_in 7 0>;
1752
1753 /* GPIO output to mss */
1754 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_1_out 0 0>;
Channagoud Kadabi814df402017-04-04 13:55:26 -07001755 qcom,mba-mem@0 {
1756 compatible = "qcom,pil-mba-mem";
1757 memory-region = <&pil_mba_mem>;
1758 };
Kyle Yan384b13c2016-10-18 11:11:37 -07001759 };
1760
Kyle Yand119cf82016-10-19 14:49:04 -07001761 qcom,lpass@17300000 {
1762 compatible = "qcom,pil-tz-generic";
1763 reg = <0x17300000 0x00100>;
1764 interrupts = <0 162 1>;
1765
David Collins3a457942016-12-09 16:59:51 -08001766 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yand119cf82016-10-19 14:49:04 -07001767 qcom,proxy-reg-names = "vdd_cx";
Kyle Yand8326b62017-01-05 15:11:02 -08001768 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
Kyle Yand119cf82016-10-19 14:49:04 -07001769
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001770 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yand119cf82016-10-19 14:49:04 -07001771 clock-names = "xo";
1772 qcom,proxy-clock-names = "xo";
1773
1774 qcom,pas-id = <1>;
1775 qcom,proxy-timeout-ms = <10000>;
1776 qcom,smem-id = <423>;
1777 qcom,sysmon-id = <1>;
1778 status = "ok";
1779 qcom,ssctl-instance-id = <0x14>;
1780 qcom,firmware-name = "adsp";
1781 memory-region = <&pil_adsp_mem>;
1782
1783 /* GPIO inputs from lpass */
1784 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_2_in 0 0>;
1785 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_2_in 2 0>;
1786 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_2_in 1 0>;
1787 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_2_in 3 0>;
1788
1789 /* GPIO output to lpass */
1790 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_2_out 0 0>;
1791 };
1792
Kyle Yanb693da32016-10-20 14:01:09 -07001793 qcom,ssc@5c00000 {
1794 compatible = "qcom,pil-tz-generic";
1795 reg = <0x5c00000 0x4000>;
Kyle Yanb3a29ae2017-05-23 13:37:11 -07001796 interrupts = <0 494 1>;
Kyle Yanb693da32016-10-20 14:01:09 -07001797
David Collins3a457942016-12-09 16:59:51 -08001798 vdd_cx-supply = <&pm8998_l27_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001799 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 0>;
Kyle Yane9e3b402017-06-22 16:37:17 -07001800 qcom,proxy-reg-names = "vdd_cx";
Kyle Yanb693da32016-10-20 14:01:09 -07001801 qcom,keep-proxy-regs-on;
1802
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001803 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yanb693da32016-10-20 14:01:09 -07001804 clock-names = "xo";
1805 qcom,proxy-clock-names = "xo";
1806
1807 qcom,pas-id = <12>;
1808 qcom,proxy-timeout-ms = <10000>;
1809 qcom,smem-id = <424>;
1810 qcom,sysmon-id = <3>;
1811 qcom,ssctl-instance-id = <0x16>;
1812 qcom,firmware-name = "slpi";
1813 status = "ok";
1814 memory-region = <&pil_slpi_mem>;
1815
1816 /* GPIO inputs from ssc */
1817 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_3_in 0 0>;
1818 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_3_in 2 0>;
1819 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_3_in 1 0>;
1820 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_3_in 3 0>;
1821
1822 /* GPIO output to ssc */
1823 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_3_out 0 0>;
1824 };
1825
Sagar Dhariab7394b42016-11-29 01:01:01 -07001826 slim_aud: slim@171c0000 {
1827 cell-index = <1>;
1828 compatible = "qcom,slim-ngd";
1829 reg = <0x171c0000 0x2c000>,
1830 <0x17184000 0x2a000>;
1831 reg-names = "slimbus_physical", "slimbus_bam_physical";
1832 interrupts = <0 163 0>, <0 164 0>;
1833 interrupt-names = "slimbus_irq", "slimbus_bam_irq";
Karthikeyan Ramasubramanianb5d07ee2017-02-13 12:26:39 -07001834 qcom,apps-ch-pipes = <0x780000>;
Sagar Dhariab7394b42016-11-29 01:01:01 -07001835 qcom,ea-pc = <0x270>;
Karthikeyan Ramasubramanian9cd18ff2017-05-09 17:11:26 -06001836 qcom,iommu-s1-bypass;
1837
1838 iommu_slim_aud_ctrl_cb: qcom,iommu_slim_ctrl_cb {
1839 compatible = "qcom,iommu-slim-ctrl-cb";
1840 iommus = <&apps_smmu 0x1806 0x0>,
1841 <&apps_smmu 0x180d 0x0>,
1842 <&apps_smmu 0x180e 0x1>,
1843 <&apps_smmu 0x1810 0x1>;
1844 };
Sagar Dhariab7394b42016-11-29 01:01:01 -07001845 };
1846
Karthikeyan Ramasubramanian430c6462017-01-18 15:20:05 -07001847 slim_qca: slim@17240000 {
Sungjun Parkb4a9b3c2017-05-04 10:12:35 -07001848 status = "ok";
Karthikeyan Ramasubramanian430c6462017-01-18 15:20:05 -07001849 cell-index = <3>;
1850 compatible = "qcom,slim-ngd";
1851 reg = <0x17240000 0x2c000>,
1852 <0x17204000 0x20000>;
1853 reg-names = "slimbus_physical", "slimbus_bam_physical";
1854 interrupts = <0 291 0>, <0 292 0>;
1855 interrupt-names = "slimbus_irq", "slimbus_bam_irq";
Karthikeyan Ramasubramanian9cd18ff2017-05-09 17:11:26 -06001856 qcom,iommu-s1-bypass;
1857
1858 iommu_slim_qca_ctrl_cb: qcom,iommu_slim_ctrl_cb {
1859 compatible = "qcom,iommu-slim-ctrl-cb";
1860 iommus = <&apps_smmu 0x1813 0x0>;
1861 };
Sungjun Parkb4a9b3c2017-05-04 10:12:35 -07001862
1863 /* Slimbus Slave DT for WCN3990 */
1864 btfmslim_codec: wcn3990 {
1865 compatible = "qcom,btfmslim_slave";
1866 elemental-addr = [00 01 20 02 17 02];
1867 qcom,btfm-slim-ifd = "btfmslim_slave_ifd";
1868 qcom,btfm-slim-ifd-elemental-addr = [00 00 20 02 17 02];
1869 };
Karthikeyan Ramasubramanian430c6462017-01-18 15:20:05 -07001870 };
1871
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -07001872 eud: qcom,msm-eud@88e0000 {
1873 compatible = "qcom,msm-eud";
1874 interrupt-names = "eud_irq";
1875 interrupts = <GIC_SPI 492 IRQ_TYPE_LEVEL_HIGH>;
Kyle Yan3801a1f2016-09-27 18:29:55 -07001876 reg = <0x88e0000 0x2000>;
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -07001877 reg-names = "eud_base";
1878 status = "ok";
1879 };
1880
Kyle Yan79653352016-10-20 15:40:45 -07001881 qcom,spss@1880000 {
1882 compatible = "qcom,pil-tz-generic";
1883 reg = <0x188101c 0x4>,
1884 <0x1881024 0x4>,
1885 <0x1881028 0x4>,
1886 <0x188103c 0x4>,
1887 <0x1882014 0x4>;
1888 reg-names = "sp2soc_irq_status", "sp2soc_irq_clr",
1889 "sp2soc_irq_mask", "rmb_err", "rmb_err_spare2";
1890 interrupts = <0 352 1>;
1891
David Collins3a457942016-12-09 16:59:51 -08001892 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yan79653352016-10-20 15:40:45 -07001893 qcom,proxy-reg-names = "vdd_cx";
Kyle Yand8326b62017-01-05 15:11:02 -08001894 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
David Collins3a457942016-12-09 16:59:51 -08001895 vdd_mx-supply = <&pm8998_s6_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001896 vdd_mx-uV = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
Kyle Yan79653352016-10-20 15:40:45 -07001897
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001898 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yan79653352016-10-20 15:40:45 -07001899 clock-names = "xo";
1900 qcom,proxy-clock-names = "xo";
1901 qcom,pil-generic-irq-handler;
1902 status = "ok";
1903
1904 qcom,pas-id = <14>;
1905 qcom,proxy-timeout-ms = <10000>;
1906 qcom,firmware-name = "spss";
1907 memory-region = <&pil_spss_mem>;
1908 qcom,spss-scsr-bits = <24 25>;
1909 };
1910
Satyajit Desai17da0592016-08-08 18:38:32 -07001911 wdog: qcom,wdt@17980000{
1912 compatible = "qcom,msm-watchdog";
1913 reg = <0x17980000 0x1000>;
1914 reg-names = "wdt-base";
Satyajit Desaidb4f2e6e2017-04-17 14:08:59 -07001915 interrupts = <0 0 0>, <0 1 0>;
Satyajit Desai17da0592016-08-08 18:38:32 -07001916 qcom,bark-time = <11000>;
Channagoud Kadabi63d9d4d2017-08-25 15:36:31 -07001917 qcom,pet-time = <9360>;
Satyajit Desai17da0592016-08-08 18:38:32 -07001918 qcom,ipi-ping;
1919 qcom,wakeup-enable;
1920 };
Satyajit Desai5e2b88a2016-08-10 17:08:08 -07001921
Kyle Yan02e95f72016-10-18 14:38:41 -07001922 qcom,turing@8300000 {
1923 compatible = "qcom,pil-tz-generic";
1924 reg = <0x8300000 0x100000>;
1925 interrupts = <0 578 1>;
1926
David Collins3a457942016-12-09 16:59:51 -08001927 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001928 qcom,proxy-reg-names = "vdd_cx";
Kyle Yand8326b62017-01-05 15:11:02 -08001929 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001930
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001931 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001932 clock-names = "xo";
1933 qcom,proxy-clock-names = "xo";
1934
1935 qcom,pas-id = <18>;
1936 qcom,proxy-timeout-ms = <10000>;
Kyle Yana7b79262017-04-09 11:37:24 -07001937 qcom,smem-id = <601>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001938 qcom,sysmon-id = <7>;
1939 qcom,ssctl-instance-id = <0x17>;
1940 qcom,firmware-name = "cdsp";
1941 memory-region = <&pil_cdsp_mem>;
1942
1943 /* GPIO inputs from turing */
1944 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_5_in 0 0>;
1945 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_5_in 2 0>;
1946 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_5_in 1 0>;
1947 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_5_in 3 0>;
1948
1949 /* GPIO output to turing*/
1950 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_5_out 0 0>;
1951 status = "ok";
1952 };
1953
Kyle Yan74c74252017-02-13 13:30:45 -08001954 qcom,msm-rtb {
1955 compatible = "qcom,msm-rtb";
1956 qcom,rtb-size = <0x100000>;
1957 };
1958
Channagoud Kadabi31282232017-04-26 14:39:09 -07001959 qcom,mpm2-sleep-counter@0x0c221000 {
1960 compatible = "qcom,mpm2-sleep-counter";
1961 reg = <0x0c221000 0x1000>;
1962 clock-frequency = <32768>;
1963 };
1964
Sathish Ambley917cbd22017-02-28 10:46:26 -08001965 qcom,msm-cdsp-loader {
1966 compatible = "qcom,cdsp-loader";
1967 qcom,proc-img-to-load = "cdsp";
1968 };
1969
Sathish Ambley521f22a2017-04-21 14:19:45 -07001970 qcom,msm-adsprpc-mem {
1971 compatible = "qcom,msm-adsprpc-mem-region";
1972 memory-region = <&adsp_mem>;
1973 };
1974
Sathish Ambley37e87362016-11-12 15:18:48 -08001975 qcom,msm_fastrpc {
1976 compatible = "qcom,msm-fastrpc-compute";
Tharun Kumar Merugu26bf52e2017-08-11 12:03:29 +05301977 qcom,rpc-latency-us = <611>;
Sathish Ambley37e87362016-11-12 15:18:48 -08001978
1979 qcom,msm_fastrpc_compute_cb1 {
1980 compatible = "qcom,msm-fastrpc-compute-cb";
1981 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001982 iommus = <&apps_smmu 0x1401 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301983 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001984 };
1985 qcom,msm_fastrpc_compute_cb2 {
1986 compatible = "qcom,msm-fastrpc-compute-cb";
1987 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001988 iommus = <&apps_smmu 0x1402 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301989 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001990 };
1991 qcom,msm_fastrpc_compute_cb3 {
1992 compatible = "qcom,msm-fastrpc-compute-cb";
1993 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001994 iommus = <&apps_smmu 0x1403 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301995 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001996 };
1997 qcom,msm_fastrpc_compute_cb4 {
1998 compatible = "qcom,msm-fastrpc-compute-cb";
1999 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07002000 iommus = <&apps_smmu 0x1404 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302001 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08002002 };
2003 qcom,msm_fastrpc_compute_cb5 {
2004 compatible = "qcom,msm-fastrpc-compute-cb";
2005 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07002006 iommus = <&apps_smmu 0x1405 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302007 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08002008 };
2009 qcom,msm_fastrpc_compute_cb6 {
2010 compatible = "qcom,msm-fastrpc-compute-cb";
2011 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07002012 iommus = <&apps_smmu 0x1406 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302013 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08002014 };
2015 qcom,msm_fastrpc_compute_cb7 {
2016 compatible = "qcom,msm-fastrpc-compute-cb";
2017 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07002018 iommus = <&apps_smmu 0x1407 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302019 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08002020 };
2021 qcom,msm_fastrpc_compute_cb8 {
2022 compatible = "qcom,msm-fastrpc-compute-cb";
2023 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07002024 iommus = <&apps_smmu 0x1408 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302025 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08002026 };
Sathish Ambley521f22a2017-04-21 14:19:45 -07002027 qcom,msm_fastrpc_compute_cb9 {
2028 compatible = "qcom,msm-fastrpc-compute-cb";
2029 label = "cdsprpc-smd";
2030 qcom,secure-context-bank;
Patrick Dalyac495012017-04-18 16:42:00 -07002031 iommus = <&apps_smmu 0x1409 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302032 dma-coherent;
Sathish Ambley521f22a2017-04-21 14:19:45 -07002033 };
2034 qcom,msm_fastrpc_compute_cb10 {
2035 compatible = "qcom,msm-fastrpc-compute-cb";
2036 label = "cdsprpc-smd";
2037 qcom,secure-context-bank;
Patrick Dalyac495012017-04-18 16:42:00 -07002038 iommus = <&apps_smmu 0x140A 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302039 dma-coherent;
Sathish Ambley521f22a2017-04-21 14:19:45 -07002040 };
2041 qcom,msm_fastrpc_compute_cb11 {
2042 compatible = "qcom,msm-fastrpc-compute-cb";
2043 label = "adsprpc-smd";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07002044 iommus = <&apps_smmu 0x1823 0x0>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302045 dma-coherent;
Sathish Ambley521f22a2017-04-21 14:19:45 -07002046 };
2047 qcom,msm_fastrpc_compute_cb12 {
2048 compatible = "qcom,msm-fastrpc-compute-cb";
2049 label = "adsprpc-smd";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07002050 iommus = <&apps_smmu 0x1824 0x0>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302051 dma-coherent;
Sathish Ambley521f22a2017-04-21 14:19:45 -07002052 };
Sathish Ambley37e87362016-11-12 15:18:48 -08002053 };
2054
Satyajit Desai5e2b88a2016-08-10 17:08:08 -07002055 qcom,msm-imem@146bf000 {
2056 compatible = "qcom,msm-imem";
2057 reg = <0x146bf000 0x1000>;
2058 ranges = <0x0 0x146bf000 0x1000>;
2059 #address-cells = <1>;
2060 #size-cells = <1>;
2061
2062 mem_dump_table@10 {
2063 compatible = "qcom,msm-imem-mem_dump_table";
2064 reg = <0x10 8>;
2065 };
Kyle Yan3d71bbe2016-11-01 16:02:26 -07002066
Kyle Yana795b9d2017-02-14 16:16:13 -08002067 restart_reason@65c {
2068 compatible = "qcom,msm-imem-restart_reason";
2069 reg = <0x65c 4>;
2070 };
2071
Channagoud Kadabi31282232017-04-26 14:39:09 -07002072 boot_stats@6b0 {
2073 compatible = "qcom,msm-imem-boot_stats";
2074 reg = <0x6b0 32>;
2075 };
2076
Kyle Yan3d71bbe2016-11-01 16:02:26 -07002077 pil@94c {
2078 compatible = "qcom,msm-imem-pil";
2079 reg = <0x94c 200>;
2080 };
Channagoud Kadabic2513422017-04-25 18:53:42 -07002081
2082 kaslr_offset@6d0 {
2083 compatible = "qcom,msm-imem-kaslr_offset";
2084 reg = <0x6d0 12>;
2085 };
Mayank Rana0d883092017-05-05 17:30:55 -07002086
2087 diag_dload@c8 {
2088 compatible = "qcom,msm-imem-diag-dload";
2089 reg = <0xc8 200>;
2090 };
Satyajit Desai5e2b88a2016-08-10 17:08:08 -07002091 };
Kyle Yanddc44242016-06-20 14:42:14 -07002092
Kyle Yan74747da2016-09-14 16:24:30 -07002093 qcom,venus@aae0000 {
2094 compatible = "qcom,pil-tz-generic";
2095 reg = <0xaae0000 0x4000>;
2096
2097 vdd-supply = <&venus_gdsc>;
2098 qcom,proxy-reg-names = "vdd";
2099
2100 clocks = <&clock_videocc VIDEO_CC_VENUS_CTL_CORE_CLK>,
2101 <&clock_videocc VIDEO_CC_VENUS_AHB_CLK>,
2102 <&clock_videocc VIDEO_CC_VENUS_CTL_AXI_CLK>;
2103 clock-names = "core_clk", "iface_clk", "bus_clk";
2104 qcom,proxy-clock-names = "core_clk", "iface_clk", "bus_clk";
2105
2106 qcom,pas-id = <9>;
2107 qcom,msm-bus,name = "pil-venus";
2108 qcom,msm-bus,num-cases = <2>;
2109 qcom,msm-bus,num-paths = <1>;
2110 qcom,msm-bus,vectors-KBps =
2111 <63 512 0 0>,
2112 <63 512 0 304000>;
2113 qcom,proxy-timeout-ms = <100>;
2114 qcom,firmware-name = "venus";
2115 memory-region = <&pil_video_mem>;
2116 status = "ok";
2117 };
2118
Ananda Kishore47727742017-05-04 01:04:30 +05302119 ssc_sensors: qcom,msm-ssc-sensors {
2120 compatible = "qcom,msm-ssc-sensors";
2121 status = "ok";
2122 qcom,firmware-name = "slpi";
2123 };
2124
Kyle Yan49dd9f22016-12-02 11:56:05 -08002125 cpuss_dump {
2126 compatible = "qcom,cpuss-dump";
2127 qcom,l1_i_cache0 {
2128 qcom,dump-node = <&L1_I_0>;
2129 qcom,dump-id = <0x60>;
2130 };
2131 qcom,l1_i_cache1 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002132 qcom,dump-node = <&L1_I_100>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002133 qcom,dump-id = <0x61>;
2134 };
2135 qcom,l1_i_cache2 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002136 qcom,dump-node = <&L1_I_200>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002137 qcom,dump-id = <0x62>;
2138 };
2139 qcom,l1_i_cache3 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002140 qcom,dump-node = <&L1_I_300>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002141 qcom,dump-id = <0x63>;
2142 };
2143 qcom,l1_i_cache100 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002144 qcom,dump-node = <&L1_I_400>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002145 qcom,dump-id = <0x64>;
2146 };
2147 qcom,l1_i_cache101 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002148 qcom,dump-node = <&L1_I_500>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002149 qcom,dump-id = <0x65>;
2150 };
2151 qcom,l1_i_cache102 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002152 qcom,dump-node = <&L1_I_600>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002153 qcom,dump-id = <0x66>;
2154 };
2155 qcom,l1_i_cache103 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002156 qcom,dump-node = <&L1_I_700>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002157 qcom,dump-id = <0x67>;
2158 };
2159 qcom,l1_d_cache0 {
2160 qcom,dump-node = <&L1_D_0>;
2161 qcom,dump-id = <0x80>;
2162 };
2163 qcom,l1_d_cache1 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002164 qcom,dump-node = <&L1_D_100>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002165 qcom,dump-id = <0x81>;
2166 };
2167 qcom,l1_d_cache2 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002168 qcom,dump-node = <&L1_D_200>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002169 qcom,dump-id = <0x82>;
2170 };
2171 qcom,l1_d_cache3 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002172 qcom,dump-node = <&L1_D_300>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002173 qcom,dump-id = <0x83>;
2174 };
2175 qcom,l1_d_cache100 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002176 qcom,dump-node = <&L1_D_400>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002177 qcom,dump-id = <0x84>;
2178 };
2179 qcom,l1_d_cache101 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002180 qcom,dump-node = <&L1_D_500>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002181 qcom,dump-id = <0x85>;
2182 };
2183 qcom,l1_d_cache102 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002184 qcom,dump-node = <&L1_D_600>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002185 qcom,dump-id = <0x86>;
2186 };
2187 qcom,l1_d_cache103 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002188 qcom,dump-node = <&L1_D_700>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002189 qcom,dump-id = <0x87>;
2190 };
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002191 qcom,llcc1_d_cache {
2192 qcom,dump-node = <&LLCC_1>;
Channagoud Kadabi641331f2017-05-26 15:30:25 -07002193 qcom,dump-id = <0x140>;
Channagoud Kadabif4fa1692017-01-17 12:34:29 -08002194 };
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002195 qcom,llcc2_d_cache {
2196 qcom,dump-node = <&LLCC_2>;
Channagoud Kadabi641331f2017-05-26 15:30:25 -07002197 qcom,dump-id = <0x141>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002198 };
2199 qcom,llcc3_d_cache {
2200 qcom,dump-node = <&LLCC_3>;
Channagoud Kadabi641331f2017-05-26 15:30:25 -07002201 qcom,dump-id = <0x142>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002202 };
2203 qcom,llcc4_d_cache {
2204 qcom,dump-node = <&LLCC_4>;
Channagoud Kadabi641331f2017-05-26 15:30:25 -07002205 qcom,dump-id = <0x143>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002206 };
Channagoud Kadabief56fcb2017-05-15 16:28:39 -07002207 qcom,l1_tlb_dump0 {
2208 qcom,dump-node = <&L1_TLB_0>;
2209 qcom,dump-id = <0x20>;
2210 };
2211 qcom,l1_tlb_dump100 {
2212 qcom,dump-node = <&L1_TLB_100>;
2213 qcom,dump-id = <0x21>;
2214 };
2215 qcom,l1_tlb_dump200 {
2216 qcom,dump-node = <&L1_TLB_200>;
2217 qcom,dump-id = <0x22>;
2218 };
2219 qcom,l1_tlb_dump300 {
2220 qcom,dump-node = <&L1_TLB_300>;
2221 qcom,dump-id = <0x23>;
2222 };
2223 qcom,l1_tlb_dump400 {
2224 qcom,dump-node = <&L1_TLB_400>;
2225 qcom,dump-id = <0x24>;
2226 };
2227 qcom,l1_tlb_dump500 {
2228 qcom,dump-node = <&L1_TLB_500>;
2229 qcom,dump-id = <0x25>;
2230 };
2231 qcom,l1_tlb_dump600 {
2232 qcom,dump-node = <&L1_TLB_600>;
2233 qcom,dump-id = <0x26>;
2234 };
2235 qcom,l1_tlb_dump700 {
2236 qcom,dump-node = <&L1_TLB_700>;
2237 qcom,dump-id = <0x27>;
2238 };
Kyle Yan49dd9f22016-12-02 11:56:05 -08002239 };
2240
Kyle Yanddc44242016-06-20 14:42:14 -07002241 kryo3xx-erp {
2242 compatible = "arm,arm64-kryo3xx-cpu-erp";
2243 interrupts = <1 6 4>,
2244 <1 7 4>,
2245 <0 34 4>,
2246 <0 35 4>;
2247
2248 interrupt-names = "l1-l2-faultirq",
2249 "l1-l2-errirq",
2250 "l3-scu-errirq",
2251 "l3-scu-faultirq";
2252 };
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002253
Channagoud Kadabi59a7ff22017-03-27 21:59:51 -07002254 qcom,llcc@1100000 {
Channagoud Kadabi8751c892016-10-14 13:40:19 -07002255 compatible = "qcom,llcc-core", "syscon", "simple-mfd";
Channagoud Kadabi59a7ff22017-03-27 21:59:51 -07002256 reg = <0x1100000 0x250000>;
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002257 reg-names = "llcc_base";
Channagoud Kadabi59a7ff22017-03-27 21:59:51 -07002258 qcom,llcc-banks-off = <0x0 0x80000 0x100000 0x180000>;
2259 qcom,llcc-broadcast-off = <0x200000>;
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002260
Kyle Yan6a20fae2017-02-14 13:34:41 -08002261 llcc: qcom,sdm845-llcc {
2262 compatible = "qcom,sdm845-llcc";
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002263 #cache-cells = <1>;
2264 max-slices = <32>;
2265 };
2266
2267 qcom,llcc-erp {
2268 compatible = "qcom,llcc-erp";
Channagoud Kadabic26a8912016-11-21 13:57:20 -08002269 interrupt-names = "ecc_irq";
2270 interrupts = <GIC_SPI 582 IRQ_TYPE_LEVEL_HIGH>;
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002271 };
2272
2273 qcom,llcc-amon {
2274 compatible = "qcom,llcc-amon";
2275 };
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002276
2277 LLCC_1: llcc_1_dcache {
Channagoud Kadabi463eb3b2017-06-16 15:31:53 -07002278 qcom,dump-size = <0x114100>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002279 };
2280
2281 LLCC_2: llcc_2_dcache {
Channagoud Kadabi463eb3b2017-06-16 15:31:53 -07002282 qcom,dump-size = <0x114100>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002283 };
2284
2285 LLCC_3: llcc_3_dcache {
Channagoud Kadabi463eb3b2017-06-16 15:31:53 -07002286 qcom,dump-size = <0x114100>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002287 };
2288
2289 LLCC_4: llcc_4_dcache {
Channagoud Kadabi463eb3b2017-06-16 15:31:53 -07002290 qcom,dump-size = <0x114100>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002291 };
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002292 };
Chris Lewecef30b2016-08-22 13:52:49 -07002293
2294 qcom,ipc-spinlock@1f40000 {
2295 compatible = "qcom,ipc-spinlock-sfpb";
2296 reg = <0x1f40000 0x8000>;
2297 qcom,num-locks = <8>;
2298 };
Chris Lew05f9fb72016-08-22 13:55:10 -07002299
2300 qcom,smem@86000000 {
2301 compatible = "qcom,smem";
2302 reg = <0x86000000 0x200000>,
2303 <0x17911008 0x4>,
2304 <0x778000 0x7000>,
2305 <0x1fd4000 0x8>;
2306 reg-names = "smem", "irq-reg-base", "aux-mem1",
2307 "smem_targ_info_reg";
2308 qcom,mpu-enabled;
2309 };
Chris Lew031aed02016-08-22 13:58:59 -07002310
2311 qcom,glink-mailbox-xprt-spss@1885008 {
2312 compatible = "qcom,glink-mailbox-xprt";
2313 reg = <0x1885008 0x8>,
2314 <0x1885010 0x4>,
2315 <0x188501c 0x4>,
2316 <0x1886008 0x4>;
2317 reg-names = "mbox-loc-addr", "mbox-loc-size", "irq-reg-base",
2318 "irq-rx-reset";
2319 qcom,irq-mask = <0x1>;
2320 interrupts = <0 348 4>;
2321 label = "spss";
2322 qcom,tx-ring-size = <0x400>;
2323 qcom,rx-ring-size = <0x400>;
2324 };
Lina Iyer9f782ba2016-10-11 15:13:50 -06002325
Chris Lew72829772017-06-13 17:08:03 -07002326 qmp_aop: qcom,qmp-aop@c300000 {
Chris Lew39305592017-03-03 17:18:07 -08002327 compatible = "qcom,qmp-mbox";
2328 label = "aop";
2329 reg = <0xc300000 0x100000>,
2330 <0x1799000c 0x4>;
2331 reg-names = "msgram", "irq-reg-base";
2332 qcom,irq-mask = <0x1>;
2333 interrupts = <0 389 1>;
Chris Lew72829772017-06-13 17:08:03 -07002334 priority = <0>;
Chris Lew2a451512017-04-13 15:53:21 -07002335 mbox-desc-offset = <0x0>;
Chris Lew39305592017-03-03 17:18:07 -08002336 #mbox-cells = <1>;
2337 };
2338
Lina Iyer9f782ba2016-10-11 15:13:50 -06002339 apps_rsc: mailbox@179e0000 {
2340 compatible = "qcom,tcs-drv";
Lina Iyer1a410842017-03-21 13:52:43 -06002341 label = "apps_rsc";
Lina Iyer9f782ba2016-10-11 15:13:50 -06002342 reg = <0x179e0000 0x100>, <0x179e0d00 0x3000>;
2343 interrupts = <0 5 0>;
2344 #mbox-cells = <1>;
2345 qcom,drv-id = <2>;
Lina Iyer45df8962017-02-13 14:37:09 -07002346 qcom,tcs-config = <ACTIVE_TCS 2>,
2347 <SLEEP_TCS 3>,
2348 <WAKE_TCS 3>,
2349 <CONTROL_TCS 1>;
Lina Iyer9f782ba2016-10-11 15:13:50 -06002350 };
Lina Iyer4522ca42016-10-18 16:57:19 -06002351
2352 disp_rsc: mailbox@af20000 {
Lina Iyer4522ca42016-10-18 16:57:19 -06002353 compatible = "qcom,tcs-drv";
Lina Iyer1a410842017-03-21 13:52:43 -06002354 label = "display_rsc";
Lina Iyer4522ca42016-10-18 16:57:19 -06002355 reg = <0xaf20000 0x100>, <0xaf21c00 0x3000>;
2356 interrupts = <0 129 0>;
2357 #mbox-cells = <1>;
2358 qcom,drv-id = <0>;
2359 qcom,tcs-config = <SLEEP_TCS 1>,
2360 <WAKE_TCS 1>,
2361 <ACTIVE_TCS 0>,
2362 <CONTROL_TCS 1>;
2363 };
Lina Iyerac0d4ed2016-10-20 13:48:31 -06002364
2365 system_pm {
2366 compatible = "qcom,system-pm";
2367 mboxes = <&apps_rsc 0>;
2368 };
Karthikeyan Ramasubramanian47260462016-09-19 14:15:45 -06002369
2370 qcom,glink-smem-native-xprt-modem@86000000 {
2371 compatible = "qcom,glink-smem-native-xprt";
2372 reg = <0x86000000 0x200000>,
2373 <0x1799000c 0x4>;
2374 reg-names = "smem", "irq-reg-base";
2375 qcom,irq-mask = <0x1000>;
2376 interrupts = <GIC_SPI 449 IRQ_TYPE_EDGE_RISING>;
2377 label = "mpss";
2378 };
2379
2380 qcom,glink-smem-native-xprt-adsp@86000000 {
2381 compatible = "qcom,glink-smem-native-xprt";
2382 reg = <0x86000000 0x200000>,
2383 <0x1799000c 0x4>;
2384 reg-names = "smem", "irq-reg-base";
2385 qcom,irq-mask = <0x100>;
2386 interrupts = <GIC_SPI 156 IRQ_TYPE_EDGE_RISING>;
2387 label = "lpass";
Chris Lew13311dd2017-05-11 13:04:33 -07002388 qcom,qos-config = <&glink_qos_adsp>;
2389 qcom,ramp-time = <0xaf>;
2390 };
2391
2392 glink_qos_adsp: qcom,glink-qos-config-adsp {
2393 compatible = "qcom,glink-qos-config";
2394 qcom,flow-info = <0x3c 0x0>,
2395 <0x3c 0x0>,
2396 <0x3c 0x0>,
2397 <0x3c 0x0>;
2398 qcom,mtu-size = <0x800>;
2399 qcom,tput-stats-cycle = <0xa>;
Karthikeyan Ramasubramanian47260462016-09-19 14:15:45 -06002400 };
2401
2402 qcom,glink-smem-native-xprt-dsps@86000000 {
2403 compatible = "qcom,glink-smem-native-xprt";
2404 reg = <0x86000000 0x200000>,
2405 <0x1799000c 0x4>;
2406 reg-names = "smem", "irq-reg-base";
2407 qcom,irq-mask = <0x1000000>;
2408 interrupts = <GIC_SPI 170 IRQ_TYPE_EDGE_RISING>;
2409 label = "dsps";
2410 };
2411
Chris Lew5d4752f2017-05-11 13:14:30 -07002412 glink_spi_xprt_wdsp: qcom,glink-spi-xprt-wdsp {
2413 compatible = "qcom,glink-spi-xprt";
2414 label = "wdsp";
2415 qcom,remote-fifo-config = <&glink_fifo_wdsp>;
2416 qcom,qos-config = <&glink_qos_wdsp>;
2417 qcom,ramp-time = <0x10>,
2418 <0x20>,
2419 <0x30>,
2420 <0x40>;
2421 };
2422
2423 glink_fifo_wdsp: qcom,glink-fifo-config-wdsp {
2424 compatible = "qcom,glink-fifo-config";
2425 qcom,out-read-idx-reg = <0x12000>;
2426 qcom,out-write-idx-reg = <0x12004>;
2427 qcom,in-read-idx-reg = <0x1200C>;
2428 qcom,in-write-idx-reg = <0x12010>;
2429 };
2430
2431 glink_qos_wdsp: qcom,glink-qos-config-wdsp {
2432 compatible = "qcom,glink-qos-config";
2433 qcom,flow-info = <0x80 0x0>,
2434 <0x70 0x1>,
2435 <0x60 0x2>,
2436 <0x50 0x3>;
2437 qcom,mtu-size = <0x800>;
2438 qcom,tput-stats-cycle = <0xa>;
2439 };
2440
Karthikeyan Ramasubramanian47260462016-09-19 14:15:45 -06002441 qcom,glink-smem-native-xprt-cdsp@86000000 {
2442 compatible = "qcom,glink-smem-native-xprt";
2443 reg = <0x86000000 0x200000>,
2444 <0x1799000c 0x4>;
2445 reg-names = "smem", "irq-reg-base";
2446 qcom,irq-mask = <0x10>;
2447 interrupts = <GIC_SPI 574 IRQ_TYPE_EDGE_RISING>;
2448 label = "cdsp";
2449 };
Karthikeyan Ramasubramaniana0e3ff52016-09-19 14:31:36 -06002450
2451 glink_mpss: qcom,glink-ssr-modem {
2452 compatible = "qcom,glink_ssr";
2453 label = "modem";
2454 qcom,edge = "mpss";
2455 qcom,notify-edges = <&glink_lpass>, <&glink_dsps>,
2456 <&glink_cdsp>, <&glink_spss>;
2457 qcom,xprt = "smem";
2458 };
2459
2460 glink_lpass: qcom,glink-ssr-adsp {
2461 compatible = "qcom,glink_ssr";
2462 label = "adsp";
2463 qcom,edge = "lpass";
2464 qcom,notify-edges = <&glink_mpss>, <&glink_dsps>, <&glink_cdsp>;
2465 qcom,xprt = "smem";
2466 };
2467
2468 glink_dsps: qcom,glink-ssr-dsps {
2469 compatible = "qcom,glink_ssr";
2470 label = "slpi";
2471 qcom,edge = "dsps";
2472 qcom,notify-edges = <&glink_mpss>, <&glink_lpass>,
2473 <&glink_cdsp>;
2474 qcom,xprt = "smem";
2475 };
2476
2477 glink_cdsp: qcom,glink-ssr-cdsp {
2478 compatible = "qcom,glink_ssr";
2479 label = "cdsp";
2480 qcom,edge = "cdsp";
2481 qcom,notify-edges = <&glink_mpss>, <&glink_lpass>,
2482 <&glink_dsps>;
2483 qcom,xprt = "smem";
2484 };
2485
2486 glink_spss: qcom,glink-ssr-spss {
2487 compatible = "qcom,glink_ssr";
2488 label = "spss";
2489 qcom,edge = "spss";
2490 qcom,notify-edges = <&glink_mpss>;
2491 qcom,xprt = "mailbox";
2492 };
Karthikeyan Ramasubramanian8f0c1002016-09-19 15:44:53 -06002493
2494 qcom,ipc_router {
2495 compatible = "qcom,ipc_router";
2496 qcom,node-id = <1>;
2497 };
2498
2499 qcom,ipc_router_modem_xprt {
2500 compatible = "qcom,ipc_router_glink_xprt";
2501 qcom,ch-name = "IPCRTR";
2502 qcom,xprt-remote = "mpss";
2503 qcom,glink-xprt = "smem";
2504 qcom,xprt-linkid = <1>;
2505 qcom,xprt-version = <1>;
2506 qcom,fragmented-data;
2507 };
2508
2509 qcom,ipc_router_q6_xprt {
2510 compatible = "qcom,ipc_router_glink_xprt";
2511 qcom,ch-name = "IPCRTR";
2512 qcom,xprt-remote = "lpass";
2513 qcom,glink-xprt = "smem";
2514 qcom,xprt-linkid = <1>;
2515 qcom,xprt-version = <1>;
2516 qcom,fragmented-data;
2517 };
2518
2519 qcom,ipc_router_dsps_xprt {
2520 compatible = "qcom,ipc_router_glink_xprt";
2521 qcom,ch-name = "IPCRTR";
2522 qcom,xprt-remote = "dsps";
2523 qcom,glink-xprt = "smem";
2524 qcom,xprt-linkid = <1>;
2525 qcom,xprt-version = <1>;
2526 qcom,fragmented-data;
Arun Kumar Neelakantam6947b8b2017-06-29 21:39:22 +05302527 qcom,dynamic-wakeup-source;
Karthikeyan Ramasubramanian8f0c1002016-09-19 15:44:53 -06002528 };
2529
2530 qcom,ipc_router_cdsp_xprt {
2531 compatible = "qcom,ipc_router_glink_xprt";
2532 qcom,ch-name = "IPCRTR";
2533 qcom,xprt-remote = "cdsp";
2534 qcom,glink-xprt = "smem";
2535 qcom,xprt-linkid = <1>;
2536 qcom,xprt-version = <1>;
2537 qcom,fragmented-data;
2538 };
Karthikeyan Ramasubramanian608a2522016-09-19 15:50:38 -06002539
Kineret Berger4e328852017-02-16 10:49:03 +02002540 qcom,spcom {
2541 compatible = "qcom,spcom";
2542
2543 /* predefined channels, remote side is server */
2544 qcom,spcom-ch-names = "sp_kernel", "sp_ssr";
2545 status = "ok";
2546 };
2547
Reut Zysman0be87ce2017-03-19 14:35:54 +02002548 spss_utils: qcom,spss_utils {
2549 compatible = "qcom,spss-utils";
2550 /* spss fuses physical address */
2551 qcom,spss-fuse1-addr = <0x007841c4>;
2552 qcom,spss-fuse1-bit = <27>;
2553 qcom,spss-fuse2-addr = <0x007841c4>;
2554 qcom,spss-fuse2-bit = <26>;
2555 qcom,spss-dev-firmware-name = "spss1d"; /* 8 chars max */
2556 qcom,spss-test-firmware-name = "spss1t"; /* 8 chars max */
2557 qcom,spss-prod-firmware-name = "spss1p"; /* 8 chars max */
2558 qcom,spss-debug-reg-addr = <0x01886020>;
2559 status = "ok";
2560 };
2561
Karthikeyan Ramasubramanian608a2522016-09-19 15:50:38 -06002562 qcom,glink_pkt {
2563 compatible = "qcom,glinkpkt";
2564
2565 qcom,glinkpkt-at-mdm0 {
2566 qcom,glinkpkt-transport = "smem";
2567 qcom,glinkpkt-edge = "mpss";
2568 qcom,glinkpkt-ch-name = "DS";
2569 qcom,glinkpkt-dev-name = "at_mdm0";
2570 };
2571
2572 qcom,glinkpkt-loopback_cntl {
2573 qcom,glinkpkt-transport = "lloop";
2574 qcom,glinkpkt-edge = "local";
2575 qcom,glinkpkt-ch-name = "LOCAL_LOOPBACK_CLNT";
2576 qcom,glinkpkt-dev-name = "glink_pkt_loopback_ctrl";
2577 };
2578
2579 qcom,glinkpkt-loopback_data {
2580 qcom,glinkpkt-transport = "lloop";
2581 qcom,glinkpkt-edge = "local";
2582 qcom,glinkpkt-ch-name = "glink_pkt_lloop_CLNT";
2583 qcom,glinkpkt-dev-name = "glink_pkt_loopback";
2584 };
2585
2586 qcom,glinkpkt-apr-apps2 {
2587 qcom,glinkpkt-transport = "smem";
2588 qcom,glinkpkt-edge = "adsp";
2589 qcom,glinkpkt-ch-name = "apr_apps2";
2590 qcom,glinkpkt-dev-name = "apr_apps2";
2591 };
2592
2593 qcom,glinkpkt-data40-cntl {
2594 qcom,glinkpkt-transport = "smem";
2595 qcom,glinkpkt-edge = "mpss";
2596 qcom,glinkpkt-ch-name = "DATA40_CNTL";
2597 qcom,glinkpkt-dev-name = "smdcntl8";
2598 };
2599
2600 qcom,glinkpkt-data1 {
2601 qcom,glinkpkt-transport = "smem";
2602 qcom,glinkpkt-edge = "mpss";
2603 qcom,glinkpkt-ch-name = "DATA1";
2604 qcom,glinkpkt-dev-name = "smd7";
2605 };
2606
2607 qcom,glinkpkt-data4 {
2608 qcom,glinkpkt-transport = "smem";
2609 qcom,glinkpkt-edge = "mpss";
2610 qcom,glinkpkt-ch-name = "DATA4";
2611 qcom,glinkpkt-dev-name = "smd8";
2612 };
2613
2614 qcom,glinkpkt-data11 {
2615 qcom,glinkpkt-transport = "smem";
2616 qcom,glinkpkt-edge = "mpss";
2617 qcom,glinkpkt-ch-name = "DATA11";
2618 qcom,glinkpkt-dev-name = "smd11";
2619 };
2620 };
Amir Levyca8989f2016-11-30 15:31:36 +02002621
Yan He907385d2016-11-14 17:13:30 -08002622 qcom,sps {
2623 compatible = "qcom,msm_sps_4k";
2624 qcom,pipe-attr-ee;
2625 };
2626
Abir Ghosh089b50d02017-04-27 21:40:38 -07002627 qcom,qbt1000 {
2628 compatible = "qcom,qbt1000";
2629 clock-names = "core", "iface";
2630 clock-frequency = <25000000>;
2631 qcom,ipc-gpio = <&tlmm 121 0>;
2632 qcom,finger-detect-gpio = <&pm8998_gpios 5 0>;
2633 };
2634
AnilKumar Chimatae9577f42017-04-18 22:52:12 -07002635 qcom_seecom: qseecom@86d00000 {
2636 compatible = "qcom,qseecom";
2637 reg = <0x86d00000 0x2200000>;
2638 reg-names = "secapp-region";
2639 qcom,hlos-num-ce-hw-instances = <1>;
2640 qcom,hlos-ce-hw-instance = <0>;
2641 qcom,qsee-ce-hw-instance = <0>;
2642 qcom,disk-encrypt-pipe-pair = <2>;
2643 qcom,support-fde;
2644 qcom,no-clock-support;
AnilKumar Chimataa9de12a2017-07-03 18:00:34 +05302645 qcom,fde-key-size;
AnilKumar Chimatae9577f42017-04-18 22:52:12 -07002646 qcom,msm-bus,name = "qseecom-noc";
2647 qcom,msm-bus,num-cases = <4>;
2648 qcom,msm-bus,num-paths = <1>;
2649 qcom,msm-bus,vectors-KBps =
2650 <125 512 0 0>,
2651 <125 512 200000 400000>,
2652 <125 512 300000 800000>,
2653 <125 512 400000 1000000>;
2654 clock-names = "core_clk_src", "core_clk",
2655 "iface_clk", "bus_clk";
2656 clocks = <&clock_gcc GCC_CE1_CLK>,
2657 <&clock_gcc GCC_CE1_CLK>,
2658 <&clock_gcc GCC_CE1_AHB_CLK>,
2659 <&clock_gcc GCC_CE1_AXI_CLK>;
2660 qcom,ce-opp-freq = <171430000>;
2661 qcom,qsee-reentrancy-support = <2>;
2662 };
2663
AnilKumar Chimata51e70432017-04-18 22:52:12 -07002664 qcom_rng: qrng@793000 {
2665 compatible = "qcom,msm-rng";
2666 reg = <0x793000 0x1000>;
2667 qcom,msm-rng-iface-clk;
2668 qcom,no-qrng-config;
2669 qcom,msm-bus,name = "msm-rng-noc";
2670 qcom,msm-bus,num-cases = <2>;
2671 qcom,msm-bus,num-paths = <1>;
2672 qcom,msm-bus,vectors-KBps =
2673 <1 618 0 0>, /* No vote */
2674 <1 618 0 800>; /* 100 KHz */
2675 clocks = <&clock_gcc GCC_PRNG_AHB_CLK>;
2676 clock-names = "iface_clk";
2677 };
2678
AnilKumar Chimatac3297842017-04-18 22:52:12 -07002679 qcom_tzlog: tz-log@146bf720 {
2680 compatible = "qcom,tz-log";
2681 reg = <0x146bf720 0x3000>;
2682 qcom,hyplog-enabled;
2683 hyplog-address-offset = <0x410>;
2684 hyplog-size-offset = <0x414>;
2685 };
2686
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002687 qcom_cedev: qcedev@1de0000 {
2688 compatible = "qcom,qcedev";
2689 reg = <0x1de0000 0x20000>,
2690 <0x1dc4000 0x24000>;
2691 reg-names = "crypto-base","crypto-bam-base";
2692 interrupts = <0 272 0>;
2693 qcom,bam-pipe-pair = <1>;
2694 qcom,ce-hw-instance = <0>;
2695 qcom,ce-device = <0>;
2696 qcom,ce-hw-shared;
2697 qcom,bam-ee = <0>;
2698 qcom,msm-bus,name = "qcedev-noc";
2699 qcom,msm-bus,num-cases = <2>;
2700 qcom,msm-bus,num-paths = <1>;
2701 qcom,msm-bus,vectors-KBps =
2702 <125 512 0 0>,
2703 <125 512 393600 393600>;
2704 clock-names = "core_clk_src", "core_clk",
2705 "iface_clk", "bus_clk";
2706 clocks = <&clock_gcc GCC_CE1_CLK>,
2707 <&clock_gcc GCC_CE1_CLK>,
2708 <&clock_gcc GCC_CE1_AHB_CLK>,
2709 <&clock_gcc GCC_CE1_AXI_CLK>;
2710 qcom,ce-opp-freq = <171430000>;
AnilKumar Chimatafb8eae42017-05-03 13:04:47 -07002711 qcom,request-bw-before-clk;
AnilKumar Chimata4e6f8a92017-07-26 19:13:12 +05302712 qcom,smmu-s1-bypass;
2713 iommus = <&apps_smmu 0x702 0x1>,
2714 <&apps_smmu 0x712 0x1>;
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002715 };
2716
Tatenda Chipeperekwad1ae6b12017-07-10 12:54:29 -07002717 qcom_msmhdcp: qcom,msm_hdcp {
2718 compatible = "qcom,msm-hdcp";
2719 };
2720
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002721 qcom_crypto: qcrypto@1de0000 {
2722 compatible = "qcom,qcrypto";
2723 reg = <0x1de0000 0x20000>,
2724 <0x1dc4000 0x24000>;
2725 reg-names = "crypto-base","crypto-bam-base";
2726 interrupts = <0 272 0>;
2727 qcom,bam-pipe-pair = <2>;
2728 qcom,ce-hw-instance = <0>;
2729 qcom,ce-device = <0>;
2730 qcom,bam-ee = <0>;
2731 qcom,ce-hw-shared;
2732 qcom,clk-mgmt-sus-res;
2733 qcom,msm-bus,name = "qcrypto-noc";
2734 qcom,msm-bus,num-cases = <2>;
2735 qcom,msm-bus,num-paths = <1>;
2736 qcom,msm-bus,vectors-KBps =
2737 <125 512 0 0>,
2738 <125 512 393600 393600>;
2739 clock-names = "core_clk_src", "core_clk",
2740 "iface_clk", "bus_clk";
2741 clocks = <&clock_gcc GCC_CE1_CLK>,
2742 <&clock_gcc GCC_CE1_CLK>,
2743 <&clock_gcc GCC_CE1_AHB_CLK>,
2744 <&clock_gcc GCC_CE1_AXI_CLK>;
2745 qcom,ce-opp-freq = <171430000>;
AnilKumar Chimatafb8eae42017-05-03 13:04:47 -07002746 qcom,request-bw-before-clk;
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002747 qcom,use-sw-aes-cbc-ecb-ctr-algo;
2748 qcom,use-sw-aes-xts-algo;
2749 qcom,use-sw-aes-ccm-algo;
2750 qcom,use-sw-ahash-algo;
2751 qcom,use-sw-aead-algo;
2752 qcom,use-sw-hmac-algo;
AnilKumar Chimata4e6f8a92017-07-26 19:13:12 +05302753 qcom,smmu-s1-bypass;
2754 iommus = <&apps_smmu 0x704 0x3>,
2755 <&apps_smmu 0x714 0x3>;
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002756 };
2757
Amir Levyca8989f2016-11-30 15:31:36 +02002758 qcom,msm_gsi {
2759 compatible = "qcom,msm_gsi";
2760 };
2761
Ritesh Harjani0cd528f2017-04-19 14:19:55 +05302762 qcom,rmtfs_sharedmem@0 {
2763 compatible = "qcom,sharedmem-uio";
2764 reg = <0x0 0x200000>;
2765 reg-names = "rmtfs";
2766 qcom,client-id = <0x00000001>;
2767 };
2768
Amir Levy9654f172016-11-30 15:33:23 +02002769 qcom,rmnet-ipa {
2770 compatible = "qcom,rmnet-ipa3";
2771 qcom,rmnet-ipa-ssr;
2772 qcom,ipa-loaduC;
2773 qcom,ipa-advertise-sg-support;
Skylar Changfdadb6e62017-04-19 15:49:52 -07002774 qcom,ipa-napi-enable;
Amir Levy9654f172016-11-30 15:33:23 +02002775 };
2776
Amir Levyca8989f2016-11-30 15:31:36 +02002777 ipa_hw: qcom,ipa@01e00000 {
2778 compatible = "qcom,ipa";
2779 reg = <0x1e00000 0x34000>,
2780 <0x1e04000 0x2c000>;
2781 reg-names = "ipa-base", "gsi-base";
2782 interrupts =
2783 <0 311 0>,
2784 <0 432 0>;
2785 interrupt-names = "ipa-irq", "gsi-irq";
2786 qcom,ipa-hw-ver = <13>; /* IPA core version = IPAv3.5.1 */
2787 qcom,ipa-hw-mode = <1>;
2788 qcom,ee = <0>;
Amir Levyca8989f2016-11-30 15:31:36 +02002789 qcom,use-ipa-tethering-bridge;
2790 qcom,modem-cfg-emb-pipe-flt;
2791 qcom,ipa-wdi2;
2792 qcom,use-64-bit-dma-mask;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002793 qcom,arm-smmu;
2794 qcom,smmu-s1-bypass;
Ghanim Fodi448abca2017-03-05 18:41:27 +02002795 qcom,bandwidth-vote-for-ipa;
Amir Levyca8989f2016-11-30 15:31:36 +02002796 qcom,msm-bus,name = "ipa";
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002797 qcom,msm-bus,num-cases = <5>;
Ghanim Fodi448abca2017-03-05 18:41:27 +02002798 qcom,msm-bus,num-paths = <4>;
Amir Levyca8989f2016-11-30 15:31:36 +02002799 qcom,msm-bus,vectors-KBps =
2800 /* No vote */
2801 <90 512 0 0>,
2802 <90 585 0 0>,
2803 <1 676 0 0>,
Ghanim Fodi448abca2017-03-05 18:41:27 +02002804 <143 777 0 0>,
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002805 /* SVS2 */
2806 <90 512 80000 600000>,
2807 <90 585 80000 350000>,
2808 <1 676 40000 40000>, /*gcc_config_noc_clk_src */
2809 <143 777 0 75>, /* IB defined for IPA2X_clk in MHz*/
Amir Levyca8989f2016-11-30 15:31:36 +02002810 /* SVS */
2811 <90 512 80000 640000>,
2812 <90 585 80000 640000>,
2813 <1 676 80000 80000>,
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002814 <143 777 0 150>, /* IB defined for IPA2X_clk in MHz*/
Amir Levyca8989f2016-11-30 15:31:36 +02002815 /* NOMINAL */
2816 <90 512 206000 960000>,
2817 <90 585 206000 960000>,
2818 <1 676 206000 160000>,
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002819 <143 777 0 300>, /* IB defined for IPA2X_clk in MHz*/
Amir Levyca8989f2016-11-30 15:31:36 +02002820 /* TURBO */
2821 <90 512 206000 3600000>,
2822 <90 585 206000 3600000>,
Ghanim Fodi448abca2017-03-05 18:41:27 +02002823 <1 676 206000 300000>,
David Daic063f0f2017-07-05 11:21:21 -07002824 <143 777 0 355>; /* IB defined for IPA clk in MHz*/
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002825 qcom,bus-vector-names =
2826 "MIN", "SVS2", "SVS", "NOMINAL", "TURBO";
Amir Levyca8989f2016-11-30 15:31:36 +02002827
2828 /* IPA RAM mmap */
2829 qcom,ipa-ram-mmap = <
2830 0x280 /* ofst_start; */
2831 0x0 /* nat_ofst; */
2832 0x0 /* nat_size; */
2833 0x288 /* v4_flt_hash_ofst; */
2834 0x78 /* v4_flt_hash_size; */
2835 0x4000 /* v4_flt_hash_size_ddr; */
2836 0x308 /* v4_flt_nhash_ofst; */
2837 0x78 /* v4_flt_nhash_size; */
2838 0x4000 /* v4_flt_nhash_size_ddr; */
2839 0x388 /* v6_flt_hash_ofst; */
2840 0x78 /* v6_flt_hash_size; */
2841 0x4000 /* v6_flt_hash_size_ddr; */
2842 0x408 /* v6_flt_nhash_ofst; */
2843 0x78 /* v6_flt_nhash_size; */
2844 0x4000 /* v6_flt_nhash_size_ddr; */
2845 0xf /* v4_rt_num_index; */
2846 0x0 /* v4_modem_rt_index_lo; */
2847 0x7 /* v4_modem_rt_index_hi; */
2848 0x8 /* v4_apps_rt_index_lo; */
2849 0xe /* v4_apps_rt_index_hi; */
2850 0x488 /* v4_rt_hash_ofst; */
2851 0x78 /* v4_rt_hash_size; */
2852 0x4000 /* v4_rt_hash_size_ddr; */
2853 0x508 /* v4_rt_nhash_ofst; */
2854 0x78 /* v4_rt_nhash_size; */
2855 0x4000 /* v4_rt_nhash_size_ddr; */
2856 0xf /* v6_rt_num_index; */
2857 0x0 /* v6_modem_rt_index_lo; */
2858 0x7 /* v6_modem_rt_index_hi; */
2859 0x8 /* v6_apps_rt_index_lo; */
2860 0xe /* v6_apps_rt_index_hi; */
2861 0x588 /* v6_rt_hash_ofst; */
2862 0x78 /* v6_rt_hash_size; */
2863 0x4000 /* v6_rt_hash_size_ddr; */
2864 0x608 /* v6_rt_nhash_ofst; */
2865 0x78 /* v6_rt_nhash_size; */
2866 0x4000 /* v6_rt_nhash_size_ddr; */
2867 0x688 /* modem_hdr_ofst; */
2868 0x140 /* modem_hdr_size; */
2869 0x7c8 /* apps_hdr_ofst; */
2870 0x0 /* apps_hdr_size; */
2871 0x800 /* apps_hdr_size_ddr; */
2872 0x7d0 /* modem_hdr_proc_ctx_ofst; */
2873 0x200 /* modem_hdr_proc_ctx_size; */
2874 0x9d0 /* apps_hdr_proc_ctx_ofst; */
2875 0x200 /* apps_hdr_proc_ctx_size; */
2876 0x0 /* apps_hdr_proc_ctx_size_ddr; */
2877 0x0 /* modem_comp_decomp_ofst; diff */
2878 0x0 /* modem_comp_decomp_size; diff */
2879 0xbd8 /* modem_ofst; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002880 0x1024 /* modem_size; */
2881 0x2000 /* apps_v4_flt_hash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002882 0x0 /* apps_v4_flt_hash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002883 0x2000 /* apps_v4_flt_nhash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002884 0x0 /* apps_v4_flt_nhash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002885 0x2000 /* apps_v6_flt_hash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002886 0x0 /* apps_v6_flt_hash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002887 0x2000 /* apps_v6_flt_nhash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002888 0x0 /* apps_v6_flt_nhash_size; */
2889 0x80 /* uc_info_ofst; */
2890 0x200 /* uc_info_size; */
2891 0x2000 /* end_ofst; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002892 0x2000 /* apps_v4_rt_hash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002893 0x0 /* apps_v4_rt_hash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002894 0x2000 /* apps_v4_rt_nhash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002895 0x0 /* apps_v4_rt_nhash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002896 0x2000 /* apps_v6_rt_hash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002897 0x0 /* apps_v6_rt_hash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002898 0x2000 /* apps_v6_rt_nhash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002899 0x0 /* apps_v6_rt_nhash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002900 0x1c00 /* uc_event_ring_ofst; */
2901 0x400 /* uc_event_ring_size; */
Amir Levyca8989f2016-11-30 15:31:36 +02002902 >;
Ghanim Fodi154110e2017-04-07 19:27:15 +03002903
2904 /* smp2p gpio information */
2905 qcom,smp2pgpio_map_ipa_1_out {
2906 compatible = "qcom,smp2pgpio-map-ipa-1-out";
2907 gpios = <&smp2pgpio_ipa_1_out 0 0>;
2908 };
2909
2910 qcom,smp2pgpio_map_ipa_1_in {
2911 compatible = "qcom,smp2pgpio-map-ipa-1-in";
2912 gpios = <&smp2pgpio_ipa_1_in 0 0>;
2913 };
Ghanim Fodib8d30752017-04-08 13:41:24 +03002914
2915 ipa_smmu_ap: ipa_smmu_ap {
2916 compatible = "qcom,ipa-smmu-ap-cb";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07002917 iommus = <&apps_smmu 0x720 0x0>;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002918 qcom,iova-mapping = <0x20000000 0x40000000>;
2919 };
2920
2921 ipa_smmu_wlan: ipa_smmu_wlan {
2922 compatible = "qcom,ipa-smmu-wlan-cb";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07002923 iommus = <&apps_smmu 0x721 0x0>;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002924 };
2925
2926 ipa_smmu_uc: ipa_smmu_uc {
2927 compatible = "qcom,ipa-smmu-uc-cb";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07002928 iommus = <&apps_smmu 0x722 0x0>;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002929 qcom,iova-mapping = <0x40000000 0x20000000>;
2930 };
Amir Levyca8989f2016-11-30 15:31:36 +02002931 };
Channagoud Kadabi39d26f22016-10-27 18:41:04 -07002932
Amir Levyf5eede22017-02-07 09:16:50 +02002933 qcom,ipa_fws {
2934 compatible = "qcom,pil-tz-generic";
2935 qcom,pas-id = <0xf>;
2936 qcom,firmware-name = "ipa_fws";
2937 };
2938
Channagoud Kadabi39d26f22016-10-27 18:41:04 -07002939 qcom,chd_sliver {
2940 compatible = "qcom,core-hang-detect";
2941 label = "silver";
2942 qcom,threshold-arr = <0x17e00058 0x17e10058
2943 0x17e20058 0x17e30058>;
2944 qcom,config-arr = <0x17e00060 0x17e10060
2945 0x17e20060 0x17e30060>;
2946 };
2947
2948 qcom,chd_gold {
2949 compatible = "qcom,core-hang-detect";
2950 label = "gold";
2951 qcom,threshold-arr = <0x17e40058 0x17e50058
2952 0x17e60058 0x17e70058>;
2953 qcom,config-arr = <0x17e40060 0x17e50060
2954 0x17e60060 0x17e70060>;
2955 };
2956
2957 qcom,ghd {
Kyle Yan5dda2452016-11-16 16:44:17 -08002958 compatible = "qcom,gladiator-hang-detect-v2";
Channagoud Kadabi39d26f22016-10-27 18:41:04 -07002959 qcom,threshold-arr = <0x1799041c 0x17990420>;
2960 qcom,config-reg = <0x17990434>;
2961 };
Mahesh Sivasubramanianf0dddb62016-10-19 14:17:44 -06002962
Kyle Yan3a641f42016-11-21 14:00:04 -08002963 qcom,msm-gladiator-v3@17900000 {
2964 compatible = "qcom,msm-gladiator-v3";
2965 reg = <0x17900000 0xd080>;
2966 reg-names = "gladiator_base";
2967 interrupts = <0 17 0>;
2968 };
2969
Mahesh Sivasubramanianf0dddb62016-10-19 14:17:44 -06002970 cmd_db: qcom,cmd-db@861e0000 {
2971 compatible = "qcom,cmd-db";
Mahesh Sivasubramaniand65a35e2017-04-28 11:18:13 -06002972 reg = <0xc3f000c 8>;
Mahesh Sivasubramanianf0dddb62016-10-19 14:17:44 -06002973 };
Satyajit Desai260bd392017-02-22 10:28:02 -08002974
2975 dcc: dcc_v2@10a2000 {
2976 compatible = "qcom,dcc_v2";
2977 reg = <0x10a2000 0x1000>,
2978 <0x10ae000 0x2000>;
2979 reg-names = "dcc-base", "dcc-ram-base";
Satyajit Desaiabf54902017-04-19 17:24:56 -07002980
2981 dcc-ram-offset = <0x6000>;
Satyajit Desai260bd392017-02-22 10:28:02 -08002982 };
Syed Rameez Mustafa38ae7732017-03-29 14:55:38 -07002983
2984 qcom,msm-core@780000 {
2985 compatible = "qcom,apss-core-ea";
2986 reg = <0x780000 0x1000>;
2987 };
Yuanyuan Liu2da2cc02017-04-05 18:02:58 -07002988
2989 qcom,icnss@18800000 {
2990 compatible = "qcom,icnss";
2991 reg = <0x18800000 0x800000>,
2992 <0xa0000000 0x10000000>,
2993 <0xb0000000 0x10000>;
2994 reg-names = "membase", "smmu_iova_base", "smmu_iova_ipa";
Patrick Daly0bfea052017-04-18 16:44:07 -07002995 iommus = <&apps_smmu 0x0040 0x1>;
Yuanyuan Liu2da2cc02017-04-05 18:02:58 -07002996 interrupts = <0 414 0 /* CE0 */ >,
2997 <0 415 0 /* CE1 */ >,
2998 <0 416 0 /* CE2 */ >,
2999 <0 417 0 /* CE3 */ >,
3000 <0 418 0 /* CE4 */ >,
3001 <0 419 0 /* CE5 */ >,
3002 <0 420 0 /* CE6 */ >,
3003 <0 421 0 /* CE7 */ >,
3004 <0 422 0 /* CE8 */ >,
3005 <0 423 0 /* CE9 */ >,
3006 <0 424 0 /* CE10 */ >,
3007 <0 425 0 /* CE11 */ >;
3008 qcom,wlan-msa-memory = <0x100000>;
Yuanyuan Liu5438b742017-05-09 17:44:47 -07003009
3010 vdd-0.8-cx-mx-supply = <&pm8998_l5>;
3011 vdd-1.8-xo-supply = <&pm8998_l7>;
3012 vdd-1.3-rfa-supply = <&pm8998_l17>;
3013 vdd-3.3-ch0-supply = <&pm8998_l25>;
3014 qcom,vdd-0.8-cx-mx-config = <800000 800000>;
3015 qcom,vdd-3.3-ch0-config = <3104000 3312000>;
Hardik Kantilal Patelf908d6d2017-07-19 11:38:43 +05303016 qcom,smmu-s1-bypass;
Yuanyuan Liu2da2cc02017-04-05 18:02:58 -07003017 };
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003018
Manaf Meethalavalappu Pallikunhi5849bae2017-06-29 15:47:17 +05303019 qmi-tmd-devices {
3020 compatible = "qcom,qmi_cooling_devices";
3021
3022 modem {
3023 qcom,instance-id = <0x0>;
3024
3025 modem_pa: modem_pa {
3026 qcom,qmi-dev-name = "pa";
3027 #cooling-cells = <2>;
3028 };
3029
3030 modem_proc: modem_proc {
3031 qcom,qmi-dev-name = "modem";
3032 #cooling-cells = <2>;
3033 };
3034
3035 modem_current: modem_current {
3036 qcom,qmi-dev-name = "modem_current";
3037 #cooling-cells = <2>;
3038 };
3039
3040 modem_vdd: modem_vdd {
3041 qcom,qmi-dev-name = "cpuv_restriction_cold";
3042 #cooling-cells = <2>;
3043 };
3044 };
3045
3046 adsp {
3047 qcom,instance-id = <0x1>;
3048
3049 adsp_vdd: adsp_vdd {
3050 qcom,qmi-dev-name = "cpuv_restriction_cold";
3051 #cooling-cells = <2>;
3052 };
3053 };
3054
3055 cdsp {
3056 qcom,instance-id = <0x43>;
3057
3058 cdsp_vdd: cdsp_vdd {
3059 qcom,qmi-dev-name = "cpuv_restriction_cold";
3060 #cooling-cells = <2>;
3061 };
3062 };
3063
3064 slpi {
3065 qcom,instance-id = <0x53>;
3066
3067 slpi_vdd: slpi_vdd {
3068 qcom,qmi-dev-name = "cpuv_restriction_cold";
3069 #cooling-cells = <2>;
3070 };
3071 };
3072 };
3073
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003074 thermal_zones: thermal-zones {
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003075 aoss0-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003076 polling-delay-passive = <0>;
3077 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003078 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003079 thermal-sensors = <&tsens0 0>;
3080 trips {
3081 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003082 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003083 hysteresis = <1000>;
3084 type = "passive";
3085 };
3086 };
3087 };
3088
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003089 cpu0-silver-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003090 polling-delay-passive = <0>;
3091 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003092 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003093 thermal-sensors = <&tsens0 1>;
3094 trips {
3095 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003096 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003097 hysteresis = <1000>;
3098 type = "passive";
3099 };
3100 };
3101 };
3102
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003103 cpu1-silver-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003104 polling-delay-passive = <0>;
3105 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003106 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003107 thermal-sensors = <&tsens0 2>;
3108 trips {
3109 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003110 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003111 hysteresis = <1000>;
3112 type = "passive";
3113 };
3114 };
3115 };
3116
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003117 cpu2-silver-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003118 polling-delay-passive = <0>;
3119 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003120 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003121 thermal-sensors = <&tsens0 3>;
3122 trips {
3123 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003124 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003125 hysteresis = <1000>;
3126 type = "passive";
3127 };
3128 };
3129 };
3130
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003131 cpu3-silver-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003132 polling-delay-passive = <0>;
3133 polling-delay = <0>;
3134 thermal-sensors = <&tsens0 4>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003135 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003136 trips {
3137 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003138 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003139 hysteresis = <1000>;
3140 type = "passive";
3141 };
3142 };
3143 };
3144
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003145 kryo-l3-0-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003146 polling-delay-passive = <0>;
3147 polling-delay = <0>;
3148 thermal-sensors = <&tsens0 5>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003149 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003150 trips {
3151 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003152 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003153 hysteresis = <1000>;
3154 type = "passive";
3155 };
3156 };
3157 };
3158
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003159 kryo-l3-1-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003160 polling-delay-passive = <0>;
3161 polling-delay = <0>;
3162 thermal-sensors = <&tsens0 6>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003163 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003164 trips {
3165 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003166 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003167 hysteresis = <1000>;
3168 type = "passive";
3169 };
3170 };
3171 };
3172
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003173 cpu0-gold-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003174 polling-delay-passive = <0>;
3175 polling-delay = <0>;
3176 thermal-sensors = <&tsens0 7>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003177 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003178 trips {
3179 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003180 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003181 hysteresis = <1000>;
3182 type = "passive";
3183 };
3184 };
3185 };
3186
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003187 cpu1-gold-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003188 polling-delay-passive = <0>;
3189 polling-delay = <0>;
3190 thermal-sensors = <&tsens0 8>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003191 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003192 trips {
3193 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003194 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003195 hysteresis = <1000>;
3196 type = "passive";
3197 };
3198 };
3199 };
3200
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003201 cpu2-gold-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003202 polling-delay-passive = <0>;
3203 polling-delay = <0>;
3204 thermal-sensors = <&tsens0 9>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003205 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003206 trips {
3207 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003208 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003209 hysteresis = <1000>;
3210 type = "passive";
3211 };
3212 };
3213 };
3214
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003215 cpu3-gold-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003216 polling-delay-passive = <0>;
3217 polling-delay = <0>;
3218 thermal-sensors = <&tsens0 10>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003219 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003220 trips {
3221 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003222 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003223 hysteresis = <1000>;
3224 type = "passive";
3225 };
3226 };
3227 };
3228
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003229 gpu0-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003230 polling-delay-passive = <0>;
3231 polling-delay = <0>;
3232 thermal-sensors = <&tsens0 11>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003233 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003234 trips {
3235 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003236 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003237 hysteresis = <1000>;
3238 type = "passive";
3239 };
3240 };
3241 };
3242
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003243 gpu1-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003244 polling-delay-passive = <0>;
3245 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003246 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003247 thermal-sensors = <&tsens0 12>;
3248 trips {
3249 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003250 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003251 hysteresis = <1000>;
3252 type = "passive";
3253 };
3254 };
3255 };
3256
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003257 aoss1-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003258 polling-delay-passive = <0>;
3259 polling-delay = <0>;
3260 thermal-sensors = <&tsens1 0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003261 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003262 trips {
3263 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003264 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003265 hysteresis = <1000>;
3266 type = "passive";
3267 };
3268 };
3269 };
3270
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003271 mdm-dsp-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003272 polling-delay-passive = <0>;
3273 polling-delay = <0>;
3274 thermal-sensors = <&tsens1 1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003275 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003276 trips {
3277 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003278 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003279 hysteresis = <1000>;
3280 type = "passive";
3281 };
3282 };
3283 };
3284
3285
3286
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003287 ddr-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003288 polling-delay-passive = <0>;
3289 polling-delay = <0>;
3290 thermal-sensors = <&tsens1 2>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003291 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003292 trips {
3293 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003294 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003295 hysteresis = <1000>;
3296 type = "passive";
3297 };
3298 };
3299 };
3300
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003301 wlan-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003302 polling-delay-passive = <0>;
3303 polling-delay = <0>;
3304 thermal-sensors = <&tsens1 3>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003305 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003306 trips {
3307 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003308 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003309 hysteresis = <1000>;
3310 type = "passive";
3311 };
3312 };
3313 };
3314
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003315 compute-hvx-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003316 polling-delay-passive = <0>;
3317 polling-delay = <0>;
3318 thermal-sensors = <&tsens1 4>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003319 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003320 trips {
3321 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003322 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003323 hysteresis = <1000>;
3324 type = "passive";
3325 };
3326 };
3327 };
3328
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003329 camera-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003330 polling-delay-passive = <0>;
3331 polling-delay = <0>;
3332 thermal-sensors = <&tsens1 5>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003333 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003334 trips {
3335 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003336 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003337 hysteresis = <1000>;
3338 type = "passive";
3339 };
3340 };
3341 };
3342
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003343 mmss-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003344 polling-delay-passive = <0>;
3345 polling-delay = <0>;
3346 thermal-sensors = <&tsens1 6>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003347 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003348 trips {
3349 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003350 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003351 hysteresis = <1000>;
3352 type = "passive";
3353 };
3354 };
3355 };
3356
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003357 mdm-core-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003358 polling-delay-passive = <0>;
3359 polling-delay = <0>;
3360 thermal-sensors = <&tsens1 7>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003361 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003362 trips {
3363 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003364 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003365 hysteresis = <1000>;
3366 type = "passive";
3367 };
3368 };
3369 };
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003370
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003371 gpu-virt-max-step {
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003372 polling-delay-passive = <10>;
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003373 polling-delay = <100>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003374 thermal-governor = "step_wise";
3375 trips {
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003376 gpu_trip0: gpu-trip0 {
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003377 temperature = <95000>;
3378 hysteresis = <0>;
3379 type = "passive";
3380 };
3381 };
3382 cooling-maps {
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003383 gpu_cdev0 {
3384 trip = <&gpu_trip0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003385 cooling-device =
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003386 <&msm_gpu 0 THERMAL_NO_LIMIT>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003387 };
3388 };
3389 };
3390
Ram Chandrasekardebcd412017-06-23 13:47:38 -06003391 silv-virt-max-step {
3392 polling-delay-passive = <0>;
3393 polling-delay = <0>;
3394 thermal-governor = "step_wise";
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003395 trips {
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003396 silver-trip {
3397 temperature = <120000>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003398 hysteresis = <0>;
3399 type = "passive";
3400 };
3401 };
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003402 };
3403
Ram Chandrasekardebcd412017-06-23 13:47:38 -06003404 gold-virt-max-step {
3405 polling-delay-passive = <0>;
3406 polling-delay = <0>;
3407 thermal-governor = "step_wise";
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003408 trips {
3409 gold-trip {
3410 temperature = <120000>;
3411 hysteresis = <0>;
3412 type = "passive";
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003413 };
3414 };
3415 };
3416
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003417 pop-mem-step {
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003418 polling-delay-passive = <10>;
3419 polling-delay = <0>;
3420 thermal-sensors = <&tsens1 2>;
3421 thermal-governor = "step_wise";
3422 trips {
3423 pop_trip: pop-trip {
3424 temperature = <95000>;
3425 hysteresis = <0>;
3426 type = "passive";
3427 };
3428 };
3429 cooling-maps {
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003430 pop_cdev4 {
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003431 trip = <&pop_trip>;
3432 cooling-device =
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003433 <&CPU4 THERMAL_NO_LIMIT
3434 (THERMAL_MAX_LIMIT-1)>;
3435 };
3436 pop_cdev5 {
3437 trip = <&pop_trip>;
3438 cooling-device =
3439 <&CPU5 THERMAL_NO_LIMIT
3440 (THERMAL_MAX_LIMIT-1)>;
3441 };
3442 pop_cdev6 {
3443 trip = <&pop_trip>;
3444 cooling-device =
3445 <&CPU6 THERMAL_NO_LIMIT
3446 (THERMAL_MAX_LIMIT-1)>;
3447 };
3448 pop_cdev7 {
3449 trip = <&pop_trip>;
3450 cooling-device =
3451 <&CPU7 THERMAL_NO_LIMIT
3452 (THERMAL_MAX_LIMIT-1)>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003453 };
3454 };
3455 };
3456
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003457 lmh-dcvs-01 {
3458 polling-delay-passive = <0>;
3459 polling-delay = <0>;
3460 thermal-governor = "user_space";
3461 thermal-sensors = <&lmh_dcvs1>;
3462
3463 trips {
3464 active-config {
3465 temperature = <95000>;
3466 hysteresis = <30000>;
3467 type = "passive";
3468 };
3469 };
3470 };
3471
3472 lmh-dcvs-00 {
3473 polling-delay-passive = <0>;
3474 polling-delay = <0>;
3475 thermal-governor = "user_space";
3476 thermal-sensors = <&lmh_dcvs0>;
3477
3478 trips {
3479 active-config {
3480 temperature = <95000>;
3481 hysteresis = <30000>;
3482 type = "passive";
3483 };
3484 };
3485 };
3486
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003487 };
3488
3489 tsens0: tsens@c222000 {
3490 compatible = "qcom,sdm845-tsens";
3491 reg = <0xc222000 0x4>,
3492 <0xc263000 0x1ff>;
3493 reg-names = "tsens_srot_physical",
3494 "tsens_tm_physical";
3495 interrupts = <0 506 0>, <0 508 0>;
3496 interrupt-names = "tsens-upper-lower", "tsens-critical";
3497 #thermal-sensor-cells = <1>;
3498 };
3499
3500 tsens1: tsens@c223000 {
3501 compatible = "qcom,sdm845-tsens";
3502 reg = <0xc223000 0x4>,
3503 <0xc265000 0x1ff>;
3504 reg-names = "tsens_srot_physical",
3505 "tsens_tm_physical";
3506 interrupts = <0 507 0>, <0 509 0>;
3507 interrupt-names = "tsens-upper-lower", "tsens-critical";
3508 #thermal-sensor-cells = <1>;
3509 };
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003510
Satyajit Desai89c4e2e2017-05-11 19:34:47 -07003511 mem_dump {
3512 compatible = "qcom,mem-dump";
3513 memory-region = <&dump_mem>;
3514
3515 rpmh_dump {
3516 qcom,dump-size = <0x2000000>;
3517 qcom,dump-id = <0xec>;
3518 };
3519
3520 rpm_sw_dump {
3521 qcom,dump-size = <0x28000>;
3522 qcom,dump-id = <0xea>;
3523 };
3524
3525 pmic_dump {
3526 qcom,dump-size = <0x10000>;
3527 qcom,dump-id = <0xe4>;
3528 };
3529
3530 tmc_etf_dump {
3531 qcom,dump-size = <0x10000>;
3532 qcom,dump-id = <0xf0>;
3533 };
3534
3535 tmc_etf_swao_dump {
3536 qcom,dump-size = <0x8400>;
3537 qcom,dump-id = <0xf1>;
3538 };
3539
Satyajit Desai99df43f2017-05-25 17:49:54 -07003540 tmc_etr_reg_dump {
3541 qcom,dump-size = <0x1000>;
3542 qcom,dump-id = <0x100>;
3543 };
3544
3545 tmc_etf_reg_dump {
3546 qcom,dump-size = <0x1000>;
3547 qcom,dump-id = <0x101>;
3548 };
3549
3550 tmc_etf_swao_reg_dump {
3551 qcom,dump-size = <0x1000>;
3552 qcom,dump-id = <0x102>;
3553 };
3554
Satyajit Desai89c4e2e2017-05-11 19:34:47 -07003555 misc_data_dump {
3556 qcom,dump-size = <0x1000>;
3557 qcom,dump-id = <0xe8>;
3558 };
3559 };
3560
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003561 gpi_dma0: qcom,gpi-dma@0x800000 {
Sujeev Diasdfe09e12017-08-31 18:31:04 -07003562 #dma-cells = <5>;
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003563 compatible = "qcom,gpi-dma";
3564 reg = <0x800000 0x60000>;
3565 reg-names = "gpi-top";
3566 interrupts = <0 244 0>, <0 245 0>, <0 246 0>, <0 247 0>,
3567 <0 248 0>, <0 249 0>, <0 250 0>, <0 251 0>,
3568 <0 252 0>, <0 253 0>, <0 254 0>, <0 255 0>,
3569 <0 256 0>;
3570 qcom,max-num-gpii = <13>;
3571 qcom,gpii-mask = <0xfa>;
3572 qcom,ev-factor = <2>;
3573 iommus = <&apps_smmu 0x0016 0x0>;
Sujeev Dias69484212017-08-31 10:06:53 -07003574 qcom,smmu-cfg = <0x1>;
3575 qcom,iova-range = <0x0 0x100000 0x0 0x100000>;
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003576 status = "ok";
3577 };
3578
3579 gpi_dma1: qcom,gpi-dma@0xa00000 {
Sujeev Diasdfe09e12017-08-31 18:31:04 -07003580 #dma-cells = <5>;
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003581 compatible = "qcom,gpi-dma";
3582 reg = <0xa00000 0x60000>;
3583 reg-names = "gpi-top";
3584 interrupts = <0 279 0>, <0 280 0>, <0 281 0>, <0 282 0>,
3585 <0 283 0>, <0 284 0>, <0 293 0>, <0 294 0>,
3586 <0 295 0>, <0 296 0>, <0 297 0>, <0 298 0>,
3587 <0 299 0>;
3588 qcom,max-num-gpii = <13>;
3589 qcom,gpii-mask = <0xfa>;
3590 qcom,ev-factor = <2>;
3591 iommus = <&apps_smmu 0x06d6 0x0>;
Sujeev Dias69484212017-08-31 10:06:53 -07003592 qcom,smmu-cfg = <0x1>;
3593 qcom,iova-range = <0x0 0x100000 0x0 0x100000>;
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003594 status = "ok";
3595 };
Udaya Bhaskara Reddy Mallavarapu55ef0cb2017-06-12 14:45:28 +05303596
3597 tspp: msm_tspp@0x8880000 {
3598 compatible = "qcom,msm_tspp";
3599 reg = <0x088a7000 0x200>, /* MSM_TSIF0_PHYS */
3600 <0x088a8000 0x200>, /* MSM_TSIF1_PHYS */
3601 <0x088a9000 0x1000>, /* MSM_TSPP_PHYS */
3602 <0x08884000 0x23000>; /* MSM_TSPP_BAM_PHYS */
3603 reg-names = "MSM_TSIF0_PHYS",
3604 "MSM_TSIF1_PHYS",
3605 "MSM_TSPP_PHYS",
3606 "MSM_TSPP_BAM_PHYS";
3607 interrupts = <0 121 0>, /* TSIF_TSPP_IRQ */
3608 <0 119 0>, /* TSIF0_IRQ */
3609 <0 120 0>, /* TSIF1_IRQ */
3610 <0 122 0>; /* TSIF_BAM_IRQ */
3611 interrupt-names = "TSIF_TSPP_IRQ",
3612 "TSIF0_IRQ",
3613 "TSIF1_IRQ",
3614 "TSIF_BAM_IRQ";
3615
3616 clock-names = "iface_clk", "ref_clk";
3617 clocks = <&clock_gcc GCC_TSIF_AHB_CLK>,
3618 <&clock_gcc GCC_TSIF_REF_CLK>;
3619
3620 qcom,msm-bus,name = "tsif";
3621 qcom,msm-bus,num-cases = <2>;
3622 qcom,msm-bus,num-paths = <1>;
3623 qcom,msm-bus,vectors-KBps =
3624 <82 512 0 0>, /* No vote */
3625 <82 512 12288 24576>;
3626 /* Max. bandwidth, 2xTSIF, each max of 96Mbps */
3627
3628 pinctrl-names = "disabled",
3629 "tsif0-mode1", "tsif0-mode2",
3630 "tsif1-mode1", "tsif1-mode2",
3631 "dual-tsif-mode1", "dual-tsif-mode2";
3632
3633 pinctrl-0 = <>; /* disabled */
3634 pinctrl-1 = <&tsif0_signals_active>; /* tsif0-mode1 */
3635 pinctrl-2 = <&tsif0_signals_active
3636 &tsif0_sync_active>; /* tsif0-mode2 */
3637 pinctrl-3 = <&tsif1_signals_active>; /* tsif1-mode1 */
3638 pinctrl-4 = <&tsif1_signals_active
3639 &tsif1_sync_active>; /* tsif1-mode2 */
3640 pinctrl-5 = <&tsif0_signals_active
3641 &tsif1_signals_active>; /* dual-tsif-mode1 */
3642 pinctrl-6 = <&tsif0_signals_active
3643 &tsif0_sync_active
3644 &tsif1_signals_active
3645 &tsif1_sync_active>; /* dual-tsif-mode2 */
Udaya Bhaskara Reddy Mallavarapu07bd0732017-07-27 16:37:54 +05303646
3647 qcom,smmu-s1-bypass;
3648 iommus = <&apps_smmu 0x20 0x0f>;
Udaya Bhaskara Reddy Mallavarapu55ef0cb2017-06-12 14:45:28 +05303649 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -07003650};
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003651
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003652&clock_cpucc {
3653 lmh_dcvs0: qcom,limits-dcvs@0 {
3654 compatible = "qcom,msm-hw-limits";
Ram Chandrasekar2d996582017-05-05 12:02:07 -06003655 interrupts = <GIC_SPI 32 IRQ_TYPE_LEVEL_HIGH>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003656 qcom,affinity = <0>;
3657 #thermal-sensor-cells = <0>;
3658 };
3659
3660 lmh_dcvs1: qcom,limits-dcvs@1 {
3661 compatible = "qcom,msm-hw-limits";
Ram Chandrasekar2d996582017-05-05 12:02:07 -06003662 interrupts = <GIC_SPI 33 IRQ_TYPE_LEVEL_HIGH>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003663 qcom,affinity = <1>;
3664 #thermal-sensor-cells = <0>;
Ram Chandrasekar302184f2017-08-14 11:27:14 -06003665 isens_vref-supply = <&pm8998_l1_ao>;
3666 isens-vref-settings = <880000 880000 20000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003667 };
Maya Erez6e14acb2017-05-16 09:59:02 +03003668
3669 wil6210: qcom,wil6210 {
3670 compatible = "qcom,wil6210";
3671 qcom,pcie-parent = <&pcie0>;
3672 qcom,wigig-en = <&tlmm 39 0>;
3673 qcom,msm-bus,name = "wil6210";
3674 qcom,msm-bus,num-cases = <2>;
3675 qcom,msm-bus,num-paths = <1>;
3676 qcom,msm-bus,vectors-KBps =
3677 <45 512 0 0>,
3678 <45 512 600000 800000>; /* ~4.6Gbps (MCS12) */
3679 qcom,use-ext-supply;
3680 vdd-supply= <&pm8998_s7>;
3681 vddio-supply= <&pm8998_s5>;
3682 qcom,use-ext-clocks;
3683 clocks = <&clock_rpmh RPMH_RF_CLK3>,
3684 <&clock_rpmh RPMH_RF_CLK3_A>;
3685 clock-names = "rf_clk3_clk", "rf_clk3_pin_clk";
3686 qcom,smmu-support;
Maya Erezdea3d792017-06-08 09:20:07 +03003687 qcom,keep-radio-on-during-sleep;
Maya Erez6e14acb2017-05-16 09:59:02 +03003688 status = "disabled";
3689 };
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003690};
3691
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003692&pcie_0_gdsc {
3693 status = "ok";
3694};
3695
3696&pcie_1_gdsc {
3697 status = "ok";
3698};
3699
3700&ufs_card_gdsc {
3701 status = "ok";
3702};
3703
3704&ufs_phy_gdsc {
3705 status = "ok";
3706};
3707
3708&usb30_prim_gdsc {
3709 status = "ok";
3710};
3711
3712&usb30_sec_gdsc {
3713 status = "ok";
3714};
3715
3716&hlos1_vote_aggre_noc_mmu_audio_tbu_gdsc {
3717 status = "ok";
3718};
3719
3720&hlos1_vote_aggre_noc_mmu_pcie_tbu_gdsc {
3721 status = "ok";
3722};
3723
3724&hlos1_vote_aggre_noc_mmu_tbu1_gdsc {
3725 status = "ok";
3726};
3727
3728&hlos1_vote_aggre_noc_mmu_tbu2_gdsc {
3729 status = "ok";
3730};
3731
3732&hlos1_vote_mmnoc_mmu_tbu_hf0_gdsc {
3733 status = "ok";
3734};
3735
3736&hlos1_vote_mmnoc_mmu_tbu_hf1_gdsc {
3737 status = "ok";
3738};
3739
3740&hlos1_vote_mmnoc_mmu_tbu_sf_gdsc {
3741 status = "ok";
3742};
3743
3744&bps_gdsc {
Deepak Katragadda38f645b2017-06-29 12:16:06 -07003745 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003746 status = "ok";
3747};
3748
3749&ife_0_gdsc {
3750 status = "ok";
3751};
3752
3753&ife_1_gdsc {
3754 status = "ok";
3755};
3756
3757&ipe_0_gdsc {
Deepak Katragadda38f645b2017-06-29 12:16:06 -07003758 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003759 status = "ok";
3760};
3761
3762&ipe_1_gdsc {
Deepak Katragadda38f645b2017-06-29 12:16:06 -07003763 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003764 status = "ok";
3765};
3766
3767&titan_top_gdsc {
3768 status = "ok";
3769};
3770
3771&mdss_core_gdsc {
3772 status = "ok";
3773};
3774
3775&gpu_cx_gdsc {
3776 status = "ok";
3777};
3778
Deepak Katragadda8d77fbb2016-10-17 13:04:17 -07003779&gpu_gx_gdsc {
Deepak Katragadda6c7e8e12017-04-05 13:21:16 -07003780 clock-names = "core_root_clk";
3781 clocks = <&clock_gfx GPU_CC_GX_GFX3D_CLK_SRC>;
3782 qcom,force-enable-root-clk;
Deepak Katragadda8d77fbb2016-10-17 13:04:17 -07003783 parent-supply = <&pm8005_s1_level>;
3784 status = "ok";
3785};
3786
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003787&vcodec0_gdsc {
Deepak Katragaddacd267d02017-05-17 11:38:39 -07003788 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003789 status = "ok";
3790};
3791
3792&vcodec1_gdsc {
Deepak Katragaddacd267d02017-05-17 11:38:39 -07003793 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003794 status = "ok";
3795};
3796
3797&venus_gdsc {
3798 status = "ok";
3799};
David Collins5ab42b92016-07-07 17:38:51 -07003800
David Collins516e41e2017-03-10 11:58:17 -08003801#include "pm8998.dtsi"
David Collins516e41e2017-03-10 11:58:17 -08003802#include "pm8005.dtsi"
Kyle Yan6a20fae2017-02-14 13:34:41 -08003803#include "sdm845-regulator.dtsi"
3804#include "sdm845-coresight.dtsi"
3805#include "msm-arm-smmu-sdm845.dtsi"
3806#include "sdm845-ion.dtsi"
3807#include "sdm845-smp2p.dtsi"
3808#include "sdm845-camera.dtsi"
3809#include "sdm845-bus.dtsi"
Saurabh Kothawade78041ee2017-01-16 16:38:09 -08003810#include "sdm845-vidc.dtsi"
Mahesh Sivasubramanian7a7b3c72016-11-04 14:31:59 -06003811#include "sdm845-pm.dtsi"
Banajit Goswami7885c692017-03-16 16:00:34 -07003812#include "sdm845-pinctrl.dtsi"
Tony Truongc0e0a5f02017-03-15 11:57:40 -07003813#include "sdm845-pcie.dtsi"
Banajit Goswamic0b75812017-03-16 16:14:17 -07003814#include "sdm845-audio.dtsi"
Lokesh Batraf7f72ff2016-10-13 11:51:59 -07003815#include "sdm845-gpu.dtsi"
Jack Phamf2b61c42017-04-07 10:28:34 -07003816#include "sdm845-usb.dtsi"
Ram Chandrasekara3115282017-04-21 17:33:01 -06003817
3818&pm8998_temp_alarm {
3819 cooling-maps {
3820 trip0_cpu0 {
3821 trip = <&pm8998_trip0>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003822 cooling-device =
3823 <&CPU0 (THERMAL_MAX_LIMIT-1)
3824 (THERMAL_MAX_LIMIT-1)>;
3825 };
3826 trip0_cpu1 {
3827 trip = <&pm8998_trip0>;
3828 cooling-device =
3829 <&CPU1 (THERMAL_MAX_LIMIT-1)
3830 (THERMAL_MAX_LIMIT-1)>;
3831 };
3832 trip0_cpu2 {
3833 trip = <&pm8998_trip0>;
3834 cooling-device =
3835 <&CPU2 (THERMAL_MAX_LIMIT-1)
3836 (THERMAL_MAX_LIMIT-1)>;
3837 };
3838 trip0_cpu3 {
3839 trip = <&pm8998_trip0>;
3840 cooling-device =
3841 <&CPU3 (THERMAL_MAX_LIMIT-1)
3842 (THERMAL_MAX_LIMIT-1)>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003843 };
3844 trip0_cpu4 {
3845 trip = <&pm8998_trip0>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003846 cooling-device =
3847 <&CPU4 (THERMAL_MAX_LIMIT-1)
3848 (THERMAL_MAX_LIMIT-1)>;
3849 };
3850 trip0_cpu5 {
3851 trip = <&pm8998_trip0>;
3852 cooling-device =
3853 <&CPU5 (THERMAL_MAX_LIMIT-1)
3854 (THERMAL_MAX_LIMIT-1)>;
3855 };
3856 trip0_cpu6 {
3857 trip = <&pm8998_trip0>;
3858 cooling-device =
3859 <&CPU6 (THERMAL_MAX_LIMIT-1)
3860 (THERMAL_MAX_LIMIT-1)>;
3861 };
3862 trip0_cpu7 {
3863 trip = <&pm8998_trip0>;
3864 cooling-device =
3865 <&CPU7 (THERMAL_MAX_LIMIT-1)
3866 (THERMAL_MAX_LIMIT-1)>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003867 };
3868 trip1_cpu1 {
3869 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003870 cooling-device =
3871 <&CPU1 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003872 };
3873 trip1_cpu2 {
3874 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003875 cooling-device =
3876 <&CPU2 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003877 };
3878 trip1_cpu3 {
3879 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003880 cooling-device =
3881 <&CPU3 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003882 };
3883 trip1_cpu4 {
3884 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003885 cooling-device =
3886 <&CPU4 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003887 };
3888 trip1_cpu5 {
3889 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003890 cooling-device =
3891 <&CPU5 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003892 };
3893 trip1_cpu6 {
3894 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003895 cooling-device =
3896 <&CPU6 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003897 };
3898 trip1_cpu7 {
3899 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003900 cooling-device =
3901 <&CPU7 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003902 };
3903 };
3904};
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06003905
3906&thermal_zones {
3907 aoss0-lowf {
3908 polling-delay-passive = <0>;
3909 polling-delay = <0>;
3910 thermal-governor = "low_limits_floor";
3911 thermal-sensors = <&tsens0 0>;
3912 tracks-low;
3913 trips {
3914 aoss0_trip: aoss0-trip {
3915 temperature = <5000>;
3916 hysteresis = <5000>;
3917 type = "passive";
3918 };
3919 };
3920 cooling-maps {
3921 cpu0_vdd_cdev {
3922 trip = <&aoss0_trip>;
3923 cooling-device = <&CPU0 4 4>;
3924 };
3925 cpu4_vdd_cdev {
3926 trip = <&aoss0_trip>;
3927 cooling-device = <&CPU4 9 9>;
3928 };
3929 gpu_vdd_cdev {
3930 trip = <&aoss0_trip>;
3931 cooling-device = <&msm_gpu 1 1>;
3932 };
3933 cx_vdd_cdev {
3934 trip = <&aoss0_trip>;
3935 cooling-device = <&cx_cdev 0 0>;
3936 };
3937 mx_vdd_cdev {
3938 trip = <&aoss0_trip>;
3939 cooling-device = <&mx_cdev 0 0>;
3940 };
3941 ebi_vdd_cdev {
3942 trip = <&aoss0_trip>;
3943 cooling-device = <&ebi_cdev 0 0>;
3944 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06003945 modem_vdd_cdev {
3946 trip = <&aoss0_trip>;
3947 cooling-device = <&modem_vdd 0 0>;
3948 };
3949 adsp_vdd_cdev {
3950 trip = <&aoss0_trip>;
3951 cooling-device = <&adsp_vdd 0 0>;
3952 };
3953 cdsp_vdd_cdev {
3954 trip = <&aoss0_trip>;
3955 cooling-device = <&cdsp_vdd 0 0>;
3956 };
3957 slpi_vdd_cdev {
3958 trip = <&aoss0_trip>;
3959 cooling-device = <&slpi_vdd 0 0>;
3960 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06003961 };
3962 };
3963
3964 cpu0-silver-lowf {
3965 polling-delay-passive = <0>;
3966 polling-delay = <0>;
3967 thermal-governor = "low_limits_floor";
3968 thermal-sensors = <&tsens0 1>;
3969 tracks-low;
3970 trips {
3971 cpu0_trip: cpu0-trip {
3972 temperature = <5000>;
3973 hysteresis = <5000>;
3974 type = "passive";
3975 };
3976 };
3977 cooling-maps {
3978 cpu0_vdd_cdev {
3979 trip = <&cpu0_trip>;
3980 cooling-device = <&CPU0 4 4>;
3981 };
3982 cpu4_vdd_cdev {
3983 trip = <&cpu0_trip>;
3984 cooling-device = <&CPU4 9 9>;
3985 };
3986 gpu_vdd_cdev {
3987 trip = <&cpu0_trip>;
3988 cooling-device = <&msm_gpu 1 1>;
3989 };
3990 cx_vdd_cdev {
3991 trip = <&cpu0_trip>;
3992 cooling-device = <&cx_cdev 0 0>;
3993 };
3994 mx_vdd_cdev {
3995 trip = <&cpu0_trip>;
3996 cooling-device = <&mx_cdev 0 0>;
3997 };
3998 ebi_vdd_cdev {
3999 trip = <&cpu0_trip>;
4000 cooling-device = <&ebi_cdev 0 0>;
4001 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004002 modem_vdd_cdev {
4003 trip = <&cpu0_trip>;
4004 cooling-device = <&modem_vdd 0 0>;
4005 };
4006 adsp_vdd_cdev {
4007 trip = <&cpu0_trip>;
4008 cooling-device = <&adsp_vdd 0 0>;
4009 };
4010 cdsp_vdd_cdev {
4011 trip = <&cpu0_trip>;
4012 cooling-device = <&cdsp_vdd 0 0>;
4013 };
4014 slpi_vdd_cdev {
4015 trip = <&cpu0_trip>;
4016 cooling-device = <&slpi_vdd 0 0>;
4017 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004018 };
4019 };
4020
4021 cpu1-silver-lowf {
4022 polling-delay-passive = <0>;
4023 polling-delay = <0>;
4024 thermal-governor = "low_limits_floor";
4025 thermal-sensors = <&tsens0 2>;
4026 tracks-low;
4027 trips {
4028 cpu1_trip: cpu1-trip {
4029 temperature = <5000>;
4030 hysteresis = <5000>;
4031 type = "passive";
4032 };
4033 };
4034 cooling-maps {
4035 cpu0_vdd_cdev {
4036 trip = <&cpu1_trip>;
4037 cooling-device = <&CPU0 4 4>;
4038 };
4039 cpu4_vdd_cdev {
4040 trip = <&cpu1_trip>;
4041 cooling-device = <&CPU4 9 9>;
4042 };
4043 gpu_vdd_cdev {
4044 trip = <&cpu1_trip>;
4045 cooling-device = <&msm_gpu 1 1>;
4046 };
4047 cx_vdd_cdev {
4048 trip = <&cpu1_trip>;
4049 cooling-device = <&cx_cdev 0 0>;
4050 };
4051 mx_vdd_cdev {
4052 trip = <&cpu1_trip>;
4053 cooling-device = <&mx_cdev 0 0>;
4054 };
4055 ebi_vdd_cdev {
4056 trip = <&cpu1_trip>;
4057 cooling-device = <&ebi_cdev 0 0>;
4058 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004059 modem_vdd_cdev {
4060 trip = <&cpu1_trip>;
4061 cooling-device = <&modem_vdd 0 0>;
4062 };
4063 adsp_vdd_cdev {
4064 trip = <&cpu1_trip>;
4065 cooling-device = <&adsp_vdd 0 0>;
4066 };
4067 cdsp_vdd_cdev {
4068 trip = <&cpu1_trip>;
4069 cooling-device = <&cdsp_vdd 0 0>;
4070 };
4071 slpi_vdd_cdev {
4072 trip = <&cpu1_trip>;
4073 cooling-device = <&slpi_vdd 0 0>;
4074 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004075 };
4076 };
4077
4078 cpu2-silver-lowf {
4079 polling-delay-passive = <0>;
4080 polling-delay = <0>;
4081 thermal-governor = "low_limits_floor";
4082 thermal-sensors = <&tsens0 3>;
4083 tracks-low;
4084 trips {
4085 cpu2_trip: cpu2-trip {
4086 temperature = <5000>;
4087 hysteresis = <5000>;
4088 type = "passive";
4089 };
4090 };
4091 cooling-maps {
4092 cpu0_vdd_cdev {
4093 trip = <&cpu2_trip>;
4094 cooling-device = <&CPU0 4 4>;
4095 };
4096 cpu4_vdd_cdev {
4097 trip = <&cpu2_trip>;
4098 cooling-device = <&CPU4 9 9>;
4099 };
4100 gpu_vdd_cdev {
4101 trip = <&cpu2_trip>;
4102 cooling-device = <&msm_gpu 1 1>;
4103 };
4104 cx_vdd_cdev {
4105 trip = <&cpu2_trip>;
4106 cooling-device = <&cx_cdev 0 0>;
4107 };
4108 mx_vdd_cdev {
4109 trip = <&cpu2_trip>;
4110 cooling-device = <&mx_cdev 0 0>;
4111 };
4112 ebi_vdd_cdev {
4113 trip = <&cpu2_trip>;
4114 cooling-device = <&ebi_cdev 0 0>;
4115 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004116 modem_vdd_cdev {
4117 trip = <&cpu2_trip>;
4118 cooling-device = <&modem_vdd 0 0>;
4119 };
4120 adsp_vdd_cdev {
4121 trip = <&cpu2_trip>;
4122 cooling-device = <&adsp_vdd 0 0>;
4123 };
4124 cdsp_vdd_cdev {
4125 trip = <&cpu2_trip>;
4126 cooling-device = <&cdsp_vdd 0 0>;
4127 };
4128 slpi_vdd_cdev {
4129 trip = <&cpu2_trip>;
4130 cooling-device = <&slpi_vdd 0 0>;
4131 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004132 };
4133 };
4134
4135 cpu3-silver-lowf {
4136 polling-delay-passive = <0>;
4137 polling-delay = <0>;
4138 thermal-governor = "low_limits_floor";
4139 thermal-sensors = <&tsens0 4>;
4140 tracks-low;
4141 trips {
4142 cpu3_trip: cpu3-trip {
4143 temperature = <5000>;
4144 hysteresis = <5000>;
4145 type = "passive";
4146 };
4147 };
4148 cooling-maps {
4149 cpu0_vdd_cdev {
4150 trip = <&cpu3_trip>;
4151 cooling-device = <&CPU0 4 4>;
4152 };
4153 cpu4_vdd_cdev {
4154 trip = <&cpu3_trip>;
4155 cooling-device = <&CPU4 9 9>;
4156 };
4157 gpu_vdd_cdev {
4158 trip = <&cpu3_trip>;
4159 cooling-device = <&msm_gpu 1 1>;
4160 };
4161 cx_vdd_cdev {
4162 trip = <&cpu3_trip>;
4163 cooling-device = <&cx_cdev 0 0>;
4164 };
4165 mx_vdd_cdev {
4166 trip = <&cpu3_trip>;
4167 cooling-device = <&mx_cdev 0 0>;
4168 };
4169 ebi_vdd_cdev {
4170 trip = <&cpu3_trip>;
4171 cooling-device = <&ebi_cdev 0 0>;
4172 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004173 modem_vdd_cdev {
4174 trip = <&cpu3_trip>;
4175 cooling-device = <&modem_vdd 0 0>;
4176 };
4177 adsp_vdd_cdev {
4178 trip = <&cpu3_trip>;
4179 cooling-device = <&adsp_vdd 0 0>;
4180 };
4181 cdsp_vdd_cdev {
4182 trip = <&cpu3_trip>;
4183 cooling-device = <&cdsp_vdd 0 0>;
4184 };
4185 slpi_vdd_cdev {
4186 trip = <&cpu3_trip>;
4187 cooling-device = <&slpi_vdd 0 0>;
4188 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004189 };
4190 };
4191
4192 kryo-l3-0-lowf {
4193 polling-delay-passive = <0>;
4194 polling-delay = <0>;
4195 thermal-governor = "low_limits_floor";
4196 thermal-sensors = <&tsens0 5>;
4197 tracks-low;
4198 trips {
4199 l3_0_trip: l3-0-trip {
4200 temperature = <5000>;
4201 hysteresis = <5000>;
4202 type = "passive";
4203 };
4204 };
4205 cooling-maps {
4206 cpu0_vdd_cdev {
4207 trip = <&l3_0_trip>;
4208 cooling-device = <&CPU0 4 4>;
4209 };
4210 cpu4_vdd_cdev {
4211 trip = <&l3_0_trip>;
4212 cooling-device = <&CPU4 9 9>;
4213 };
4214 gpu_vdd_cdev {
4215 trip = <&l3_0_trip>;
4216 cooling-device = <&msm_gpu 1 1>;
4217 };
4218 cx_vdd_cdev {
4219 trip = <&l3_0_trip>;
4220 cooling-device = <&cx_cdev 0 0>;
4221 };
4222 mx_vdd_cdev {
4223 trip = <&l3_0_trip>;
4224 cooling-device = <&mx_cdev 0 0>;
4225 };
4226 ebi_vdd_cdev {
4227 trip = <&l3_0_trip>;
4228 cooling-device = <&ebi_cdev 0 0>;
4229 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004230 modem_vdd_cdev {
4231 trip = <&l3_0_trip>;
4232 cooling-device = <&modem_vdd 0 0>;
4233 };
4234 adsp_vdd_cdev {
4235 trip = <&l3_0_trip>;
4236 cooling-device = <&adsp_vdd 0 0>;
4237 };
4238 cdsp_vdd_cdev {
4239 trip = <&l3_0_trip>;
4240 cooling-device = <&cdsp_vdd 0 0>;
4241 };
4242 slpi_vdd_cdev {
4243 trip = <&l3_0_trip>;
4244 cooling-device = <&slpi_vdd 0 0>;
4245 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004246 };
4247 };
4248
4249 kryo-l3-1-lowf {
4250 polling-delay-passive = <0>;
4251 polling-delay = <0>;
4252 thermal-governor = "low_limits_floor";
4253 thermal-sensors = <&tsens0 6>;
4254 tracks-low;
4255 trips {
4256 l3_1_trip: l3-1-trip {
4257 temperature = <5000>;
4258 hysteresis = <5000>;
4259 type = "passive";
4260 };
4261 };
4262 cooling-maps {
4263 cpu0_vdd_cdev {
4264 trip = <&l3_1_trip>;
4265 cooling-device = <&CPU0 4 4>;
4266 };
4267 cpu4_vdd_cdev {
4268 trip = <&l3_1_trip>;
4269 cooling-device = <&CPU4 9 9>;
4270 };
4271 gpu_vdd_cdev {
4272 trip = <&l3_1_trip>;
4273 cooling-device = <&msm_gpu 1 1>;
4274 };
4275 cx_vdd_cdev {
4276 trip = <&l3_1_trip>;
4277 cooling-device = <&cx_cdev 0 0>;
4278 };
4279 mx_vdd_cdev {
4280 trip = <&l3_1_trip>;
4281 cooling-device = <&mx_cdev 0 0>;
4282 };
4283 ebi_vdd_cdev {
4284 trip = <&l3_1_trip>;
4285 cooling-device = <&ebi_cdev 0 0>;
4286 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004287 modem_vdd_cdev {
4288 trip = <&l3_1_trip>;
4289 cooling-device = <&modem_vdd 0 0>;
4290 };
4291 adsp_vdd_cdev {
4292 trip = <&l3_1_trip>;
4293 cooling-device = <&adsp_vdd 0 0>;
4294 };
4295 cdsp_vdd_cdev {
4296 trip = <&l3_1_trip>;
4297 cooling-device = <&cdsp_vdd 0 0>;
4298 };
4299 slpi_vdd_cdev {
4300 trip = <&l3_1_trip>;
4301 cooling-device = <&slpi_vdd 0 0>;
4302 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004303 };
4304 };
4305
4306 cpu0-gold-lowf {
4307 polling-delay-passive = <0>;
4308 polling-delay = <0>;
4309 thermal-governor = "low_limits_floor";
4310 thermal-sensors = <&tsens0 7>;
4311 tracks-low;
4312 trips {
4313 cpug0_trip: cpug0-trip {
4314 temperature = <5000>;
4315 hysteresis = <5000>;
4316 type = "passive";
4317 };
4318 };
4319 cooling-maps {
4320 cpu0_vdd_cdev {
4321 trip = <&cpug0_trip>;
4322 cooling-device = <&CPU0 4 4>;
4323 };
4324 cpu4_vdd_cdev {
4325 trip = <&cpug0_trip>;
4326 cooling-device = <&CPU4 9 9>;
4327 };
4328 gpu_vdd_cdev {
4329 trip = <&cpug0_trip>;
4330 cooling-device = <&msm_gpu 1 1>;
4331 };
4332 cx_vdd_cdev {
4333 trip = <&cpug0_trip>;
4334 cooling-device = <&cx_cdev 0 0>;
4335 };
4336 mx_vdd_cdev {
4337 trip = <&cpug0_trip>;
4338 cooling-device = <&mx_cdev 0 0>;
4339 };
4340 ebi_vdd_cdev {
4341 trip = <&cpug0_trip>;
4342 cooling-device = <&ebi_cdev 0 0>;
4343 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004344 modem_vdd_cdev {
4345 trip = <&cpug0_trip>;
4346 cooling-device = <&modem_vdd 0 0>;
4347 };
4348 adsp_vdd_cdev {
4349 trip = <&cpug0_trip>;
4350 cooling-device = <&adsp_vdd 0 0>;
4351 };
4352 cdsp_vdd_cdev {
4353 trip = <&cpug0_trip>;
4354 cooling-device = <&cdsp_vdd 0 0>;
4355 };
4356 slpi_vdd_cdev {
4357 trip = <&cpug0_trip>;
4358 cooling-device = <&slpi_vdd 0 0>;
4359 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004360 };
4361 };
4362
4363 cpu1-gold-lowf {
4364 polling-delay-passive = <0>;
4365 polling-delay = <0>;
4366 thermal-governor = "low_limits_floor";
4367 thermal-sensors = <&tsens0 8>;
4368 tracks-low;
4369 trips {
4370 cpug1_trip: cpug1-trip {
4371 temperature = <5000>;
4372 hysteresis = <5000>;
4373 type = "passive";
4374 };
4375 };
4376 cooling-maps {
4377 cpu0_vdd_cdev {
4378 trip = <&cpug1_trip>;
4379 cooling-device = <&CPU0 4 4>;
4380 };
4381 cpu4_vdd_cdev {
4382 trip = <&cpug1_trip>;
4383 cooling-device = <&CPU4 9 9>;
4384 };
4385 gpu_vdd_cdev {
4386 trip = <&cpug1_trip>;
4387 cooling-device = <&msm_gpu 1 1>;
4388 };
4389 cx_vdd_cdev {
4390 trip = <&cpug1_trip>;
4391 cooling-device = <&cx_cdev 0 0>;
4392 };
4393 mx_vdd_cdev {
4394 trip = <&cpug1_trip>;
4395 cooling-device = <&mx_cdev 0 0>;
4396 };
4397 ebi_vdd_cdev {
4398 trip = <&cpug1_trip>;
4399 cooling-device = <&ebi_cdev 0 0>;
4400 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004401 modem_vdd_cdev {
4402 trip = <&cpug1_trip>;
4403 cooling-device = <&modem_vdd 0 0>;
4404 };
4405 adsp_vdd_cdev {
4406 trip = <&cpug1_trip>;
4407 cooling-device = <&adsp_vdd 0 0>;
4408 };
4409 cdsp_vdd_cdev {
4410 trip = <&cpug1_trip>;
4411 cooling-device = <&cdsp_vdd 0 0>;
4412 };
4413 slpi_vdd_cdev {
4414 trip = <&cpug1_trip>;
4415 cooling-device = <&slpi_vdd 0 0>;
4416 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004417 };
4418 };
4419
4420 cpu2-gold-lowf {
4421 polling-delay-passive = <0>;
4422 polling-delay = <0>;
4423 thermal-governor = "low_limits_floor";
4424 thermal-sensors = <&tsens0 9>;
4425 tracks-low;
4426 trips {
4427 cpug2_trip: cpug2-trip {
4428 temperature = <5000>;
4429 hysteresis = <5000>;
4430 type = "passive";
4431 };
4432 };
4433 cooling-maps {
4434 cpu0_vdd_cdev {
4435 trip = <&cpug2_trip>;
4436 cooling-device = <&CPU0 4 4>;
4437 };
4438 cpu4_vdd_cdev {
4439 trip = <&cpug2_trip>;
4440 cooling-device = <&CPU4 9 9>;
4441 };
4442 gpu_vdd_cdev {
4443 trip = <&cpug2_trip>;
4444 cooling-device = <&msm_gpu 1 1>;
4445 };
4446 cx_vdd_cdev {
4447 trip = <&cpug2_trip>;
4448 cooling-device = <&cx_cdev 0 0>;
4449 };
4450 mx_vdd_cdev {
4451 trip = <&cpug2_trip>;
4452 cooling-device = <&mx_cdev 0 0>;
4453 };
4454 ebi_vdd_cdev {
4455 trip = <&cpug2_trip>;
4456 cooling-device = <&ebi_cdev 0 0>;
4457 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004458 modem_vdd_cdev {
4459 trip = <&cpug2_trip>;
4460 cooling-device = <&modem_vdd 0 0>;
4461 };
4462 adsp_vdd_cdev {
4463 trip = <&cpug2_trip>;
4464 cooling-device = <&adsp_vdd 0 0>;
4465 };
4466 cdsp_vdd_cdev {
4467 trip = <&cpug2_trip>;
4468 cooling-device = <&cdsp_vdd 0 0>;
4469 };
4470 slpi_vdd_cdev {
4471 trip = <&cpug2_trip>;
4472 cooling-device = <&slpi_vdd 0 0>;
4473 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004474 };
4475 };
4476
4477 cpu3-gold-lowf {
4478 polling-delay-passive = <0>;
4479 polling-delay = <0>;
4480 thermal-governor = "low_limits_floor";
4481 thermal-sensors = <&tsens0 10>;
4482 tracks-low;
4483 trips {
4484 cpug3_trip: cpug3-trip {
4485 temperature = <5000>;
4486 hysteresis = <5000>;
4487 type = "passive";
4488 };
4489 };
4490 cooling-maps {
4491 cpu0_vdd_cdev {
4492 trip = <&cpug3_trip>;
4493 cooling-device = <&CPU0 4 4>;
4494 };
4495 cpu4_vdd_cdev {
4496 trip = <&cpug3_trip>;
4497 cooling-device = <&CPU4 9 9>;
4498 };
4499 gpu_vdd_cdev {
4500 trip = <&cpug3_trip>;
4501 cooling-device = <&msm_gpu 1 1>;
4502 };
4503 cx_vdd_cdev {
4504 trip = <&cpug3_trip>;
4505 cooling-device = <&cx_cdev 0 0>;
4506 };
4507 mx_vdd_cdev {
4508 trip = <&cpug3_trip>;
4509 cooling-device = <&mx_cdev 0 0>;
4510 };
4511 ebi_vdd_cdev {
4512 trip = <&cpug3_trip>;
4513 cooling-device = <&ebi_cdev 0 0>;
4514 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004515 modem_vdd_cdev {
4516 trip = <&cpug3_trip>;
4517 cooling-device = <&modem_vdd 0 0>;
4518 };
4519 adsp_vdd_cdev {
4520 trip = <&cpug3_trip>;
4521 cooling-device = <&adsp_vdd 0 0>;
4522 };
4523 cdsp_vdd_cdev {
4524 trip = <&cpug3_trip>;
4525 cooling-device = <&cdsp_vdd 0 0>;
4526 };
4527 slpi_vdd_cdev {
4528 trip = <&cpug3_trip>;
4529 cooling-device = <&slpi_vdd 0 0>;
4530 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004531 };
4532 };
4533
4534 gpu0-lowf {
4535 polling-delay-passive = <0>;
4536 polling-delay = <0>;
4537 thermal-governor = "low_limits_floor";
4538 thermal-sensors = <&tsens0 11>;
4539 tracks-low;
4540 trips {
4541 gpu0_trip_l: gpu0-trip {
4542 temperature = <5000>;
4543 hysteresis = <5000>;
4544 type = "passive";
4545 };
4546 };
4547 cooling-maps {
4548 cpu0_vdd_cdev {
4549 trip = <&gpu0_trip_l>;
4550 cooling-device = <&CPU0 4 4>;
4551 };
4552 cpu4_vdd_cdev {
4553 trip = <&gpu0_trip_l>;
4554 cooling-device = <&CPU4 9 9>;
4555 };
4556 gpu_vdd_cdev {
4557 trip = <&gpu0_trip_l>;
4558 cooling-device = <&msm_gpu 1 1>;
4559 };
4560 cx_vdd_cdev {
4561 trip = <&gpu0_trip_l>;
4562 cooling-device = <&cx_cdev 0 0>;
4563 };
4564 mx_vdd_cdev {
4565 trip = <&gpu0_trip_l>;
4566 cooling-device = <&mx_cdev 0 0>;
4567 };
4568 ebi_vdd_cdev {
4569 trip = <&gpu0_trip_l>;
4570 cooling-device = <&ebi_cdev 0 0>;
4571 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004572 modem_vdd_cdev {
4573 trip = <&gpu0_trip_l>;
4574 cooling-device = <&modem_vdd 0 0>;
4575 };
4576 adsp_vdd_cdev {
4577 trip = <&gpu0_trip_l>;
4578 cooling-device = <&adsp_vdd 0 0>;
4579 };
4580 cdsp_vdd_cdev {
4581 trip = <&gpu0_trip_l>;
4582 cooling-device = <&cdsp_vdd 0 0>;
4583 };
4584 slpi_vdd_cdev {
4585 trip = <&gpu0_trip_l>;
4586 cooling-device = <&slpi_vdd 0 0>;
4587 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004588 };
4589 };
4590
4591 gpu1-lowf {
4592 polling-delay-passive = <0>;
4593 polling-delay = <0>;
4594 thermal-governor = "low_limits_floor";
4595 thermal-sensors = <&tsens0 12>;
4596 tracks-low;
4597 trips {
4598 gpu1_trip_l: gpu1-trip_l {
4599 temperature = <5000>;
4600 hysteresis = <5000>;
4601 type = "passive";
4602 };
4603 };
4604 cooling-maps {
4605 cpu0_vdd_cdev {
4606 trip = <&gpu1_trip_l>;
4607 cooling-device = <&CPU0 4 4>;
4608 };
4609 cpu4_vdd_cdev {
4610 trip = <&gpu1_trip_l>;
4611 cooling-device = <&CPU4 9 9>;
4612 };
4613 gpu_vdd_cdev {
4614 trip = <&gpu1_trip_l>;
4615 cooling-device = <&msm_gpu 1 1>;
4616 };
4617 cx_vdd_cdev {
4618 trip = <&gpu1_trip_l>;
4619 cooling-device = <&cx_cdev 0 0>;
4620 };
4621 mx_vdd_cdev {
4622 trip = <&gpu1_trip_l>;
4623 cooling-device = <&mx_cdev 0 0>;
4624 };
4625 ebi_vdd_cdev {
4626 trip = <&gpu1_trip_l>;
4627 cooling-device = <&ebi_cdev 0 0>;
4628 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004629 modem_vdd_cdev {
4630 trip = <&gpu1_trip_l>;
4631 cooling-device = <&modem_vdd 0 0>;
4632 };
4633 adsp_vdd_cdev {
4634 trip = <&gpu1_trip_l>;
4635 cooling-device = <&adsp_vdd 0 0>;
4636 };
4637 cdsp_vdd_cdev {
4638 trip = <&gpu1_trip_l>;
4639 cooling-device = <&cdsp_vdd 0 0>;
4640 };
4641 slpi_vdd_cdev {
4642 trip = <&gpu1_trip_l>;
4643 cooling-device = <&slpi_vdd 0 0>;
4644 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004645 };
4646 };
4647
4648 aoss1-lowf {
4649 polling-delay-passive = <0>;
4650 polling-delay = <0>;
4651 thermal-governor = "low_limits_floor";
4652 thermal-sensors = <&tsens1 0>;
4653 tracks-low;
4654 trips {
4655 aoss1_trip: aoss1-trip {
4656 temperature = <5000>;
4657 hysteresis = <5000>;
4658 type = "passive";
4659 };
4660 };
4661 cooling-maps {
4662 cpu0_vdd_cdev {
4663 trip = <&aoss1_trip>;
4664 cooling-device = <&CPU0 4 4>;
4665 };
4666 cpu4_vdd_cdev {
4667 trip = <&aoss1_trip>;
4668 cooling-device = <&CPU4 9 9>;
4669 };
4670 gpu_vdd_cdev {
4671 trip = <&aoss1_trip>;
4672 cooling-device = <&msm_gpu 1 1>;
4673 };
4674 cx_vdd_cdev {
4675 trip = <&aoss1_trip>;
4676 cooling-device = <&cx_cdev 0 0>;
4677 };
4678 mx_vdd_cdev {
4679 trip = <&aoss1_trip>;
4680 cooling-device = <&mx_cdev 0 0>;
4681 };
4682 ebi_vdd_cdev {
4683 trip = <&aoss1_trip>;
4684 cooling-device = <&ebi_cdev 0 0>;
4685 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004686 modem_vdd_cdev {
4687 trip = <&aoss1_trip>;
4688 cooling-device = <&modem_vdd 0 0>;
4689 };
4690 adsp_vdd_cdev {
4691 trip = <&aoss1_trip>;
4692 cooling-device = <&adsp_vdd 0 0>;
4693 };
4694 cdsp_vdd_cdev {
4695 trip = <&aoss1_trip>;
4696 cooling-device = <&cdsp_vdd 0 0>;
4697 };
4698 slpi_vdd_cdev {
4699 trip = <&aoss1_trip>;
4700 cooling-device = <&slpi_vdd 0 0>;
4701 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004702 };
4703 };
4704
4705 mdm-dsp-lowf {
4706 polling-delay-passive = <0>;
4707 polling-delay = <0>;
4708 thermal-governor = "low_limits_floor";
4709 thermal-sensors = <&tsens1 1>;
4710 tracks-low;
4711 trips {
4712 dsp_trip: dsp-trip {
4713 temperature = <5000>;
4714 hysteresis = <5000>;
4715 type = "passive";
4716 };
4717 };
4718 cooling-maps {
4719 cpu0_vdd_cdev {
4720 trip = <&dsp_trip>;
4721 cooling-device = <&CPU0 4 4>;
4722 };
4723 cpu4_vdd_cdev {
4724 trip = <&dsp_trip>;
4725 cooling-device = <&CPU4 9 9>;
4726 };
4727 gpu_vdd_cdev {
4728 trip = <&dsp_trip>;
4729 cooling-device = <&msm_gpu 1 1>;
4730 };
4731 cx_vdd_cdev {
4732 trip = <&dsp_trip>;
4733 cooling-device = <&cx_cdev 0 0>;
4734 };
4735 mx_vdd_cdev {
4736 trip = <&dsp_trip>;
4737 cooling-device = <&mx_cdev 0 0>;
4738 };
4739 ebi_vdd_cdev {
4740 trip = <&dsp_trip>;
4741 cooling-device = <&ebi_cdev 0 0>;
4742 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004743 modem_vdd_cdev {
4744 trip = <&dsp_trip>;
4745 cooling-device = <&modem_vdd 0 0>;
4746 };
4747 adsp_vdd_cdev {
4748 trip = <&dsp_trip>;
4749 cooling-device = <&adsp_vdd 0 0>;
4750 };
4751 cdsp_vdd_cdev {
4752 trip = <&dsp_trip>;
4753 cooling-device = <&cdsp_vdd 0 0>;
4754 };
4755 slpi_vdd_cdev {
4756 trip = <&dsp_trip>;
4757 cooling-device = <&slpi_vdd 0 0>;
4758 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004759 };
4760 };
4761
4762 ddr-lowf {
4763 polling-delay-passive = <0>;
4764 polling-delay = <0>;
4765 thermal-governor = "low_limits_floor";
4766 thermal-sensors = <&tsens1 2>;
4767 tracks-low;
4768 trips {
4769 ddr_trip: ddr-trip {
4770 temperature = <5000>;
4771 hysteresis = <5000>;
4772 type = "passive";
4773 };
4774 };
4775 cooling-maps {
4776 cpu0_vdd_cdev {
4777 trip = <&ddr_trip>;
4778 cooling-device = <&CPU0 4 4>;
4779 };
4780 cpu4_vdd_cdev {
4781 trip = <&ddr_trip>;
4782 cooling-device = <&CPU4 9 9>;
4783 };
4784 gpu_vdd_cdev {
4785 trip = <&ddr_trip>;
4786 cooling-device = <&msm_gpu 1 1>;
4787 };
4788 cx_vdd_cdev {
4789 trip = <&ddr_trip>;
4790 cooling-device = <&cx_cdev 0 0>;
4791 };
4792 mx_vdd_cdev {
4793 trip = <&ddr_trip>;
4794 cooling-device = <&mx_cdev 0 0>;
4795 };
4796 ebi_vdd_cdev {
4797 trip = <&ddr_trip>;
4798 cooling-device = <&ebi_cdev 0 0>;
4799 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004800 modem_vdd_cdev {
4801 trip = <&ddr_trip>;
4802 cooling-device = <&modem_vdd 0 0>;
4803 };
4804 adsp_vdd_cdev {
4805 trip = <&ddr_trip>;
4806 cooling-device = <&adsp_vdd 0 0>;
4807 };
4808 cdsp_vdd_cdev {
4809 trip = <&ddr_trip>;
4810 cooling-device = <&cdsp_vdd 0 0>;
4811 };
4812 slpi_vdd_cdev {
4813 trip = <&ddr_trip>;
4814 cooling-device = <&slpi_vdd 0 0>;
4815 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004816 };
4817 };
4818
4819 wlan-lowf {
4820 polling-delay-passive = <0>;
4821 polling-delay = <0>;
4822 thermal-governor = "low_limits_floor";
4823 thermal-sensors = <&tsens1 3>;
4824 tracks-low;
4825 trips {
4826 wlan_trip: wlan-trip {
4827 temperature = <5000>;
4828 hysteresis = <5000>;
4829 type = "passive";
4830 };
4831 };
4832 cooling-maps {
4833 cpu0_vdd_cdev {
4834 trip = <&wlan_trip>;
4835 cooling-device = <&CPU0 4 4>;
4836 };
4837 cpu4_vdd_cdev {
4838 trip = <&wlan_trip>;
4839 cooling-device = <&CPU4 9 9>;
4840 };
4841 gpu_vdd_cdev {
4842 trip = <&wlan_trip>;
4843 cooling-device = <&msm_gpu 1 1>;
4844 };
4845 cx_vdd_cdev {
4846 trip = <&wlan_trip>;
4847 cooling-device = <&cx_cdev 0 0>;
4848 };
4849 mx_vdd_cdev {
4850 trip = <&wlan_trip>;
4851 cooling-device = <&mx_cdev 0 0>;
4852 };
4853 ebi_vdd_cdev {
4854 trip = <&wlan_trip>;
4855 cooling-device = <&ebi_cdev 0 0>;
4856 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004857 modem_vdd_cdev {
4858 trip = <&wlan_trip>;
4859 cooling-device = <&modem_vdd 0 0>;
4860 };
4861 adsp_vdd_cdev {
4862 trip = <&wlan_trip>;
4863 cooling-device = <&adsp_vdd 0 0>;
4864 };
4865 cdsp_vdd_cdev {
4866 trip = <&wlan_trip>;
4867 cooling-device = <&cdsp_vdd 0 0>;
4868 };
4869 slpi_vdd_cdev {
4870 trip = <&wlan_trip>;
4871 cooling-device = <&slpi_vdd 0 0>;
4872 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004873 };
4874 };
4875
4876 compute-hvx-lowf {
4877 polling-delay-passive = <0>;
4878 polling-delay = <0>;
4879 thermal-governor = "low_limits_floor";
4880 thermal-sensors = <&tsens1 4>;
4881 tracks-low;
4882 trips {
4883 hvx_trip: hvx-trip {
4884 temperature = <5000>;
4885 hysteresis = <5000>;
4886 type = "passive";
4887 };
4888 };
4889 cooling-maps {
4890 cpu0_vdd_cdev {
4891 trip = <&hvx_trip>;
4892 cooling-device = <&CPU0 4 4>;
4893 };
4894 cpu4_vdd_cdev {
4895 trip = <&hvx_trip>;
4896 cooling-device = <&CPU4 9 9>;
4897 };
4898 gpu_vdd_cdev {
4899 trip = <&hvx_trip>;
4900 cooling-device = <&msm_gpu 1 1>;
4901 };
4902 cx_vdd_cdev {
4903 trip = <&hvx_trip>;
4904 cooling-device = <&cx_cdev 0 0>;
4905 };
4906 mx_vdd_cdev {
4907 trip = <&hvx_trip>;
4908 cooling-device = <&mx_cdev 0 0>;
4909 };
4910 ebi_vdd_cdev {
4911 trip = <&hvx_trip>;
4912 cooling-device = <&ebi_cdev 0 0>;
4913 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004914 modem_vdd_cdev {
4915 trip = <&hvx_trip>;
4916 cooling-device = <&modem_vdd 0 0>;
4917 };
4918 adsp_vdd_cdev {
4919 trip = <&hvx_trip>;
4920 cooling-device = <&adsp_vdd 0 0>;
4921 };
4922 cdsp_vdd_cdev {
4923 trip = <&hvx_trip>;
4924 cooling-device = <&cdsp_vdd 0 0>;
4925 };
4926 slpi_vdd_cdev {
4927 trip = <&hvx_trip>;
4928 cooling-device = <&slpi_vdd 0 0>;
4929 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004930 };
4931 };
4932
4933 camera-lowf {
4934 polling-delay-passive = <0>;
4935 polling-delay = <0>;
4936 thermal-governor = "low_limits_floor";
4937 thermal-sensors = <&tsens1 5>;
4938 tracks-low;
4939 trips {
4940 camera_trip: camera-trip {
4941 temperature = <5000>;
4942 hysteresis = <5000>;
4943 type = "passive";
4944 };
4945 };
4946 cooling-maps {
4947 cpu0_vdd_cdev {
4948 trip = <&camera_trip>;
4949 cooling-device = <&CPU0 4 4>;
4950 };
4951 cpu4_vdd_cdev {
4952 trip = <&camera_trip>;
4953 cooling-device = <&CPU4 9 9>;
4954 };
4955 gpu_vdd_cdev {
4956 trip = <&camera_trip>;
4957 cooling-device = <&msm_gpu 1 1>;
4958 };
4959 cx_vdd_cdev {
4960 trip = <&camera_trip>;
4961 cooling-device = <&cx_cdev 0 0>;
4962 };
4963 mx_vdd_cdev {
4964 trip = <&camera_trip>;
4965 cooling-device = <&mx_cdev 0 0>;
4966 };
4967 ebi_vdd_cdev {
4968 trip = <&camera_trip>;
4969 cooling-device = <&ebi_cdev 0 0>;
4970 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004971 modem_vdd_cdev {
4972 trip = <&camera_trip>;
4973 cooling-device = <&modem_vdd 0 0>;
4974 };
4975 adsp_vdd_cdev {
4976 trip = <&camera_trip>;
4977 cooling-device = <&adsp_vdd 0 0>;
4978 };
4979 cdsp_vdd_cdev {
4980 trip = <&camera_trip>;
4981 cooling-device = <&cdsp_vdd 0 0>;
4982 };
4983 slpi_vdd_cdev {
4984 trip = <&camera_trip>;
4985 cooling-device = <&slpi_vdd 0 0>;
4986 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004987 };
4988 };
4989
4990 mmss-lowf {
4991 polling-delay-passive = <0>;
4992 polling-delay = <0>;
4993 thermal-governor = "low_limits_floor";
4994 thermal-sensors = <&tsens1 6>;
4995 tracks-low;
4996 trips {
4997 mmss_trip: mmss-trip {
4998 temperature = <5000>;
4999 hysteresis = <5000>;
5000 type = "passive";
5001 };
5002 };
5003 cooling-maps {
5004 cpu0_vdd_cdev {
5005 trip = <&mmss_trip>;
5006 cooling-device = <&CPU0 4 4>;
5007 };
5008 cpu4_vdd_cdev {
5009 trip = <&mmss_trip>;
5010 cooling-device = <&CPU4 9 9>;
5011 };
5012 gpu_vdd_cdev {
5013 trip = <&mmss_trip>;
5014 cooling-device = <&msm_gpu 1 1>;
5015 };
5016 cx_vdd_cdev {
5017 trip = <&mmss_trip>;
5018 cooling-device = <&cx_cdev 0 0>;
5019 };
5020 mx_vdd_cdev {
5021 trip = <&mmss_trip>;
5022 cooling-device = <&mx_cdev 0 0>;
5023 };
5024 ebi_vdd_cdev {
5025 trip = <&mmss_trip>;
5026 cooling-device = <&ebi_cdev 0 0>;
5027 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005028 modem_vdd_cdev {
5029 trip = <&mmss_trip>;
5030 cooling-device = <&modem_vdd 0 0>;
5031 };
5032 adsp_vdd_cdev {
5033 trip = <&mmss_trip>;
5034 cooling-device = <&adsp_vdd 0 0>;
5035 };
5036 cdsp_vdd_cdev {
5037 trip = <&mmss_trip>;
5038 cooling-device = <&cdsp_vdd 0 0>;
5039 };
5040 slpi_vdd_cdev {
5041 trip = <&mmss_trip>;
5042 cooling-device = <&slpi_vdd 0 0>;
5043 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005044 };
5045 };
5046
5047 mdm-core-lowf {
5048 polling-delay-passive = <0>;
5049 polling-delay = <0>;
5050 thermal-governor = "low_limits_floor";
5051 thermal-sensors = <&tsens1 7>;
5052 tracks-low;
5053 trips {
5054 mdm_trip: mdm-trip {
5055 temperature = <5000>;
5056 hysteresis = <5000>;
5057 type = "passive";
5058 };
5059 };
5060 cooling-maps {
5061 cpu0_vdd_cdev {
5062 trip = <&mdm_trip>;
5063 cooling-device = <&CPU0 4 4>;
5064 };
5065 cpu4_vdd_cdev {
5066 trip = <&mdm_trip>;
5067 cooling-device = <&CPU4 9 9>;
5068 };
5069 gpu_vdd_cdev {
5070 trip = <&mdm_trip>;
5071 cooling-device = <&msm_gpu 1 1>;
5072 };
5073 cx_vdd_cdev {
5074 trip = <&mdm_trip>;
5075 cooling-device = <&cx_cdev 0 0>;
5076 };
5077 mx_vdd_cdev {
5078 trip = <&mdm_trip>;
5079 cooling-device = <&mx_cdev 0 0>;
5080 };
5081 ebi_vdd_cdev {
5082 trip = <&mdm_trip>;
5083 cooling-device = <&ebi_cdev 0 0>;
5084 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005085 modem_vdd_cdev {
5086 trip = <&mdm_trip>;
5087 cooling-device = <&modem_vdd 0 0>;
5088 };
5089 adsp_vdd_cdev {
5090 trip = <&mdm_trip>;
5091 cooling-device = <&adsp_vdd 0 0>;
5092 };
5093 cdsp_vdd_cdev {
5094 trip = <&mdm_trip>;
5095 cooling-device = <&cdsp_vdd 0 0>;
5096 };
5097 slpi_vdd_cdev {
5098 trip = <&mdm_trip>;
5099 cooling-device = <&slpi_vdd 0 0>;
5100 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005101 };
5102 };
5103};