blob: d72c91c92c70027659300cc86f4d5def90cc9ab9 [file] [log] [blame]
Kyle Yand8326b62017-01-05 15:11:02 -08001/* Copyright (c) 2016-2017, The Linux Foundation. All rights reserved.
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -07002 *
3 * This program is free software; you can redistribute it and/or modify
4 * it under the terms of the GNU General Public License version 2 and
5 * only version 2 as published by the Free Software Foundation.
6 *
7 * This program is distributed in the hope that it will be useful,
8 * but WITHOUT ANY WARRANTY; without even the implied warranty of
9 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
10 * GNU General Public License for more details.
11 */
12
13#include "skeleton64.dtsi"
Kyle Yan6a20fae2017-02-14 13:34:41 -080014#include <dt-bindings/clock/qcom,gcc-sdm845.h>
15#include <dt-bindings/clock/qcom,camcc-sdm845.h>
16#include <dt-bindings/clock/qcom,dispcc-sdm845.h>
17#include <dt-bindings/clock/qcom,gpucc-sdm845.h>
18#include <dt-bindings/clock/qcom,videocc-sdm845.h>
19#include <dt-bindings/clock/qcom,cpucc-sdm845.h>
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -080020#include <dt-bindings/clock/qcom,rpmh.h>
Taniya Dasa8d52b92017-04-18 17:02:49 +053021#include <dt-bindings/clock/qcom,aop-qmp.h>
David Collins5ab42b92016-07-07 17:38:51 -070022#include <dt-bindings/regulator/qcom,rpmh-regulator.h>
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -070023#include <dt-bindings/interrupt-controller/arm-gic.h>
Lina Iyer9f782ba2016-10-11 15:13:50 -060024#include <dt-bindings/soc/qcom,tcs-mbox.h>
David Collins86dc5b52017-04-11 14:29:36 -070025#include <dt-bindings/spmi/spmi.h>
Ram Chandrasekar36ffe552017-04-17 16:33:05 -060026#include <dt-bindings/thermal/thermal.h>
Stephen Boydb1adf312017-04-03 16:02:12 -070027#include <dt-bindings/msm/msm-bus-ids.h>
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070028
Stephen Boyd08290522017-06-16 09:48:48 -070029#define MHZ_TO_MBPS(mhz, w) ((mhz * 1000000 * w) / (1024 * 1024))
30
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070031/ {
Kyle Yan6a20fae2017-02-14 13:34:41 -080032 model = "Qualcomm Technologies, Inc. SDM845";
33 compatible = "qcom,sdm845";
Kyle Yanfd7d1422017-08-04 16:14:21 -070034 qcom,msm-id = <321 0x10000>;
Archana Sathyakumar00a36ab2017-03-03 14:38:26 -070035 interrupt-parent = <&pdc>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070036
Subhash Jadavani35c309a2016-12-19 13:58:57 -080037 aliases {
38 ufshc1 = &ufshc_mem; /* Embedded UFS slot */
39 ufshc2 = &ufshc_card; /* Removable UFS slot */
Tony Truongc0e0a5f02017-03-15 11:57:40 -070040 pci-domain0 = &pcie0;
Tony Truong16938352017-05-04 13:39:24 -070041 pci-domain1 = &pcie1;
Xiaonian Wang3c14ea32017-04-08 06:39:42 +080042 sdhc2 = &sdhc_2; /* SDC2 SD card slot */
Subhash Jadavani35c309a2016-12-19 13:58:57 -080043 };
44
Puja Guptaa91fb842017-06-12 18:58:06 -070045 aliases {
46 serial0 = &qupv3_se9_2uart;
47 spi0 = &qupv3_se8_spi;
48 i2c0 = &qupv3_se10_i2c;
49 i2c1 = &qupv3_se3_i2c;
50 hsuart0 = &qupv3_se6_4uart;
51 };
52
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070053 cpus {
54 #address-cells = <2>;
55 #size-cells = <0>;
56
57 CPU0: cpu@0 {
58 device_type = "cpu";
59 compatible = "arm,armv8";
60 reg = <0x0 0x0>;
Trilok Soni39f76f22016-12-15 14:56:26 -080061 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -070062 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070063 cache-size = <0x8000>;
64 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -060065 qcom,lmh-dcvs = <&lmh_dcvs0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -060066 #cooling-cells = <2>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070067 next-level-cache = <&L2_0>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -070068 sched-energy-costs = <&CPU_COST_0 &CLUSTER_COST_0>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070069 L2_0: l2-cache {
70 compatible = "arm,arch-cache";
71 cache-size = <0x20000>;
72 cache-level = <2>;
73 next-level-cache = <&L3_0>;
74
75 L3_0: l3-cache {
76 compatible = "arm,arch-cache";
77 cache-size = <0x200000>;
78 cache-level = <3>;
79 };
80 };
Kyle Yanf7f2ddf2016-11-22 17:07:38 -080081 L1_I_0: l1-icache {
82 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -070083 qcom,dump-size = <0xa000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -080084 };
85 L1_D_0: l1-dcache {
86 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -070087 qcom,dump-size = <0xa000>;
88 };
89 L1_TLB_0: l1-tlb {
90 qcom,dump-size = <0x3000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -080091 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070092 };
93
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -070094 CPU1: cpu@100 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -070095 device_type = "cpu";
96 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -070097 reg = <0x0 0x100>;
Trilok Soni39f76f22016-12-15 14:56:26 -080098 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -070099 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700100 cache-size = <0x8000>;
101 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600102 qcom,lmh-dcvs = <&lmh_dcvs0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600103 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700104 next-level-cache = <&L2_100>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700105 sched-energy-costs = <&CPU_COST_0 &CLUSTER_COST_0>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700106 L2_100: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700107 compatible = "arm,arch-cache";
108 cache-size = <0x20000>;
109 cache-level = <2>;
110 next-level-cache = <&L3_0>;
111 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700112 L1_I_100: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800113 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700114 qcom,dump-size = <0xa000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800115 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700116 L1_D_100: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800117 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700118 qcom,dump-size = <0xa000>;
119 };
120 L1_TLB_100: l1-tlb {
121 qcom,dump-size = <0x3000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800122 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700123 };
124
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700125 CPU2: cpu@200 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700126 device_type = "cpu";
127 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700128 reg = <0x0 0x200>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800129 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700130 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700131 cache-size = <0x8000>;
132 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600133 qcom,lmh-dcvs = <&lmh_dcvs0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600134 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700135 next-level-cache = <&L2_200>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700136 sched-energy-costs = <&CPU_COST_0 &CLUSTER_COST_0>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700137 L2_200: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700138 compatible = "arm,arch-cache";
139 cache-size = <0x20000>;
140 cache-level = <2>;
141 next-level-cache = <&L3_0>;
142 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700143 L1_I_200: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800144 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700145 qcom,dump-size = <0xa000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800146 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700147 L1_D_200: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800148 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700149 qcom,dump-size = <0xa000>;
150 };
151 L1_TLB_200: l1-tlb {
152 qcom,dump-size = <0x3000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800153 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700154 };
155
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700156 CPU3: cpu@300 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700157 device_type = "cpu";
158 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700159 reg = <0x0 0x300>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800160 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700161 efficiency = <1024>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700162 cache-size = <0x8000>;
163 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600164 qcom,lmh-dcvs = <&lmh_dcvs0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600165 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700166 next-level-cache = <&L2_300>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700167 sched-energy-costs = <&CPU_COST_0 &CLUSTER_COST_0>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700168 L2_300: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700169 compatible = "arm,arch-cache";
170 cache-size = <0x20000>;
171 cache-level = <2>;
172 next-level-cache = <&L3_0>;
173 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700174 L1_I_300: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800175 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700176 qcom,dump-size = <0xa000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800177 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700178 L1_D_300: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800179 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700180 qcom,dump-size = <0xa000>;
181 };
182 L1_TLB_300: l1-tlb {
183 qcom,dump-size = <0x3000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800184 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700185 };
186
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700187 CPU4: cpu@400 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700188 device_type = "cpu";
189 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700190 reg = <0x0 0x400>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800191 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700192 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700193 cache-size = <0x20000>;
194 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600195 qcom,lmh-dcvs = <&lmh_dcvs1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600196 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700197 next-level-cache = <&L2_400>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700198 sched-energy-costs = <&CPU_COST_1 &CLUSTER_COST_1>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700199 L2_400: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700200 compatible = "arm,arch-cache";
201 cache-size = <0x40000>;
202 cache-level = <2>;
203 next-level-cache = <&L3_0>;
204 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700205 L1_I_400: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800206 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700207 qcom,dump-size = <0x14000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800208 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700209 L1_D_400: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800210 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700211 qcom,dump-size = <0x14000>;
212 };
213 L1_TLB_400: l1-tlb {
214 qcom,dump-size = <0x3c000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800215 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700216 };
217
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700218 CPU5: cpu@500 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700219 device_type = "cpu";
220 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700221 reg = <0x0 0x500>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800222 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700223 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700224 cache-size = <0x20000>;
225 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600226 qcom,lmh-dcvs = <&lmh_dcvs1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600227 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700228 next-level-cache = <&L2_500>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700229 sched-energy-costs = <&CPU_COST_1 &CLUSTER_COST_1>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700230 L2_500: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700231 compatible = "arm,arch-cache";
232 cache-size = <0x40000>;
233 cache-level = <2>;
234 next-level-cache = <&L3_0>;
235 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700236 L1_I_500: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800237 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700238 qcom,dump-size = <0x14000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800239 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700240 L1_D_500: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800241 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700242 qcom,dump-size = <0x14000>;
243 };
244 L1_TLB_500: l1-tlb {
245 qcom,dump-size = <0x3c000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800246 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700247 };
248
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700249 CPU6: cpu@600 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700250 device_type = "cpu";
251 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700252 reg = <0x0 0x600>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800253 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700254 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700255 cache-size = <0x20000>;
256 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600257 qcom,lmh-dcvs = <&lmh_dcvs1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600258 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700259 next-level-cache = <&L2_600>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700260 sched-energy-costs = <&CPU_COST_1 &CLUSTER_COST_1>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700261 L2_600: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700262 compatible = "arm,arch-cache";
263 cache-size = <0x40000>;
264 cache-level = <2>;
265 next-level-cache = <&L3_0>;
266 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700267 L1_I_600: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800268 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700269 qcom,dump-size = <0x14000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800270 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700271 L1_D_600: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800272 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700273 qcom,dump-size = <0x14000>;
274 };
275 L1_TLB_600: l1-tlb {
276 qcom,dump-size = <0x3c000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800277 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700278 };
279
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700280 CPU7: cpu@700 {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700281 device_type = "cpu";
282 compatible = "arm,armv8";
Channagoud Kadabi75c32072016-08-05 22:19:26 -0700283 reg = <0x0 0x700>;
Trilok Soni39f76f22016-12-15 14:56:26 -0800284 enable-method = "psci";
Syed Rameez Mustafaa1aed682017-03-23 18:29:41 -0700285 efficiency = <1740>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700286 cache-size = <0x20000>;
287 cpu-release-addr = <0x0 0x90000000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -0600288 qcom,lmh-dcvs = <&lmh_dcvs1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -0600289 #cooling-cells = <2>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700290 next-level-cache = <&L2_700>;
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700291 sched-energy-costs = <&CPU_COST_1 &CLUSTER_COST_1>;
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700292 L2_700: l2-cache {
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700293 compatible = "arm,arch-cache";
294 cache-size = <0x40000>;
295 cache-level = <2>;
296 next-level-cache = <&L3_0>;
297 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700298 L1_I_700: l1-icache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800299 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700300 qcom,dump-size = <0x14000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800301 };
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -0700302 L1_D_700: l1-dcache {
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800303 compatible = "arm,arch-cache";
Channagoud Kadabief56fcb2017-05-15 16:28:39 -0700304 qcom,dump-size = <0x14000>;
305 };
306 L1_TLB_700: l1-tlb {
307 qcom,dump-size = <0x3c000>;
Kyle Yanf7f2ddf2016-11-22 17:07:38 -0800308 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700309 };
310
311 cpu-map {
312 cluster0 {
313 core0 {
314 cpu = <&CPU0>;
315 };
316
317 core1 {
318 cpu = <&CPU1>;
319 };
320
321 core2 {
322 cpu = <&CPU2>;
323 };
324
325 core3 {
326 cpu = <&CPU3>;
327 };
328 };
329
330 cluster1 {
331 core0 {
332 cpu = <&CPU4>;
333 };
334
335 core1 {
336 cpu = <&CPU5>;
337 };
338
339 core2 {
340 cpu = <&CPU6>;
341 };
342
343 core3 {
344 cpu = <&CPU7>;
345 };
346 };
347 };
348 };
349
Joonwoo Parkf3f7dac2017-08-17 16:02:29 -0700350 energy_costs: energy-costs {
Joonwoo Park32850e82017-06-12 16:01:57 -0700351 compatible = "sched-energy";
352
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700353 CPU_COST_0: core-cost0 {
354 busy-cost-data = <
Joonwoo Park32850e82017-06-12 16:01:57 -0700355 300000 31
356 422400 38
357 499200 42
358 576000 46
359 652800 51
360 748800 58
361 825600 64
362 902400 70
363 979200 76
364 1056000 83
365 1132800 90
366 1209600 97
367 1286400 105
368 1363200 114
369 1440000 124
370 1516800 136
371 1593600 152
372 1651200 167 /* speedbin 0,1 */
373 1670400 173 /* speedbin 2 */
374 1708800 186 /* speedbin 0,1 */
375 1747200 201 /* speedbin 2 */
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700376 >;
377 idle-cost-data = <
378 22 18 14 12
379 >;
380 };
381 CPU_COST_1: core-cost1 {
382 busy-cost-data = <
Joonwoo Park32850e82017-06-12 16:01:57 -0700383 300000 258
384 422400 260
385 499200 261
386 576000 263
387 652800 267
388 729600 272
389 806400 280
390 883200 291
391 960000 305
392 1036800 324
393 1113600 348
394 1190400 378
395 1267200 415
396 1344000 460
397 1420800 513
398 1497600 576
399 1574400 649
400 1651200 732
401 1728000 824
402 1804800 923
403 1881600 1027
404 1958400 1131
405 2035000 1228 /* speedbin 1,2 */
406 2092000 1290 /* speedbin 1 */
407 2112000 1308 /* speedbin 2 */
408 2208000 1363 /* speedbin 2 */
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700409 >;
410 idle-cost-data = <
Joonwoo Parka5bb67e2017-05-15 15:48:25 -0700411 100 80 60 40
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700412 >;
413 };
414 CLUSTER_COST_0: cluster-cost0 {
415 busy-cost-data = <
Joonwoo Park32850e82017-06-12 16:01:57 -0700416 300000 3
417 422400 4
418 499200 4
419 576000 4
420 652800 5
421 748800 5
422 825600 6
423 902400 7
424 979200 7
425 1056000 8
426 1132800 9
427 1209600 9
428 1286400 10
429 1363200 11
430 1440000 12
431 1516800 13
432 1593600 15
433 1651200 17 /* speedbin 0,1 */
434 1670400 19 /* speedbin 2 */
435 1708800 21 /* speedbin 0,1 */
436 1747200 23 /* speedbin 2 */
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700437 >;
438 idle-cost-data = <
439 4 3 2 1
440 >;
441 };
442 CLUSTER_COST_1: cluster-cost1 {
443 busy-cost-data = <
Joonwoo Park32850e82017-06-12 16:01:57 -0700444 300000 24
445 422400 24
446 499200 25
447 576000 25
448 652800 26
449 729600 27
450 806400 28
451 883200 29
452 960000 30
453 1036800 32
454 1113600 34
455 1190400 37
456 1267200 40
457 1344000 45
458 1420800 50
459 1497600 57
460 1574400 64
461 1651200 74
462 1728000 84
463 1804800 96
464 1881600 106
465 1958400 113
466 2035000 120 /* speedbin 1,2 */
467 2092000 125 /* speedbin 1 */
468 2112000 127 /* speedbin 2 */
469 2208000 130 /* speedbin 2 */
Vikram Mulukutlaeb5eab22017-05-08 13:51:08 -0700470 >;
471 idle-cost-data = <
472 4 3 2 1
473 >;
474 };
475 }; /* energy-costs */
476
Trilok Soni39f76f22016-12-15 14:56:26 -0800477 psci {
478 compatible = "arm,psci-1.0";
479 method = "smc";
480 };
481
Channagoud Kadabiffbc5f12017-07-06 17:09:43 -0700482 chosen {
483 bootargs = "rcupdate.rcu_expedited=1";
484 };
485
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700486 soc: soc { };
Patrick Dalyff211c82016-07-19 20:26:40 -0700487
Puja Gupta0f42ee32017-05-03 15:32:31 -0700488 vendor: vendor {
489 #address-cells = <1>;
490 #size-cells = <1>;
491 ranges = <0 0 0 0xffffffff>;
492 compatible = "simple-bus";
493 };
494
Puja Guptacce5d0b2017-05-05 14:22:25 -0700495 firmware: firmware {
496 android {
497 compatible = "android,firmware";
Puja Gupta30684862017-06-08 16:17:00 -0700498 vbmeta {
499 compatible = "android,vbmeta";
500 parts = "vbmeta,boot,system,vendor,dtbo";
501 };
502
Puja Guptacce5d0b2017-05-05 14:22:25 -0700503 fstab {
504 compatible = "android,fstab";
505 vendor {
506 compatible = "android,vendor";
507 dev = "/dev/block/platform/soc/1d84000.ufshc/by-name/vendor";
508 type = "ext4";
509 mnt_flags = "ro,barrier=1,discard";
Puja Gupta30684862017-06-08 16:17:00 -0700510 fsmgr_flags = "wait,slotselect,avb";
Puja Guptacce5d0b2017-05-05 14:22:25 -0700511 };
512 };
513 };
514 };
515
Patrick Dalyff211c82016-07-19 20:26:40 -0700516 reserved-memory {
517 #address-cells = <2>;
518 #size-cells = <2>;
519 ranges;
520
Patrick Daly04471a62017-06-30 14:26:00 -0700521 hyp_region: hyp_region@85700000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700522 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700523 reg = <0 0x85700000 0 0x600000>;
Patrick Daly2ff257e2017-06-06 16:28:50 -0700524 };
525
Patrick Daly04471a62017-06-30 14:26:00 -0700526 xbl_region: xbl_region@85e00000 {
527 no-map;
528 reg = <0 0x85e00000 0 0x100000>;
529 };
530
531 removed_region: removed_region@85fc0000 {
Patrick Daly2ff257e2017-06-06 16:28:50 -0700532 no-map;
533 reg = <0 0x85fc0000 0 0x2f40000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700534 };
535
Patrick Daly69c4d1d2016-10-19 16:03:09 -0700536 pil_camera_mem: camera_region@8ab00000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700537 compatible = "removed-dma-pool";
538 no-map;
Patrick Daly69c4d1d2016-10-19 16:03:09 -0700539 reg = <0 0x8ab00000 0 0x500000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700540 };
541
Patrick Daly04471a62017-06-30 14:26:00 -0700542 pil_adsp_mem: pil_adsp_region@8b100000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700543 compatible = "removed-dma-pool";
544 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700545 reg = <0 0x8b100000 0 0x1a00000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700546 };
547
Patrick Daly04471a62017-06-30 14:26:00 -0700548 wlan_fw_region: wlan_fw_region@8cb00000 {
549 compatible = "shared-dma-pool";
Yuanyuan Liu8b58ae92017-08-31 10:25:45 -0700550 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700551 reg = <0 0x8cb00000 0 0x100000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700552 };
553
Patrick Daly04471a62017-06-30 14:26:00 -0700554 pil_modem_mem: modem_region@8cc00000 {
Patrick Dalyff211c82016-07-19 20:26:40 -0700555 compatible = "removed-dma-pool";
556 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700557 reg = <0 0x8cc00000 0 0x7600000>;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700558 };
559
Patrick Daly04471a62017-06-30 14:26:00 -0700560 pil_video_mem: pil_video_region@94200000 {
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700561 compatible = "removed-dma-pool";
562 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700563 reg = <0 0x94200000 0 0x500000>;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700564 };
565
Patrick Daly04471a62017-06-30 14:26:00 -0700566 pil_cdsp_mem: cdsp_regions@94700000 {
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700567 compatible = "removed-dma-pool";
568 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700569 reg = <0 0x94700000 0 0x800000>;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700570 };
571
Patrick Daly04471a62017-06-30 14:26:00 -0700572 pil_mba_mem: pil_mba_region@0x94f00000 {
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700573 compatible = "removed-dma-pool";
574 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700575 reg = <0 0x94f00000 0 0x200000>;
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700576 };
577
Patrick Daly04471a62017-06-30 14:26:00 -0700578 pil_slpi_mem: pil_slpi_region@95100000 {
Patrick Dalyd2b2c262017-04-03 17:34:50 -0700579 compatible = "removed-dma-pool";
580 no-map;
Patrick Daly04471a62017-06-30 14:26:00 -0700581 reg = <0 0x95100000 0 0x1400000>;
582 };
583
584
585 pil_spss_mem: spss_region@96500000 {
586 compatible = "removed-dma-pool";
587 no-map;
588 reg = <0 0x96500000 0 0x100000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700589 };
590
591 adsp_mem: adsp_region {
592 compatible = "shared-dma-pool";
593 alloc-ranges = <0 0x00000000 0 0xffffffff>;
594 reusable;
595 alignment = <0 0x400000>;
Sathish Ambleyed346ea2017-03-14 10:00:50 -0700596 size = <0 0xc00000>;
Patrick Dalyff211c82016-07-19 20:26:40 -0700597 };
598
599 qseecom_mem: qseecom_region {
600 compatible = "shared-dma-pool";
601 alloc-ranges = <0 0x00000000 0 0xffffffff>;
Patrick Dalyb7af0832017-08-14 15:06:46 -0700602 no-map;
Patrick Dalyff211c82016-07-19 20:26:40 -0700603 alignment = <0 0x400000>;
604 size = <0 0x1400000>;
605 };
606
Sudarshan Rajagopalanc3e15fc2017-05-17 18:34:42 -0700607 secure_sp_mem: secure_sp_region { /* SPSS-HLOS ION shared mem */
Patrick Dalyff211c82016-07-19 20:26:40 -0700608 compatible = "shared-dma-pool";
609 alloc-ranges = <0 0x00000000 0 0xffffffff>; /* 32-bit */
610 reusable;
611 alignment = <0 0x400000>;
612 size = <0 0x800000>;
613 };
614
615 secure_display_memory: secure_display_region {
616 compatible = "shared-dma-pool";
617 alloc-ranges = <0 0x00000000 0 0xffffffff>;
618 reusable;
619 alignment = <0 0x400000>;
620 size = <0 0x5c00000>;
621 };
622
Satyajit Desai89c4e2e2017-05-11 19:34:47 -0700623 dump_mem: mem_dump_region {
624 compatible = "shared-dma-pool";
625 reusable;
626 size = <0 0x2400000>;
627 };
628
Patrick Dalyff211c82016-07-19 20:26:40 -0700629 /* global autoconfigured region for contiguous allocations */
630 linux,cma {
631 compatible = "shared-dma-pool";
632 alloc-ranges = <0 0x00000000 0 0xffffffff>;
633 reusable;
634 alignment = <0 0x400000>;
635 size = <0 0x2000000>;
636 linux,cma-default;
637 };
638 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700639};
640
Kyle Yan6a20fae2017-02-14 13:34:41 -0800641#include "msm-gdsc-sdm845.dtsi"
Shashank Babu Chinta Venkata46bb3b52017-04-05 12:14:18 -0700642#include "sdm845-sde-pll.dtsi"
tharun kumar7eca0bb2017-06-28 16:49:18 +0530643#include "msm-rdbg.dtsi"
Kyle Yan6a20fae2017-02-14 13:34:41 -0800644#include "sdm845-sde.dtsi"
Girish Mahadevan2e2fbe72017-03-28 13:28:18 -0600645#include "sdm845-qupv3.dtsi"
Deepak Katragadda7b16dba2016-08-05 18:06:30 -0700646
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700647&soc {
648 #address-cells = <1>;
649 #size-cells = <1>;
650 ranges = <0 0 0 0xffffffff>;
651 compatible = "simple-bus";
652
653 intc: interrupt-controller@17a00000 {
654 compatible = "arm,gic-v3";
655 #interrupt-cells = <3>;
656 interrupt-controller;
657 #redistributor-regions = <1>;
658 redistributor-stride = <0x0 0x20000>;
659 reg = <0x17a00000 0x10000>, /* GICD */
Kyle Yanc59b3552016-09-29 16:25:03 -0700660 <0x17a60000 0x100000>; /* GICR * 8 */
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700661 interrupts = <1 9 4>;
Archana Sathyakumar00a36ab2017-03-03 14:38:26 -0700662 interrupt-parent = <&intc>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700663 };
664
665 timer {
666 compatible = "arm,armv8-timer";
667 interrupts = <1 1 0xf08>,
668 <1 2 0xf08>,
669 <1 3 0xf08>,
670 <1 0 0xf08>;
671 clock-frequency = <19200000>;
672 };
673
674 timer@0x17C90000{
675 #address-cells = <1>;
676 #size-cells = <1>;
677 ranges;
678 compatible = "arm,armv7-timer-mem";
679 reg = <0x17C90000 0x1000>;
680 clock-frequency = <19200000>;
681
682 frame@0x17CA0000 {
683 frame-number = <0>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800684 interrupts = <0 7 0x4>,
685 <0 6 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700686 reg = <0x17CA0000 0x1000>,
687 <0x17CB0000 0x1000>;
688 };
689
690 frame@17cc0000 {
691 frame-number = <1>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800692 interrupts = <0 8 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700693 reg = <0x17cc0000 0x1000>;
694 status = "disabled";
695 };
696
697 frame@17cd0000 {
698 frame-number = <2>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800699 interrupts = <0 9 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700700 reg = <0x17cd0000 0x1000>;
701 status = "disabled";
702 };
703
704 frame@17ce0000 {
705 frame-number = <3>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800706 interrupts = <0 10 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700707 reg = <0x17ce0000 0x1000>;
708 status = "disabled";
709 };
710
711 frame@17cf0000 {
712 frame-number = <4>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800713 interrupts = <0 11 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700714 reg = <0x17cf0000 0x1000>;
715 status = "disabled";
716 };
717
718 frame@17d00000 {
719 frame-number = <5>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800720 interrupts = <0 12 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700721 reg = <0x17d00000 0x1000>;
722 status = "disabled";
723 };
724
725 frame@17d10000 {
726 frame-number = <6>;
Channagoud Kadabi925d5422017-01-13 12:54:03 -0800727 interrupts = <0 13 0x4>;
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -0700728 reg = <0x17d10000 0x1000>;
729 status = "disabled";
730 };
731 };
Deepak Katragaddacfb593f2016-07-15 12:30:37 -0700732
Kyle Yana795b9d2017-02-14 16:16:13 -0800733 restart@10ac000 {
734 compatible = "qcom,pshold";
735 reg = <0xC264000 0x4>,
736 <0x1fd3000 0x4>;
737 reg-names = "pshold-base", "tcsr-boot-misc-detect";
738 };
739
Mahesh Sivasubramanian4782ca62017-06-15 14:59:31 -0600740 aop-msg-client {
741 compatible = "qcom,debugfs-qmp-client";
742 mboxes = <&qmp_aop 0>;
743 mbox-names = "aop";
744 };
745
David Collinsef3dd9c2017-01-12 14:14:23 -0800746 spmi_bus: qcom,spmi@c440000 {
747 compatible = "qcom,spmi-pmic-arb";
748 reg = <0xc440000 0x1100>,
749 <0xc600000 0x2000000>,
750 <0xe600000 0x100000>,
751 <0xe700000 0xa0000>,
752 <0xc40a000 0x26000>;
753 reg-names = "core", "chnls", "obsrvr", "intr", "cnfg";
754 interrupt-names = "periph_irq";
755 interrupts = <GIC_SPI 481 IRQ_TYPE_NONE>;
756 qcom,ee = <0>;
757 qcom,channel = <0>;
758 #address-cells = <2>;
759 #size-cells = <0>;
760 interrupt-controller;
761 #interrupt-cells = <4>;
762 cell-index = <0>;
763 };
764
David Collins86dc5b52017-04-11 14:29:36 -0700765 spmi_debug_bus: qcom,spmi-debug@6b22000 {
766 compatible = "qcom,spmi-pmic-arb-debug";
767 reg = <0x6b22000 0x60>, <0x7820A8 4>;
768 reg-names = "core", "fuse";
David Collins42936de2017-06-08 14:52:43 -0700769 clocks = <&clock_aop QDSS_CLK>;
770 clock-names = "core_clk";
David Collins86dc5b52017-04-11 14:29:36 -0700771 qcom,fuse-disable-bit = <12>;
772 #address-cells = <2>;
773 #size-cells = <0>;
774
775 qcom,pm8998-debug@0 {
776 compatible = "qcom,spmi-pmic";
777 reg = <0x0 SPMI_USID>;
778 #address-cells = <2>;
779 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700780 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700781 };
782
783 qcom,pm8998-debug@1 {
784 compatible = "qcom,spmi-pmic";
785 reg = <0x1 SPMI_USID>;
786 #address-cells = <2>;
787 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700788 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700789 };
790
791 qcom,pmi8998-debug@2 {
792 compatible = "qcom,spmi-pmic";
793 reg = <0x2 SPMI_USID>;
794 #address-cells = <2>;
795 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700796 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700797 };
798
799 qcom,pmi8998-debug@3 {
800 compatible = "qcom,spmi-pmic";
801 reg = <0x3 SPMI_USID>;
802 #address-cells = <2>;
803 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700804 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700805 };
806
807 qcom,pm8005-debug@4 {
808 compatible = "qcom,spmi-pmic";
809 reg = <0x4 SPMI_USID>;
810 #address-cells = <2>;
811 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700812 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700813 };
814
815 qcom,pm8005-debug@5 {
816 compatible = "qcom,spmi-pmic";
817 reg = <0x5 SPMI_USID>;
818 #address-cells = <2>;
819 #size-cells = <0>;
David Collinsdb913612017-06-08 17:13:28 -0700820 qcom,can-sleep;
David Collins86dc5b52017-04-11 14:29:36 -0700821 };
822 };
823
Rohit Gupta64b7e652017-03-01 10:47:52 -0800824 cpubw: qcom,cpubw {
825 compatible = "qcom,devbw";
826 governor = "performance";
Stephen Boyd567b1fc2017-06-06 17:47:12 -0700827 qcom,src-dst-ports =
828 <MSM_BUS_MASTER_AMPSS_M0 MSM_BUS_SLAVE_LLCC>;
Rohit Gupta64b7e652017-03-01 10:47:52 -0800829 qcom,active-only;
830 qcom,bw-tbl =
Stephen Boyd567b1fc2017-06-06 17:47:12 -0700831 < 2288 /* 150 MHz */ >,
832 < 4577 /* 300 MHz */ >,
833 < 6500 /* 426 MHz */ >,
834 < 8132 /* 533 MHz */ >,
835 < 9155 /* 600 MHz */ >,
836 < 10681 /* 700 MHz */ >;
Rohit Gupta64b7e652017-03-01 10:47:52 -0800837 };
838
839 bwmon: qcom,cpu-bwmon {
840 compatible = "qcom,bimc-bwmon4";
841 reg = <0x1436400 0x300>, <0x1436300 0x200>;
842 reg-names = "base", "global_base";
843 interrupts = <0 581 4>;
844 qcom,mport = <0>;
845 qcom,hw-timer-hz = <19200000>;
846 qcom,target-dev = <&cpubw>;
847 };
848
Stephen Boydb1adf312017-04-03 16:02:12 -0700849 llccbw: qcom,llccbw {
850 compatible = "qcom,devbw";
851 governor = "powersave";
852 qcom,src-dst-ports =
Stephen Boyd567b1fc2017-06-06 17:47:12 -0700853 <MSM_BUS_MASTER_LLCC MSM_BUS_SLAVE_EBI_CH0>;
Stephen Boydb1adf312017-04-03 16:02:12 -0700854 qcom,active-only;
855 qcom,bw-tbl =
856 < 762 /* 200 MHz */ >,
857 < 1144 /* 300 MHz */ >,
858 < 1720 /* 451 MHz */ >,
859 < 2086 /* 547 MHz */ >,
860 < 2597 /* 681 MHz */ >,
861 < 2929 /* 768 MHz */ >,
862 < 3879 /* 1017 MHz */ >,
863 < 4943 /* 1296 MHz */ >,
864 < 5931 /* 1555 MHz */ >,
865 < 6881 /* 1804 MHz */ >;
866 };
867
868 llcc_bwmon: qcom,llcc-bwmon {
869 compatible = "qcom,bimc-bwmon5";
870 reg = <0x0114A000 0x1000>;
871 reg-names = "base";
872 interrupts = <GIC_SPI 580 IRQ_TYPE_LEVEL_HIGH>;
873 qcom,hw-timer-hz = <19200000>;
874 qcom,target-dev = <&llccbw>;
875 qcom,count-unit = <0x400000>;
876 qcom,byte-mid-mask = <0xe000>;
877 qcom,byte-mid-match = <0xe000>;
878 };
879
Rohit Gupta44171c72017-03-06 14:07:50 -0800880 memlat_cpu0: qcom,memlat-cpu0 {
881 compatible = "qcom,devbw";
882 governor = "powersave";
883 qcom,src-dst-ports = <1 512>;
884 qcom,active-only;
885 qcom,bw-tbl =
886 < 762 /* 200 MHz */ >,
887 < 1144 /* 300 MHz */ >,
888 < 1720 /* 451 MHz */ >,
889 < 2086 /* 547 MHz */ >,
890 < 2597 /* 681 MHz */ >,
891 < 2929 /* 768 MHz */ >,
892 < 3879 /* 1017 MHz */ >,
893 < 4943 /* 1296 MHz */ >,
894 < 5931 /* 1555 MHz */ >,
895 < 6881 /* 1804 MHz */ >;
896 };
897
898 memlat_cpu4: qcom,memlat-cpu4 {
899 compatible = "qcom,devbw";
900 governor = "powersave";
901 qcom,src-dst-ports = <1 512>;
902 qcom,active-only;
903 status = "ok";
904 qcom,bw-tbl =
905 < 762 /* 200 MHz */ >,
906 < 1144 /* 300 MHz */ >,
907 < 1720 /* 451 MHz */ >,
908 < 2086 /* 547 MHz */ >,
909 < 2597 /* 681 MHz */ >,
910 < 2929 /* 768 MHz */ >,
911 < 3879 /* 1017 MHz */ >,
912 < 4943 /* 1296 MHz */ >,
913 < 5931 /* 1555 MHz */ >,
914 < 6881 /* 1804 MHz */ >;
915 };
916
David Daicbf740d2017-04-05 17:13:54 -0700917 snoc_cnoc_keepalive: qcom,snoc_cnoc_keepalive {
918 compatible = "qcom,devbw";
919 governor = "powersave";
920 qcom,src-dst-ports = <139 627>;
921 qcom,active-only;
922 status = "ok";
923 qcom,bw-tbl =
924 < 1 >;
925 };
926
Rohit Gupta44171c72017-03-06 14:07:50 -0800927 devfreq_memlat_0: qcom,cpu0-memlat-mon {
928 compatible = "qcom,arm-memlat-mon";
929 qcom,cpulist = <&CPU0 &CPU1 &CPU2 &CPU3>;
930 qcom,target-dev = <&memlat_cpu0>;
931 qcom,cachemiss-ev = <0x2A>;
932 qcom,core-dev-table =
933 < 300000 762 >,
934 < 748800 1720 >,
Rohit Guptae10588f2017-07-10 11:24:57 -0700935 < 1132800 2086 >,
936 < 1440000 2929 >,
937 < 1593600 3879 >;
Rohit Gupta44171c72017-03-06 14:07:50 -0800938 };
939
940 devfreq_memlat_4: qcom,cpu4-memlat-mon {
941 compatible = "qcom,arm-memlat-mon";
942 qcom,cpulist = <&CPU4 &CPU5 &CPU6 &CPU7>;
943 qcom,target-dev = <&memlat_cpu4>;
944 qcom,cachemiss-ev = <0x2A>;
945 qcom,core-dev-table =
946 < 300000 762 >,
Rohit Guptae10588f2017-07-10 11:24:57 -0700947 < 499200 1720 >,
948 < 806400 2086 >,
Rohit Gupta44171c72017-03-06 14:07:50 -0800949 < 1036800 2929 >,
950 < 1190400 3879 >,
951 < 1574400 4943 >,
Rohit Guptae10588f2017-07-10 11:24:57 -0700952 < 1728000 5931 >,
Rohit Gupta44171c72017-03-06 14:07:50 -0800953 < 1958400 6881 >;
954 };
955
956 l3_cpu0: qcom,l3-cpu0 {
957 compatible = "devfreq-simple-dev";
958 clock-names = "devfreq_clk";
959 clocks = <&clock_cpucc L3_CLUSTER0_VOTE_CLK>;
960 governor = "performance";
Rohit Gupta44171c72017-03-06 14:07:50 -0800961 };
962
963 l3_cpu4: qcom,l3-cpu4 {
964 compatible = "devfreq-simple-dev";
965 clock-names = "devfreq_clk";
966 clocks = <&clock_cpucc L3_CLUSTER1_VOTE_CLK>;
967 governor = "performance";
Rohit Gupta44171c72017-03-06 14:07:50 -0800968 };
969
970 devfreq_l3lat_0: qcom,cpu0-l3lat-mon {
971 compatible = "qcom,arm-memlat-mon";
972 qcom,cpulist = <&CPU0 &CPU1 &CPU2 &CPU3>;
973 qcom,target-dev = <&l3_cpu0>;
974 qcom,cachemiss-ev = <0x17>;
975 qcom,core-dev-table =
Rohit Gupta6cbadca2017-07-10 16:29:46 -0700976 < 300000 300000000 >,
977 < 748800 576000000 >,
978 < 979200 652800000 >,
979 < 1209600 806400000 >,
980 < 1516800 883200000 >,
981 < 1593600 960000000 >,
Rohit Gupta53fdca02017-07-12 16:01:52 -0700982 < 1708800 1305600000 >;
Rohit Gupta44171c72017-03-06 14:07:50 -0800983 };
984
985 devfreq_l3lat_4: qcom,cpu4-l3lat-mon {
986 compatible = "qcom,arm-memlat-mon";
987 qcom,cpulist = <&CPU4 &CPU5 &CPU6 &CPU7>;
988 qcom,target-dev = <&l3_cpu4>;
989 qcom,cachemiss-ev = <0x17>;
990 qcom,core-dev-table =
Rohit Gupta6cbadca2017-07-10 16:29:46 -0700991 < 300000 300000000 >,
992 < 1036800 576000000 >,
993 < 1190400 806400000 >,
994 < 1574400 883200000 >,
995 < 1804800 960000000 >,
Rohit Gupta53fdca02017-07-12 16:01:52 -0700996 < 1958400 1305600000 >;
Rohit Gupta44171c72017-03-06 14:07:50 -0800997 };
998
Patrick Fay4b46f422017-04-05 10:09:49 -0700999 cpu_pmu: cpu-pmu {
1000 compatible = "arm,armv8-pmuv3";
1001 qcom,irq-is-percpu;
1002 interrupts = <1 5 4>;
1003 };
1004
Rohit Gupta3097ad72017-05-19 17:31:13 -07001005 mincpubw: qcom,mincpubw {
1006 compatible = "qcom,devbw";
1007 governor = "powersave";
1008 qcom,src-dst-ports = <1 512>;
1009 qcom,active-only;
1010 qcom,bw-tbl =
1011 < 762 /* 200 MHz */ >,
1012 < 1144 /* 300 MHz */ >,
1013 < 1720 /* 451 MHz */ >,
1014 < 2086 /* 547 MHz */ >,
1015 < 2597 /* 681 MHz */ >,
1016 < 2929 /* 768 MHz */ >,
1017 < 3879 /* 1017 MHz */ >,
1018 < 4943 /* 1296 MHz */ >,
1019 < 5931 /* 1555 MHz */ >,
1020 < 6881 /* 1804 MHz */ >;
1021 };
1022
1023 devfreq-cpufreq {
1024 mincpubw-cpufreq {
1025 target-dev = <&mincpubw>;
1026 cpu-to-dev-map-0 =
1027 < 1708800 762 >;
1028 cpu-to-dev-map-4 =
Deepak Katragaddaf87cdd92017-05-22 16:28:21 -07001029 < 1881600 762 >,
1030 < 2208000 2597 >;
Rohit Gupta3097ad72017-05-19 17:31:13 -07001031 };
1032 };
1033
Taniya Das9b421102017-05-05 13:59:58 +05301034 clock_rpmh: qcom,rpmhclk {
1035 compatible = "qcom,rpmh-clk-sdm845";
1036 #clock-cells = <1>;
1037 mboxes = <&apps_rsc 0>;
1038 mbox-names = "apps";
1039 };
1040
Deepak Katragaddaf8b9cc62016-11-02 15:17:15 -07001041 clock_gcc: qcom,gcc@100000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001042 compatible = "qcom,gcc-sdm845", "syscon";
Deepak Katragaddaf8b9cc62016-11-02 15:17:15 -07001043 reg = <0x100000 0x1f0000>;
1044 reg-names = "cc_base";
David Collins3a457942016-12-09 16:59:51 -08001045 vdd_cx-supply = <&pm8998_s9_level>;
1046 vdd_cx_ao-supply = <&pm8998_s9_level_ao>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001047 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001048 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001049 };
1050
Deepak Katragaddab09ab882016-11-09 17:47:29 -08001051 clock_videocc: qcom,videocc@ab00000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001052 compatible = "qcom,video_cc-sdm845", "syscon";
Deepak Katragaddab09ab882016-11-09 17:47:29 -08001053 reg = <0xab00000 0x10000>;
1054 reg-names = "cc_base";
David Collins3a457942016-12-09 16:59:51 -08001055 vdd_cx-supply = <&pm8998_s9_level>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001056 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001057 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001058 };
1059
Deepak Katragadda7f073cb2016-12-15 14:22:38 -08001060 clock_camcc: qcom,camcc@ad00000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001061 compatible = "qcom,cam_cc-sdm845", "syscon";
Deepak Katragadda7f073cb2016-12-15 14:22:38 -08001062 reg = <0xad00000 0x10000>;
1063 reg-names = "cc_base";
1064 vdd_cx-supply = <&pm8998_s9_level>;
1065 vdd_mx-supply = <&pm8998_s6_level>;
Pavan Kumar Chilamkurthi6bc57c12017-05-31 22:16:55 -07001066 qcom,cam_cc_csi0phytimer_clk_src-opp-handle = <&cam_csiphy0>;
1067 qcom,cam_cc_csi1phytimer_clk_src-opp-handle = <&cam_csiphy1>;
1068 qcom,cam_cc_csi2phytimer_clk_src-opp-handle = <&cam_csiphy2>;
1069 qcom,cam_cc_cci_clk_src-opp-handle = <&cam_cci>;
1070 qcom,cam_cc_ife_0_csid_clk_src-opp-handle = <&cam_csid0>;
1071 qcom,cam_cc_ife_0_clk_src-opp-handle = <&cam_vfe0>;
1072 qcom,cam_cc_ife_1_csid_clk_src-opp-handle = <&cam_csid1>;
1073 qcom,cam_cc_ife_1_clk_src-opp-handle = <&cam_vfe1>;
1074 qcom,cam_cc_ife_lite_csid_clk_src-opp-handle = <&cam_csid_lite>;
1075 qcom,cam_cc_ife_lite_clk_src-opp-handle = <&cam_vfe_lite>;
1076 qcom,cam_cc_icp_clk_src-opp-handle = <&cam_a5>;
1077 qcom,cam_cc_ipe_0_clk_src-opp-handle = <&cam_ipe0>;
1078 qcom,cam_cc_ipe_1_clk_src-opp-handle = <&cam_ipe1>;
1079 qcom,cam_cc_bps_clk_src-opp-handle = <&cam_bps>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001080 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001081 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001082 };
1083
Deepak Katragaddad738ee32016-12-16 14:29:48 -08001084 clock_dispcc: qcom,dispcc@af00000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001085 compatible = "qcom,dispcc-sdm845", "syscon";
Deepak Katragadda7c7730b2017-04-14 12:09:49 -07001086 reg = <0xaf00000 0x10000>;
Deepak Katragaddad738ee32016-12-16 14:29:48 -08001087 reg-names = "cc_base";
1088 vdd_cx-supply = <&pm8998_s9_level>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001089 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001090 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001091 };
1092
Vicky Wallace4dc00682017-02-22 19:04:40 -08001093 clock_gpucc: qcom,gpucc@5090000 {
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001094 compatible = "qcom,gpucc-sdm845", "syscon";
Vicky Wallace4dc00682017-02-22 19:04:40 -08001095 reg = <0x5090000 0x9000>;
1096 reg-names = "cc_base";
1097 vdd_cx-supply = <&pm8998_s9_level>;
Vicky Wallace4af7a402017-04-04 19:29:42 -07001098 qcom,gpu_cc_gmu_clk_src-opp-handle = <&gmu>;
Vicky Wallace4dc00682017-02-22 19:04:40 -08001099 #clock-cells = <1>;
1100 #reset-cells = <1>;
1101 };
1102
1103 clock_gfx: qcom,gfxcc@5090000 {
1104 compatible = "qcom,gfxcc-sdm845";
1105 reg = <0x5090000 0x9000>;
1106 reg-names = "cc_base";
1107 vdd_gfx-supply = <&pm8005_s1_level>;
1108 vdd_mx-supply = <&pm8998_s6_level>;
Vicky Wallace4af7a402017-04-04 19:29:42 -07001109 qcom,gpu_cc_gx_gfx3d_clk_src-opp-handle = <&msm_gpu>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001110 #clock-cells = <1>;
Deepak Katragaddafbdb3252016-08-12 14:19:01 -07001111 #reset-cells = <1>;
Deepak Katragaddacfb593f2016-07-15 12:30:37 -07001112 };
Subhash Jadavani877ec812016-08-04 13:23:24 -07001113
Deepak Katragadda6d1a5042017-05-11 09:31:58 -07001114 cpucc_debug: syscon@17970018 {
1115 compatible = "syscon";
1116 reg = <0x17970018 0x4>;
1117 };
1118
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001119 clock_cpucc: qcom,cpucc@0x17d41000 {
1120 compatible = "qcom,clk-cpu-osm";
1121 reg = <0x17d41000 0x1400>,
1122 <0x17d43000 0x1400>,
1123 <0x17d45800 0x1400>,
1124 <0x178d0000 0x1000>,
1125 <0x178c0000 0x1000>,
1126 <0x178b0000 0x1000>,
1127 <0x17d42400 0x0c00>,
1128 <0x17d44400 0x0c00>,
Deepak Katragadda274272b2017-05-09 15:02:38 -07001129 <0x17d46c00 0x0c00>,
1130 <0x00784130 0x4>,
1131 <0x00784130 0x4>,
1132 <0x00784130 0x4>;
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001133 reg-names = "osm_l3_base", "osm_pwrcl_base", "osm_perfcl_base",
Deepak Katragadda274272b2017-05-09 15:02:38 -07001134 "l3_pll", "pwrcl_pll", "perfcl_pll", "l3_sequencer",
1135 "pwrcl_sequencer", "perfcl_sequencer", "l3_efuse",
1136 "pwrcl_efuse", "perfcl_efuse";
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001137
1138 vdd-l3-supply = <&apc0_l3_vreg>;
1139 vdd-pwrcl-supply = <&apc0_pwrcl_vreg>;
1140 vdd-perfcl-supply = <&apc1_perfcl_vreg>;
1141
Deepak Katragadda34272742017-05-24 11:42:40 -07001142 l3-dev0 = <&l3_cpu0>;
1143 l3-dev4 = <&l3_cpu4>;
1144
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001145 qcom,l3-speedbin0-v0 =
1146 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1147 < 422400000 0x50140116 0x00002020 0x1 2 >,
1148 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1149 < 576000000 0x5014031e 0x00002020 0x1 4 >,
Deepak Katragadda15590742017-04-11 09:41:01 -07001150 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1151 < 729600000 0x401c0526 0x00002020 0x1 6 >,
Deepak Katragadda0e7b8332017-04-28 11:20:26 -07001152 < 806400000 0x401c062a 0x00002222 0x1 7 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001153 < 883200000 0x4024072e 0x00002525 0x1 8 >,
1154 < 960000000 0x40240832 0x00002828 0x1 9 >;
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001155
Deepak Katragadda274272b2017-05-09 15:02:38 -07001156 qcom,l3-speedbin1-v0 =
1157 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1158 < 422400000 0x50140116 0x00002020 0x1 2 >,
1159 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1160 < 576000000 0x5014031e 0x00002020 0x1 4 >,
1161 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1162 < 729600000 0x401c0526 0x00002020 0x1 6 >,
1163 < 806400000 0x401c062a 0x00002222 0x1 7 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001164 < 883200000 0x4024072e 0x00002525 0x1 8 >,
1165 < 960000000 0x40240832 0x00002828 0x1 9 >,
1166 < 1036800000 0x40240936 0x00002b2b 0x1 10 >,
1167 < 1094400000 0x402c0a39 0x00002e2e 0x1 11 >;
Deepak Katragadda274272b2017-05-09 15:02:38 -07001168
Deepak Katragaddaf87cdd92017-05-22 16:28:21 -07001169 qcom,l3-speedbin2-v0 =
1170 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1171 < 422400000 0x50140116 0x00002020 0x1 2 >,
1172 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1173 < 576000000 0x5014031e 0x00002020 0x1 4 >,
1174 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1175 < 729600000 0x401c0526 0x00002020 0x1 6 >,
1176 < 806400000 0x401c062a 0x00002222 0x1 7 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001177 < 883200000 0x4024072e 0x00002525 0x1 8 >,
1178 < 960000000 0x40240832 0x00002828 0x1 9 >,
1179 < 1036800000 0x40240936 0x00002b2b 0x1 10 >,
1180 < 1113600000 0x402c0a3a 0x00002e2e 0x1 11 >,
1181 < 1209600000 0x402c0b3f 0x00003232 0x1 12 >,
1182 < 1305600000 0x40340c44 0x00003636 0x1 13 >;
Deepak Katragaddaf87cdd92017-05-22 16:28:21 -07001183
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001184 qcom,pwrcl-speedbin0-v0 =
1185 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1186 < 422400000 0x50140116 0x00002020 0x1 2 >,
1187 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1188 < 576000000 0x5014031e 0x00002020 0x1 4 >,
Deepak Katragadda15590742017-04-11 09:41:01 -07001189 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1190 < 748800000 0x401c0527 0x00002020 0x1 6 >,
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001191 < 825600000 0x401c062b 0x00002222 0x1 7 >,
1192 < 902400000 0x4024072f 0x00002626 0x1 8 >,
1193 < 979200000 0x40240833 0x00002929 0x1 9 >,
1194 < 1056000000 0x402c0937 0x00002c2c 0x1 10 >,
1195 < 1132800000 0x402c0a3b 0x00002f2f 0x1 11 >,
Deepak Katragadda274272b2017-05-09 15:02:38 -07001196 < 1209600000 0x402c0b3f 0x00003232 0x1 12 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001197 < 1286400000 0x40340c43 0x00003636 0x1 13 >,
1198 < 1363200000 0x40340d47 0x00003939 0x1 14 >,
1199 < 1440000000 0x40340e4b 0x00003c3c 0x1 15 >,
1200 < 1516800000 0x403c0f4f 0x00003f3f 0x1 16 >,
1201 < 1593600000 0x403c1053 0x00004242 0x1 17 >;
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001202
Deepak Katragadda274272b2017-05-09 15:02:38 -07001203 qcom,pwrcl-speedbin1-v0 =
1204 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1205 < 422400000 0x50140116 0x00002020 0x1 2 >,
1206 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1207 < 576000000 0x5014031e 0x00002020 0x1 4 >,
1208 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1209 < 748800000 0x401c0527 0x00002020 0x1 6 >,
1210 < 825600000 0x401c062b 0x00002222 0x1 7 >,
1211 < 902400000 0x4024072f 0x00002626 0x1 8 >,
1212 < 979200000 0x40240833 0x00002929 0x1 9 >,
1213 < 1056000000 0x402c0937 0x00002c2c 0x1 10 >,
1214 < 1132800000 0x402c0a3b 0x00002f2f 0x1 11 >,
1215 < 1209600000 0x402c0b3f 0x00003232 0x1 12 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001216 < 1286400000 0x40340c43 0x00003636 0x1 13 >,
1217 < 1363200000 0x40340d47 0x00003939 0x1 14 >,
1218 < 1440000000 0x40340e4b 0x00003c3c 0x1 15 >,
1219 < 1516800000 0x403c0f4f 0x00003f3f 0x1 16 >,
1220 < 1593600000 0x403c1053 0x00004242 0x1 17 >,
1221 < 1651200000 0x403c1156 0x00004545 0x1 18 >,
1222 < 1708800000 0x40441259 0x00004747 0x1 19 >;
Deepak Katragadda274272b2017-05-09 15:02:38 -07001223
Deepak Katragaddaf87cdd92017-05-22 16:28:21 -07001224 qcom,pwrcl-speedbin2-v0 =
1225 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1226 < 422400000 0x50140116 0x00002020 0x1 2 >,
1227 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1228 < 576000000 0x5014031e 0x00002020 0x1 4 >,
1229 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1230 < 748800000 0x401c0527 0x00002020 0x1 6 >,
1231 < 825600000 0x401c062b 0x00002222 0x1 7 >,
1232 < 902400000 0x4024072f 0x00002626 0x1 8 >,
1233 < 979200000 0x40240833 0x00002929 0x1 9 >,
1234 < 1056000000 0x402c0937 0x00002c2c 0x1 10 >,
1235 < 1132800000 0x402c0a3b 0x00002f2f 0x1 11 >,
1236 < 1209600000 0x402c0b3f 0x00003232 0x1 12 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001237 < 1286400000 0x40340c43 0x00003636 0x1 13 >,
1238 < 1363200000 0x40340d47 0x00003939 0x1 14 >,
1239 < 1440000000 0x40340e4b 0x00003c3c 0x1 15 >,
1240 < 1516800000 0x403c0f4f 0x00003f3f 0x1 16 >,
1241 < 1593600000 0x403c1053 0x00004242 0x1 17 >,
1242 < 1670400000 0x40441157 0x00004646 0x1 18 >,
1243 < 1747200000 0x4044125b 0x00004949 0x1 19 >;
Deepak Katragaddaf87cdd92017-05-22 16:28:21 -07001244
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001245 qcom,perfcl-speedbin0-v0 =
1246 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1247 < 422400000 0x50140116 0x00002020 0x1 2 >,
1248 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1249 < 576000000 0x5014031e 0x00002020 0x1 4 >,
Deepak Katragadda15590742017-04-11 09:41:01 -07001250 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1251 < 729600000 0x401c0526 0x00002020 0x1 6 >,
1252 < 806400000 0x401c062a 0x00002222 0x1 7 >,
Deepak Katragadda5126b322017-04-17 17:20:51 -07001253 < 883200000 0x4024072e 0x00002525 0x1 8 >,
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001254 < 960000000 0x40240832 0x00002828 0x1 9 >,
1255 < 1036800000 0x40240936 0x00002b2b 0x1 10 >,
1256 < 1113600000 0x402c0a3a 0x00002e2e 0x1 11 >,
Deepak Katragadda0e7b8332017-04-28 11:20:26 -07001257 < 1190400000 0x402c0b3e 0x00003232 0x1 12 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001258 < 1267200000 0x40340c42 0x00003535 0x1 13 >,
1259 < 1344000000 0x40340d46 0x00003838 0x1 14 >,
1260 < 1420800000 0x40340e4a 0x00003b3b 0x1 15 >,
1261 < 1497600000 0x403c0f4e 0x00003e3e 0x1 16 >,
1262 < 1574400000 0x403c1052 0x00004242 0x1 17 >,
1263 < 1651200000 0x403c1156 0x00004545 0x1 18 >,
1264 < 1728000000 0x4044125a 0x00004848 0x1 19 >,
1265 < 1804800000 0x4044135e 0x00004b4b 0x1 20 >,
1266 < 1881600000 0x404c1462 0x00004e4e 0x1 21 >,
1267 < 1958400000 0x404c1566 0x00005252 0x1 22 >;
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001268
Deepak Katragadda274272b2017-05-09 15:02:38 -07001269 qcom,perfcl-speedbin1-v0 =
1270 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1271 < 422400000 0x50140116 0x00002020 0x1 2 >,
1272 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1273 < 576000000 0x5014031e 0x00002020 0x1 4 >,
1274 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1275 < 729600000 0x401c0526 0x00002020 0x1 6 >,
1276 < 806400000 0x401c062a 0x00002222 0x1 7 >,
1277 < 883200000 0x4024072e 0x00002525 0x1 8 >,
1278 < 960000000 0x40240832 0x00002828 0x1 9 >,
1279 < 1036800000 0x40240936 0x00002b2b 0x1 10 >,
1280 < 1113600000 0x402c0a3a 0x00002e2e 0x1 11 >,
1281 < 1190400000 0x402c0b3e 0x00003232 0x1 12 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001282 < 1267200000 0x40340c42 0x00003535 0x1 13 >,
1283 < 1344000000 0x40340d46 0x00003838 0x1 14 >,
1284 < 1420800000 0x40340e4a 0x00003b3b 0x1 15 >,
1285 < 1497600000 0x403c0f4e 0x00003e3e 0x1 16 >,
1286 < 1574400000 0x403c1052 0x00004242 0x1 17 >,
1287 < 1651200000 0x403c1156 0x00004545 0x1 18 >,
1288 < 1728000000 0x4044125a 0x00004848 0x1 19 >,
1289 < 1804800000 0x4044135e 0x00004b4b 0x1 20 >,
1290 < 1881600000 0x404c1462 0x00004e4e 0x1 21 >,
1291 < 1958400000 0x404c1566 0x00005252 0x1 22 >,
1292 < 2035200000 0x404c166a 0x00005555 0x1 23 >,
1293 < 2092800000 0x4054176d 0x00005757 0x1 24 >;
Deepak Katragadda274272b2017-05-09 15:02:38 -07001294
Deepak Katragaddaf87cdd92017-05-22 16:28:21 -07001295 qcom,perfcl-speedbin2-v0 =
1296 < 300000000 0x000c000f 0x00002020 0x1 1 >,
1297 < 422400000 0x50140116 0x00002020 0x1 2 >,
1298 < 499200000 0x5014021a 0x00002020 0x1 3 >,
1299 < 576000000 0x5014031e 0x00002020 0x1 4 >,
1300 < 652800000 0x401c0422 0x00002020 0x1 5 >,
1301 < 729600000 0x401c0526 0x00002020 0x1 6 >,
1302 < 806400000 0x401c062a 0x00002222 0x1 7 >,
1303 < 883200000 0x4024072e 0x00002525 0x1 8 >,
1304 < 960000000 0x40240832 0x00002828 0x1 9 >,
1305 < 1036800000 0x40240936 0x00002b2b 0x1 10 >,
1306 < 1113600000 0x402c0a3a 0x00002e2e 0x1 11 >,
1307 < 1190400000 0x402c0b3e 0x00003232 0x1 12 >,
Deepak Katragadda30d72dd2017-08-01 13:56:00 -07001308 < 1267200000 0x40340c42 0x00003535 0x1 13 >,
1309 < 1344000000 0x40340d46 0x00003838 0x1 14 >,
1310 < 1420800000 0x40340e4a 0x00003b3b 0x1 15 >,
1311 < 1497600000 0x403c0f4e 0x00003e3e 0x1 16 >,
1312 < 1574400000 0x403c1052 0x00004242 0x1 17 >,
1313 < 1651200000 0x403c1156 0x00004545 0x1 18 >,
1314 < 1728000000 0x4044125a 0x00004848 0x1 19 >,
1315 < 1804800000 0x4044135e 0x00004b4b 0x1 20 >,
1316 < 1881600000 0x404c1462 0x00004e4e 0x1 21 >,
1317 < 1958400000 0x404c1566 0x00005252 0x1 22 >,
1318 < 2035200000 0x404c166a 0x00005555 0x1 23 >,
1319 < 2112000000 0x4054176e 0x00005858 0x1 24 >,
1320 < 2208000000 0x40541873 0x00005c5c 0x1 25 >;
1321
1322 qcom,l3-memacc-level-vc-bin0 = <7 63>;
1323 qcom,l3-memacc-level-vc-bin1 = <7 9>;
1324 qcom,l3-memacc-level-vc-bin2 = <7 9>;
1325
1326 qcom,pwrcl-memacc-level-vc-bin0 = <12 63>;
1327 qcom,pwrcl-memacc-level-vc-bin1 = <12 17>;
1328 qcom,pwrcl-memacc-level-vc-bin2 = <12 17>;
1329
1330 qcom,perfcl-memacc-level-vc-bin0 = <12 18>;
1331 qcom,perfcl-memacc-level-vc-bin1 = <12 18>;
1332 qcom,perfcl-memacc-level-vc-bin2 = <12 18>;
Deepak Katragaddaf87cdd92017-05-22 16:28:21 -07001333
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001334 qcom,up-timer =
1335 <1000 1000 1000>;
1336 qcom,down-timer =
1337 <100000 100000 100000>;
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001338 qcom,set-ret-inactive;
1339 qcom,enable-llm-freq-vote;
1340 qcom,llm-freq-up-timer =
1341 <1000 1000 1000>;
1342 qcom,llm-freq-down-timer =
1343 <327675 327675 327675>;
1344 qcom,enable-llm-volt-vote;
1345 qcom,llm-volt-up-timer =
1346 <1000 1000 1000>;
1347 qcom,llm-volt-down-timer =
1348 <327675 327675 327675>;
1349 qcom,cc-reads = <10>;
1350 qcom,cc-delay = <5>;
1351 qcom,cc-factor = <100>;
1352 qcom,osm-clk-rate = <100000000>;
1353 qcom,xo-clk-rate = <19200000>;
1354
1355 qcom,l-val-base =
1356 <0x178d0004 0x178c0004 0x178b0004>;
1357 qcom,apcs-pll-user-ctl =
1358 <0x178d000c 0x178c000c 0x178b000c>;
1359 qcom,apcs-pll-min-freq =
1360 <0x17d41094 0x17d43094 0x17d45894>;
1361 qcom,apm-mode-ctl =
1362 <0x0 0x0 0x17d20010>;
1363 qcom,apm-status-ctrl =
1364 <0x0 0x0 0x17d20000>;
1365 qcom,perfcl-isense-addr = <0x17871480>;
1366 qcom,l3-mem-acc-addr = <0x17990170 0x17990170 0x17990170>;
1367 qcom,pwrcl-mem-acc-addr = <0x17990160 0x17990164 0x17990164>;
1368 qcom,perfcl-mem-acc-addr = <0x17990168 0x1799016c 0x1799016c>;
1369 qcom,cfg-gfmux-addr =<0x178d0084 0x178c0084 0x178b0084>;
1370 qcom,apcs-cbc-addr = <0x178d008c 0x178c008c 0x178b008c>;
1371 qcom,apcs-ramp-ctl-addr = <0x17840904 0x17840904 0x17830904>;
1372
1373 qcom,perfcl-apcs-apm-threshold-voltage = <800000>;
1374 qcom,perfcl-apcs-mem-acc-threshold-voltage = <852000>;
1375 qcom,boost-fsm-en;
1376 qcom,safe-fsm-en;
1377 qcom,ps-fsm-en;
1378 qcom,droop-fsm-en;
Deepak Katragaddaa910b442017-03-07 13:11:32 -08001379
1380 clock-names = "xo_ao";
1381 clocks = <&clock_rpmh RPMH_CXO_CLK_A>;
Deepak Katragadda95b77242016-12-19 14:10:03 -08001382 #clock-cells = <1>;
1383 #reset-cells = <1>;
1384 };
1385
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001386 clock_debug: qcom,cc-debug@100000 {
1387 compatible = "qcom,debugcc-sdm845";
1388 qcom,cc-count = <5>;
1389 qcom,gcc = <&clock_gcc>;
1390 qcom,videocc = <&clock_videocc>;
1391 qcom,camcc = <&clock_camcc>;
1392 qcom,dispcc = <&clock_dispcc>;
1393 qcom,gpucc = <&clock_gpucc>;
Deepak Katragadda6d1a5042017-05-11 09:31:58 -07001394 qcom,cpucc = <&cpucc_debug>;
Deepak Katragadda98bdd502017-04-03 13:54:13 -07001395 clock-names = "xo_clk_src";
1396 clocks = <&clock_rpmh RPMH_CXO_CLK>;
1397 #clock-cells = <1>;
1398 };
1399
Taniya Dasa8d52b92017-04-18 17:02:49 +05301400 clock_aop: qcom,aopclk {
Deepak Katragadda90954d72017-07-27 14:22:24 -07001401 compatible = "qcom,aop-qmp-clk-v1";
Taniya Dasa8d52b92017-04-18 17:02:49 +05301402 #clock-cells = <1>;
1403 mboxes = <&qmp_aop 0>;
1404 mbox-names = "qdss_clk";
1405 };
1406
AnilKumar Chimata2e815902017-04-13 12:14:56 -07001407 ufs_ice: ufsice@1d90000 {
1408 compatible = "qcom,ice";
1409 reg = <0x1d90000 0x8000>;
1410 qcom,enable-ice-clk;
1411 clock-names = "ufs_core_clk", "bus_clk",
1412 "iface_clk", "ice_core_clk";
1413 clocks = <&clock_gcc GCC_UFS_PHY_AXI_CLK>,
1414 <&clock_gcc GCC_UFS_MEM_CLKREF_CLK>,
1415 <&clock_gcc GCC_UFS_PHY_AHB_CLK>,
1416 <&clock_gcc GCC_UFS_PHY_ICE_CORE_CLK>;
1417 qcom,op-freq-hz = <0>, <0>, <0>, <300000000>;
1418 vdd-hba-supply = <&ufs_phy_gdsc>;
1419 qcom,msm-bus,name = "ufs_ice_noc";
1420 qcom,msm-bus,num-cases = <2>;
1421 qcom,msm-bus,num-paths = <1>;
1422 qcom,msm-bus,vectors-KBps =
1423 <1 650 0 0>, /* No vote */
1424 <1 650 1000 0>; /* Max. bandwidth */
1425 qcom,bus-vector-names = "MIN",
1426 "MAX";
1427 qcom,instance-type = "ufs";
1428 };
1429
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001430 ufsphy_mem: ufsphy_mem@1d87000 {
Subhash Jadavani877ec812016-08-04 13:23:24 -07001431 reg = <0x1d87000 0xda8>; /* PHY regs */
1432 reg-names = "phy_mem";
1433 #phy-cells = <0>;
1434
Subhash Jadavanib606c842017-04-03 18:03:57 -07001435 lanes-per-direction = <2>;
1436
Subhash Jadavani9981b032017-03-24 17:24:05 -07001437 clock-names = "ref_clk_src",
1438 "ref_clk",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001439 "ref_aux_clk";
Osvaldo Banuelos918ffad2017-04-12 14:07:45 -07001440 clocks = <&clock_rpmh RPMH_CXO_CLK>,
Subhash Jadavani9981b032017-03-24 17:24:05 -07001441 <&clock_gcc GCC_UFS_MEM_CLKREF_CLK>,
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001442 <&clock_gcc GCC_UFS_PHY_PHY_AUX_HW_CTL_CLK>;
Subhash Jadavani877ec812016-08-04 13:23:24 -07001443
1444 status = "disabled";
1445 };
1446
Subhash Jadavanibb52a442017-04-27 16:50:58 -07001447 ufshc_mem: ufshc@1d84000 {
Subhash Jadavani877ec812016-08-04 13:23:24 -07001448 compatible = "qcom,ufshc";
1449 reg = <0x1d84000 0x2500>;
1450 interrupts = <0 265 0>;
1451 phys = <&ufsphy_mem>;
1452 phy-names = "ufsphy";
AnilKumar Chimata2e815902017-04-13 12:14:56 -07001453 ufs-qcom-crypto = <&ufs_ice>;
Subhash Jadavani877ec812016-08-04 13:23:24 -07001454
Subhash Jadavani588f2092016-09-08 17:58:31 -07001455 lanes-per-direction = <2>;
Subhash Jadavani5534d492016-12-13 16:13:19 -08001456 dev-ref-clk-freq = <0>; /* 19.2 MHz */
Subhash Jadavani588f2092016-09-08 17:58:31 -07001457
Subhash Jadavani877ec812016-08-04 13:23:24 -07001458 clock-names =
1459 "core_clk",
1460 "bus_aggr_clk",
1461 "iface_clk",
1462 "core_clk_unipro",
1463 "core_clk_ice",
Subhash Jadavani9981b032017-03-24 17:24:05 -07001464 "ref_clk",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001465 "tx_lane0_sync_clk",
1466 "rx_lane0_sync_clk",
1467 "rx_lane1_sync_clk";
1468 clocks =
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001469 <&clock_gcc GCC_UFS_PHY_AXI_HW_CTL_CLK>,
1470 <&clock_gcc GCC_AGGRE_UFS_PHY_AXI_HW_CTL_CLK>,
Subhash Jadavani877ec812016-08-04 13:23:24 -07001471 <&clock_gcc GCC_UFS_PHY_AHB_CLK>,
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001472 <&clock_gcc GCC_UFS_PHY_UNIPRO_CORE_HW_CTL_CLK>,
1473 <&clock_gcc GCC_UFS_PHY_ICE_CORE_HW_CTL_CLK>,
Osvaldo Banuelos918ffad2017-04-12 14:07:45 -07001474 <&clock_rpmh RPMH_CXO_CLK>,
Subhash Jadavani877ec812016-08-04 13:23:24 -07001475 <&clock_gcc GCC_UFS_PHY_TX_SYMBOL_0_CLK>,
1476 <&clock_gcc GCC_UFS_PHY_RX_SYMBOL_0_CLK>,
1477 <&clock_gcc GCC_UFS_PHY_RX_SYMBOL_1_CLK>;
1478 freq-table-hz =
1479 <50000000 200000000>,
1480 <0 0>,
1481 <0 0>,
1482 <37500000 150000000>,
1483 <75000000 300000000>,
1484 <0 0>,
1485 <0 0>,
Subhash Jadavani9981b032017-03-24 17:24:05 -07001486 <0 0>,
Subhash Jadavani877ec812016-08-04 13:23:24 -07001487 <0 0>;
1488
Subhash Jadavani35c309a2016-12-19 13:58:57 -08001489 qcom,msm-bus,name = "ufshc_mem";
Subhash Jadavani588f2092016-09-08 17:58:31 -07001490 qcom,msm-bus,num-cases = <22>;
Subhash Jadavani877ec812016-08-04 13:23:24 -07001491 qcom,msm-bus,num-paths = <2>;
1492 qcom,msm-bus,vectors-KBps =
Subhash Jadavani63705c42017-03-27 16:37:28 -07001493 /*
1494 * During HS G3 UFS runs at nominal voltage corner, vote
1495 * higher bandwidth to push other buses in the data path
1496 * to run at nominal to achieve max throughput.
1497 * 4GBps pushes BIMC to run at nominal.
1498 * 200MBps pushes CNOC to run at nominal.
1499 * Vote for half of this bandwidth for HS G3 1-lane.
1500 * For max bandwidth, vote high enough to push the buses
1501 * to run in turbo voltage corner.
1502 */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001503 <123 512 0 0>, <1 757 0 0>, /* No vote */
1504 <123 512 922 0>, <1 757 1000 0>, /* PWM G1 */
1505 <123 512 1844 0>, <1 757 1000 0>, /* PWM G2 */
1506 <123 512 3688 0>, <1 757 1000 0>, /* PWM G3 */
1507 <123 512 7376 0>, <1 757 1000 0>, /* PWM G4 */
1508 <123 512 1844 0>, <1 757 1000 0>, /* PWM G1 L2 */
1509 <123 512 3688 0>, <1 757 1000 0>, /* PWM G2 L2 */
1510 <123 512 7376 0>, <1 757 1000 0>, /* PWM G3 L2 */
1511 <123 512 14752 0>, <1 757 1000 0>, /* PWM G4 L2 */
1512 <123 512 127796 0>, <1 757 1000 0>, /* HS G1 RA */
1513 <123 512 255591 0>, <1 757 1000 0>, /* HS G2 RA */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001514 <123 512 2097152 0>, <1 757 102400 0>, /* HS G3 RA */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001515 <123 512 255591 0>, <1 757 1000 0>, /* HS G1 RA L2 */
1516 <123 512 511181 0>, <1 757 1000 0>, /* HS G2 RA L2 */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001517 <123 512 4194304 0>, <1 757 204800 0>, /* HS G3 RA L2 */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001518 <123 512 149422 0>, <1 757 1000 0>, /* HS G1 RB */
1519 <123 512 298189 0>, <1 757 1000 0>, /* HS G2 RB */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001520 <123 512 2097152 0>, <1 757 102400 0>, /* HS G3 RB */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001521 <123 512 298189 0>, <1 757 1000 0>, /* HS G1 RB L2 */
1522 <123 512 596378 0>, <1 757 1000 0>, /* HS G2 RB L2 */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001523 <123 512 4194304 0>, <1 757 204800 0>, /* HS G3 RB L2 */
1524 <123 512 7643136 0>, <1 757 307200 0>; /* Max. bandwidth */
1525
Subhash Jadavani877ec812016-08-04 13:23:24 -07001526 qcom,bus-vector-names = "MIN",
1527 "PWM_G1_L1", "PWM_G2_L1", "PWM_G3_L1", "PWM_G4_L1",
Subhash Jadavani588f2092016-09-08 17:58:31 -07001528 "PWM_G1_L2", "PWM_G2_L2", "PWM_G3_L2", "PWM_G4_L2",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001529 "HS_RA_G1_L1", "HS_RA_G2_L1", "HS_RA_G3_L1",
Subhash Jadavani588f2092016-09-08 17:58:31 -07001530 "HS_RA_G1_L2", "HS_RA_G2_L2", "HS_RA_G3_L2",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001531 "HS_RB_G1_L1", "HS_RB_G2_L1", "HS_RB_G3_L1",
Subhash Jadavani588f2092016-09-08 17:58:31 -07001532 "HS_RB_G1_L2", "HS_RB_G2_L2", "HS_RB_G3_L2",
Subhash Jadavani877ec812016-08-04 13:23:24 -07001533 "MAX";
1534
Subhash Jadavani63705c42017-03-27 16:37:28 -07001535 /* PM QoS */
1536 qcom,pm-qos-cpu-groups = <0x0f 0xf0>;
1537 qcom,pm-qos-cpu-group-latency-us = <70 70>;
1538 qcom,pm-qos-default-cpu = <0>;
1539
Subhash Jadavaniafe2a792017-03-31 21:08:29 -07001540 pinctrl-names = "dev-reset-assert", "dev-reset-deassert";
1541 pinctrl-0 = <&ufs_dev_reset_assert>;
1542 pinctrl-1 = <&ufs_dev_reset_deassert>;
Subhash Jadavani63705c42017-03-27 16:37:28 -07001543
1544 resets = <&clock_gcc GCC_UFS_PHY_BCR>;
1545 reset-names = "core_reset";
1546
Subhash Jadavani877ec812016-08-04 13:23:24 -07001547 status = "disabled";
1548 };
Satyajit Desai17da0592016-08-08 18:38:32 -07001549
Subhash Jadavanidd416c42017-05-15 11:54:10 -07001550 extcon_storage_cd: extcon_storage_cd {
1551 compatible = "extcon-gpio";
1552 extcon-id = <62>; /* EXTCON_MECHANICAL */
1553 status = "disabled";
1554 };
1555
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001556 ufsphy_card: ufsphy_card@1da7000 {
1557 reg = <0x1da7000 0xda8>; /* PHY regs */
1558 reg-names = "phy_mem";
1559 #phy-cells = <0>;
1560
Subhash Jadavanib606c842017-04-03 18:03:57 -07001561 lanes-per-direction = <1>;
1562
Subhash Jadavani9981b032017-03-24 17:24:05 -07001563 clock-names = "ref_clk_src",
1564 "ref_clk",
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001565 "ref_aux_clk";
Osvaldo Banuelos918ffad2017-04-12 14:07:45 -07001566 clocks = <&clock_rpmh RPMH_CXO_CLK>,
Subhash Jadavani9981b032017-03-24 17:24:05 -07001567 <&clock_gcc GCC_UFS_CARD_CLKREF_CLK>,
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001568 <&clock_gcc GCC_UFS_CARD_PHY_AUX_HW_CTL_CLK>;
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001569
1570 status = "disabled";
1571 };
1572
Subhash Jadavani35c309a2016-12-19 13:58:57 -08001573 ufshc_card: ufshc_card@1da4000 {
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001574 compatible = "qcom,ufshc";
1575 reg = <0x1da4000 0x2500>;
1576 interrupts = <0 125 0>;
1577 phys = <&ufsphy_card>;
1578 phy-names = "ufsphy";
1579
1580 lanes-per-direction = <1>;
1581 dev-ref-clk-freq = <0>; /* 19.2 MHz */
1582
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001583 clock-names =
1584 "core_clk",
1585 "bus_aggr_clk",
1586 "iface_clk",
1587 "core_clk_unipro",
1588 "core_clk_ice",
Subhash Jadavani9981b032017-03-24 17:24:05 -07001589 "ref_clk",
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001590 "tx_lane0_sync_clk",
1591 "rx_lane0_sync_clk";
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001592 clocks =
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001593 <&clock_gcc GCC_UFS_CARD_AXI_HW_CTL_CLK>,
1594 <&clock_gcc GCC_AGGRE_UFS_CARD_AXI_HW_CTL_CLK>,
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001595 <&clock_gcc GCC_UFS_CARD_AHB_CLK>,
Subhash Jadavanib2f5a632017-04-10 23:48:58 -07001596 <&clock_gcc GCC_UFS_CARD_UNIPRO_CORE_HW_CTL_CLK>,
1597 <&clock_gcc GCC_UFS_CARD_ICE_CORE_HW_CTL_CLK>,
Osvaldo Banuelos918ffad2017-04-12 14:07:45 -07001598 <&clock_rpmh RPMH_CXO_CLK>,
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001599 <&clock_gcc GCC_UFS_CARD_TX_SYMBOL_0_CLK>,
1600 <&clock_gcc GCC_UFS_CARD_RX_SYMBOL_0_CLK>;
1601 freq-table-hz =
1602 <50000000 200000000>,
1603 <0 0>,
1604 <0 0>,
1605 <37500000 150000000>,
1606 <75000000 300000000>,
1607 <0 0>,
Subhash Jadavani9981b032017-03-24 17:24:05 -07001608 <0 0>,
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001609 <0 0>;
1610
Subhash Jadavani35c309a2016-12-19 13:58:57 -08001611 qcom,msm-bus,name = "ufshc_card";
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001612 qcom,msm-bus,num-cases = <9>;
1613 qcom,msm-bus,num-paths = <2>;
1614 qcom,msm-bus,vectors-KBps =
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001615 <122 512 0 0>, <1 756 0 0>, /* No vote */
1616 <122 512 922 0>, <1 756 1000 0>, /* PWM G1 */
1617 <122 512 127796 0>, <1 756 1000 0>, /* HS G1 RA */
1618 <122 512 255591 0>, <1 756 1000 0>, /* HS G2 RA */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001619 <122 512 2097152 0>, <1 756 102400 0>, /* HS G3 RA */
Subhash Jadavani1cc8f322017-03-23 16:32:57 -07001620 <122 512 149422 0>, <1 756 1000 0>, /* HS G1 RB */
1621 <122 512 298189 0>, <1 756 1000 0>, /* HS G2 RB */
Subhash Jadavani63705c42017-03-27 16:37:28 -07001622 <122 512 2097152 0>, <1 756 102400 0>, /* HS G3 RB */
1623 <122 512 7643136 0>, <1 756 307200 0>; /* Max. bandwidth */
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001624 qcom,bus-vector-names = "MIN",
1625 "PWM_G1_L1",
1626 "HS_RA_G1_L1", "HS_RA_G2_L1", "HS_RA_G3_L1",
1627 "HS_RB_G1_L1", "HS_RB_G2_L1", "HS_RB_G3_L1",
1628 "MAX";
1629
Subhash Jadavani63705c42017-03-27 16:37:28 -07001630 /* PM QoS */
1631 qcom,pm-qos-cpu-groups = <0x0f 0xf0>;
1632 qcom,pm-qos-cpu-group-latency-us = <70 70>;
1633 qcom,pm-qos-default-cpu = <0>;
1634
1635 /*
1636 * Note: this instance doesn't have control over UFS device
1637 * reset
1638 */
1639
1640 resets = <&clock_gcc GCC_UFS_CARD_BCR>;
1641 reset-names = "core_reset";
1642
Subhash Jadavanide2b9c02016-09-20 17:58:21 -07001643 status = "disabled";
1644 };
1645
Xiaonian Wang3c14ea32017-04-08 06:39:42 +08001646 sdhc_2: sdhci@8804000 {
1647 compatible = "qcom,sdhci-msm-v5";
1648 reg = <0x8804000 0x1000>;
1649 reg-names = "hc_mem";
1650
1651 interrupts = <0 204 0>, <0 222 0>;
1652 interrupt-names = "hc_irq", "pwr_irq";
1653
1654 qcom,bus-width = <4>;
1655 qcom,large-address-bus;
1656
1657 qcom,msm-bus,name = "sdhc2";
1658 qcom,msm-bus,num-cases = <8>;
1659 qcom,msm-bus,num-paths = <2>;
1660 qcom,msm-bus,vectors-KBps =
1661 /* No vote */
1662 <81 512 0 0>, <1 608 0 0>,
1663 /* 400 KB/s*/
1664 <81 512 1046 1600>,
1665 <1 608 1600 1600>,
1666 /* 20 MB/s */
1667 <81 512 52286 80000>,
1668 <1 608 80000 80000>,
1669 /* 25 MB/s */
1670 <81 512 65360 100000>,
1671 <1 608 100000 100000>,
1672 /* 50 MB/s */
1673 <81 512 130718 200000>,
1674 <1 608 133320 133320>,
1675 /* 100 MB/s */
1676 <81 512 261438 200000>,
1677 <1 608 150000 150000>,
1678 /* 200 MB/s */
1679 <81 512 261438 400000>,
1680 <1 608 300000 300000>,
1681 /* Max. bandwidth */
1682 <81 512 1338562 4096000>,
1683 <1 608 1338562 4096000>;
1684 qcom,bus-bw-vectors-bps = <0 400000 20000000 25000000 50000000
Subhash Jadavani0842b272017-07-19 17:05:13 -07001685 100750000 200000000 4294967295>;
Xiaonian Wang3c14ea32017-04-08 06:39:42 +08001686
Xiaonian Wang5d7e5d12017-04-07 19:51:23 -07001687 qcom,sdr104-wa;
1688
Bao D. Nguyen40d42ae2017-06-29 21:20:25 -07001689 qcom,restore-after-cx-collapse;
1690
Subhash Jadavani0842b272017-07-19 17:05:13 -07001691 qcom,clk-rates = <400000 20000000 25000000
1692 50000000 100000000 201500000>;
1693 qcom,bus-speed-mode = "SDR12", "SDR25", "SDR50", "DDR50",
1694 "SDR104";
1695
1696 qcom,devfreq,freq-table = <50000000 201500000>;
Xiaonian Wang3c14ea32017-04-08 06:39:42 +08001697 clocks = <&clock_gcc GCC_SDCC2_AHB_CLK>,
1698 <&clock_gcc GCC_SDCC2_APPS_CLK>;
1699 clock-names = "iface_clk", "core_clk";
1700
1701 status = "disabled";
1702 };
1703
Kyle Yan384b13c2016-10-18 11:11:37 -07001704 pil_modem: qcom,mss@4080000 {
1705 compatible = "qcom,pil-q6v55-mss";
1706 reg = <0x4080000 0x100>,
1707 <0x1f63000 0x008>,
1708 <0x1f65000 0x008>,
1709 <0x1f64000 0x008>,
1710 <0x4180000 0x020>,
Kyle Yan8e805302017-05-01 11:13:45 -07001711 <0xc2b0000 0x004>,
Kyle Yan02f80392017-05-01 14:40:32 -07001712 <0xb2e0100 0x004>,
1713 <0x4180044 0x004>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001714 reg-names = "qdsp6_base", "halt_q6", "halt_modem",
Kyle Yan8e805302017-05-01 11:13:45 -07001715 "halt_nc", "rmb_base", "restart_reg",
Kyle Yan02f80392017-05-01 14:40:32 -07001716 "pdc_sync", "alt_reset";
Kyle Yan384b13c2016-10-18 11:11:37 -07001717
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001718 clocks = <&clock_rpmh RPMH_CXO_CLK>,
Kyle Yan384b13c2016-10-18 11:11:37 -07001719 <&clock_gcc GCC_MSS_CFG_AHB_CLK>,
1720 <&clock_gcc GCC_MSS_Q6_MEMNOC_AXI_CLK>,
1721 <&clock_gcc GCC_BOOT_ROM_AHB_CLK>,
1722 <&clock_gcc GCC_MSS_GPLL0_DIV_CLK_SRC>,
1723 <&clock_gcc GCC_MSS_SNOC_AXI_CLK>,
Kyle Yan5eb4ef92017-04-17 11:59:36 -07001724 <&clock_gcc GCC_MSS_MFAB_AXIS_CLK>,
1725 <&clock_gcc GCC_PRNG_AHB_CLK>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001726 clock-names = "xo", "iface_clk", "bus_clk",
1727 "mem_clk", "gpll0_mss_clk", "snoc_axi_clk",
Kyle Yanf7c86b72017-04-25 13:11:26 -07001728 "mnoc_axi_clk", "prng_clk";
1729 qcom,proxy-clock-names = "xo", "prng_clk";
Kyle Yan384b13c2016-10-18 11:11:37 -07001730 qcom,active-clock-names = "iface_clk", "bus_clk", "mem_clk",
1731 "gpll0_mss_clk", "snoc_axi_clk",
1732 "mnoc_axi_clk";
1733
1734 interrupts = <0 266 1>;
David Collins3a457942016-12-09 16:59:51 -08001735 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001736 vdd_cx-voltage = <RPMH_REGULATOR_LEVEL_TURBO>;
David Collins3a457942016-12-09 16:59:51 -08001737 vdd_mx-supply = <&pm8998_s6_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001738 vdd_mx-uV = <RPMH_REGULATOR_LEVEL_TURBO>;
Kyle Yan384b13c2016-10-18 11:11:37 -07001739 qcom,firmware-name = "modem";
1740 qcom,pil-self-auth;
1741 qcom,sysmon-id = <0>;
1742 qcom,ssctl-instance-id = <0x12>;
1743 qcom,override-acc;
1744 qcom,qdsp6v65-1-0;
1745 status = "ok";
1746 memory-region = <&pil_modem_mem>;
1747 qcom,mem-protect-id = <0xF>;
1748
1749 /* GPIO inputs from mss */
1750 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_1_in 0 0>;
1751 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_1_in 1 0>;
1752 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_1_in 2 0>;
1753 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_1_in 3 0>;
1754 qcom,gpio-shutdown-ack = <&smp2pgpio_ssr_smp2p_1_in 7 0>;
1755
1756 /* GPIO output to mss */
1757 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_1_out 0 0>;
Channagoud Kadabi814df402017-04-04 13:55:26 -07001758 qcom,mba-mem@0 {
1759 compatible = "qcom,pil-mba-mem";
1760 memory-region = <&pil_mba_mem>;
1761 };
Kyle Yan384b13c2016-10-18 11:11:37 -07001762 };
1763
Kyle Yand119cf82016-10-19 14:49:04 -07001764 qcom,lpass@17300000 {
1765 compatible = "qcom,pil-tz-generic";
1766 reg = <0x17300000 0x00100>;
1767 interrupts = <0 162 1>;
1768
David Collins3a457942016-12-09 16:59:51 -08001769 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yand119cf82016-10-19 14:49:04 -07001770 qcom,proxy-reg-names = "vdd_cx";
Kyle Yand8326b62017-01-05 15:11:02 -08001771 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
Kyle Yand119cf82016-10-19 14:49:04 -07001772
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001773 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yand119cf82016-10-19 14:49:04 -07001774 clock-names = "xo";
1775 qcom,proxy-clock-names = "xo";
1776
1777 qcom,pas-id = <1>;
1778 qcom,proxy-timeout-ms = <10000>;
1779 qcom,smem-id = <423>;
1780 qcom,sysmon-id = <1>;
1781 status = "ok";
1782 qcom,ssctl-instance-id = <0x14>;
1783 qcom,firmware-name = "adsp";
1784 memory-region = <&pil_adsp_mem>;
1785
1786 /* GPIO inputs from lpass */
1787 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_2_in 0 0>;
1788 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_2_in 2 0>;
1789 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_2_in 1 0>;
1790 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_2_in 3 0>;
1791
1792 /* GPIO output to lpass */
1793 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_2_out 0 0>;
1794 };
1795
Kyle Yanb693da32016-10-20 14:01:09 -07001796 qcom,ssc@5c00000 {
1797 compatible = "qcom,pil-tz-generic";
1798 reg = <0x5c00000 0x4000>;
Kyle Yanb3a29ae2017-05-23 13:37:11 -07001799 interrupts = <0 494 1>;
Kyle Yanb693da32016-10-20 14:01:09 -07001800
David Collins3a457942016-12-09 16:59:51 -08001801 vdd_cx-supply = <&pm8998_l27_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001802 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 0>;
Kyle Yane9e3b402017-06-22 16:37:17 -07001803 qcom,proxy-reg-names = "vdd_cx";
Kyle Yanb693da32016-10-20 14:01:09 -07001804 qcom,keep-proxy-regs-on;
1805
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001806 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yanb693da32016-10-20 14:01:09 -07001807 clock-names = "xo";
1808 qcom,proxy-clock-names = "xo";
1809
1810 qcom,pas-id = <12>;
1811 qcom,proxy-timeout-ms = <10000>;
1812 qcom,smem-id = <424>;
1813 qcom,sysmon-id = <3>;
1814 qcom,ssctl-instance-id = <0x16>;
1815 qcom,firmware-name = "slpi";
1816 status = "ok";
1817 memory-region = <&pil_slpi_mem>;
1818
1819 /* GPIO inputs from ssc */
1820 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_3_in 0 0>;
1821 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_3_in 2 0>;
1822 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_3_in 1 0>;
1823 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_3_in 3 0>;
1824
1825 /* GPIO output to ssc */
1826 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_3_out 0 0>;
1827 };
1828
Sagar Dhariab7394b42016-11-29 01:01:01 -07001829 slim_aud: slim@171c0000 {
1830 cell-index = <1>;
1831 compatible = "qcom,slim-ngd";
1832 reg = <0x171c0000 0x2c000>,
1833 <0x17184000 0x2a000>;
1834 reg-names = "slimbus_physical", "slimbus_bam_physical";
1835 interrupts = <0 163 0>, <0 164 0>;
1836 interrupt-names = "slimbus_irq", "slimbus_bam_irq";
Karthikeyan Ramasubramanianb5d07ee2017-02-13 12:26:39 -07001837 qcom,apps-ch-pipes = <0x780000>;
Sagar Dhariab7394b42016-11-29 01:01:01 -07001838 qcom,ea-pc = <0x270>;
Karthikeyan Ramasubramanian9cd18ff2017-05-09 17:11:26 -06001839 qcom,iommu-s1-bypass;
1840
1841 iommu_slim_aud_ctrl_cb: qcom,iommu_slim_ctrl_cb {
1842 compatible = "qcom,iommu-slim-ctrl-cb";
1843 iommus = <&apps_smmu 0x1806 0x0>,
1844 <&apps_smmu 0x180d 0x0>,
1845 <&apps_smmu 0x180e 0x1>,
1846 <&apps_smmu 0x1810 0x1>;
1847 };
Sagar Dhariab7394b42016-11-29 01:01:01 -07001848 };
1849
Karthikeyan Ramasubramanian430c6462017-01-18 15:20:05 -07001850 slim_qca: slim@17240000 {
Sungjun Parkb4a9b3c2017-05-04 10:12:35 -07001851 status = "ok";
Karthikeyan Ramasubramanian430c6462017-01-18 15:20:05 -07001852 cell-index = <3>;
1853 compatible = "qcom,slim-ngd";
1854 reg = <0x17240000 0x2c000>,
1855 <0x17204000 0x20000>;
1856 reg-names = "slimbus_physical", "slimbus_bam_physical";
1857 interrupts = <0 291 0>, <0 292 0>;
1858 interrupt-names = "slimbus_irq", "slimbus_bam_irq";
Karthikeyan Ramasubramanian9cd18ff2017-05-09 17:11:26 -06001859 qcom,iommu-s1-bypass;
1860
1861 iommu_slim_qca_ctrl_cb: qcom,iommu_slim_ctrl_cb {
1862 compatible = "qcom,iommu-slim-ctrl-cb";
1863 iommus = <&apps_smmu 0x1813 0x0>;
1864 };
Sungjun Parkb4a9b3c2017-05-04 10:12:35 -07001865
1866 /* Slimbus Slave DT for WCN3990 */
1867 btfmslim_codec: wcn3990 {
1868 compatible = "qcom,btfmslim_slave";
1869 elemental-addr = [00 01 20 02 17 02];
1870 qcom,btfm-slim-ifd = "btfmslim_slave_ifd";
1871 qcom,btfm-slim-ifd-elemental-addr = [00 00 20 02 17 02];
1872 };
Karthikeyan Ramasubramanian430c6462017-01-18 15:20:05 -07001873 };
1874
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -07001875 eud: qcom,msm-eud@88e0000 {
1876 compatible = "qcom,msm-eud";
1877 interrupt-names = "eud_irq";
1878 interrupts = <GIC_SPI 492 IRQ_TYPE_LEVEL_HIGH>;
Kyle Yan3801a1f2016-09-27 18:29:55 -07001879 reg = <0x88e0000 0x2000>;
Satya Durga Srinivasu Prabhalafbddbe72016-09-12 11:40:11 -07001880 reg-names = "eud_base";
1881 status = "ok";
1882 };
1883
Kyle Yan79653352016-10-20 15:40:45 -07001884 qcom,spss@1880000 {
1885 compatible = "qcom,pil-tz-generic";
1886 reg = <0x188101c 0x4>,
1887 <0x1881024 0x4>,
1888 <0x1881028 0x4>,
1889 <0x188103c 0x4>,
1890 <0x1882014 0x4>;
1891 reg-names = "sp2soc_irq_status", "sp2soc_irq_clr",
1892 "sp2soc_irq_mask", "rmb_err", "rmb_err_spare2";
1893 interrupts = <0 352 1>;
1894
David Collins3a457942016-12-09 16:59:51 -08001895 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yan79653352016-10-20 15:40:45 -07001896 qcom,proxy-reg-names = "vdd_cx";
Kyle Yand8326b62017-01-05 15:11:02 -08001897 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
David Collins3a457942016-12-09 16:59:51 -08001898 vdd_mx-supply = <&pm8998_s6_level>;
Kyle Yand8326b62017-01-05 15:11:02 -08001899 vdd_mx-uV = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
Kyle Yan79653352016-10-20 15:40:45 -07001900
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001901 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yan79653352016-10-20 15:40:45 -07001902 clock-names = "xo";
1903 qcom,proxy-clock-names = "xo";
1904 qcom,pil-generic-irq-handler;
1905 status = "ok";
1906
1907 qcom,pas-id = <14>;
1908 qcom,proxy-timeout-ms = <10000>;
1909 qcom,firmware-name = "spss";
1910 memory-region = <&pil_spss_mem>;
1911 qcom,spss-scsr-bits = <24 25>;
1912 };
1913
Satyajit Desai17da0592016-08-08 18:38:32 -07001914 wdog: qcom,wdt@17980000{
1915 compatible = "qcom,msm-watchdog";
1916 reg = <0x17980000 0x1000>;
1917 reg-names = "wdt-base";
Satyajit Desaidb4f2e6e2017-04-17 14:08:59 -07001918 interrupts = <0 0 0>, <0 1 0>;
Satyajit Desai17da0592016-08-08 18:38:32 -07001919 qcom,bark-time = <11000>;
Channagoud Kadabi63d9d4d2017-08-25 15:36:31 -07001920 qcom,pet-time = <9360>;
Satyajit Desai17da0592016-08-08 18:38:32 -07001921 qcom,ipi-ping;
1922 qcom,wakeup-enable;
1923 };
Satyajit Desai5e2b88a2016-08-10 17:08:08 -07001924
Kyle Yan02e95f72016-10-18 14:38:41 -07001925 qcom,turing@8300000 {
1926 compatible = "qcom,pil-tz-generic";
1927 reg = <0x8300000 0x100000>;
1928 interrupts = <0 578 1>;
1929
David Collins3a457942016-12-09 16:59:51 -08001930 vdd_cx-supply = <&pm8998_s9_level>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001931 qcom,proxy-reg-names = "vdd_cx";
Kyle Yand8326b62017-01-05 15:11:02 -08001932 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001933
Osvaldo Banuelosabbbfcb2017-01-31 16:11:10 -08001934 clocks = <&clock_rpmh RPMH_CXO_CLK>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001935 clock-names = "xo";
1936 qcom,proxy-clock-names = "xo";
1937
1938 qcom,pas-id = <18>;
1939 qcom,proxy-timeout-ms = <10000>;
Kyle Yana7b79262017-04-09 11:37:24 -07001940 qcom,smem-id = <601>;
Kyle Yan02e95f72016-10-18 14:38:41 -07001941 qcom,sysmon-id = <7>;
1942 qcom,ssctl-instance-id = <0x17>;
1943 qcom,firmware-name = "cdsp";
1944 memory-region = <&pil_cdsp_mem>;
1945
1946 /* GPIO inputs from turing */
1947 qcom,gpio-err-fatal = <&smp2pgpio_ssr_smp2p_5_in 0 0>;
1948 qcom,gpio-proxy-unvote = <&smp2pgpio_ssr_smp2p_5_in 2 0>;
1949 qcom,gpio-err-ready = <&smp2pgpio_ssr_smp2p_5_in 1 0>;
1950 qcom,gpio-stop-ack = <&smp2pgpio_ssr_smp2p_5_in 3 0>;
1951
1952 /* GPIO output to turing*/
1953 qcom,gpio-force-stop = <&smp2pgpio_ssr_smp2p_5_out 0 0>;
1954 status = "ok";
1955 };
1956
Kyle Yan74c74252017-02-13 13:30:45 -08001957 qcom,msm-rtb {
1958 compatible = "qcom,msm-rtb";
1959 qcom,rtb-size = <0x100000>;
1960 };
1961
Channagoud Kadabi31282232017-04-26 14:39:09 -07001962 qcom,mpm2-sleep-counter@0x0c221000 {
1963 compatible = "qcom,mpm2-sleep-counter";
1964 reg = <0x0c221000 0x1000>;
1965 clock-frequency = <32768>;
1966 };
1967
Sathish Ambley917cbd22017-02-28 10:46:26 -08001968 qcom,msm-cdsp-loader {
1969 compatible = "qcom,cdsp-loader";
1970 qcom,proc-img-to-load = "cdsp";
1971 };
1972
Sathish Ambley521f22a2017-04-21 14:19:45 -07001973 qcom,msm-adsprpc-mem {
1974 compatible = "qcom,msm-adsprpc-mem-region";
1975 memory-region = <&adsp_mem>;
1976 };
1977
Sathish Ambley37e87362016-11-12 15:18:48 -08001978 qcom,msm_fastrpc {
1979 compatible = "qcom,msm-fastrpc-compute";
Tharun Kumar Merugu26bf52e2017-08-11 12:03:29 +05301980 qcom,rpc-latency-us = <611>;
Sathish Ambley37e87362016-11-12 15:18:48 -08001981
1982 qcom,msm_fastrpc_compute_cb1 {
1983 compatible = "qcom,msm-fastrpc-compute-cb";
1984 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001985 iommus = <&apps_smmu 0x1401 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301986 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001987 };
1988 qcom,msm_fastrpc_compute_cb2 {
1989 compatible = "qcom,msm-fastrpc-compute-cb";
1990 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001991 iommus = <&apps_smmu 0x1402 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301992 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001993 };
1994 qcom,msm_fastrpc_compute_cb3 {
1995 compatible = "qcom,msm-fastrpc-compute-cb";
1996 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07001997 iommus = <&apps_smmu 0x1403 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05301998 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08001999 };
2000 qcom,msm_fastrpc_compute_cb4 {
2001 compatible = "qcom,msm-fastrpc-compute-cb";
2002 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07002003 iommus = <&apps_smmu 0x1404 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302004 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08002005 };
2006 qcom,msm_fastrpc_compute_cb5 {
2007 compatible = "qcom,msm-fastrpc-compute-cb";
2008 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07002009 iommus = <&apps_smmu 0x1405 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302010 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08002011 };
2012 qcom,msm_fastrpc_compute_cb6 {
2013 compatible = "qcom,msm-fastrpc-compute-cb";
2014 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07002015 iommus = <&apps_smmu 0x1406 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302016 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08002017 };
2018 qcom,msm_fastrpc_compute_cb7 {
2019 compatible = "qcom,msm-fastrpc-compute-cb";
2020 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07002021 iommus = <&apps_smmu 0x1407 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302022 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08002023 };
2024 qcom,msm_fastrpc_compute_cb8 {
2025 compatible = "qcom,msm-fastrpc-compute-cb";
2026 label = "cdsprpc-smd";
Patrick Dalyac495012017-04-18 16:42:00 -07002027 iommus = <&apps_smmu 0x1408 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302028 dma-coherent;
Sathish Ambley37e87362016-11-12 15:18:48 -08002029 };
Sathish Ambley521f22a2017-04-21 14:19:45 -07002030 qcom,msm_fastrpc_compute_cb9 {
2031 compatible = "qcom,msm-fastrpc-compute-cb";
2032 label = "cdsprpc-smd";
2033 qcom,secure-context-bank;
Patrick Dalyac495012017-04-18 16:42:00 -07002034 iommus = <&apps_smmu 0x1409 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302035 dma-coherent;
Sathish Ambley521f22a2017-04-21 14:19:45 -07002036 };
2037 qcom,msm_fastrpc_compute_cb10 {
2038 compatible = "qcom,msm-fastrpc-compute-cb";
2039 label = "cdsprpc-smd";
2040 qcom,secure-context-bank;
Patrick Dalyac495012017-04-18 16:42:00 -07002041 iommus = <&apps_smmu 0x140A 0x30>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302042 dma-coherent;
Sathish Ambley521f22a2017-04-21 14:19:45 -07002043 };
2044 qcom,msm_fastrpc_compute_cb11 {
2045 compatible = "qcom,msm-fastrpc-compute-cb";
2046 label = "adsprpc-smd";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07002047 iommus = <&apps_smmu 0x1823 0x0>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302048 dma-coherent;
Sathish Ambley521f22a2017-04-21 14:19:45 -07002049 };
2050 qcom,msm_fastrpc_compute_cb12 {
2051 compatible = "qcom,msm-fastrpc-compute-cb";
2052 label = "adsprpc-smd";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07002053 iommus = <&apps_smmu 0x1824 0x0>;
Tharun Kumar Merugueae87862017-07-12 17:35:48 +05302054 dma-coherent;
Sathish Ambley521f22a2017-04-21 14:19:45 -07002055 };
Sathish Ambley37e87362016-11-12 15:18:48 -08002056 };
2057
Satyajit Desai5e2b88a2016-08-10 17:08:08 -07002058 qcom,msm-imem@146bf000 {
2059 compatible = "qcom,msm-imem";
2060 reg = <0x146bf000 0x1000>;
2061 ranges = <0x0 0x146bf000 0x1000>;
2062 #address-cells = <1>;
2063 #size-cells = <1>;
2064
2065 mem_dump_table@10 {
2066 compatible = "qcom,msm-imem-mem_dump_table";
2067 reg = <0x10 8>;
2068 };
Kyle Yan3d71bbe2016-11-01 16:02:26 -07002069
Kyle Yana795b9d2017-02-14 16:16:13 -08002070 restart_reason@65c {
2071 compatible = "qcom,msm-imem-restart_reason";
2072 reg = <0x65c 4>;
2073 };
2074
Channagoud Kadabi31282232017-04-26 14:39:09 -07002075 boot_stats@6b0 {
2076 compatible = "qcom,msm-imem-boot_stats";
2077 reg = <0x6b0 32>;
2078 };
2079
Kyle Yan3d71bbe2016-11-01 16:02:26 -07002080 pil@94c {
2081 compatible = "qcom,msm-imem-pil";
2082 reg = <0x94c 200>;
2083 };
Channagoud Kadabic2513422017-04-25 18:53:42 -07002084
2085 kaslr_offset@6d0 {
2086 compatible = "qcom,msm-imem-kaslr_offset";
2087 reg = <0x6d0 12>;
2088 };
Mayank Rana0d883092017-05-05 17:30:55 -07002089
2090 diag_dload@c8 {
2091 compatible = "qcom,msm-imem-diag-dload";
2092 reg = <0xc8 200>;
2093 };
Satyajit Desai5e2b88a2016-08-10 17:08:08 -07002094 };
Kyle Yanddc44242016-06-20 14:42:14 -07002095
Kyle Yan74747da2016-09-14 16:24:30 -07002096 qcom,venus@aae0000 {
2097 compatible = "qcom,pil-tz-generic";
2098 reg = <0xaae0000 0x4000>;
2099
2100 vdd-supply = <&venus_gdsc>;
2101 qcom,proxy-reg-names = "vdd";
2102
2103 clocks = <&clock_videocc VIDEO_CC_VENUS_CTL_CORE_CLK>,
2104 <&clock_videocc VIDEO_CC_VENUS_AHB_CLK>,
2105 <&clock_videocc VIDEO_CC_VENUS_CTL_AXI_CLK>;
2106 clock-names = "core_clk", "iface_clk", "bus_clk";
2107 qcom,proxy-clock-names = "core_clk", "iface_clk", "bus_clk";
2108
2109 qcom,pas-id = <9>;
2110 qcom,msm-bus,name = "pil-venus";
2111 qcom,msm-bus,num-cases = <2>;
2112 qcom,msm-bus,num-paths = <1>;
2113 qcom,msm-bus,vectors-KBps =
2114 <63 512 0 0>,
2115 <63 512 0 304000>;
2116 qcom,proxy-timeout-ms = <100>;
2117 qcom,firmware-name = "venus";
2118 memory-region = <&pil_video_mem>;
2119 status = "ok";
2120 };
2121
Ananda Kishore47727742017-05-04 01:04:30 +05302122 ssc_sensors: qcom,msm-ssc-sensors {
2123 compatible = "qcom,msm-ssc-sensors";
2124 status = "ok";
2125 qcom,firmware-name = "slpi";
2126 };
2127
Kyle Yan49dd9f22016-12-02 11:56:05 -08002128 cpuss_dump {
2129 compatible = "qcom,cpuss-dump";
2130 qcom,l1_i_cache0 {
2131 qcom,dump-node = <&L1_I_0>;
2132 qcom,dump-id = <0x60>;
2133 };
2134 qcom,l1_i_cache1 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002135 qcom,dump-node = <&L1_I_100>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002136 qcom,dump-id = <0x61>;
2137 };
2138 qcom,l1_i_cache2 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002139 qcom,dump-node = <&L1_I_200>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002140 qcom,dump-id = <0x62>;
2141 };
2142 qcom,l1_i_cache3 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002143 qcom,dump-node = <&L1_I_300>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002144 qcom,dump-id = <0x63>;
2145 };
2146 qcom,l1_i_cache100 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002147 qcom,dump-node = <&L1_I_400>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002148 qcom,dump-id = <0x64>;
2149 };
2150 qcom,l1_i_cache101 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002151 qcom,dump-node = <&L1_I_500>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002152 qcom,dump-id = <0x65>;
2153 };
2154 qcom,l1_i_cache102 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002155 qcom,dump-node = <&L1_I_600>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002156 qcom,dump-id = <0x66>;
2157 };
2158 qcom,l1_i_cache103 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002159 qcom,dump-node = <&L1_I_700>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002160 qcom,dump-id = <0x67>;
2161 };
2162 qcom,l1_d_cache0 {
2163 qcom,dump-node = <&L1_D_0>;
2164 qcom,dump-id = <0x80>;
2165 };
2166 qcom,l1_d_cache1 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002167 qcom,dump-node = <&L1_D_100>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002168 qcom,dump-id = <0x81>;
2169 };
2170 qcom,l1_d_cache2 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002171 qcom,dump-node = <&L1_D_200>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002172 qcom,dump-id = <0x82>;
2173 };
2174 qcom,l1_d_cache3 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002175 qcom,dump-node = <&L1_D_300>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002176 qcom,dump-id = <0x83>;
2177 };
2178 qcom,l1_d_cache100 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002179 qcom,dump-node = <&L1_D_400>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002180 qcom,dump-id = <0x84>;
2181 };
2182 qcom,l1_d_cache101 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002183 qcom,dump-node = <&L1_D_500>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002184 qcom,dump-id = <0x85>;
2185 };
2186 qcom,l1_d_cache102 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002187 qcom,dump-node = <&L1_D_600>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002188 qcom,dump-id = <0x86>;
2189 };
2190 qcom,l1_d_cache103 {
Channagoud Kadabi0fd460c2017-04-04 21:09:57 -07002191 qcom,dump-node = <&L1_D_700>;
Kyle Yan49dd9f22016-12-02 11:56:05 -08002192 qcom,dump-id = <0x87>;
2193 };
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002194 qcom,llcc1_d_cache {
2195 qcom,dump-node = <&LLCC_1>;
Channagoud Kadabi641331f2017-05-26 15:30:25 -07002196 qcom,dump-id = <0x140>;
Channagoud Kadabif4fa1692017-01-17 12:34:29 -08002197 };
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002198 qcom,llcc2_d_cache {
2199 qcom,dump-node = <&LLCC_2>;
Channagoud Kadabi641331f2017-05-26 15:30:25 -07002200 qcom,dump-id = <0x141>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002201 };
2202 qcom,llcc3_d_cache {
2203 qcom,dump-node = <&LLCC_3>;
Channagoud Kadabi641331f2017-05-26 15:30:25 -07002204 qcom,dump-id = <0x142>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002205 };
2206 qcom,llcc4_d_cache {
2207 qcom,dump-node = <&LLCC_4>;
Channagoud Kadabi641331f2017-05-26 15:30:25 -07002208 qcom,dump-id = <0x143>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002209 };
Channagoud Kadabief56fcb2017-05-15 16:28:39 -07002210 qcom,l1_tlb_dump0 {
2211 qcom,dump-node = <&L1_TLB_0>;
2212 qcom,dump-id = <0x20>;
2213 };
2214 qcom,l1_tlb_dump100 {
2215 qcom,dump-node = <&L1_TLB_100>;
2216 qcom,dump-id = <0x21>;
2217 };
2218 qcom,l1_tlb_dump200 {
2219 qcom,dump-node = <&L1_TLB_200>;
2220 qcom,dump-id = <0x22>;
2221 };
2222 qcom,l1_tlb_dump300 {
2223 qcom,dump-node = <&L1_TLB_300>;
2224 qcom,dump-id = <0x23>;
2225 };
2226 qcom,l1_tlb_dump400 {
2227 qcom,dump-node = <&L1_TLB_400>;
2228 qcom,dump-id = <0x24>;
2229 };
2230 qcom,l1_tlb_dump500 {
2231 qcom,dump-node = <&L1_TLB_500>;
2232 qcom,dump-id = <0x25>;
2233 };
2234 qcom,l1_tlb_dump600 {
2235 qcom,dump-node = <&L1_TLB_600>;
2236 qcom,dump-id = <0x26>;
2237 };
2238 qcom,l1_tlb_dump700 {
2239 qcom,dump-node = <&L1_TLB_700>;
2240 qcom,dump-id = <0x27>;
2241 };
Kyle Yan49dd9f22016-12-02 11:56:05 -08002242 };
2243
Kyle Yanddc44242016-06-20 14:42:14 -07002244 kryo3xx-erp {
2245 compatible = "arm,arm64-kryo3xx-cpu-erp";
2246 interrupts = <1 6 4>,
2247 <1 7 4>,
2248 <0 34 4>,
2249 <0 35 4>;
2250
2251 interrupt-names = "l1-l2-faultirq",
2252 "l1-l2-errirq",
2253 "l3-scu-errirq",
2254 "l3-scu-faultirq";
2255 };
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002256
Channagoud Kadabi59a7ff22017-03-27 21:59:51 -07002257 qcom,llcc@1100000 {
Channagoud Kadabi8751c892016-10-14 13:40:19 -07002258 compatible = "qcom,llcc-core", "syscon", "simple-mfd";
Channagoud Kadabi59a7ff22017-03-27 21:59:51 -07002259 reg = <0x1100000 0x250000>;
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002260 reg-names = "llcc_base";
Channagoud Kadabi59a7ff22017-03-27 21:59:51 -07002261 qcom,llcc-banks-off = <0x0 0x80000 0x100000 0x180000>;
2262 qcom,llcc-broadcast-off = <0x200000>;
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002263
Kyle Yan6a20fae2017-02-14 13:34:41 -08002264 llcc: qcom,sdm845-llcc {
2265 compatible = "qcom,sdm845-llcc";
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002266 #cache-cells = <1>;
2267 max-slices = <32>;
2268 };
2269
2270 qcom,llcc-erp {
2271 compatible = "qcom,llcc-erp";
Channagoud Kadabic26a8912016-11-21 13:57:20 -08002272 interrupt-names = "ecc_irq";
2273 interrupts = <GIC_SPI 582 IRQ_TYPE_LEVEL_HIGH>;
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002274 };
2275
2276 qcom,llcc-amon {
2277 compatible = "qcom,llcc-amon";
2278 };
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002279
2280 LLCC_1: llcc_1_dcache {
Channagoud Kadabi463eb3b2017-06-16 15:31:53 -07002281 qcom,dump-size = <0x114100>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002282 };
2283
2284 LLCC_2: llcc_2_dcache {
Channagoud Kadabi463eb3b2017-06-16 15:31:53 -07002285 qcom,dump-size = <0x114100>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002286 };
2287
2288 LLCC_3: llcc_3_dcache {
Channagoud Kadabi463eb3b2017-06-16 15:31:53 -07002289 qcom,dump-size = <0x114100>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002290 };
2291
2292 LLCC_4: llcc_4_dcache {
Channagoud Kadabi463eb3b2017-06-16 15:31:53 -07002293 qcom,dump-size = <0x114100>;
Channagoud Kadabiaed14892017-03-20 16:44:39 -07002294 };
Channagoud Kadabiddeeb782016-06-23 18:56:25 -07002295 };
Chris Lewecef30b2016-08-22 13:52:49 -07002296
2297 qcom,ipc-spinlock@1f40000 {
2298 compatible = "qcom,ipc-spinlock-sfpb";
2299 reg = <0x1f40000 0x8000>;
2300 qcom,num-locks = <8>;
2301 };
Chris Lew05f9fb72016-08-22 13:55:10 -07002302
2303 qcom,smem@86000000 {
2304 compatible = "qcom,smem";
2305 reg = <0x86000000 0x200000>,
2306 <0x17911008 0x4>,
2307 <0x778000 0x7000>,
2308 <0x1fd4000 0x8>;
2309 reg-names = "smem", "irq-reg-base", "aux-mem1",
2310 "smem_targ_info_reg";
2311 qcom,mpu-enabled;
2312 };
Chris Lew031aed02016-08-22 13:58:59 -07002313
2314 qcom,glink-mailbox-xprt-spss@1885008 {
2315 compatible = "qcom,glink-mailbox-xprt";
2316 reg = <0x1885008 0x8>,
2317 <0x1885010 0x4>,
2318 <0x188501c 0x4>,
2319 <0x1886008 0x4>;
2320 reg-names = "mbox-loc-addr", "mbox-loc-size", "irq-reg-base",
2321 "irq-rx-reset";
2322 qcom,irq-mask = <0x1>;
2323 interrupts = <0 348 4>;
2324 label = "spss";
2325 qcom,tx-ring-size = <0x400>;
2326 qcom,rx-ring-size = <0x400>;
2327 };
Lina Iyer9f782ba2016-10-11 15:13:50 -06002328
Chris Lew72829772017-06-13 17:08:03 -07002329 qmp_aop: qcom,qmp-aop@c300000 {
Chris Lew39305592017-03-03 17:18:07 -08002330 compatible = "qcom,qmp-mbox";
2331 label = "aop";
2332 reg = <0xc300000 0x100000>,
2333 <0x1799000c 0x4>;
2334 reg-names = "msgram", "irq-reg-base";
2335 qcom,irq-mask = <0x1>;
2336 interrupts = <0 389 1>;
Chris Lew72829772017-06-13 17:08:03 -07002337 priority = <0>;
Chris Lew2a451512017-04-13 15:53:21 -07002338 mbox-desc-offset = <0x0>;
Chris Lew39305592017-03-03 17:18:07 -08002339 #mbox-cells = <1>;
2340 };
2341
Lina Iyer9f782ba2016-10-11 15:13:50 -06002342 apps_rsc: mailbox@179e0000 {
2343 compatible = "qcom,tcs-drv";
Lina Iyer1a410842017-03-21 13:52:43 -06002344 label = "apps_rsc";
Lina Iyer9f782ba2016-10-11 15:13:50 -06002345 reg = <0x179e0000 0x100>, <0x179e0d00 0x3000>;
2346 interrupts = <0 5 0>;
2347 #mbox-cells = <1>;
2348 qcom,drv-id = <2>;
Lina Iyer45df8962017-02-13 14:37:09 -07002349 qcom,tcs-config = <ACTIVE_TCS 2>,
2350 <SLEEP_TCS 3>,
2351 <WAKE_TCS 3>,
2352 <CONTROL_TCS 1>;
Lina Iyer9f782ba2016-10-11 15:13:50 -06002353 };
Lina Iyer4522ca42016-10-18 16:57:19 -06002354
2355 disp_rsc: mailbox@af20000 {
Lina Iyer4522ca42016-10-18 16:57:19 -06002356 compatible = "qcom,tcs-drv";
Lina Iyer1a410842017-03-21 13:52:43 -06002357 label = "display_rsc";
Lina Iyer4522ca42016-10-18 16:57:19 -06002358 reg = <0xaf20000 0x100>, <0xaf21c00 0x3000>;
2359 interrupts = <0 129 0>;
2360 #mbox-cells = <1>;
2361 qcom,drv-id = <0>;
2362 qcom,tcs-config = <SLEEP_TCS 1>,
2363 <WAKE_TCS 1>,
2364 <ACTIVE_TCS 0>,
2365 <CONTROL_TCS 1>;
2366 };
Lina Iyerac0d4ed2016-10-20 13:48:31 -06002367
2368 system_pm {
2369 compatible = "qcom,system-pm";
2370 mboxes = <&apps_rsc 0>;
2371 };
Karthikeyan Ramasubramanian47260462016-09-19 14:15:45 -06002372
2373 qcom,glink-smem-native-xprt-modem@86000000 {
2374 compatible = "qcom,glink-smem-native-xprt";
2375 reg = <0x86000000 0x200000>,
2376 <0x1799000c 0x4>;
2377 reg-names = "smem", "irq-reg-base";
2378 qcom,irq-mask = <0x1000>;
2379 interrupts = <GIC_SPI 449 IRQ_TYPE_EDGE_RISING>;
2380 label = "mpss";
2381 };
2382
2383 qcom,glink-smem-native-xprt-adsp@86000000 {
2384 compatible = "qcom,glink-smem-native-xprt";
2385 reg = <0x86000000 0x200000>,
2386 <0x1799000c 0x4>;
2387 reg-names = "smem", "irq-reg-base";
2388 qcom,irq-mask = <0x100>;
2389 interrupts = <GIC_SPI 156 IRQ_TYPE_EDGE_RISING>;
2390 label = "lpass";
Chris Lew13311dd2017-05-11 13:04:33 -07002391 qcom,qos-config = <&glink_qos_adsp>;
2392 qcom,ramp-time = <0xaf>;
2393 };
2394
2395 glink_qos_adsp: qcom,glink-qos-config-adsp {
2396 compatible = "qcom,glink-qos-config";
2397 qcom,flow-info = <0x3c 0x0>,
2398 <0x3c 0x0>,
2399 <0x3c 0x0>,
2400 <0x3c 0x0>;
2401 qcom,mtu-size = <0x800>;
2402 qcom,tput-stats-cycle = <0xa>;
Karthikeyan Ramasubramanian47260462016-09-19 14:15:45 -06002403 };
2404
2405 qcom,glink-smem-native-xprt-dsps@86000000 {
2406 compatible = "qcom,glink-smem-native-xprt";
2407 reg = <0x86000000 0x200000>,
2408 <0x1799000c 0x4>;
2409 reg-names = "smem", "irq-reg-base";
2410 qcom,irq-mask = <0x1000000>;
2411 interrupts = <GIC_SPI 170 IRQ_TYPE_EDGE_RISING>;
2412 label = "dsps";
2413 };
2414
Chris Lew5d4752f2017-05-11 13:14:30 -07002415 glink_spi_xprt_wdsp: qcom,glink-spi-xprt-wdsp {
2416 compatible = "qcom,glink-spi-xprt";
2417 label = "wdsp";
2418 qcom,remote-fifo-config = <&glink_fifo_wdsp>;
2419 qcom,qos-config = <&glink_qos_wdsp>;
2420 qcom,ramp-time = <0x10>,
2421 <0x20>,
2422 <0x30>,
2423 <0x40>;
2424 };
2425
2426 glink_fifo_wdsp: qcom,glink-fifo-config-wdsp {
2427 compatible = "qcom,glink-fifo-config";
2428 qcom,out-read-idx-reg = <0x12000>;
2429 qcom,out-write-idx-reg = <0x12004>;
2430 qcom,in-read-idx-reg = <0x1200C>;
2431 qcom,in-write-idx-reg = <0x12010>;
2432 };
2433
2434 glink_qos_wdsp: qcom,glink-qos-config-wdsp {
2435 compatible = "qcom,glink-qos-config";
2436 qcom,flow-info = <0x80 0x0>,
2437 <0x70 0x1>,
2438 <0x60 0x2>,
2439 <0x50 0x3>;
2440 qcom,mtu-size = <0x800>;
2441 qcom,tput-stats-cycle = <0xa>;
2442 };
2443
Karthikeyan Ramasubramanian47260462016-09-19 14:15:45 -06002444 qcom,glink-smem-native-xprt-cdsp@86000000 {
2445 compatible = "qcom,glink-smem-native-xprt";
2446 reg = <0x86000000 0x200000>,
2447 <0x1799000c 0x4>;
2448 reg-names = "smem", "irq-reg-base";
2449 qcom,irq-mask = <0x10>;
2450 interrupts = <GIC_SPI 574 IRQ_TYPE_EDGE_RISING>;
2451 label = "cdsp";
2452 };
Karthikeyan Ramasubramaniana0e3ff52016-09-19 14:31:36 -06002453
2454 glink_mpss: qcom,glink-ssr-modem {
2455 compatible = "qcom,glink_ssr";
2456 label = "modem";
2457 qcom,edge = "mpss";
2458 qcom,notify-edges = <&glink_lpass>, <&glink_dsps>,
2459 <&glink_cdsp>, <&glink_spss>;
2460 qcom,xprt = "smem";
2461 };
2462
2463 glink_lpass: qcom,glink-ssr-adsp {
2464 compatible = "qcom,glink_ssr";
2465 label = "adsp";
2466 qcom,edge = "lpass";
2467 qcom,notify-edges = <&glink_mpss>, <&glink_dsps>, <&glink_cdsp>;
2468 qcom,xprt = "smem";
2469 };
2470
2471 glink_dsps: qcom,glink-ssr-dsps {
2472 compatible = "qcom,glink_ssr";
2473 label = "slpi";
2474 qcom,edge = "dsps";
2475 qcom,notify-edges = <&glink_mpss>, <&glink_lpass>,
2476 <&glink_cdsp>;
2477 qcom,xprt = "smem";
2478 };
2479
2480 glink_cdsp: qcom,glink-ssr-cdsp {
2481 compatible = "qcom,glink_ssr";
2482 label = "cdsp";
2483 qcom,edge = "cdsp";
2484 qcom,notify-edges = <&glink_mpss>, <&glink_lpass>,
2485 <&glink_dsps>;
2486 qcom,xprt = "smem";
2487 };
2488
2489 glink_spss: qcom,glink-ssr-spss {
2490 compatible = "qcom,glink_ssr";
2491 label = "spss";
2492 qcom,edge = "spss";
2493 qcom,notify-edges = <&glink_mpss>;
2494 qcom,xprt = "mailbox";
2495 };
Karthikeyan Ramasubramanian8f0c1002016-09-19 15:44:53 -06002496
2497 qcom,ipc_router {
2498 compatible = "qcom,ipc_router";
2499 qcom,node-id = <1>;
2500 };
2501
2502 qcom,ipc_router_modem_xprt {
2503 compatible = "qcom,ipc_router_glink_xprt";
2504 qcom,ch-name = "IPCRTR";
2505 qcom,xprt-remote = "mpss";
2506 qcom,glink-xprt = "smem";
2507 qcom,xprt-linkid = <1>;
2508 qcom,xprt-version = <1>;
2509 qcom,fragmented-data;
2510 };
2511
2512 qcom,ipc_router_q6_xprt {
2513 compatible = "qcom,ipc_router_glink_xprt";
2514 qcom,ch-name = "IPCRTR";
2515 qcom,xprt-remote = "lpass";
2516 qcom,glink-xprt = "smem";
2517 qcom,xprt-linkid = <1>;
2518 qcom,xprt-version = <1>;
2519 qcom,fragmented-data;
2520 };
2521
2522 qcom,ipc_router_dsps_xprt {
2523 compatible = "qcom,ipc_router_glink_xprt";
2524 qcom,ch-name = "IPCRTR";
2525 qcom,xprt-remote = "dsps";
2526 qcom,glink-xprt = "smem";
2527 qcom,xprt-linkid = <1>;
2528 qcom,xprt-version = <1>;
2529 qcom,fragmented-data;
Arun Kumar Neelakantam6947b8b2017-06-29 21:39:22 +05302530 qcom,dynamic-wakeup-source;
Karthikeyan Ramasubramanian8f0c1002016-09-19 15:44:53 -06002531 };
2532
2533 qcom,ipc_router_cdsp_xprt {
2534 compatible = "qcom,ipc_router_glink_xprt";
2535 qcom,ch-name = "IPCRTR";
2536 qcom,xprt-remote = "cdsp";
2537 qcom,glink-xprt = "smem";
2538 qcom,xprt-linkid = <1>;
2539 qcom,xprt-version = <1>;
2540 qcom,fragmented-data;
2541 };
Karthikeyan Ramasubramanian608a2522016-09-19 15:50:38 -06002542
Kineret Berger4e328852017-02-16 10:49:03 +02002543 qcom,spcom {
2544 compatible = "qcom,spcom";
2545
2546 /* predefined channels, remote side is server */
2547 qcom,spcom-ch-names = "sp_kernel", "sp_ssr";
2548 status = "ok";
2549 };
2550
Reut Zysman0be87ce2017-03-19 14:35:54 +02002551 spss_utils: qcom,spss_utils {
2552 compatible = "qcom,spss-utils";
2553 /* spss fuses physical address */
2554 qcom,spss-fuse1-addr = <0x007841c4>;
2555 qcom,spss-fuse1-bit = <27>;
2556 qcom,spss-fuse2-addr = <0x007841c4>;
2557 qcom,spss-fuse2-bit = <26>;
2558 qcom,spss-dev-firmware-name = "spss1d"; /* 8 chars max */
2559 qcom,spss-test-firmware-name = "spss1t"; /* 8 chars max */
2560 qcom,spss-prod-firmware-name = "spss1p"; /* 8 chars max */
2561 qcom,spss-debug-reg-addr = <0x01886020>;
2562 status = "ok";
2563 };
2564
Karthikeyan Ramasubramanian608a2522016-09-19 15:50:38 -06002565 qcom,glink_pkt {
2566 compatible = "qcom,glinkpkt";
2567
2568 qcom,glinkpkt-at-mdm0 {
2569 qcom,glinkpkt-transport = "smem";
2570 qcom,glinkpkt-edge = "mpss";
2571 qcom,glinkpkt-ch-name = "DS";
2572 qcom,glinkpkt-dev-name = "at_mdm0";
2573 };
2574
2575 qcom,glinkpkt-loopback_cntl {
2576 qcom,glinkpkt-transport = "lloop";
2577 qcom,glinkpkt-edge = "local";
2578 qcom,glinkpkt-ch-name = "LOCAL_LOOPBACK_CLNT";
2579 qcom,glinkpkt-dev-name = "glink_pkt_loopback_ctrl";
2580 };
2581
2582 qcom,glinkpkt-loopback_data {
2583 qcom,glinkpkt-transport = "lloop";
2584 qcom,glinkpkt-edge = "local";
2585 qcom,glinkpkt-ch-name = "glink_pkt_lloop_CLNT";
2586 qcom,glinkpkt-dev-name = "glink_pkt_loopback";
2587 };
2588
2589 qcom,glinkpkt-apr-apps2 {
2590 qcom,glinkpkt-transport = "smem";
2591 qcom,glinkpkt-edge = "adsp";
2592 qcom,glinkpkt-ch-name = "apr_apps2";
2593 qcom,glinkpkt-dev-name = "apr_apps2";
2594 };
2595
2596 qcom,glinkpkt-data40-cntl {
2597 qcom,glinkpkt-transport = "smem";
2598 qcom,glinkpkt-edge = "mpss";
2599 qcom,glinkpkt-ch-name = "DATA40_CNTL";
2600 qcom,glinkpkt-dev-name = "smdcntl8";
2601 };
2602
2603 qcom,glinkpkt-data1 {
2604 qcom,glinkpkt-transport = "smem";
2605 qcom,glinkpkt-edge = "mpss";
2606 qcom,glinkpkt-ch-name = "DATA1";
2607 qcom,glinkpkt-dev-name = "smd7";
2608 };
2609
2610 qcom,glinkpkt-data4 {
2611 qcom,glinkpkt-transport = "smem";
2612 qcom,glinkpkt-edge = "mpss";
2613 qcom,glinkpkt-ch-name = "DATA4";
2614 qcom,glinkpkt-dev-name = "smd8";
2615 };
2616
2617 qcom,glinkpkt-data11 {
2618 qcom,glinkpkt-transport = "smem";
2619 qcom,glinkpkt-edge = "mpss";
2620 qcom,glinkpkt-ch-name = "DATA11";
2621 qcom,glinkpkt-dev-name = "smd11";
2622 };
2623 };
Amir Levyca8989f2016-11-30 15:31:36 +02002624
Yan He907385d2016-11-14 17:13:30 -08002625 qcom,sps {
2626 compatible = "qcom,msm_sps_4k";
2627 qcom,pipe-attr-ee;
2628 };
2629
Abir Ghosh089b50d02017-04-27 21:40:38 -07002630 qcom,qbt1000 {
2631 compatible = "qcom,qbt1000";
2632 clock-names = "core", "iface";
2633 clock-frequency = <25000000>;
2634 qcom,ipc-gpio = <&tlmm 121 0>;
2635 qcom,finger-detect-gpio = <&pm8998_gpios 5 0>;
2636 };
2637
AnilKumar Chimatae9577f42017-04-18 22:52:12 -07002638 qcom_seecom: qseecom@86d00000 {
2639 compatible = "qcom,qseecom";
2640 reg = <0x86d00000 0x2200000>;
2641 reg-names = "secapp-region";
2642 qcom,hlos-num-ce-hw-instances = <1>;
2643 qcom,hlos-ce-hw-instance = <0>;
2644 qcom,qsee-ce-hw-instance = <0>;
2645 qcom,disk-encrypt-pipe-pair = <2>;
2646 qcom,support-fde;
2647 qcom,no-clock-support;
AnilKumar Chimataa9de12a2017-07-03 18:00:34 +05302648 qcom,fde-key-size;
AnilKumar Chimatae9577f42017-04-18 22:52:12 -07002649 qcom,msm-bus,name = "qseecom-noc";
2650 qcom,msm-bus,num-cases = <4>;
2651 qcom,msm-bus,num-paths = <1>;
2652 qcom,msm-bus,vectors-KBps =
2653 <125 512 0 0>,
2654 <125 512 200000 400000>,
2655 <125 512 300000 800000>,
2656 <125 512 400000 1000000>;
2657 clock-names = "core_clk_src", "core_clk",
2658 "iface_clk", "bus_clk";
2659 clocks = <&clock_gcc GCC_CE1_CLK>,
2660 <&clock_gcc GCC_CE1_CLK>,
2661 <&clock_gcc GCC_CE1_AHB_CLK>,
2662 <&clock_gcc GCC_CE1_AXI_CLK>;
2663 qcom,ce-opp-freq = <171430000>;
2664 qcom,qsee-reentrancy-support = <2>;
2665 };
2666
AnilKumar Chimata51e70432017-04-18 22:52:12 -07002667 qcom_rng: qrng@793000 {
2668 compatible = "qcom,msm-rng";
2669 reg = <0x793000 0x1000>;
2670 qcom,msm-rng-iface-clk;
2671 qcom,no-qrng-config;
2672 qcom,msm-bus,name = "msm-rng-noc";
2673 qcom,msm-bus,num-cases = <2>;
2674 qcom,msm-bus,num-paths = <1>;
2675 qcom,msm-bus,vectors-KBps =
2676 <1 618 0 0>, /* No vote */
2677 <1 618 0 800>; /* 100 KHz */
2678 clocks = <&clock_gcc GCC_PRNG_AHB_CLK>;
2679 clock-names = "iface_clk";
2680 };
2681
AnilKumar Chimatac3297842017-04-18 22:52:12 -07002682 qcom_tzlog: tz-log@146bf720 {
2683 compatible = "qcom,tz-log";
2684 reg = <0x146bf720 0x3000>;
2685 qcom,hyplog-enabled;
2686 hyplog-address-offset = <0x410>;
2687 hyplog-size-offset = <0x414>;
2688 };
2689
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002690 qcom_cedev: qcedev@1de0000 {
2691 compatible = "qcom,qcedev";
2692 reg = <0x1de0000 0x20000>,
2693 <0x1dc4000 0x24000>;
2694 reg-names = "crypto-base","crypto-bam-base";
2695 interrupts = <0 272 0>;
2696 qcom,bam-pipe-pair = <1>;
2697 qcom,ce-hw-instance = <0>;
2698 qcom,ce-device = <0>;
2699 qcom,ce-hw-shared;
2700 qcom,bam-ee = <0>;
2701 qcom,msm-bus,name = "qcedev-noc";
2702 qcom,msm-bus,num-cases = <2>;
2703 qcom,msm-bus,num-paths = <1>;
2704 qcom,msm-bus,vectors-KBps =
2705 <125 512 0 0>,
2706 <125 512 393600 393600>;
2707 clock-names = "core_clk_src", "core_clk",
2708 "iface_clk", "bus_clk";
2709 clocks = <&clock_gcc GCC_CE1_CLK>,
2710 <&clock_gcc GCC_CE1_CLK>,
2711 <&clock_gcc GCC_CE1_AHB_CLK>,
2712 <&clock_gcc GCC_CE1_AXI_CLK>;
2713 qcom,ce-opp-freq = <171430000>;
AnilKumar Chimatafb8eae42017-05-03 13:04:47 -07002714 qcom,request-bw-before-clk;
AnilKumar Chimata4e6f8a92017-07-26 19:13:12 +05302715 qcom,smmu-s1-bypass;
2716 iommus = <&apps_smmu 0x702 0x1>,
2717 <&apps_smmu 0x712 0x1>;
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002718 };
2719
Tatenda Chipeperekwad1ae6b12017-07-10 12:54:29 -07002720 qcom_msmhdcp: qcom,msm_hdcp {
2721 compatible = "qcom,msm-hdcp";
2722 };
2723
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002724 qcom_crypto: qcrypto@1de0000 {
2725 compatible = "qcom,qcrypto";
2726 reg = <0x1de0000 0x20000>,
2727 <0x1dc4000 0x24000>;
2728 reg-names = "crypto-base","crypto-bam-base";
2729 interrupts = <0 272 0>;
2730 qcom,bam-pipe-pair = <2>;
2731 qcom,ce-hw-instance = <0>;
2732 qcom,ce-device = <0>;
2733 qcom,bam-ee = <0>;
2734 qcom,ce-hw-shared;
2735 qcom,clk-mgmt-sus-res;
2736 qcom,msm-bus,name = "qcrypto-noc";
2737 qcom,msm-bus,num-cases = <2>;
2738 qcom,msm-bus,num-paths = <1>;
2739 qcom,msm-bus,vectors-KBps =
2740 <125 512 0 0>,
2741 <125 512 393600 393600>;
2742 clock-names = "core_clk_src", "core_clk",
2743 "iface_clk", "bus_clk";
2744 clocks = <&clock_gcc GCC_CE1_CLK>,
2745 <&clock_gcc GCC_CE1_CLK>,
2746 <&clock_gcc GCC_CE1_AHB_CLK>,
2747 <&clock_gcc GCC_CE1_AXI_CLK>;
2748 qcom,ce-opp-freq = <171430000>;
AnilKumar Chimatafb8eae42017-05-03 13:04:47 -07002749 qcom,request-bw-before-clk;
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002750 qcom,use-sw-aes-cbc-ecb-ctr-algo;
2751 qcom,use-sw-aes-xts-algo;
2752 qcom,use-sw-aes-ccm-algo;
2753 qcom,use-sw-ahash-algo;
2754 qcom,use-sw-aead-algo;
2755 qcom,use-sw-hmac-algo;
AnilKumar Chimata4e6f8a92017-07-26 19:13:12 +05302756 qcom,smmu-s1-bypass;
2757 iommus = <&apps_smmu 0x704 0x3>,
2758 <&apps_smmu 0x714 0x3>;
AnilKumar Chimata285924a2017-04-18 22:52:12 -07002759 };
2760
Amir Levyca8989f2016-11-30 15:31:36 +02002761 qcom,msm_gsi {
2762 compatible = "qcom,msm_gsi";
2763 };
2764
Ritesh Harjani0cd528f2017-04-19 14:19:55 +05302765 qcom,rmtfs_sharedmem@0 {
2766 compatible = "qcom,sharedmem-uio";
2767 reg = <0x0 0x200000>;
2768 reg-names = "rmtfs";
2769 qcom,client-id = <0x00000001>;
2770 };
2771
Amir Levy9654f172016-11-30 15:33:23 +02002772 qcom,rmnet-ipa {
2773 compatible = "qcom,rmnet-ipa3";
2774 qcom,rmnet-ipa-ssr;
2775 qcom,ipa-loaduC;
2776 qcom,ipa-advertise-sg-support;
Skylar Changfdadb6e62017-04-19 15:49:52 -07002777 qcom,ipa-napi-enable;
Amir Levy9654f172016-11-30 15:33:23 +02002778 };
2779
Amir Levyca8989f2016-11-30 15:31:36 +02002780 ipa_hw: qcom,ipa@01e00000 {
2781 compatible = "qcom,ipa";
2782 reg = <0x1e00000 0x34000>,
2783 <0x1e04000 0x2c000>;
2784 reg-names = "ipa-base", "gsi-base";
2785 interrupts =
2786 <0 311 0>,
2787 <0 432 0>;
2788 interrupt-names = "ipa-irq", "gsi-irq";
2789 qcom,ipa-hw-ver = <13>; /* IPA core version = IPAv3.5.1 */
2790 qcom,ipa-hw-mode = <1>;
2791 qcom,ee = <0>;
Amir Levyca8989f2016-11-30 15:31:36 +02002792 qcom,use-ipa-tethering-bridge;
2793 qcom,modem-cfg-emb-pipe-flt;
2794 qcom,ipa-wdi2;
2795 qcom,use-64-bit-dma-mask;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002796 qcom,arm-smmu;
2797 qcom,smmu-s1-bypass;
Ghanim Fodi448abca2017-03-05 18:41:27 +02002798 qcom,bandwidth-vote-for-ipa;
Amir Levyca8989f2016-11-30 15:31:36 +02002799 qcom,msm-bus,name = "ipa";
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002800 qcom,msm-bus,num-cases = <5>;
Ghanim Fodi448abca2017-03-05 18:41:27 +02002801 qcom,msm-bus,num-paths = <4>;
Amir Levyca8989f2016-11-30 15:31:36 +02002802 qcom,msm-bus,vectors-KBps =
2803 /* No vote */
2804 <90 512 0 0>,
2805 <90 585 0 0>,
2806 <1 676 0 0>,
Ghanim Fodi448abca2017-03-05 18:41:27 +02002807 <143 777 0 0>,
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002808 /* SVS2 */
2809 <90 512 80000 600000>,
2810 <90 585 80000 350000>,
2811 <1 676 40000 40000>, /*gcc_config_noc_clk_src */
2812 <143 777 0 75>, /* IB defined for IPA2X_clk in MHz*/
Amir Levyca8989f2016-11-30 15:31:36 +02002813 /* SVS */
2814 <90 512 80000 640000>,
2815 <90 585 80000 640000>,
2816 <1 676 80000 80000>,
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002817 <143 777 0 150>, /* IB defined for IPA2X_clk in MHz*/
Amir Levyca8989f2016-11-30 15:31:36 +02002818 /* NOMINAL */
2819 <90 512 206000 960000>,
2820 <90 585 206000 960000>,
2821 <1 676 206000 160000>,
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002822 <143 777 0 300>, /* IB defined for IPA2X_clk in MHz*/
Amir Levyca8989f2016-11-30 15:31:36 +02002823 /* TURBO */
2824 <90 512 206000 3600000>,
2825 <90 585 206000 3600000>,
Ghanim Fodi448abca2017-03-05 18:41:27 +02002826 <1 676 206000 300000>,
David Daic063f0f2017-07-05 11:21:21 -07002827 <143 777 0 355>; /* IB defined for IPA clk in MHz*/
Skylar Chang0e66d4f2017-08-29 14:27:53 -07002828 qcom,bus-vector-names =
2829 "MIN", "SVS2", "SVS", "NOMINAL", "TURBO";
Amir Levyca8989f2016-11-30 15:31:36 +02002830
2831 /* IPA RAM mmap */
2832 qcom,ipa-ram-mmap = <
2833 0x280 /* ofst_start; */
2834 0x0 /* nat_ofst; */
2835 0x0 /* nat_size; */
2836 0x288 /* v4_flt_hash_ofst; */
2837 0x78 /* v4_flt_hash_size; */
2838 0x4000 /* v4_flt_hash_size_ddr; */
2839 0x308 /* v4_flt_nhash_ofst; */
2840 0x78 /* v4_flt_nhash_size; */
2841 0x4000 /* v4_flt_nhash_size_ddr; */
2842 0x388 /* v6_flt_hash_ofst; */
2843 0x78 /* v6_flt_hash_size; */
2844 0x4000 /* v6_flt_hash_size_ddr; */
2845 0x408 /* v6_flt_nhash_ofst; */
2846 0x78 /* v6_flt_nhash_size; */
2847 0x4000 /* v6_flt_nhash_size_ddr; */
2848 0xf /* v4_rt_num_index; */
2849 0x0 /* v4_modem_rt_index_lo; */
2850 0x7 /* v4_modem_rt_index_hi; */
2851 0x8 /* v4_apps_rt_index_lo; */
2852 0xe /* v4_apps_rt_index_hi; */
2853 0x488 /* v4_rt_hash_ofst; */
2854 0x78 /* v4_rt_hash_size; */
2855 0x4000 /* v4_rt_hash_size_ddr; */
2856 0x508 /* v4_rt_nhash_ofst; */
2857 0x78 /* v4_rt_nhash_size; */
2858 0x4000 /* v4_rt_nhash_size_ddr; */
2859 0xf /* v6_rt_num_index; */
2860 0x0 /* v6_modem_rt_index_lo; */
2861 0x7 /* v6_modem_rt_index_hi; */
2862 0x8 /* v6_apps_rt_index_lo; */
2863 0xe /* v6_apps_rt_index_hi; */
2864 0x588 /* v6_rt_hash_ofst; */
2865 0x78 /* v6_rt_hash_size; */
2866 0x4000 /* v6_rt_hash_size_ddr; */
2867 0x608 /* v6_rt_nhash_ofst; */
2868 0x78 /* v6_rt_nhash_size; */
2869 0x4000 /* v6_rt_nhash_size_ddr; */
2870 0x688 /* modem_hdr_ofst; */
2871 0x140 /* modem_hdr_size; */
2872 0x7c8 /* apps_hdr_ofst; */
2873 0x0 /* apps_hdr_size; */
2874 0x800 /* apps_hdr_size_ddr; */
2875 0x7d0 /* modem_hdr_proc_ctx_ofst; */
2876 0x200 /* modem_hdr_proc_ctx_size; */
2877 0x9d0 /* apps_hdr_proc_ctx_ofst; */
2878 0x200 /* apps_hdr_proc_ctx_size; */
2879 0x0 /* apps_hdr_proc_ctx_size_ddr; */
2880 0x0 /* modem_comp_decomp_ofst; diff */
2881 0x0 /* modem_comp_decomp_size; diff */
2882 0xbd8 /* modem_ofst; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002883 0x1024 /* modem_size; */
2884 0x2000 /* apps_v4_flt_hash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002885 0x0 /* apps_v4_flt_hash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002886 0x2000 /* apps_v4_flt_nhash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002887 0x0 /* apps_v4_flt_nhash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002888 0x2000 /* apps_v6_flt_hash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002889 0x0 /* apps_v6_flt_hash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002890 0x2000 /* apps_v6_flt_nhash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002891 0x0 /* apps_v6_flt_nhash_size; */
2892 0x80 /* uc_info_ofst; */
2893 0x200 /* uc_info_size; */
2894 0x2000 /* end_ofst; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002895 0x2000 /* apps_v4_rt_hash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002896 0x0 /* apps_v4_rt_hash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002897 0x2000 /* apps_v4_rt_nhash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002898 0x0 /* apps_v4_rt_nhash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002899 0x2000 /* apps_v6_rt_hash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002900 0x0 /* apps_v6_rt_hash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002901 0x2000 /* apps_v6_rt_nhash_ofst; */
Amir Levyca8989f2016-11-30 15:31:36 +02002902 0x0 /* apps_v6_rt_nhash_size; */
Amir Levydf8f28d2017-04-25 11:26:41 +03002903 0x1c00 /* uc_event_ring_ofst; */
2904 0x400 /* uc_event_ring_size; */
Amir Levyca8989f2016-11-30 15:31:36 +02002905 >;
Ghanim Fodi154110e2017-04-07 19:27:15 +03002906
2907 /* smp2p gpio information */
2908 qcom,smp2pgpio_map_ipa_1_out {
2909 compatible = "qcom,smp2pgpio-map-ipa-1-out";
2910 gpios = <&smp2pgpio_ipa_1_out 0 0>;
2911 };
2912
2913 qcom,smp2pgpio_map_ipa_1_in {
2914 compatible = "qcom,smp2pgpio-map-ipa-1-in";
2915 gpios = <&smp2pgpio_ipa_1_in 0 0>;
2916 };
Ghanim Fodib8d30752017-04-08 13:41:24 +03002917
2918 ipa_smmu_ap: ipa_smmu_ap {
2919 compatible = "qcom,ipa-smmu-ap-cb";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07002920 iommus = <&apps_smmu 0x720 0x0>;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002921 qcom,iova-mapping = <0x20000000 0x40000000>;
2922 };
2923
2924 ipa_smmu_wlan: ipa_smmu_wlan {
2925 compatible = "qcom,ipa-smmu-wlan-cb";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07002926 iommus = <&apps_smmu 0x721 0x0>;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002927 };
2928
2929 ipa_smmu_uc: ipa_smmu_uc {
2930 compatible = "qcom,ipa-smmu-uc-cb";
Patrick Dalyc4aaa902017-04-24 12:45:11 -07002931 iommus = <&apps_smmu 0x722 0x0>;
Ghanim Fodib8d30752017-04-08 13:41:24 +03002932 qcom,iova-mapping = <0x40000000 0x20000000>;
2933 };
Amir Levyca8989f2016-11-30 15:31:36 +02002934 };
Channagoud Kadabi39d26f22016-10-27 18:41:04 -07002935
Amir Levyf5eede22017-02-07 09:16:50 +02002936 qcom,ipa_fws {
2937 compatible = "qcom,pil-tz-generic";
2938 qcom,pas-id = <0xf>;
2939 qcom,firmware-name = "ipa_fws";
2940 };
2941
Channagoud Kadabi39d26f22016-10-27 18:41:04 -07002942 qcom,chd_sliver {
2943 compatible = "qcom,core-hang-detect";
2944 label = "silver";
2945 qcom,threshold-arr = <0x17e00058 0x17e10058
2946 0x17e20058 0x17e30058>;
2947 qcom,config-arr = <0x17e00060 0x17e10060
2948 0x17e20060 0x17e30060>;
2949 };
2950
2951 qcom,chd_gold {
2952 compatible = "qcom,core-hang-detect";
2953 label = "gold";
2954 qcom,threshold-arr = <0x17e40058 0x17e50058
2955 0x17e60058 0x17e70058>;
2956 qcom,config-arr = <0x17e40060 0x17e50060
2957 0x17e60060 0x17e70060>;
2958 };
2959
2960 qcom,ghd {
Kyle Yan5dda2452016-11-16 16:44:17 -08002961 compatible = "qcom,gladiator-hang-detect-v2";
Channagoud Kadabi39d26f22016-10-27 18:41:04 -07002962 qcom,threshold-arr = <0x1799041c 0x17990420>;
2963 qcom,config-reg = <0x17990434>;
2964 };
Mahesh Sivasubramanianf0dddb62016-10-19 14:17:44 -06002965
Kyle Yan3a641f42016-11-21 14:00:04 -08002966 qcom,msm-gladiator-v3@17900000 {
2967 compatible = "qcom,msm-gladiator-v3";
2968 reg = <0x17900000 0xd080>;
2969 reg-names = "gladiator_base";
2970 interrupts = <0 17 0>;
2971 };
2972
Mahesh Sivasubramanianf0dddb62016-10-19 14:17:44 -06002973 cmd_db: qcom,cmd-db@861e0000 {
2974 compatible = "qcom,cmd-db";
Mahesh Sivasubramaniand65a35e2017-04-28 11:18:13 -06002975 reg = <0xc3f000c 8>;
Mahesh Sivasubramanianf0dddb62016-10-19 14:17:44 -06002976 };
Satyajit Desai260bd392017-02-22 10:28:02 -08002977
2978 dcc: dcc_v2@10a2000 {
2979 compatible = "qcom,dcc_v2";
2980 reg = <0x10a2000 0x1000>,
2981 <0x10ae000 0x2000>;
2982 reg-names = "dcc-base", "dcc-ram-base";
Satyajit Desaiabf54902017-04-19 17:24:56 -07002983
2984 dcc-ram-offset = <0x6000>;
Satyajit Desai260bd392017-02-22 10:28:02 -08002985 };
Syed Rameez Mustafa38ae7732017-03-29 14:55:38 -07002986
2987 qcom,msm-core@780000 {
2988 compatible = "qcom,apss-core-ea";
2989 reg = <0x780000 0x1000>;
2990 };
Yuanyuan Liu2da2cc02017-04-05 18:02:58 -07002991
2992 qcom,icnss@18800000 {
2993 compatible = "qcom,icnss";
2994 reg = <0x18800000 0x800000>,
2995 <0xa0000000 0x10000000>,
2996 <0xb0000000 0x10000>;
2997 reg-names = "membase", "smmu_iova_base", "smmu_iova_ipa";
Patrick Daly0bfea052017-04-18 16:44:07 -07002998 iommus = <&apps_smmu 0x0040 0x1>;
Yuanyuan Liu2da2cc02017-04-05 18:02:58 -07002999 interrupts = <0 414 0 /* CE0 */ >,
3000 <0 415 0 /* CE1 */ >,
3001 <0 416 0 /* CE2 */ >,
3002 <0 417 0 /* CE3 */ >,
3003 <0 418 0 /* CE4 */ >,
3004 <0 419 0 /* CE5 */ >,
3005 <0 420 0 /* CE6 */ >,
3006 <0 421 0 /* CE7 */ >,
3007 <0 422 0 /* CE8 */ >,
3008 <0 423 0 /* CE9 */ >,
3009 <0 424 0 /* CE10 */ >,
3010 <0 425 0 /* CE11 */ >;
3011 qcom,wlan-msa-memory = <0x100000>;
Yuanyuan Liu8b58ae92017-08-31 10:25:45 -07003012 qcom,wlan-msa-fixed-region = <&wlan_fw_region>;
Yuanyuan Liu5438b742017-05-09 17:44:47 -07003013
3014 vdd-0.8-cx-mx-supply = <&pm8998_l5>;
3015 vdd-1.8-xo-supply = <&pm8998_l7>;
3016 vdd-1.3-rfa-supply = <&pm8998_l17>;
3017 vdd-3.3-ch0-supply = <&pm8998_l25>;
3018 qcom,vdd-0.8-cx-mx-config = <800000 800000>;
3019 qcom,vdd-3.3-ch0-config = <3104000 3312000>;
Hardik Kantilal Patelf908d6d2017-07-19 11:38:43 +05303020 qcom,smmu-s1-bypass;
Yuanyuan Liu2da2cc02017-04-05 18:02:58 -07003021 };
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003022
Manaf Meethalavalappu Pallikunhi5849bae2017-06-29 15:47:17 +05303023 qmi-tmd-devices {
3024 compatible = "qcom,qmi_cooling_devices";
3025
3026 modem {
3027 qcom,instance-id = <0x0>;
3028
3029 modem_pa: modem_pa {
3030 qcom,qmi-dev-name = "pa";
3031 #cooling-cells = <2>;
3032 };
3033
3034 modem_proc: modem_proc {
3035 qcom,qmi-dev-name = "modem";
3036 #cooling-cells = <2>;
3037 };
3038
3039 modem_current: modem_current {
3040 qcom,qmi-dev-name = "modem_current";
3041 #cooling-cells = <2>;
3042 };
3043
3044 modem_vdd: modem_vdd {
3045 qcom,qmi-dev-name = "cpuv_restriction_cold";
3046 #cooling-cells = <2>;
3047 };
3048 };
3049
3050 adsp {
3051 qcom,instance-id = <0x1>;
3052
3053 adsp_vdd: adsp_vdd {
3054 qcom,qmi-dev-name = "cpuv_restriction_cold";
3055 #cooling-cells = <2>;
3056 };
3057 };
3058
3059 cdsp {
3060 qcom,instance-id = <0x43>;
3061
3062 cdsp_vdd: cdsp_vdd {
3063 qcom,qmi-dev-name = "cpuv_restriction_cold";
3064 #cooling-cells = <2>;
3065 };
3066 };
3067
3068 slpi {
3069 qcom,instance-id = <0x53>;
3070
3071 slpi_vdd: slpi_vdd {
3072 qcom,qmi-dev-name = "cpuv_restriction_cold";
3073 #cooling-cells = <2>;
3074 };
3075 };
3076 };
3077
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003078 thermal_zones: thermal-zones {
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003079 aoss0-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003080 polling-delay-passive = <0>;
3081 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003082 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003083 thermal-sensors = <&tsens0 0>;
3084 trips {
3085 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003086 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003087 hysteresis = <1000>;
3088 type = "passive";
3089 };
3090 };
3091 };
3092
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003093 cpu0-silver-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003094 polling-delay-passive = <0>;
3095 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003096 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003097 thermal-sensors = <&tsens0 1>;
3098 trips {
3099 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003100 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003101 hysteresis = <1000>;
3102 type = "passive";
3103 };
3104 };
3105 };
3106
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003107 cpu1-silver-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003108 polling-delay-passive = <0>;
3109 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003110 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003111 thermal-sensors = <&tsens0 2>;
3112 trips {
3113 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003114 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003115 hysteresis = <1000>;
3116 type = "passive";
3117 };
3118 };
3119 };
3120
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003121 cpu2-silver-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003122 polling-delay-passive = <0>;
3123 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003124 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003125 thermal-sensors = <&tsens0 3>;
3126 trips {
3127 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003128 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003129 hysteresis = <1000>;
3130 type = "passive";
3131 };
3132 };
3133 };
3134
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003135 cpu3-silver-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003136 polling-delay-passive = <0>;
3137 polling-delay = <0>;
3138 thermal-sensors = <&tsens0 4>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003139 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003140 trips {
3141 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003142 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003143 hysteresis = <1000>;
3144 type = "passive";
3145 };
3146 };
3147 };
3148
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003149 kryo-l3-0-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003150 polling-delay-passive = <0>;
3151 polling-delay = <0>;
3152 thermal-sensors = <&tsens0 5>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003153 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003154 trips {
3155 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003156 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003157 hysteresis = <1000>;
3158 type = "passive";
3159 };
3160 };
3161 };
3162
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003163 kryo-l3-1-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003164 polling-delay-passive = <0>;
3165 polling-delay = <0>;
3166 thermal-sensors = <&tsens0 6>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003167 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003168 trips {
3169 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003170 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003171 hysteresis = <1000>;
3172 type = "passive";
3173 };
3174 };
3175 };
3176
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003177 cpu0-gold-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003178 polling-delay-passive = <0>;
3179 polling-delay = <0>;
3180 thermal-sensors = <&tsens0 7>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003181 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003182 trips {
3183 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003184 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003185 hysteresis = <1000>;
3186 type = "passive";
3187 };
3188 };
3189 };
3190
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003191 cpu1-gold-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003192 polling-delay-passive = <0>;
3193 polling-delay = <0>;
3194 thermal-sensors = <&tsens0 8>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003195 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003196 trips {
3197 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003198 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003199 hysteresis = <1000>;
3200 type = "passive";
3201 };
3202 };
3203 };
3204
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003205 cpu2-gold-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003206 polling-delay-passive = <0>;
3207 polling-delay = <0>;
3208 thermal-sensors = <&tsens0 9>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003209 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003210 trips {
3211 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003212 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003213 hysteresis = <1000>;
3214 type = "passive";
3215 };
3216 };
3217 };
3218
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003219 cpu3-gold-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003220 polling-delay-passive = <0>;
3221 polling-delay = <0>;
3222 thermal-sensors = <&tsens0 10>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003223 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003224 trips {
3225 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003226 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003227 hysteresis = <1000>;
3228 type = "passive";
3229 };
3230 };
3231 };
3232
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003233 gpu0-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003234 polling-delay-passive = <0>;
3235 polling-delay = <0>;
3236 thermal-sensors = <&tsens0 11>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003237 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003238 trips {
3239 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003240 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003241 hysteresis = <1000>;
3242 type = "passive";
3243 };
3244 };
3245 };
3246
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003247 gpu1-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003248 polling-delay-passive = <0>;
3249 polling-delay = <0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003250 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003251 thermal-sensors = <&tsens0 12>;
3252 trips {
3253 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003254 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003255 hysteresis = <1000>;
3256 type = "passive";
3257 };
3258 };
3259 };
3260
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003261 aoss1-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003262 polling-delay-passive = <0>;
3263 polling-delay = <0>;
3264 thermal-sensors = <&tsens1 0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003265 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003266 trips {
3267 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003268 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003269 hysteresis = <1000>;
3270 type = "passive";
3271 };
3272 };
3273 };
3274
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003275 mdm-dsp-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003276 polling-delay-passive = <0>;
3277 polling-delay = <0>;
3278 thermal-sensors = <&tsens1 1>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003279 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003280 trips {
3281 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003282 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003283 hysteresis = <1000>;
3284 type = "passive";
3285 };
3286 };
3287 };
3288
3289
3290
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003291 ddr-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003292 polling-delay-passive = <0>;
3293 polling-delay = <0>;
3294 thermal-sensors = <&tsens1 2>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003295 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003296 trips {
3297 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003298 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003299 hysteresis = <1000>;
3300 type = "passive";
3301 };
3302 };
3303 };
3304
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003305 wlan-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003306 polling-delay-passive = <0>;
3307 polling-delay = <0>;
3308 thermal-sensors = <&tsens1 3>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003309 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003310 trips {
3311 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003312 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003313 hysteresis = <1000>;
3314 type = "passive";
3315 };
3316 };
3317 };
3318
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003319 compute-hvx-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003320 polling-delay-passive = <0>;
3321 polling-delay = <0>;
3322 thermal-sensors = <&tsens1 4>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003323 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003324 trips {
3325 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003326 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003327 hysteresis = <1000>;
3328 type = "passive";
3329 };
3330 };
3331 };
3332
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003333 camera-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003334 polling-delay-passive = <0>;
3335 polling-delay = <0>;
3336 thermal-sensors = <&tsens1 5>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003337 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003338 trips {
3339 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003340 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003341 hysteresis = <1000>;
3342 type = "passive";
3343 };
3344 };
3345 };
3346
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003347 mmss-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003348 polling-delay-passive = <0>;
3349 polling-delay = <0>;
3350 thermal-sensors = <&tsens1 6>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003351 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003352 trips {
3353 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003354 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003355 hysteresis = <1000>;
3356 type = "passive";
3357 };
3358 };
3359 };
3360
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003361 mdm-core-usr {
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003362 polling-delay-passive = <0>;
3363 polling-delay = <0>;
3364 thermal-sensors = <&tsens1 7>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003365 thermal-governor = "user_space";
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003366 trips {
3367 active-config0 {
Ram Chandrasekara6e51772017-05-18 16:23:54 -06003368 temperature = <125000>;
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003369 hysteresis = <1000>;
3370 type = "passive";
3371 };
3372 };
3373 };
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003374
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003375 gpu-virt-max-step {
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003376 polling-delay-passive = <10>;
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003377 polling-delay = <100>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003378 thermal-governor = "step_wise";
3379 trips {
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003380 gpu_trip0: gpu-trip0 {
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003381 temperature = <95000>;
3382 hysteresis = <0>;
3383 type = "passive";
3384 };
3385 };
3386 cooling-maps {
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003387 gpu_cdev0 {
3388 trip = <&gpu_trip0>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003389 cooling-device =
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003390 <&msm_gpu 0 THERMAL_NO_LIMIT>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003391 };
3392 };
3393 };
3394
Ram Chandrasekardebcd412017-06-23 13:47:38 -06003395 silv-virt-max-step {
3396 polling-delay-passive = <0>;
3397 polling-delay = <0>;
3398 thermal-governor = "step_wise";
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003399 trips {
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003400 silver-trip {
3401 temperature = <120000>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003402 hysteresis = <0>;
3403 type = "passive";
3404 };
3405 };
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003406 };
3407
Ram Chandrasekardebcd412017-06-23 13:47:38 -06003408 gold-virt-max-step {
3409 polling-delay-passive = <0>;
3410 polling-delay = <0>;
3411 thermal-governor = "step_wise";
Ram Chandrasekarb4392bf2017-05-15 16:04:03 -06003412 trips {
3413 gold-trip {
3414 temperature = <120000>;
3415 hysteresis = <0>;
3416 type = "passive";
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003417 };
3418 };
3419 };
3420
Siddartha Mohanadossd550ea42017-05-12 10:03:17 -07003421 pop-mem-step {
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003422 polling-delay-passive = <10>;
3423 polling-delay = <0>;
3424 thermal-sensors = <&tsens1 2>;
3425 thermal-governor = "step_wise";
3426 trips {
3427 pop_trip: pop-trip {
3428 temperature = <95000>;
3429 hysteresis = <0>;
3430 type = "passive";
3431 };
3432 };
3433 cooling-maps {
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003434 pop_cdev4 {
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003435 trip = <&pop_trip>;
3436 cooling-device =
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003437 <&CPU4 THERMAL_NO_LIMIT
3438 (THERMAL_MAX_LIMIT-1)>;
3439 };
3440 pop_cdev5 {
3441 trip = <&pop_trip>;
3442 cooling-device =
3443 <&CPU5 THERMAL_NO_LIMIT
3444 (THERMAL_MAX_LIMIT-1)>;
3445 };
3446 pop_cdev6 {
3447 trip = <&pop_trip>;
3448 cooling-device =
3449 <&CPU6 THERMAL_NO_LIMIT
3450 (THERMAL_MAX_LIMIT-1)>;
3451 };
3452 pop_cdev7 {
3453 trip = <&pop_trip>;
3454 cooling-device =
3455 <&CPU7 THERMAL_NO_LIMIT
3456 (THERMAL_MAX_LIMIT-1)>;
Ram Chandrasekar36ffe552017-04-17 16:33:05 -06003457 };
3458 };
3459 };
3460
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003461 lmh-dcvs-01 {
3462 polling-delay-passive = <0>;
3463 polling-delay = <0>;
3464 thermal-governor = "user_space";
3465 thermal-sensors = <&lmh_dcvs1>;
3466
3467 trips {
3468 active-config {
3469 temperature = <95000>;
3470 hysteresis = <30000>;
3471 type = "passive";
3472 };
3473 };
3474 };
3475
3476 lmh-dcvs-00 {
3477 polling-delay-passive = <0>;
3478 polling-delay = <0>;
3479 thermal-governor = "user_space";
3480 thermal-sensors = <&lmh_dcvs0>;
3481
3482 trips {
3483 active-config {
3484 temperature = <95000>;
3485 hysteresis = <30000>;
3486 type = "passive";
3487 };
3488 };
3489 };
3490
Siddartha Mohanadoss54957042017-04-10 11:03:16 -07003491 };
3492
3493 tsens0: tsens@c222000 {
3494 compatible = "qcom,sdm845-tsens";
3495 reg = <0xc222000 0x4>,
3496 <0xc263000 0x1ff>;
3497 reg-names = "tsens_srot_physical",
3498 "tsens_tm_physical";
3499 interrupts = <0 506 0>, <0 508 0>;
3500 interrupt-names = "tsens-upper-lower", "tsens-critical";
3501 #thermal-sensor-cells = <1>;
3502 };
3503
3504 tsens1: tsens@c223000 {
3505 compatible = "qcom,sdm845-tsens";
3506 reg = <0xc223000 0x4>,
3507 <0xc265000 0x1ff>;
3508 reg-names = "tsens_srot_physical",
3509 "tsens_tm_physical";
3510 interrupts = <0 507 0>, <0 509 0>;
3511 interrupt-names = "tsens-upper-lower", "tsens-critical";
3512 #thermal-sensor-cells = <1>;
3513 };
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003514
Satyajit Desai89c4e2e2017-05-11 19:34:47 -07003515 mem_dump {
3516 compatible = "qcom,mem-dump";
3517 memory-region = <&dump_mem>;
3518
3519 rpmh_dump {
3520 qcom,dump-size = <0x2000000>;
3521 qcom,dump-id = <0xec>;
3522 };
3523
3524 rpm_sw_dump {
3525 qcom,dump-size = <0x28000>;
3526 qcom,dump-id = <0xea>;
3527 };
3528
3529 pmic_dump {
3530 qcom,dump-size = <0x10000>;
3531 qcom,dump-id = <0xe4>;
3532 };
3533
3534 tmc_etf_dump {
3535 qcom,dump-size = <0x10000>;
3536 qcom,dump-id = <0xf0>;
3537 };
3538
3539 tmc_etf_swao_dump {
3540 qcom,dump-size = <0x8400>;
3541 qcom,dump-id = <0xf1>;
3542 };
3543
Satyajit Desai99df43f2017-05-25 17:49:54 -07003544 tmc_etr_reg_dump {
3545 qcom,dump-size = <0x1000>;
3546 qcom,dump-id = <0x100>;
3547 };
3548
3549 tmc_etf_reg_dump {
3550 qcom,dump-size = <0x1000>;
3551 qcom,dump-id = <0x101>;
3552 };
3553
3554 tmc_etf_swao_reg_dump {
3555 qcom,dump-size = <0x1000>;
3556 qcom,dump-id = <0x102>;
3557 };
3558
Satyajit Desai89c4e2e2017-05-11 19:34:47 -07003559 misc_data_dump {
3560 qcom,dump-size = <0x1000>;
3561 qcom,dump-id = <0xe8>;
3562 };
3563 };
3564
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003565 gpi_dma0: qcom,gpi-dma@0x800000 {
Sujeev Diasdfe09e12017-08-31 18:31:04 -07003566 #dma-cells = <5>;
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003567 compatible = "qcom,gpi-dma";
3568 reg = <0x800000 0x60000>;
3569 reg-names = "gpi-top";
3570 interrupts = <0 244 0>, <0 245 0>, <0 246 0>, <0 247 0>,
3571 <0 248 0>, <0 249 0>, <0 250 0>, <0 251 0>,
3572 <0 252 0>, <0 253 0>, <0 254 0>, <0 255 0>,
3573 <0 256 0>;
3574 qcom,max-num-gpii = <13>;
3575 qcom,gpii-mask = <0xfa>;
3576 qcom,ev-factor = <2>;
3577 iommus = <&apps_smmu 0x0016 0x0>;
Sujeev Dias69484212017-08-31 10:06:53 -07003578 qcom,smmu-cfg = <0x1>;
3579 qcom,iova-range = <0x0 0x100000 0x0 0x100000>;
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003580 status = "ok";
3581 };
3582
3583 gpi_dma1: qcom,gpi-dma@0xa00000 {
Sujeev Diasdfe09e12017-08-31 18:31:04 -07003584 #dma-cells = <5>;
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003585 compatible = "qcom,gpi-dma";
3586 reg = <0xa00000 0x60000>;
3587 reg-names = "gpi-top";
3588 interrupts = <0 279 0>, <0 280 0>, <0 281 0>, <0 282 0>,
3589 <0 283 0>, <0 284 0>, <0 293 0>, <0 294 0>,
3590 <0 295 0>, <0 296 0>, <0 297 0>, <0 298 0>,
3591 <0 299 0>;
3592 qcom,max-num-gpii = <13>;
3593 qcom,gpii-mask = <0xfa>;
3594 qcom,ev-factor = <2>;
3595 iommus = <&apps_smmu 0x06d6 0x0>;
Sujeev Dias69484212017-08-31 10:06:53 -07003596 qcom,smmu-cfg = <0x1>;
3597 qcom,iova-range = <0x0 0x100000 0x0 0x100000>;
Sujeev Dias2bf23ec2017-05-05 19:40:31 -07003598 status = "ok";
3599 };
Udaya Bhaskara Reddy Mallavarapu55ef0cb2017-06-12 14:45:28 +05303600
3601 tspp: msm_tspp@0x8880000 {
3602 compatible = "qcom,msm_tspp";
3603 reg = <0x088a7000 0x200>, /* MSM_TSIF0_PHYS */
3604 <0x088a8000 0x200>, /* MSM_TSIF1_PHYS */
3605 <0x088a9000 0x1000>, /* MSM_TSPP_PHYS */
3606 <0x08884000 0x23000>; /* MSM_TSPP_BAM_PHYS */
3607 reg-names = "MSM_TSIF0_PHYS",
3608 "MSM_TSIF1_PHYS",
3609 "MSM_TSPP_PHYS",
3610 "MSM_TSPP_BAM_PHYS";
3611 interrupts = <0 121 0>, /* TSIF_TSPP_IRQ */
3612 <0 119 0>, /* TSIF0_IRQ */
3613 <0 120 0>, /* TSIF1_IRQ */
3614 <0 122 0>; /* TSIF_BAM_IRQ */
3615 interrupt-names = "TSIF_TSPP_IRQ",
3616 "TSIF0_IRQ",
3617 "TSIF1_IRQ",
3618 "TSIF_BAM_IRQ";
3619
3620 clock-names = "iface_clk", "ref_clk";
3621 clocks = <&clock_gcc GCC_TSIF_AHB_CLK>,
3622 <&clock_gcc GCC_TSIF_REF_CLK>;
3623
3624 qcom,msm-bus,name = "tsif";
3625 qcom,msm-bus,num-cases = <2>;
3626 qcom,msm-bus,num-paths = <1>;
3627 qcom,msm-bus,vectors-KBps =
3628 <82 512 0 0>, /* No vote */
3629 <82 512 12288 24576>;
3630 /* Max. bandwidth, 2xTSIF, each max of 96Mbps */
3631
3632 pinctrl-names = "disabled",
3633 "tsif0-mode1", "tsif0-mode2",
3634 "tsif1-mode1", "tsif1-mode2",
3635 "dual-tsif-mode1", "dual-tsif-mode2";
3636
3637 pinctrl-0 = <>; /* disabled */
3638 pinctrl-1 = <&tsif0_signals_active>; /* tsif0-mode1 */
3639 pinctrl-2 = <&tsif0_signals_active
3640 &tsif0_sync_active>; /* tsif0-mode2 */
3641 pinctrl-3 = <&tsif1_signals_active>; /* tsif1-mode1 */
3642 pinctrl-4 = <&tsif1_signals_active
3643 &tsif1_sync_active>; /* tsif1-mode2 */
3644 pinctrl-5 = <&tsif0_signals_active
3645 &tsif1_signals_active>; /* dual-tsif-mode1 */
3646 pinctrl-6 = <&tsif0_signals_active
3647 &tsif0_sync_active
3648 &tsif1_signals_active
3649 &tsif1_sync_active>; /* dual-tsif-mode2 */
Udaya Bhaskara Reddy Mallavarapu07bd0732017-07-27 16:37:54 +05303650
3651 qcom,smmu-s1-bypass;
3652 iommus = <&apps_smmu 0x20 0x0f>;
Udaya Bhaskara Reddy Mallavarapu55ef0cb2017-06-12 14:45:28 +05303653 };
Channagoud Kadabi6d4bb832016-06-30 23:40:05 -07003654};
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003655
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003656&clock_cpucc {
3657 lmh_dcvs0: qcom,limits-dcvs@0 {
3658 compatible = "qcom,msm-hw-limits";
Ram Chandrasekar2d996582017-05-05 12:02:07 -06003659 interrupts = <GIC_SPI 32 IRQ_TYPE_LEVEL_HIGH>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003660 qcom,affinity = <0>;
3661 #thermal-sensor-cells = <0>;
3662 };
3663
3664 lmh_dcvs1: qcom,limits-dcvs@1 {
3665 compatible = "qcom,msm-hw-limits";
Ram Chandrasekar2d996582017-05-05 12:02:07 -06003666 interrupts = <GIC_SPI 33 IRQ_TYPE_LEVEL_HIGH>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003667 qcom,affinity = <1>;
3668 #thermal-sensor-cells = <0>;
Ram Chandrasekar302184f2017-08-14 11:27:14 -06003669 isens_vref-supply = <&pm8998_l1_ao>;
3670 isens-vref-settings = <880000 880000 20000>;
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003671 };
Maya Erez6e14acb2017-05-16 09:59:02 +03003672
3673 wil6210: qcom,wil6210 {
3674 compatible = "qcom,wil6210";
3675 qcom,pcie-parent = <&pcie0>;
3676 qcom,wigig-en = <&tlmm 39 0>;
3677 qcom,msm-bus,name = "wil6210";
3678 qcom,msm-bus,num-cases = <2>;
3679 qcom,msm-bus,num-paths = <1>;
3680 qcom,msm-bus,vectors-KBps =
3681 <45 512 0 0>,
3682 <45 512 600000 800000>; /* ~4.6Gbps (MCS12) */
3683 qcom,use-ext-supply;
3684 vdd-supply= <&pm8998_s7>;
3685 vddio-supply= <&pm8998_s5>;
3686 qcom,use-ext-clocks;
3687 clocks = <&clock_rpmh RPMH_RF_CLK3>,
3688 <&clock_rpmh RPMH_RF_CLK3_A>;
3689 clock-names = "rf_clk3_clk", "rf_clk3_pin_clk";
3690 qcom,smmu-support;
Maya Erezdea3d792017-06-08 09:20:07 +03003691 qcom,keep-radio-on-during-sleep;
Maya Erez6e14acb2017-05-16 09:59:02 +03003692 status = "disabled";
3693 };
Ram Chandrasekar16ba4f42017-04-17 16:48:46 -06003694};
3695
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003696&pcie_0_gdsc {
3697 status = "ok";
3698};
3699
3700&pcie_1_gdsc {
3701 status = "ok";
3702};
3703
3704&ufs_card_gdsc {
3705 status = "ok";
3706};
3707
3708&ufs_phy_gdsc {
3709 status = "ok";
3710};
3711
3712&usb30_prim_gdsc {
3713 status = "ok";
3714};
3715
3716&usb30_sec_gdsc {
3717 status = "ok";
3718};
3719
3720&hlos1_vote_aggre_noc_mmu_audio_tbu_gdsc {
3721 status = "ok";
3722};
3723
3724&hlos1_vote_aggre_noc_mmu_pcie_tbu_gdsc {
3725 status = "ok";
3726};
3727
3728&hlos1_vote_aggre_noc_mmu_tbu1_gdsc {
3729 status = "ok";
3730};
3731
3732&hlos1_vote_aggre_noc_mmu_tbu2_gdsc {
3733 status = "ok";
3734};
3735
3736&hlos1_vote_mmnoc_mmu_tbu_hf0_gdsc {
3737 status = "ok";
3738};
3739
3740&hlos1_vote_mmnoc_mmu_tbu_hf1_gdsc {
3741 status = "ok";
3742};
3743
3744&hlos1_vote_mmnoc_mmu_tbu_sf_gdsc {
3745 status = "ok";
3746};
3747
3748&bps_gdsc {
Deepak Katragadda38f645b2017-06-29 12:16:06 -07003749 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003750 status = "ok";
3751};
3752
3753&ife_0_gdsc {
3754 status = "ok";
3755};
3756
3757&ife_1_gdsc {
3758 status = "ok";
3759};
3760
3761&ipe_0_gdsc {
Deepak Katragadda38f645b2017-06-29 12:16:06 -07003762 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003763 status = "ok";
3764};
3765
3766&ipe_1_gdsc {
Deepak Katragadda38f645b2017-06-29 12:16:06 -07003767 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003768 status = "ok";
3769};
3770
3771&titan_top_gdsc {
3772 status = "ok";
3773};
3774
3775&mdss_core_gdsc {
3776 status = "ok";
3777};
3778
3779&gpu_cx_gdsc {
3780 status = "ok";
3781};
3782
Deepak Katragadda8d77fbb2016-10-17 13:04:17 -07003783&gpu_gx_gdsc {
Deepak Katragadda6c7e8e12017-04-05 13:21:16 -07003784 clock-names = "core_root_clk";
3785 clocks = <&clock_gfx GPU_CC_GX_GFX3D_CLK_SRC>;
3786 qcom,force-enable-root-clk;
Deepak Katragadda8d77fbb2016-10-17 13:04:17 -07003787 parent-supply = <&pm8005_s1_level>;
3788 status = "ok";
3789};
3790
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003791&vcodec0_gdsc {
Deepak Katragaddacd267d02017-05-17 11:38:39 -07003792 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003793 status = "ok";
3794};
3795
3796&vcodec1_gdsc {
Deepak Katragaddacd267d02017-05-17 11:38:39 -07003797 qcom,support-hw-trigger;
Deepak Katragadda7b16dba2016-08-05 18:06:30 -07003798 status = "ok";
3799};
3800
3801&venus_gdsc {
3802 status = "ok";
3803};
David Collins5ab42b92016-07-07 17:38:51 -07003804
David Collins516e41e2017-03-10 11:58:17 -08003805#include "pm8998.dtsi"
David Collins516e41e2017-03-10 11:58:17 -08003806#include "pm8005.dtsi"
Kyle Yan6a20fae2017-02-14 13:34:41 -08003807#include "sdm845-regulator.dtsi"
3808#include "sdm845-coresight.dtsi"
3809#include "msm-arm-smmu-sdm845.dtsi"
3810#include "sdm845-ion.dtsi"
3811#include "sdm845-smp2p.dtsi"
3812#include "sdm845-camera.dtsi"
3813#include "sdm845-bus.dtsi"
Saurabh Kothawade78041ee2017-01-16 16:38:09 -08003814#include "sdm845-vidc.dtsi"
Mahesh Sivasubramanian7a7b3c72016-11-04 14:31:59 -06003815#include "sdm845-pm.dtsi"
Banajit Goswami7885c692017-03-16 16:00:34 -07003816#include "sdm845-pinctrl.dtsi"
Tony Truongc0e0a5f02017-03-15 11:57:40 -07003817#include "sdm845-pcie.dtsi"
Banajit Goswamic0b75812017-03-16 16:14:17 -07003818#include "sdm845-audio.dtsi"
Lokesh Batraf7f72ff2016-10-13 11:51:59 -07003819#include "sdm845-gpu.dtsi"
Jack Phamf2b61c42017-04-07 10:28:34 -07003820#include "sdm845-usb.dtsi"
Ram Chandrasekara3115282017-04-21 17:33:01 -06003821
3822&pm8998_temp_alarm {
3823 cooling-maps {
3824 trip0_cpu0 {
3825 trip = <&pm8998_trip0>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003826 cooling-device =
3827 <&CPU0 (THERMAL_MAX_LIMIT-1)
3828 (THERMAL_MAX_LIMIT-1)>;
3829 };
3830 trip0_cpu1 {
3831 trip = <&pm8998_trip0>;
3832 cooling-device =
3833 <&CPU1 (THERMAL_MAX_LIMIT-1)
3834 (THERMAL_MAX_LIMIT-1)>;
3835 };
3836 trip0_cpu2 {
3837 trip = <&pm8998_trip0>;
3838 cooling-device =
3839 <&CPU2 (THERMAL_MAX_LIMIT-1)
3840 (THERMAL_MAX_LIMIT-1)>;
3841 };
3842 trip0_cpu3 {
3843 trip = <&pm8998_trip0>;
3844 cooling-device =
3845 <&CPU3 (THERMAL_MAX_LIMIT-1)
3846 (THERMAL_MAX_LIMIT-1)>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003847 };
3848 trip0_cpu4 {
3849 trip = <&pm8998_trip0>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003850 cooling-device =
3851 <&CPU4 (THERMAL_MAX_LIMIT-1)
3852 (THERMAL_MAX_LIMIT-1)>;
3853 };
3854 trip0_cpu5 {
3855 trip = <&pm8998_trip0>;
3856 cooling-device =
3857 <&CPU5 (THERMAL_MAX_LIMIT-1)
3858 (THERMAL_MAX_LIMIT-1)>;
3859 };
3860 trip0_cpu6 {
3861 trip = <&pm8998_trip0>;
3862 cooling-device =
3863 <&CPU6 (THERMAL_MAX_LIMIT-1)
3864 (THERMAL_MAX_LIMIT-1)>;
3865 };
3866 trip0_cpu7 {
3867 trip = <&pm8998_trip0>;
3868 cooling-device =
3869 <&CPU7 (THERMAL_MAX_LIMIT-1)
3870 (THERMAL_MAX_LIMIT-1)>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003871 };
3872 trip1_cpu1 {
3873 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003874 cooling-device =
3875 <&CPU1 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003876 };
3877 trip1_cpu2 {
3878 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003879 cooling-device =
3880 <&CPU2 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003881 };
3882 trip1_cpu3 {
3883 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003884 cooling-device =
3885 <&CPU3 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003886 };
3887 trip1_cpu4 {
3888 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003889 cooling-device =
3890 <&CPU4 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003891 };
3892 trip1_cpu5 {
3893 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003894 cooling-device =
3895 <&CPU5 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003896 };
3897 trip1_cpu6 {
3898 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003899 cooling-device =
3900 <&CPU6 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003901 };
3902 trip1_cpu7 {
3903 trip = <&pm8998_trip1>;
Ram Chandrasekar543a0b12017-06-12 17:49:50 -06003904 cooling-device =
3905 <&CPU7 THERMAL_MAX_LIMIT THERMAL_MAX_LIMIT>;
Ram Chandrasekara3115282017-04-21 17:33:01 -06003906 };
3907 };
3908};
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06003909
3910&thermal_zones {
3911 aoss0-lowf {
3912 polling-delay-passive = <0>;
3913 polling-delay = <0>;
3914 thermal-governor = "low_limits_floor";
3915 thermal-sensors = <&tsens0 0>;
3916 tracks-low;
3917 trips {
3918 aoss0_trip: aoss0-trip {
3919 temperature = <5000>;
3920 hysteresis = <5000>;
3921 type = "passive";
3922 };
3923 };
3924 cooling-maps {
3925 cpu0_vdd_cdev {
3926 trip = <&aoss0_trip>;
3927 cooling-device = <&CPU0 4 4>;
3928 };
3929 cpu4_vdd_cdev {
3930 trip = <&aoss0_trip>;
3931 cooling-device = <&CPU4 9 9>;
3932 };
3933 gpu_vdd_cdev {
3934 trip = <&aoss0_trip>;
3935 cooling-device = <&msm_gpu 1 1>;
3936 };
3937 cx_vdd_cdev {
3938 trip = <&aoss0_trip>;
3939 cooling-device = <&cx_cdev 0 0>;
3940 };
3941 mx_vdd_cdev {
3942 trip = <&aoss0_trip>;
3943 cooling-device = <&mx_cdev 0 0>;
3944 };
3945 ebi_vdd_cdev {
3946 trip = <&aoss0_trip>;
3947 cooling-device = <&ebi_cdev 0 0>;
3948 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06003949 modem_vdd_cdev {
3950 trip = <&aoss0_trip>;
3951 cooling-device = <&modem_vdd 0 0>;
3952 };
3953 adsp_vdd_cdev {
3954 trip = <&aoss0_trip>;
3955 cooling-device = <&adsp_vdd 0 0>;
3956 };
3957 cdsp_vdd_cdev {
3958 trip = <&aoss0_trip>;
3959 cooling-device = <&cdsp_vdd 0 0>;
3960 };
3961 slpi_vdd_cdev {
3962 trip = <&aoss0_trip>;
3963 cooling-device = <&slpi_vdd 0 0>;
3964 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06003965 };
3966 };
3967
3968 cpu0-silver-lowf {
3969 polling-delay-passive = <0>;
3970 polling-delay = <0>;
3971 thermal-governor = "low_limits_floor";
3972 thermal-sensors = <&tsens0 1>;
3973 tracks-low;
3974 trips {
3975 cpu0_trip: cpu0-trip {
3976 temperature = <5000>;
3977 hysteresis = <5000>;
3978 type = "passive";
3979 };
3980 };
3981 cooling-maps {
3982 cpu0_vdd_cdev {
3983 trip = <&cpu0_trip>;
3984 cooling-device = <&CPU0 4 4>;
3985 };
3986 cpu4_vdd_cdev {
3987 trip = <&cpu0_trip>;
3988 cooling-device = <&CPU4 9 9>;
3989 };
3990 gpu_vdd_cdev {
3991 trip = <&cpu0_trip>;
3992 cooling-device = <&msm_gpu 1 1>;
3993 };
3994 cx_vdd_cdev {
3995 trip = <&cpu0_trip>;
3996 cooling-device = <&cx_cdev 0 0>;
3997 };
3998 mx_vdd_cdev {
3999 trip = <&cpu0_trip>;
4000 cooling-device = <&mx_cdev 0 0>;
4001 };
4002 ebi_vdd_cdev {
4003 trip = <&cpu0_trip>;
4004 cooling-device = <&ebi_cdev 0 0>;
4005 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004006 modem_vdd_cdev {
4007 trip = <&cpu0_trip>;
4008 cooling-device = <&modem_vdd 0 0>;
4009 };
4010 adsp_vdd_cdev {
4011 trip = <&cpu0_trip>;
4012 cooling-device = <&adsp_vdd 0 0>;
4013 };
4014 cdsp_vdd_cdev {
4015 trip = <&cpu0_trip>;
4016 cooling-device = <&cdsp_vdd 0 0>;
4017 };
4018 slpi_vdd_cdev {
4019 trip = <&cpu0_trip>;
4020 cooling-device = <&slpi_vdd 0 0>;
4021 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004022 };
4023 };
4024
4025 cpu1-silver-lowf {
4026 polling-delay-passive = <0>;
4027 polling-delay = <0>;
4028 thermal-governor = "low_limits_floor";
4029 thermal-sensors = <&tsens0 2>;
4030 tracks-low;
4031 trips {
4032 cpu1_trip: cpu1-trip {
4033 temperature = <5000>;
4034 hysteresis = <5000>;
4035 type = "passive";
4036 };
4037 };
4038 cooling-maps {
4039 cpu0_vdd_cdev {
4040 trip = <&cpu1_trip>;
4041 cooling-device = <&CPU0 4 4>;
4042 };
4043 cpu4_vdd_cdev {
4044 trip = <&cpu1_trip>;
4045 cooling-device = <&CPU4 9 9>;
4046 };
4047 gpu_vdd_cdev {
4048 trip = <&cpu1_trip>;
4049 cooling-device = <&msm_gpu 1 1>;
4050 };
4051 cx_vdd_cdev {
4052 trip = <&cpu1_trip>;
4053 cooling-device = <&cx_cdev 0 0>;
4054 };
4055 mx_vdd_cdev {
4056 trip = <&cpu1_trip>;
4057 cooling-device = <&mx_cdev 0 0>;
4058 };
4059 ebi_vdd_cdev {
4060 trip = <&cpu1_trip>;
4061 cooling-device = <&ebi_cdev 0 0>;
4062 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004063 modem_vdd_cdev {
4064 trip = <&cpu1_trip>;
4065 cooling-device = <&modem_vdd 0 0>;
4066 };
4067 adsp_vdd_cdev {
4068 trip = <&cpu1_trip>;
4069 cooling-device = <&adsp_vdd 0 0>;
4070 };
4071 cdsp_vdd_cdev {
4072 trip = <&cpu1_trip>;
4073 cooling-device = <&cdsp_vdd 0 0>;
4074 };
4075 slpi_vdd_cdev {
4076 trip = <&cpu1_trip>;
4077 cooling-device = <&slpi_vdd 0 0>;
4078 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004079 };
4080 };
4081
4082 cpu2-silver-lowf {
4083 polling-delay-passive = <0>;
4084 polling-delay = <0>;
4085 thermal-governor = "low_limits_floor";
4086 thermal-sensors = <&tsens0 3>;
4087 tracks-low;
4088 trips {
4089 cpu2_trip: cpu2-trip {
4090 temperature = <5000>;
4091 hysteresis = <5000>;
4092 type = "passive";
4093 };
4094 };
4095 cooling-maps {
4096 cpu0_vdd_cdev {
4097 trip = <&cpu2_trip>;
4098 cooling-device = <&CPU0 4 4>;
4099 };
4100 cpu4_vdd_cdev {
4101 trip = <&cpu2_trip>;
4102 cooling-device = <&CPU4 9 9>;
4103 };
4104 gpu_vdd_cdev {
4105 trip = <&cpu2_trip>;
4106 cooling-device = <&msm_gpu 1 1>;
4107 };
4108 cx_vdd_cdev {
4109 trip = <&cpu2_trip>;
4110 cooling-device = <&cx_cdev 0 0>;
4111 };
4112 mx_vdd_cdev {
4113 trip = <&cpu2_trip>;
4114 cooling-device = <&mx_cdev 0 0>;
4115 };
4116 ebi_vdd_cdev {
4117 trip = <&cpu2_trip>;
4118 cooling-device = <&ebi_cdev 0 0>;
4119 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004120 modem_vdd_cdev {
4121 trip = <&cpu2_trip>;
4122 cooling-device = <&modem_vdd 0 0>;
4123 };
4124 adsp_vdd_cdev {
4125 trip = <&cpu2_trip>;
4126 cooling-device = <&adsp_vdd 0 0>;
4127 };
4128 cdsp_vdd_cdev {
4129 trip = <&cpu2_trip>;
4130 cooling-device = <&cdsp_vdd 0 0>;
4131 };
4132 slpi_vdd_cdev {
4133 trip = <&cpu2_trip>;
4134 cooling-device = <&slpi_vdd 0 0>;
4135 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004136 };
4137 };
4138
4139 cpu3-silver-lowf {
4140 polling-delay-passive = <0>;
4141 polling-delay = <0>;
4142 thermal-governor = "low_limits_floor";
4143 thermal-sensors = <&tsens0 4>;
4144 tracks-low;
4145 trips {
4146 cpu3_trip: cpu3-trip {
4147 temperature = <5000>;
4148 hysteresis = <5000>;
4149 type = "passive";
4150 };
4151 };
4152 cooling-maps {
4153 cpu0_vdd_cdev {
4154 trip = <&cpu3_trip>;
4155 cooling-device = <&CPU0 4 4>;
4156 };
4157 cpu4_vdd_cdev {
4158 trip = <&cpu3_trip>;
4159 cooling-device = <&CPU4 9 9>;
4160 };
4161 gpu_vdd_cdev {
4162 trip = <&cpu3_trip>;
4163 cooling-device = <&msm_gpu 1 1>;
4164 };
4165 cx_vdd_cdev {
4166 trip = <&cpu3_trip>;
4167 cooling-device = <&cx_cdev 0 0>;
4168 };
4169 mx_vdd_cdev {
4170 trip = <&cpu3_trip>;
4171 cooling-device = <&mx_cdev 0 0>;
4172 };
4173 ebi_vdd_cdev {
4174 trip = <&cpu3_trip>;
4175 cooling-device = <&ebi_cdev 0 0>;
4176 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004177 modem_vdd_cdev {
4178 trip = <&cpu3_trip>;
4179 cooling-device = <&modem_vdd 0 0>;
4180 };
4181 adsp_vdd_cdev {
4182 trip = <&cpu3_trip>;
4183 cooling-device = <&adsp_vdd 0 0>;
4184 };
4185 cdsp_vdd_cdev {
4186 trip = <&cpu3_trip>;
4187 cooling-device = <&cdsp_vdd 0 0>;
4188 };
4189 slpi_vdd_cdev {
4190 trip = <&cpu3_trip>;
4191 cooling-device = <&slpi_vdd 0 0>;
4192 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004193 };
4194 };
4195
4196 kryo-l3-0-lowf {
4197 polling-delay-passive = <0>;
4198 polling-delay = <0>;
4199 thermal-governor = "low_limits_floor";
4200 thermal-sensors = <&tsens0 5>;
4201 tracks-low;
4202 trips {
4203 l3_0_trip: l3-0-trip {
4204 temperature = <5000>;
4205 hysteresis = <5000>;
4206 type = "passive";
4207 };
4208 };
4209 cooling-maps {
4210 cpu0_vdd_cdev {
4211 trip = <&l3_0_trip>;
4212 cooling-device = <&CPU0 4 4>;
4213 };
4214 cpu4_vdd_cdev {
4215 trip = <&l3_0_trip>;
4216 cooling-device = <&CPU4 9 9>;
4217 };
4218 gpu_vdd_cdev {
4219 trip = <&l3_0_trip>;
4220 cooling-device = <&msm_gpu 1 1>;
4221 };
4222 cx_vdd_cdev {
4223 trip = <&l3_0_trip>;
4224 cooling-device = <&cx_cdev 0 0>;
4225 };
4226 mx_vdd_cdev {
4227 trip = <&l3_0_trip>;
4228 cooling-device = <&mx_cdev 0 0>;
4229 };
4230 ebi_vdd_cdev {
4231 trip = <&l3_0_trip>;
4232 cooling-device = <&ebi_cdev 0 0>;
4233 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004234 modem_vdd_cdev {
4235 trip = <&l3_0_trip>;
4236 cooling-device = <&modem_vdd 0 0>;
4237 };
4238 adsp_vdd_cdev {
4239 trip = <&l3_0_trip>;
4240 cooling-device = <&adsp_vdd 0 0>;
4241 };
4242 cdsp_vdd_cdev {
4243 trip = <&l3_0_trip>;
4244 cooling-device = <&cdsp_vdd 0 0>;
4245 };
4246 slpi_vdd_cdev {
4247 trip = <&l3_0_trip>;
4248 cooling-device = <&slpi_vdd 0 0>;
4249 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004250 };
4251 };
4252
4253 kryo-l3-1-lowf {
4254 polling-delay-passive = <0>;
4255 polling-delay = <0>;
4256 thermal-governor = "low_limits_floor";
4257 thermal-sensors = <&tsens0 6>;
4258 tracks-low;
4259 trips {
4260 l3_1_trip: l3-1-trip {
4261 temperature = <5000>;
4262 hysteresis = <5000>;
4263 type = "passive";
4264 };
4265 };
4266 cooling-maps {
4267 cpu0_vdd_cdev {
4268 trip = <&l3_1_trip>;
4269 cooling-device = <&CPU0 4 4>;
4270 };
4271 cpu4_vdd_cdev {
4272 trip = <&l3_1_trip>;
4273 cooling-device = <&CPU4 9 9>;
4274 };
4275 gpu_vdd_cdev {
4276 trip = <&l3_1_trip>;
4277 cooling-device = <&msm_gpu 1 1>;
4278 };
4279 cx_vdd_cdev {
4280 trip = <&l3_1_trip>;
4281 cooling-device = <&cx_cdev 0 0>;
4282 };
4283 mx_vdd_cdev {
4284 trip = <&l3_1_trip>;
4285 cooling-device = <&mx_cdev 0 0>;
4286 };
4287 ebi_vdd_cdev {
4288 trip = <&l3_1_trip>;
4289 cooling-device = <&ebi_cdev 0 0>;
4290 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004291 modem_vdd_cdev {
4292 trip = <&l3_1_trip>;
4293 cooling-device = <&modem_vdd 0 0>;
4294 };
4295 adsp_vdd_cdev {
4296 trip = <&l3_1_trip>;
4297 cooling-device = <&adsp_vdd 0 0>;
4298 };
4299 cdsp_vdd_cdev {
4300 trip = <&l3_1_trip>;
4301 cooling-device = <&cdsp_vdd 0 0>;
4302 };
4303 slpi_vdd_cdev {
4304 trip = <&l3_1_trip>;
4305 cooling-device = <&slpi_vdd 0 0>;
4306 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004307 };
4308 };
4309
4310 cpu0-gold-lowf {
4311 polling-delay-passive = <0>;
4312 polling-delay = <0>;
4313 thermal-governor = "low_limits_floor";
4314 thermal-sensors = <&tsens0 7>;
4315 tracks-low;
4316 trips {
4317 cpug0_trip: cpug0-trip {
4318 temperature = <5000>;
4319 hysteresis = <5000>;
4320 type = "passive";
4321 };
4322 };
4323 cooling-maps {
4324 cpu0_vdd_cdev {
4325 trip = <&cpug0_trip>;
4326 cooling-device = <&CPU0 4 4>;
4327 };
4328 cpu4_vdd_cdev {
4329 trip = <&cpug0_trip>;
4330 cooling-device = <&CPU4 9 9>;
4331 };
4332 gpu_vdd_cdev {
4333 trip = <&cpug0_trip>;
4334 cooling-device = <&msm_gpu 1 1>;
4335 };
4336 cx_vdd_cdev {
4337 trip = <&cpug0_trip>;
4338 cooling-device = <&cx_cdev 0 0>;
4339 };
4340 mx_vdd_cdev {
4341 trip = <&cpug0_trip>;
4342 cooling-device = <&mx_cdev 0 0>;
4343 };
4344 ebi_vdd_cdev {
4345 trip = <&cpug0_trip>;
4346 cooling-device = <&ebi_cdev 0 0>;
4347 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004348 modem_vdd_cdev {
4349 trip = <&cpug0_trip>;
4350 cooling-device = <&modem_vdd 0 0>;
4351 };
4352 adsp_vdd_cdev {
4353 trip = <&cpug0_trip>;
4354 cooling-device = <&adsp_vdd 0 0>;
4355 };
4356 cdsp_vdd_cdev {
4357 trip = <&cpug0_trip>;
4358 cooling-device = <&cdsp_vdd 0 0>;
4359 };
4360 slpi_vdd_cdev {
4361 trip = <&cpug0_trip>;
4362 cooling-device = <&slpi_vdd 0 0>;
4363 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004364 };
4365 };
4366
4367 cpu1-gold-lowf {
4368 polling-delay-passive = <0>;
4369 polling-delay = <0>;
4370 thermal-governor = "low_limits_floor";
4371 thermal-sensors = <&tsens0 8>;
4372 tracks-low;
4373 trips {
4374 cpug1_trip: cpug1-trip {
4375 temperature = <5000>;
4376 hysteresis = <5000>;
4377 type = "passive";
4378 };
4379 };
4380 cooling-maps {
4381 cpu0_vdd_cdev {
4382 trip = <&cpug1_trip>;
4383 cooling-device = <&CPU0 4 4>;
4384 };
4385 cpu4_vdd_cdev {
4386 trip = <&cpug1_trip>;
4387 cooling-device = <&CPU4 9 9>;
4388 };
4389 gpu_vdd_cdev {
4390 trip = <&cpug1_trip>;
4391 cooling-device = <&msm_gpu 1 1>;
4392 };
4393 cx_vdd_cdev {
4394 trip = <&cpug1_trip>;
4395 cooling-device = <&cx_cdev 0 0>;
4396 };
4397 mx_vdd_cdev {
4398 trip = <&cpug1_trip>;
4399 cooling-device = <&mx_cdev 0 0>;
4400 };
4401 ebi_vdd_cdev {
4402 trip = <&cpug1_trip>;
4403 cooling-device = <&ebi_cdev 0 0>;
4404 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004405 modem_vdd_cdev {
4406 trip = <&cpug1_trip>;
4407 cooling-device = <&modem_vdd 0 0>;
4408 };
4409 adsp_vdd_cdev {
4410 trip = <&cpug1_trip>;
4411 cooling-device = <&adsp_vdd 0 0>;
4412 };
4413 cdsp_vdd_cdev {
4414 trip = <&cpug1_trip>;
4415 cooling-device = <&cdsp_vdd 0 0>;
4416 };
4417 slpi_vdd_cdev {
4418 trip = <&cpug1_trip>;
4419 cooling-device = <&slpi_vdd 0 0>;
4420 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004421 };
4422 };
4423
4424 cpu2-gold-lowf {
4425 polling-delay-passive = <0>;
4426 polling-delay = <0>;
4427 thermal-governor = "low_limits_floor";
4428 thermal-sensors = <&tsens0 9>;
4429 tracks-low;
4430 trips {
4431 cpug2_trip: cpug2-trip {
4432 temperature = <5000>;
4433 hysteresis = <5000>;
4434 type = "passive";
4435 };
4436 };
4437 cooling-maps {
4438 cpu0_vdd_cdev {
4439 trip = <&cpug2_trip>;
4440 cooling-device = <&CPU0 4 4>;
4441 };
4442 cpu4_vdd_cdev {
4443 trip = <&cpug2_trip>;
4444 cooling-device = <&CPU4 9 9>;
4445 };
4446 gpu_vdd_cdev {
4447 trip = <&cpug2_trip>;
4448 cooling-device = <&msm_gpu 1 1>;
4449 };
4450 cx_vdd_cdev {
4451 trip = <&cpug2_trip>;
4452 cooling-device = <&cx_cdev 0 0>;
4453 };
4454 mx_vdd_cdev {
4455 trip = <&cpug2_trip>;
4456 cooling-device = <&mx_cdev 0 0>;
4457 };
4458 ebi_vdd_cdev {
4459 trip = <&cpug2_trip>;
4460 cooling-device = <&ebi_cdev 0 0>;
4461 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004462 modem_vdd_cdev {
4463 trip = <&cpug2_trip>;
4464 cooling-device = <&modem_vdd 0 0>;
4465 };
4466 adsp_vdd_cdev {
4467 trip = <&cpug2_trip>;
4468 cooling-device = <&adsp_vdd 0 0>;
4469 };
4470 cdsp_vdd_cdev {
4471 trip = <&cpug2_trip>;
4472 cooling-device = <&cdsp_vdd 0 0>;
4473 };
4474 slpi_vdd_cdev {
4475 trip = <&cpug2_trip>;
4476 cooling-device = <&slpi_vdd 0 0>;
4477 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004478 };
4479 };
4480
4481 cpu3-gold-lowf {
4482 polling-delay-passive = <0>;
4483 polling-delay = <0>;
4484 thermal-governor = "low_limits_floor";
4485 thermal-sensors = <&tsens0 10>;
4486 tracks-low;
4487 trips {
4488 cpug3_trip: cpug3-trip {
4489 temperature = <5000>;
4490 hysteresis = <5000>;
4491 type = "passive";
4492 };
4493 };
4494 cooling-maps {
4495 cpu0_vdd_cdev {
4496 trip = <&cpug3_trip>;
4497 cooling-device = <&CPU0 4 4>;
4498 };
4499 cpu4_vdd_cdev {
4500 trip = <&cpug3_trip>;
4501 cooling-device = <&CPU4 9 9>;
4502 };
4503 gpu_vdd_cdev {
4504 trip = <&cpug3_trip>;
4505 cooling-device = <&msm_gpu 1 1>;
4506 };
4507 cx_vdd_cdev {
4508 trip = <&cpug3_trip>;
4509 cooling-device = <&cx_cdev 0 0>;
4510 };
4511 mx_vdd_cdev {
4512 trip = <&cpug3_trip>;
4513 cooling-device = <&mx_cdev 0 0>;
4514 };
4515 ebi_vdd_cdev {
4516 trip = <&cpug3_trip>;
4517 cooling-device = <&ebi_cdev 0 0>;
4518 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004519 modem_vdd_cdev {
4520 trip = <&cpug3_trip>;
4521 cooling-device = <&modem_vdd 0 0>;
4522 };
4523 adsp_vdd_cdev {
4524 trip = <&cpug3_trip>;
4525 cooling-device = <&adsp_vdd 0 0>;
4526 };
4527 cdsp_vdd_cdev {
4528 trip = <&cpug3_trip>;
4529 cooling-device = <&cdsp_vdd 0 0>;
4530 };
4531 slpi_vdd_cdev {
4532 trip = <&cpug3_trip>;
4533 cooling-device = <&slpi_vdd 0 0>;
4534 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004535 };
4536 };
4537
4538 gpu0-lowf {
4539 polling-delay-passive = <0>;
4540 polling-delay = <0>;
4541 thermal-governor = "low_limits_floor";
4542 thermal-sensors = <&tsens0 11>;
4543 tracks-low;
4544 trips {
4545 gpu0_trip_l: gpu0-trip {
4546 temperature = <5000>;
4547 hysteresis = <5000>;
4548 type = "passive";
4549 };
4550 };
4551 cooling-maps {
4552 cpu0_vdd_cdev {
4553 trip = <&gpu0_trip_l>;
4554 cooling-device = <&CPU0 4 4>;
4555 };
4556 cpu4_vdd_cdev {
4557 trip = <&gpu0_trip_l>;
4558 cooling-device = <&CPU4 9 9>;
4559 };
4560 gpu_vdd_cdev {
4561 trip = <&gpu0_trip_l>;
4562 cooling-device = <&msm_gpu 1 1>;
4563 };
4564 cx_vdd_cdev {
4565 trip = <&gpu0_trip_l>;
4566 cooling-device = <&cx_cdev 0 0>;
4567 };
4568 mx_vdd_cdev {
4569 trip = <&gpu0_trip_l>;
4570 cooling-device = <&mx_cdev 0 0>;
4571 };
4572 ebi_vdd_cdev {
4573 trip = <&gpu0_trip_l>;
4574 cooling-device = <&ebi_cdev 0 0>;
4575 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004576 modem_vdd_cdev {
4577 trip = <&gpu0_trip_l>;
4578 cooling-device = <&modem_vdd 0 0>;
4579 };
4580 adsp_vdd_cdev {
4581 trip = <&gpu0_trip_l>;
4582 cooling-device = <&adsp_vdd 0 0>;
4583 };
4584 cdsp_vdd_cdev {
4585 trip = <&gpu0_trip_l>;
4586 cooling-device = <&cdsp_vdd 0 0>;
4587 };
4588 slpi_vdd_cdev {
4589 trip = <&gpu0_trip_l>;
4590 cooling-device = <&slpi_vdd 0 0>;
4591 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004592 };
4593 };
4594
4595 gpu1-lowf {
4596 polling-delay-passive = <0>;
4597 polling-delay = <0>;
4598 thermal-governor = "low_limits_floor";
4599 thermal-sensors = <&tsens0 12>;
4600 tracks-low;
4601 trips {
4602 gpu1_trip_l: gpu1-trip_l {
4603 temperature = <5000>;
4604 hysteresis = <5000>;
4605 type = "passive";
4606 };
4607 };
4608 cooling-maps {
4609 cpu0_vdd_cdev {
4610 trip = <&gpu1_trip_l>;
4611 cooling-device = <&CPU0 4 4>;
4612 };
4613 cpu4_vdd_cdev {
4614 trip = <&gpu1_trip_l>;
4615 cooling-device = <&CPU4 9 9>;
4616 };
4617 gpu_vdd_cdev {
4618 trip = <&gpu1_trip_l>;
4619 cooling-device = <&msm_gpu 1 1>;
4620 };
4621 cx_vdd_cdev {
4622 trip = <&gpu1_trip_l>;
4623 cooling-device = <&cx_cdev 0 0>;
4624 };
4625 mx_vdd_cdev {
4626 trip = <&gpu1_trip_l>;
4627 cooling-device = <&mx_cdev 0 0>;
4628 };
4629 ebi_vdd_cdev {
4630 trip = <&gpu1_trip_l>;
4631 cooling-device = <&ebi_cdev 0 0>;
4632 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004633 modem_vdd_cdev {
4634 trip = <&gpu1_trip_l>;
4635 cooling-device = <&modem_vdd 0 0>;
4636 };
4637 adsp_vdd_cdev {
4638 trip = <&gpu1_trip_l>;
4639 cooling-device = <&adsp_vdd 0 0>;
4640 };
4641 cdsp_vdd_cdev {
4642 trip = <&gpu1_trip_l>;
4643 cooling-device = <&cdsp_vdd 0 0>;
4644 };
4645 slpi_vdd_cdev {
4646 trip = <&gpu1_trip_l>;
4647 cooling-device = <&slpi_vdd 0 0>;
4648 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004649 };
4650 };
4651
4652 aoss1-lowf {
4653 polling-delay-passive = <0>;
4654 polling-delay = <0>;
4655 thermal-governor = "low_limits_floor";
4656 thermal-sensors = <&tsens1 0>;
4657 tracks-low;
4658 trips {
4659 aoss1_trip: aoss1-trip {
4660 temperature = <5000>;
4661 hysteresis = <5000>;
4662 type = "passive";
4663 };
4664 };
4665 cooling-maps {
4666 cpu0_vdd_cdev {
4667 trip = <&aoss1_trip>;
4668 cooling-device = <&CPU0 4 4>;
4669 };
4670 cpu4_vdd_cdev {
4671 trip = <&aoss1_trip>;
4672 cooling-device = <&CPU4 9 9>;
4673 };
4674 gpu_vdd_cdev {
4675 trip = <&aoss1_trip>;
4676 cooling-device = <&msm_gpu 1 1>;
4677 };
4678 cx_vdd_cdev {
4679 trip = <&aoss1_trip>;
4680 cooling-device = <&cx_cdev 0 0>;
4681 };
4682 mx_vdd_cdev {
4683 trip = <&aoss1_trip>;
4684 cooling-device = <&mx_cdev 0 0>;
4685 };
4686 ebi_vdd_cdev {
4687 trip = <&aoss1_trip>;
4688 cooling-device = <&ebi_cdev 0 0>;
4689 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004690 modem_vdd_cdev {
4691 trip = <&aoss1_trip>;
4692 cooling-device = <&modem_vdd 0 0>;
4693 };
4694 adsp_vdd_cdev {
4695 trip = <&aoss1_trip>;
4696 cooling-device = <&adsp_vdd 0 0>;
4697 };
4698 cdsp_vdd_cdev {
4699 trip = <&aoss1_trip>;
4700 cooling-device = <&cdsp_vdd 0 0>;
4701 };
4702 slpi_vdd_cdev {
4703 trip = <&aoss1_trip>;
4704 cooling-device = <&slpi_vdd 0 0>;
4705 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004706 };
4707 };
4708
4709 mdm-dsp-lowf {
4710 polling-delay-passive = <0>;
4711 polling-delay = <0>;
4712 thermal-governor = "low_limits_floor";
4713 thermal-sensors = <&tsens1 1>;
4714 tracks-low;
4715 trips {
4716 dsp_trip: dsp-trip {
4717 temperature = <5000>;
4718 hysteresis = <5000>;
4719 type = "passive";
4720 };
4721 };
4722 cooling-maps {
4723 cpu0_vdd_cdev {
4724 trip = <&dsp_trip>;
4725 cooling-device = <&CPU0 4 4>;
4726 };
4727 cpu4_vdd_cdev {
4728 trip = <&dsp_trip>;
4729 cooling-device = <&CPU4 9 9>;
4730 };
4731 gpu_vdd_cdev {
4732 trip = <&dsp_trip>;
4733 cooling-device = <&msm_gpu 1 1>;
4734 };
4735 cx_vdd_cdev {
4736 trip = <&dsp_trip>;
4737 cooling-device = <&cx_cdev 0 0>;
4738 };
4739 mx_vdd_cdev {
4740 trip = <&dsp_trip>;
4741 cooling-device = <&mx_cdev 0 0>;
4742 };
4743 ebi_vdd_cdev {
4744 trip = <&dsp_trip>;
4745 cooling-device = <&ebi_cdev 0 0>;
4746 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004747 modem_vdd_cdev {
4748 trip = <&dsp_trip>;
4749 cooling-device = <&modem_vdd 0 0>;
4750 };
4751 adsp_vdd_cdev {
4752 trip = <&dsp_trip>;
4753 cooling-device = <&adsp_vdd 0 0>;
4754 };
4755 cdsp_vdd_cdev {
4756 trip = <&dsp_trip>;
4757 cooling-device = <&cdsp_vdd 0 0>;
4758 };
4759 slpi_vdd_cdev {
4760 trip = <&dsp_trip>;
4761 cooling-device = <&slpi_vdd 0 0>;
4762 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004763 };
4764 };
4765
4766 ddr-lowf {
4767 polling-delay-passive = <0>;
4768 polling-delay = <0>;
4769 thermal-governor = "low_limits_floor";
4770 thermal-sensors = <&tsens1 2>;
4771 tracks-low;
4772 trips {
4773 ddr_trip: ddr-trip {
4774 temperature = <5000>;
4775 hysteresis = <5000>;
4776 type = "passive";
4777 };
4778 };
4779 cooling-maps {
4780 cpu0_vdd_cdev {
4781 trip = <&ddr_trip>;
4782 cooling-device = <&CPU0 4 4>;
4783 };
4784 cpu4_vdd_cdev {
4785 trip = <&ddr_trip>;
4786 cooling-device = <&CPU4 9 9>;
4787 };
4788 gpu_vdd_cdev {
4789 trip = <&ddr_trip>;
4790 cooling-device = <&msm_gpu 1 1>;
4791 };
4792 cx_vdd_cdev {
4793 trip = <&ddr_trip>;
4794 cooling-device = <&cx_cdev 0 0>;
4795 };
4796 mx_vdd_cdev {
4797 trip = <&ddr_trip>;
4798 cooling-device = <&mx_cdev 0 0>;
4799 };
4800 ebi_vdd_cdev {
4801 trip = <&ddr_trip>;
4802 cooling-device = <&ebi_cdev 0 0>;
4803 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004804 modem_vdd_cdev {
4805 trip = <&ddr_trip>;
4806 cooling-device = <&modem_vdd 0 0>;
4807 };
4808 adsp_vdd_cdev {
4809 trip = <&ddr_trip>;
4810 cooling-device = <&adsp_vdd 0 0>;
4811 };
4812 cdsp_vdd_cdev {
4813 trip = <&ddr_trip>;
4814 cooling-device = <&cdsp_vdd 0 0>;
4815 };
4816 slpi_vdd_cdev {
4817 trip = <&ddr_trip>;
4818 cooling-device = <&slpi_vdd 0 0>;
4819 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004820 };
4821 };
4822
4823 wlan-lowf {
4824 polling-delay-passive = <0>;
4825 polling-delay = <0>;
4826 thermal-governor = "low_limits_floor";
4827 thermal-sensors = <&tsens1 3>;
4828 tracks-low;
4829 trips {
4830 wlan_trip: wlan-trip {
4831 temperature = <5000>;
4832 hysteresis = <5000>;
4833 type = "passive";
4834 };
4835 };
4836 cooling-maps {
4837 cpu0_vdd_cdev {
4838 trip = <&wlan_trip>;
4839 cooling-device = <&CPU0 4 4>;
4840 };
4841 cpu4_vdd_cdev {
4842 trip = <&wlan_trip>;
4843 cooling-device = <&CPU4 9 9>;
4844 };
4845 gpu_vdd_cdev {
4846 trip = <&wlan_trip>;
4847 cooling-device = <&msm_gpu 1 1>;
4848 };
4849 cx_vdd_cdev {
4850 trip = <&wlan_trip>;
4851 cooling-device = <&cx_cdev 0 0>;
4852 };
4853 mx_vdd_cdev {
4854 trip = <&wlan_trip>;
4855 cooling-device = <&mx_cdev 0 0>;
4856 };
4857 ebi_vdd_cdev {
4858 trip = <&wlan_trip>;
4859 cooling-device = <&ebi_cdev 0 0>;
4860 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004861 modem_vdd_cdev {
4862 trip = <&wlan_trip>;
4863 cooling-device = <&modem_vdd 0 0>;
4864 };
4865 adsp_vdd_cdev {
4866 trip = <&wlan_trip>;
4867 cooling-device = <&adsp_vdd 0 0>;
4868 };
4869 cdsp_vdd_cdev {
4870 trip = <&wlan_trip>;
4871 cooling-device = <&cdsp_vdd 0 0>;
4872 };
4873 slpi_vdd_cdev {
4874 trip = <&wlan_trip>;
4875 cooling-device = <&slpi_vdd 0 0>;
4876 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004877 };
4878 };
4879
4880 compute-hvx-lowf {
4881 polling-delay-passive = <0>;
4882 polling-delay = <0>;
4883 thermal-governor = "low_limits_floor";
4884 thermal-sensors = <&tsens1 4>;
4885 tracks-low;
4886 trips {
4887 hvx_trip: hvx-trip {
4888 temperature = <5000>;
4889 hysteresis = <5000>;
4890 type = "passive";
4891 };
4892 };
4893 cooling-maps {
4894 cpu0_vdd_cdev {
4895 trip = <&hvx_trip>;
4896 cooling-device = <&CPU0 4 4>;
4897 };
4898 cpu4_vdd_cdev {
4899 trip = <&hvx_trip>;
4900 cooling-device = <&CPU4 9 9>;
4901 };
4902 gpu_vdd_cdev {
4903 trip = <&hvx_trip>;
4904 cooling-device = <&msm_gpu 1 1>;
4905 };
4906 cx_vdd_cdev {
4907 trip = <&hvx_trip>;
4908 cooling-device = <&cx_cdev 0 0>;
4909 };
4910 mx_vdd_cdev {
4911 trip = <&hvx_trip>;
4912 cooling-device = <&mx_cdev 0 0>;
4913 };
4914 ebi_vdd_cdev {
4915 trip = <&hvx_trip>;
4916 cooling-device = <&ebi_cdev 0 0>;
4917 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004918 modem_vdd_cdev {
4919 trip = <&hvx_trip>;
4920 cooling-device = <&modem_vdd 0 0>;
4921 };
4922 adsp_vdd_cdev {
4923 trip = <&hvx_trip>;
4924 cooling-device = <&adsp_vdd 0 0>;
4925 };
4926 cdsp_vdd_cdev {
4927 trip = <&hvx_trip>;
4928 cooling-device = <&cdsp_vdd 0 0>;
4929 };
4930 slpi_vdd_cdev {
4931 trip = <&hvx_trip>;
4932 cooling-device = <&slpi_vdd 0 0>;
4933 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004934 };
4935 };
4936
4937 camera-lowf {
4938 polling-delay-passive = <0>;
4939 polling-delay = <0>;
4940 thermal-governor = "low_limits_floor";
4941 thermal-sensors = <&tsens1 5>;
4942 tracks-low;
4943 trips {
4944 camera_trip: camera-trip {
4945 temperature = <5000>;
4946 hysteresis = <5000>;
4947 type = "passive";
4948 };
4949 };
4950 cooling-maps {
4951 cpu0_vdd_cdev {
4952 trip = <&camera_trip>;
4953 cooling-device = <&CPU0 4 4>;
4954 };
4955 cpu4_vdd_cdev {
4956 trip = <&camera_trip>;
4957 cooling-device = <&CPU4 9 9>;
4958 };
4959 gpu_vdd_cdev {
4960 trip = <&camera_trip>;
4961 cooling-device = <&msm_gpu 1 1>;
4962 };
4963 cx_vdd_cdev {
4964 trip = <&camera_trip>;
4965 cooling-device = <&cx_cdev 0 0>;
4966 };
4967 mx_vdd_cdev {
4968 trip = <&camera_trip>;
4969 cooling-device = <&mx_cdev 0 0>;
4970 };
4971 ebi_vdd_cdev {
4972 trip = <&camera_trip>;
4973 cooling-device = <&ebi_cdev 0 0>;
4974 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06004975 modem_vdd_cdev {
4976 trip = <&camera_trip>;
4977 cooling-device = <&modem_vdd 0 0>;
4978 };
4979 adsp_vdd_cdev {
4980 trip = <&camera_trip>;
4981 cooling-device = <&adsp_vdd 0 0>;
4982 };
4983 cdsp_vdd_cdev {
4984 trip = <&camera_trip>;
4985 cooling-device = <&cdsp_vdd 0 0>;
4986 };
4987 slpi_vdd_cdev {
4988 trip = <&camera_trip>;
4989 cooling-device = <&slpi_vdd 0 0>;
4990 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06004991 };
4992 };
4993
4994 mmss-lowf {
4995 polling-delay-passive = <0>;
4996 polling-delay = <0>;
4997 thermal-governor = "low_limits_floor";
4998 thermal-sensors = <&tsens1 6>;
4999 tracks-low;
5000 trips {
5001 mmss_trip: mmss-trip {
5002 temperature = <5000>;
5003 hysteresis = <5000>;
5004 type = "passive";
5005 };
5006 };
5007 cooling-maps {
5008 cpu0_vdd_cdev {
5009 trip = <&mmss_trip>;
5010 cooling-device = <&CPU0 4 4>;
5011 };
5012 cpu4_vdd_cdev {
5013 trip = <&mmss_trip>;
5014 cooling-device = <&CPU4 9 9>;
5015 };
5016 gpu_vdd_cdev {
5017 trip = <&mmss_trip>;
5018 cooling-device = <&msm_gpu 1 1>;
5019 };
5020 cx_vdd_cdev {
5021 trip = <&mmss_trip>;
5022 cooling-device = <&cx_cdev 0 0>;
5023 };
5024 mx_vdd_cdev {
5025 trip = <&mmss_trip>;
5026 cooling-device = <&mx_cdev 0 0>;
5027 };
5028 ebi_vdd_cdev {
5029 trip = <&mmss_trip>;
5030 cooling-device = <&ebi_cdev 0 0>;
5031 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005032 modem_vdd_cdev {
5033 trip = <&mmss_trip>;
5034 cooling-device = <&modem_vdd 0 0>;
5035 };
5036 adsp_vdd_cdev {
5037 trip = <&mmss_trip>;
5038 cooling-device = <&adsp_vdd 0 0>;
5039 };
5040 cdsp_vdd_cdev {
5041 trip = <&mmss_trip>;
5042 cooling-device = <&cdsp_vdd 0 0>;
5043 };
5044 slpi_vdd_cdev {
5045 trip = <&mmss_trip>;
5046 cooling-device = <&slpi_vdd 0 0>;
5047 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005048 };
5049 };
5050
5051 mdm-core-lowf {
5052 polling-delay-passive = <0>;
5053 polling-delay = <0>;
5054 thermal-governor = "low_limits_floor";
5055 thermal-sensors = <&tsens1 7>;
5056 tracks-low;
5057 trips {
5058 mdm_trip: mdm-trip {
5059 temperature = <5000>;
5060 hysteresis = <5000>;
5061 type = "passive";
5062 };
5063 };
5064 cooling-maps {
5065 cpu0_vdd_cdev {
5066 trip = <&mdm_trip>;
5067 cooling-device = <&CPU0 4 4>;
5068 };
5069 cpu4_vdd_cdev {
5070 trip = <&mdm_trip>;
5071 cooling-device = <&CPU4 9 9>;
5072 };
5073 gpu_vdd_cdev {
5074 trip = <&mdm_trip>;
5075 cooling-device = <&msm_gpu 1 1>;
5076 };
5077 cx_vdd_cdev {
5078 trip = <&mdm_trip>;
5079 cooling-device = <&cx_cdev 0 0>;
5080 };
5081 mx_vdd_cdev {
5082 trip = <&mdm_trip>;
5083 cooling-device = <&mx_cdev 0 0>;
5084 };
5085 ebi_vdd_cdev {
5086 trip = <&mdm_trip>;
5087 cooling-device = <&ebi_cdev 0 0>;
5088 };
Ram Chandrasekar56f60de2017-07-03 16:26:18 -06005089 modem_vdd_cdev {
5090 trip = <&mdm_trip>;
5091 cooling-device = <&modem_vdd 0 0>;
5092 };
5093 adsp_vdd_cdev {
5094 trip = <&mdm_trip>;
5095 cooling-device = <&adsp_vdd 0 0>;
5096 };
5097 cdsp_vdd_cdev {
5098 trip = <&mdm_trip>;
5099 cooling-device = <&cdsp_vdd 0 0>;
5100 };
5101 slpi_vdd_cdev {
5102 trip = <&mdm_trip>;
5103 cooling-device = <&slpi_vdd 0 0>;
5104 };
Ram Chandrasekar3ec09c02017-06-27 11:03:15 -06005105 };
5106 };
5107};