blob: 72ae5a38f5d821876180fec16cd64a248406b592 [file] [log] [blame]
Rishabh Bhatnagare9a05bb2018-12-10 11:09:45 -08001// SPDX-License-Identifier: GPL-2.0-only
Runmin Wang4f5985b2017-04-19 15:55:12 -07002/*
Amir Samuelovf52db412019-01-08 09:30:58 +02003 * Copyright (c) 2018-2019, The Linux Foundation. All rights reserved.
Runmin Wang4f5985b2017-04-19 15:55:12 -07004 */
5
6#include "skeleton64.dtsi"
Deepak Katragadda5bbf8142018-06-20 16:12:13 -07007
8#include <dt-bindings/clock/qcom,aop-qmp.h>
9#include <dt-bindings/clock/qcom,camcc-kona.h>
10#include <dt-bindings/clock/qcom,cpucc-kona.h>
11#include <dt-bindings/clock/qcom,dispcc-kona.h>
12#include <dt-bindings/clock/qcom,gcc-kona.h>
13#include <dt-bindings/clock/qcom,gpucc-kona.h>
14#include <dt-bindings/clock/qcom,npucc-kona.h>
15#include <dt-bindings/clock/qcom,rpmh.h>
16#include <dt-bindings/clock/qcom,videocc-kona.h>
Runmin Wang4f5985b2017-04-19 15:55:12 -070017#include <dt-bindings/interrupt-controller/arm-gic.h>
David Daib1d68482018-10-01 19:40:35 -070018#include <dt-bindings/msm/msm-bus-ids.h>
David Collins61d237d2019-01-03 16:01:15 -080019#include <dt-bindings/regulator/qcom,rpmh-regulator-levels.h>
Raghavendra Rao Ananta02957962018-08-06 15:28:34 -070020#include <dt-bindings/soc/qcom,ipcc.h>
Lina Iyerea91c722018-06-20 14:58:05 -060021#include <dt-bindings/soc/qcom,rpmh-rsc.h>
Rishabh Bhatnagar2b66dc12018-10-18 10:36:27 -070022#include <dt-bindings/gpio/gpio.h>
Deepak Katragadda5bbf8142018-06-20 16:12:13 -070023
Rama Aparna Mallavarapu5a7daf42019-01-14 22:08:20 -080024#define MHZ_TO_MBPS(mhz, w) ((mhz * 1000000 * w) / (1024 * 1024))
25#define BW_OPP_ENTRY(mhz, w) opp-mhz {opp-hz = /bits/ 64 <MHZ_TO_MBPS(mhz, w)>;}
26
27
Runmin Wang4f5985b2017-04-19 15:55:12 -070028/ {
29 model = "Qualcomm Technologies, Inc. kona";
30 compatible = "qcom,kona";
31 qcom,msm-id = <356 0x10000>;
32 interrupt-parent = <&intc>;
33
Can Guob04bed52018-07-10 19:27:32 -070034 aliases {
35 ufshc1 = &ufshc_mem; /* Embedded UFS slot */
Tony Truongc972c642018-09-12 10:03:51 -070036 pci-domain2 = &pcie2; /* PCIe2 domain */
Vipin Deep Kaur9a2c13d2018-12-19 18:38:46 +053037 serial0 = &qupv3_se2_2uart; /* RUMI */
Can Guob04bed52018-07-10 19:27:32 -070038 };
39
Runmin Wang4f5985b2017-04-19 15:55:12 -070040 cpus {
41 #address-cells = <2>;
42 #size-cells = <0>;
43
44 CPU0: cpu@0 {
45 device_type = "cpu";
46 compatible = "qcom,kryo";
47 reg = <0x0 0x0>;
Channagoud Kadabida4367b2018-09-20 15:07:04 -070048 enable-method = "psci";
Runmin Wang4f5985b2017-04-19 15:55:12 -070049 cache-size = <0x8000>;
50 cpu-release-addr = <0x0 0x90000000>;
51 next-level-cache = <&L2_0>;
David Daia4635e62018-10-11 13:39:44 -070052 qcom,freq-domain = <&cpufreq_hw 0 4>;
Satya Durga Srinivasu Prabhala86db38d2018-11-06 13:21:20 -080053 capacity-dmips-mhz = <1024>;
Satya Durga Srinivasu Prabhala50812f72018-12-13 12:50:08 -080054 dynamic-power-coefficient = <100>;
Runmin Wang4f5985b2017-04-19 15:55:12 -070055 L2_0: l2-cache {
56 compatible = "arm,arch-cache";
57 cache-size = <0x20000>;
58 cache-level = <2>;
59 next-level-cache = <&L3_0>;
60
61 L3_0: l3-cache {
62 compatible = "arm,arch-cache";
63 cache-size = <0x400000>;
64 cache-level = <3>;
65 };
66 };
Venkata Narendra Kumar Guttab0205a92018-09-11 13:40:34 -070067
68 L1_I_0: l1-icache {
69 compatible = "arm,arch-cache";
70 qcom,dump-size = <0x8800>;
71 };
72
73 L1_D_0: l1-dcache {
74 compatible = "arm,arch-cache";
75 qcom,dump-size = <0x9000>;
76 };
77
78 L2_TLB_0: l2-tlb {
79 qcom,dump-size = <0x5000>;
80 };
Runmin Wang4f5985b2017-04-19 15:55:12 -070081 };
82
83 CPU1: cpu@100 {
84 device_type = "cpu";
85 compatible = "qcom,kryo";
86 reg = <0x0 0x100>;
Channagoud Kadabida4367b2018-09-20 15:07:04 -070087 enable-method = "psci";
Runmin Wang4f5985b2017-04-19 15:55:12 -070088 cache-size = <0x8000>;
89 cpu-release-addr = <0x0 0x90000000>;
90 next-level-cache = <&L2_1>;
David Daia4635e62018-10-11 13:39:44 -070091 qcom,freq-domain = <&cpufreq_hw 0 4>;
Satya Durga Srinivasu Prabhala86db38d2018-11-06 13:21:20 -080092 capacity-dmips-mhz = <1024>;
Satya Durga Srinivasu Prabhala50812f72018-12-13 12:50:08 -080093 dynamic-power-coefficient = <100>;
Runmin Wang4f5985b2017-04-19 15:55:12 -070094 L2_1: l2-cache {
95 compatible = "arm,arch-cache";
96 cache-size = <0x20000>;
97 cache-level = <2>;
98 next-level-cache = <&L3_0>;
99 };
Venkata Narendra Kumar Guttab0205a92018-09-11 13:40:34 -0700100
101 L1_I_100: l1-icache {
102 compatible = "arm,arch-cache";
103 qcom,dump-size = <0x8800>;
104 };
105
106 L1_D_100: l1-dcache {
107 compatible = "arm,arch-cache";
108 qcom,dump-size = <0x9000>;
109 };
110
111 L2_TLB_100: l2-tlb {
112 qcom,dump-size = <0x5000>;
113 };
Runmin Wang4f5985b2017-04-19 15:55:12 -0700114 };
115
116 CPU2: cpu@200 {
117 device_type = "cpu";
118 compatible = "qcom,kryo";
119 reg = <0x0 0x200>;
Channagoud Kadabida4367b2018-09-20 15:07:04 -0700120 enable-method = "psci";
Runmin Wang4f5985b2017-04-19 15:55:12 -0700121 cache-size = <0x8000>;
122 cpu-release-addr = <0x0 0x90000000>;
123 next-level-cache = <&L2_2>;
David Daia4635e62018-10-11 13:39:44 -0700124 qcom,freq-domain = <&cpufreq_hw 0 4>;
Satya Durga Srinivasu Prabhala86db38d2018-11-06 13:21:20 -0800125 capacity-dmips-mhz = <1024>;
Satya Durga Srinivasu Prabhala50812f72018-12-13 12:50:08 -0800126 dynamic-power-coefficient = <100>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700127 L2_2: l2-cache {
128 compatible = "arm,arch-cache";
129 cache-size = <0x20000>;
130 cache-level = <2>;
131 next-level-cache = <&L3_0>;
132 };
Venkata Narendra Kumar Guttab0205a92018-09-11 13:40:34 -0700133
134 L1_I_200: l1-icache {
135 compatible = "arm,arch-cache";
136 qcom,dump-size = <0x8800>;
137 };
138
139 L1_D_200: l1-dcache {
140 compatible = "arm,arch-cache";
141 qcom,dump-size = <0x9000>;
142 };
143
144 L2_TLB_200: l2-tlb {
145 qcom,dump-size = <0x5000>;
146 };
Runmin Wang4f5985b2017-04-19 15:55:12 -0700147 };
148
149 CPU3: cpu@300 {
150 device_type = "cpu";
151 compatible = "qcom,kryo";
152 reg = <0x0 0x300>;
Channagoud Kadabida4367b2018-09-20 15:07:04 -0700153 enable-method = "psci";
Runmin Wang4f5985b2017-04-19 15:55:12 -0700154 cache-size = <0x8000>;
155 cpu-release-addr = <0x0 0x90000000>;
156 next-level-cache = <&L2_3>;
David Daia4635e62018-10-11 13:39:44 -0700157 qcom,freq-domain = <&cpufreq_hw 0 4>;
Satya Durga Srinivasu Prabhala86db38d2018-11-06 13:21:20 -0800158 capacity-dmips-mhz = <1024>;
Satya Durga Srinivasu Prabhala50812f72018-12-13 12:50:08 -0800159 dynamic-power-coefficient = <100>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700160 L2_3: l2-cache {
161 compatible = "arm,arch-cache";
162 cache-size = <0x20000>;
163 cache-level = <2>;
164 next-level-cache = <&L3_0>;
165 };
Venkata Narendra Kumar Guttab0205a92018-09-11 13:40:34 -0700166
167 L1_I_300: l1-icache {
168 compatible = "arm,arch-cache";
169 qcom,dump-size = <0x8800>;
170 };
171
172 L1_D_300: l1-dcache {
173 compatible = "arm,arch-cache";
174 qcom,dump-size = <0x9000>;
175 };
176
177 L2_TLB_300: l2-tlb {
178 qcom,dump-size = <0x5000>;
179 };
Runmin Wang4f5985b2017-04-19 15:55:12 -0700180 };
181
182 CPU4: cpu@400 {
183 device_type = "cpu";
184 compatible = "qcom,kryo";
185 reg = <0x0 0x400>;
Channagoud Kadabida4367b2018-09-20 15:07:04 -0700186 enable-method = "psci";
Runmin Wang4f5985b2017-04-19 15:55:12 -0700187 cache-size = <0x10000>;
188 cpu-release-addr = <0x0 0x90000000>;
189 next-level-cache = <&L2_4>;
David Daia4635e62018-10-11 13:39:44 -0700190 qcom,freq-domain = <&cpufreq_hw 1 4>;
Satya Durga Srinivasu Prabhala86db38d2018-11-06 13:21:20 -0800191 capacity-dmips-mhz = <1894>;
Satya Durga Srinivasu Prabhala50812f72018-12-13 12:50:08 -0800192 dynamic-power-coefficient = <374>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700193 L2_4: l2-cache {
194 compatible = "arm,arch-cache";
Venkata Narendra Kumar Guttab0205a92018-09-11 13:40:34 -0700195 cache-size = <0x40000>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700196 cache-level = <2>;
197 next-level-cache = <&L3_0>;
Venkata Narendra Kumar Guttab0205a92018-09-11 13:40:34 -0700198 qcom,dump-size = <0x48000>;
199 };
200
201 L1_I_400: l1-icache {
202 compatible = "arm,arch-cache";
203 qcom,dump-size = <0x11000>;
204 };
205
206 L1_D_400: l1-dcache {
207 compatible = "arm,arch-cache";
208 qcom,dump-size = <0x12000>;
209 };
210
211 L1_ITLB_400: l1-itlb {
212 qcom,dump-size = <0x300>;
213 };
214
215 L1_DTLB_400: l1-dtlb {
216 qcom,dump-size = <0x480>;
217 };
218
219 L2_TLB_400: l2-tlb {
220 qcom,dump-size = <0x7800>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700221 };
222 };
223
224 CPU5: cpu@500 {
225 device_type = "cpu";
226 compatible = "qcom,kryo";
227 reg = <0x0 0x500>;
Channagoud Kadabida4367b2018-09-20 15:07:04 -0700228 enable-method = "psci";
Runmin Wang4f5985b2017-04-19 15:55:12 -0700229 cache-size = <0x10000>;
230 cpu-release-addr = <0x0 0x90000000>;
231 next-level-cache = <&L2_5>;
David Daia4635e62018-10-11 13:39:44 -0700232 qcom,freq-domain = <&cpufreq_hw 1 4>;
Satya Durga Srinivasu Prabhala86db38d2018-11-06 13:21:20 -0800233 capacity-dmips-mhz = <1894>;
Satya Durga Srinivasu Prabhala50812f72018-12-13 12:50:08 -0800234 dynamic-power-coefficient = <374>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700235 L2_5: l2-cache {
236 compatible = "arm,arch-cache";
Venkata Narendra Kumar Guttab0205a92018-09-11 13:40:34 -0700237 cache-size = <0x40000>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700238 cache-level = <2>;
239 next-level-cache = <&L3_0>;
Venkata Narendra Kumar Guttab0205a92018-09-11 13:40:34 -0700240 qcom,dump-size = <0x48000>;
241 };
242
243 L1_I_500: l1-icache {
244 compatible = "arm,arch-cache";
245 qcom,dump-size = <0x11000>;
246 };
247
248 L1_D_500: l1-dcache {
249 compatible = "arm,arch-cache";
250 qcom,dump-size = <0x12000>;
251 };
252
253 L1_ITLB_500: l1-itlb {
254 qcom,dump-size = <0x300>;
255 };
256
257 L1_DTLB_500: l1-dtlb {
258 qcom,dump-size = <0x480>;
259 };
260
261 L2_TLB_500: l2-tlb {
262 qcom,dump-size = <0x7800>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700263 };
264 };
265
266 CPU6: cpu@600 {
267 device_type = "cpu";
268 compatible = "qcom,kryo";
269 reg = <0x0 0x600>;
Channagoud Kadabida4367b2018-09-20 15:07:04 -0700270 enable-method = "psci";
Runmin Wang4f5985b2017-04-19 15:55:12 -0700271 cache-size = <0x10000>;
272 cpu-release-addr = <0x0 0x90000000>;
273 next-level-cache = <&L2_6>;
David Daia4635e62018-10-11 13:39:44 -0700274 qcom,freq-domain = <&cpufreq_hw 1 4>;
Satya Durga Srinivasu Prabhala86db38d2018-11-06 13:21:20 -0800275 capacity-dmips-mhz = <1894>;
Satya Durga Srinivasu Prabhala50812f72018-12-13 12:50:08 -0800276 dynamic-power-coefficient = <374>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700277 L2_6: l2-cache {
278 compatible = "arm,arch-cache";
Venkata Narendra Kumar Guttab0205a92018-09-11 13:40:34 -0700279 cache-size = <0x40000>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700280 cache-level = <2>;
281 next-level-cache = <&L3_0>;
Venkata Narendra Kumar Guttab0205a92018-09-11 13:40:34 -0700282 qcom,dump-size = <0x48000>;
283 };
284
285 L1_I_600: l1-icache {
286 compatible = "arm,arch-cache";
287 qcom,dump-size = <0x11000>;
288 };
289
290 L1_D_600: l1-dcache {
291 compatible = "arm,arch-cache";
292 qcom,dump-size = <0x12000>;
293 };
294
295 L1_ITLB_600: l1-itlb {
296 qcom,dump-size = <0x300>;
297 };
298
299 L1_DTLB_600: l1-dtlb {
300 qcom,dump-size = <0x480>;
301 };
302
303 L2_TLB_600: l2-tlb {
304 qcom,dump-size = <0x7800>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700305 };
306 };
307
308 CPU7: cpu@700 {
309 device_type = "cpu";
310 compatible = "qcom,kryo";
311 reg = <0x0 0x700>;
Channagoud Kadabida4367b2018-09-20 15:07:04 -0700312 enable-method = "psci";
Runmin Wang4f5985b2017-04-19 15:55:12 -0700313 cache-size = <0x10000>;
314 cpu-release-addr = <0x0 0x90000000>;
315 next-level-cache = <&L2_7>;
David Daia4635e62018-10-11 13:39:44 -0700316 qcom,freq-domain = <&cpufreq_hw 2 4>;
Satya Durga Srinivasu Prabhala86db38d2018-11-06 13:21:20 -0800317 capacity-dmips-mhz = <1894>;
Satya Durga Srinivasu Prabhala50812f72018-12-13 12:50:08 -0800318 dynamic-power-coefficient = <431>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700319 L2_7: l2-cache {
320 compatible = "arm,arch-cache";
321 cache-size = <0x80000>;
322 cache-level = <2>;
323 next-level-cache = <&L3_0>;
Venkata Narendra Kumar Guttab0205a92018-09-11 13:40:34 -0700324 qcom,dump-size = <0x90000>;
325 };
326
327 L1_I_700: l1-icache {
328 compatible = "arm,arch-cache";
329 qcom,dump-size = <0x11000>;
330 };
331
332 L1_D_700: l1-dcache {
333 compatible = "arm,arch-cache";
334 qcom,dump-size = <0x12000>;
335 };
336
337 L1_ITLB_700: l1-itlb {
338 qcom,dump-size = <0x300>;
339 };
340
341 L1_DTLB_700: l1-dtlb {
342 qcom,dump-size = <0x480>;
343 };
344
345 L2_TLB_700: l2-tlb {
346 qcom,dump-size = <0x7800>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700347 };
348 };
349
350 cpu-map {
351 cluster0 {
352 core0 {
353 cpu = <&CPU0>;
354 };
355
356 core1 {
357 cpu = <&CPU1>;
358 };
359
360 core2 {
361 cpu = <&CPU2>;
362 };
363
364 core3 {
365 cpu = <&CPU3>;
366 };
367 };
368
369 cluster1 {
370 core0 {
371 cpu = <&CPU4>;
372 };
373
374 core1 {
375 cpu = <&CPU5>;
376 };
377
378 core2 {
379 cpu = <&CPU6>;
380 };
Satya Durga Srinivasu Prabhala86db38d2018-11-06 13:21:20 -0800381 };
Runmin Wang4f5985b2017-04-19 15:55:12 -0700382
Satya Durga Srinivasu Prabhala86db38d2018-11-06 13:21:20 -0800383 cluster2 {
384 core0 {
Runmin Wang4f5985b2017-04-19 15:55:12 -0700385 cpu = <&CPU7>;
386 };
387 };
388 };
389 };
390
David Daia4635e62018-10-11 13:39:44 -0700391
Channagoud Kadabicdd72a02018-09-21 14:46:21 -0700392 cpu_pmu: cpu-pmu {
393 compatible = "arm,armv8-pmuv3";
394 qcom,irq-is-percpu;
395 interrupts = <GIC_PPI 7 IRQ_TYPE_LEVEL_HIGH>;
396 };
397
David Daia4635e62018-10-11 13:39:44 -0700398 soc: soc {
399 cpufreq_hw: qcom,cpufreq-hw {
400 compatible = "qcom,cpufreq-hw";
401 reg = <0x18591000 0x1000>, <0x18592000 0x1000>,
402 <0x18593000 0x1000>;
403 reg-names = "freq-domain0", "freq-domain1",
404 "freq-domain2";
405
406 clocks = <&clock_xo>, <&clock_gcc GPLL0>;
407 clock-names = "xo", "cpu_clk";
408
409 #freq-domain-cells = <2>;
410 };
411 };
412
Arjun Bagla76f02ef2018-09-19 10:00:29 -0700413 psci {
414 compatible = "arm,psci-1.0";
415 method = "smc";
416 };
417
Bruce Levy3bd8d1b2018-09-11 11:31:13 -0700418 firmware: firmware {
419 android {
420 compatible = "android,firmware";
421 fstab {
422 compatible = "android,fstab";
423 vendor {
424 compatible = "android,vendor";
425 dev = "/dev/block/platform/soc/1d84000.ufshc/by-name/vendor";
426 type = "ext4";
427 mnt_flags = "ro,barrier=1,discard";
428 fsmgr_flags = "wait,slotselect,avb";
429 status = "ok";
430 };
431 };
432 };
433 };
434
Channagoud Kadabida4367b2018-09-20 15:07:04 -0700435 psci {
436 compatible = "arm,psci-1.0";
437 method = "smc";
438 };
439
Swathi Sridhara79a9542018-06-21 11:40:44 -0700440 reserved-memory {
441 #address-cells = <2>;
442 #size-cells = <2>;
443 ranges;
444
445 hyp_mem: hyp_region@80000000 {
446 no-map;
447 reg = <0x0 0x80000000 0x0 0x600000>;
448 };
449
450 xbl_aop_mem: xbl_aop_region@80700000 {
451 no-map;
Swathi Sridhar072b0ad2018-10-16 22:52:57 -0700452 reg = <0x0 0x80700000 0x0 0x120000>;
Swathi Sridhara79a9542018-06-21 11:40:44 -0700453 };
454
Lina Iyer5d609fa2018-10-03 14:26:55 -0600455 cmd_db: reserved-memory@80820000 {
456 reg = <0x0 0x80820000 0x0 0x20000>;
457 compatible = "qcom,cmd-db";
458 no-map;
459 };
460
Swathi Sridhara79a9542018-06-21 11:40:44 -0700461 smem_mem: smem_region@80900000 {
462 no-map;
463 reg = <0x0 0x80900000 0x0 0x200000>;
464 };
465
466 removed_mem: removed_region@80b00000 {
467 no-map;
468 reg = <0x0 0x80b00000 0x0 0xc00000>;
469 };
470
471 qtee_apps_mem: qtee_apps_region@81e00000 {
472 no-map;
473 reg = <0x0 0x81e00000 0x0 0x2600000>;
474 };
475
476 pil_camera_mem: pil_camera_region@86000000 {
Swathi Sridhar2f971562018-10-02 15:43:09 -0700477 compatible = "removed-dma-pool";
Swathi Sridhara79a9542018-06-21 11:40:44 -0700478 no-map;
479 reg = <0x0 0x86000000 0x0 0x500000>;
480 };
481
482 pil_wlan_fw_mem: pil_wlan_fw_region@86500000 {
Swathi Sridhar2f971562018-10-02 15:43:09 -0700483 compatible = "removed-dma-pool";
Swathi Sridhara79a9542018-06-21 11:40:44 -0700484 no-map;
485 reg = <0x0 0x86500000 0x0 0x100000>;
486 };
487
488 pil_ipa_fw_mem: pil_ipa_fw_region@86600000 {
Swathi Sridhar2f971562018-10-02 15:43:09 -0700489 compatible = "removed-dma-pool";
Swathi Sridhara79a9542018-06-21 11:40:44 -0700490 no-map;
491 reg = <0x0 0x86600000 0x0 0x10000>;
492 };
493
494 pil_ipa_gsi_mem: pil_ipa_gsi_region@86610000 {
Swathi Sridhar2f971562018-10-02 15:43:09 -0700495 compatible = "removed-dma-pool";
Swathi Sridhara79a9542018-06-21 11:40:44 -0700496 no-map;
497 reg = <0x0 0x86610000 0x0 0x5000>;
498 };
499
500 pil_gpu_mem: pil_gpu_region@86615000 {
Swathi Sridhar2f971562018-10-02 15:43:09 -0700501 compatible = "removed-dma-pool";
Swathi Sridhara79a9542018-06-21 11:40:44 -0700502 no-map;
503 reg = <0x0 0x86615000 0x0 0x2000>;
504 };
505
Swathi Sridhar072b0ad2018-10-16 22:52:57 -0700506 pil_npu_mem: pil_npu_region@86700000 {
Swathi Sridhar2f971562018-10-02 15:43:09 -0700507 compatible = "removed-dma-pool";
Swathi Sridhara79a9542018-06-21 11:40:44 -0700508 no-map;
509 reg = <0x0 0x86700000 0x0 0x500000>;
510 };
511
Swathi Sridhar072b0ad2018-10-16 22:52:57 -0700512 pil_video_mem: pil_video_region@86c00000 {
Swathi Sridhar2f971562018-10-02 15:43:09 -0700513 compatible = "removed-dma-pool";
Swathi Sridhara79a9542018-06-21 11:40:44 -0700514 no-map;
515 reg = <0x0 0x86c00000 0x0 0x500000>;
516 };
517
Swathi Sridhar072b0ad2018-10-16 22:52:57 -0700518 pil_cvp_mem: pil_cvp_region@87100000 {
Swathi Sridhar2f971562018-10-02 15:43:09 -0700519 compatible = "removed-dma-pool";
Swathi Sridhara79a9542018-06-21 11:40:44 -0700520 no-map;
Swathi Sridhar072b0ad2018-10-16 22:52:57 -0700521 reg = <0x0 0x87100000 0x0 0x500000>;
Swathi Sridhara79a9542018-06-21 11:40:44 -0700522 };
523
Swathi Sridhar072b0ad2018-10-16 22:52:57 -0700524 pil_cdsp_mem: pil_cdsp_region@87600000 {
Swathi Sridhar2f971562018-10-02 15:43:09 -0700525 compatible = "removed-dma-pool";
Swathi Sridhara79a9542018-06-21 11:40:44 -0700526 no-map;
Swathi Sridhar072b0ad2018-10-16 22:52:57 -0700527 reg = <0x0 0x87600000 0x0 0x800000>;
Swathi Sridhara79a9542018-06-21 11:40:44 -0700528 };
529
Swathi Sridhar072b0ad2018-10-16 22:52:57 -0700530 pil_slpi_mem: pil_slpi_region@87e00000 {
Swathi Sridhar2f971562018-10-02 15:43:09 -0700531 compatible = "removed-dma-pool";
Swathi Sridhara79a9542018-06-21 11:40:44 -0700532 no-map;
Swathi Sridhar072b0ad2018-10-16 22:52:57 -0700533 reg = <0x0 0x87e00000 0x0 0x1500000>;
Swathi Sridhara79a9542018-06-21 11:40:44 -0700534 };
535
Swathi Sridhar072b0ad2018-10-16 22:52:57 -0700536 pil_adsp_mem: pil_adsp_region@89300000 {
Swathi Sridhar2f971562018-10-02 15:43:09 -0700537 compatible = "removed-dma-pool";
Swathi Sridhara79a9542018-06-21 11:40:44 -0700538 no-map;
Swathi Sridhar19db3ed2018-11-29 11:01:42 -0800539 reg = <0x0 0x89300000 0x0 0x1a00000>;
Swathi Sridhar072b0ad2018-10-16 22:52:57 -0700540 };
541
Swathi Sridhar19db3ed2018-11-29 11:01:42 -0800542 pil_spss_mem: pil_spss_region@8ad00000 {
Swathi Sridhar072b0ad2018-10-16 22:52:57 -0700543 compatible = "removed-dma-pool";
544 no-map;
Swathi Sridhar19db3ed2018-11-29 11:01:42 -0800545 reg = <0x0 0x8ad00000 0x0 0x100000>;
Swathi Sridhara79a9542018-06-21 11:40:44 -0700546 };
547
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +0530548 adsp_mem: adsp_region {
549 compatible = "shared-dma-pool";
550 alloc-ranges = <0x0 0x00000000 0x0 0xffffffff>;
551 reusable;
552 alignment = <0x0 0x400000>;
553 size = <0x0 0x1000000>;
554 };
555
George Shen9c54c662018-12-26 15:50:11 -0800556 cdsp_mem: cdsp_region {
557 compatible = "shared-dma-pool";
558 alloc-ranges = <0x0 0x00000000 0x0 0xffffffff>;
559 reusable;
560 alignment = <0x0 0x400000>;
561 size = <0x0 0x400000>;
562 };
563
Tingwei Zhangd9b535f2018-12-03 19:14:06 -0800564 dump_mem: mem_dump_region {
565 compatible = "shared-dma-pool";
566 reusable;
567 size = <0 0x2400000>;
568 };
569
Swathi Sridhara79a9542018-06-21 11:40:44 -0700570 /* global autoconfigured region for contiguous allocations */
571 linux,cma {
572 compatible = "shared-dma-pool";
573 alloc-ranges = <0x0 0x00000000 0x0 0xffffffff>;
574 reusable;
575 alignment = <0x0 0x400000>;
576 size = <0x0 0x2000000>;
577 linux,cma-default;
578 };
579 };
Runmin Wang4f5985b2017-04-19 15:55:12 -0700580};
581
582&soc {
583 #address-cells = <1>;
584 #size-cells = <1>;
585 ranges = <0 0 0 0xffffffff>;
586 compatible = "simple-bus";
587
David Collins692dff72018-11-12 17:09:49 -0800588 thermal_zones: thermal-zones {
589 };
590
Runmin Wang4f5985b2017-04-19 15:55:12 -0700591 intc: interrupt-controller@17a00000 {
592 compatible = "arm,gic-v3";
593 #interrupt-cells = <3>;
594 interrupt-controller;
595 #redistributor-regions = <1>;
596 redistributor-stride = <0x0 0x20000>;
597 reg = <0x17a00000 0x10000>, /* GICD */
598 <0x17a60000 0x100000>; /* GICR * 8 */
599 interrupts = <GIC_PPI 9 IRQ_TYPE_LEVEL_HIGH>;
600 };
601
Rishabh Bhatnagarfd73eb12018-09-04 15:00:46 -0700602 qcom,chd_silver {
603 compatible = "qcom,core-hang-detect";
604 label = "silver";
605 qcom,threshold-arr = <0x18000058 0x18010058
606 0x18020058 0x18030058>;
607 qcom,config-arr = <0x18000060 0x18010060
608 0x18020060 0x18030060>;
609 };
610
611 qcom,chd_gold {
612 compatible = "qcom,core-hang-detect";
613 label = "gold";
614 qcom,threshold-arr = <0x18040058 0x18050058
615 0x18060058 0x18070058>;
616 qcom,config-arr = <0x18040060 0x18050060
617 0x18060060 0x18070060>;
618 };
619
Rishabh Bhatnagar8f0dd4b2018-08-07 11:07:40 -0700620 cache-controller@9200000 {
621 compatible = "qcom,kona-llcc";
622 reg = <0x9200000 0x1d0000> , <0x9600000 0x50000>;
623 reg-names = "llcc_base", "llcc_broadcast_base";
Channagoud Kadabia13ed0a2018-09-26 16:10:35 -0700624 interrupts = <GIC_SPI 582 IRQ_TYPE_LEVEL_HIGH>;
Rishabh Bhatnagar8f0dd4b2018-08-07 11:07:40 -0700625 };
626
Maria Neptune5a1428b2018-08-29 13:25:19 -0700627 arch_timer: timer {
Runmin Wang4f5985b2017-04-19 15:55:12 -0700628 compatible = "arm,armv8-timer";
629 interrupts = <GIC_PPI 13 (GIC_CPU_MASK_SIMPLE(8) | IRQ_TYPE_LEVEL_LOW)>,
630 <GIC_PPI 14 (GIC_CPU_MASK_SIMPLE(8) | IRQ_TYPE_LEVEL_LOW)>,
631 <GIC_PPI 11 (GIC_CPU_MASK_SIMPLE(8) | IRQ_TYPE_LEVEL_LOW)>,
632 <GIC_PPI 12 (GIC_CPU_MASK_SIMPLE(8) | IRQ_TYPE_LEVEL_LOW)>;
633 clock-frequency = <19200000>;
634 };
635
Maria Neptune5a1428b2018-08-29 13:25:19 -0700636 memtimer: timer@17c20000 {
Runmin Wang4f5985b2017-04-19 15:55:12 -0700637 #address-cells = <1>;
638 #size-cells = <1>;
639 ranges;
640 compatible = "arm,armv7-timer-mem";
Rishabh Bhatnagar5c1c1762018-05-29 17:04:46 -0700641 reg = <0x17c20000 0x1000>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700642 clock-frequency = <19200000>;
643
Maria Neptune5a1428b2018-08-29 13:25:19 -0700644 frame@17c21000 {
Runmin Wang4f5985b2017-04-19 15:55:12 -0700645 frame-number = <0>;
Rishabh Bhatnagar5c1c1762018-05-29 17:04:46 -0700646 interrupts = <GIC_SPI 8 IRQ_TYPE_LEVEL_HIGH>,
Runmin Wang4f5985b2017-04-19 15:55:12 -0700647 <GIC_SPI 6 IRQ_TYPE_LEVEL_HIGH>;
Rishabh Bhatnagar5c1c1762018-05-29 17:04:46 -0700648 reg = <0x17c21000 0x1000>,
649 <0x17c22000 0x1000>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700650 };
651
Rishabh Bhatnagar5c1c1762018-05-29 17:04:46 -0700652 frame@17c23000 {
Runmin Wang4f5985b2017-04-19 15:55:12 -0700653 frame-number = <1>;
Rishabh Bhatnagar5c1c1762018-05-29 17:04:46 -0700654 interrupts = <GIC_SPI 9 IRQ_TYPE_LEVEL_HIGH>;
655 reg = <0x17c23000 0x1000>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700656 status = "disabled";
657 };
658
Rishabh Bhatnagar5c1c1762018-05-29 17:04:46 -0700659 frame@17c25000 {
Runmin Wang4f5985b2017-04-19 15:55:12 -0700660 frame-number = <2>;
Rishabh Bhatnagar5c1c1762018-05-29 17:04:46 -0700661 interrupts = <GIC_SPI 10 IRQ_TYPE_LEVEL_HIGH>;
662 reg = <0x17c25000 0x1000>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700663 status = "disabled";
664 };
665
Rishabh Bhatnagar5c1c1762018-05-29 17:04:46 -0700666 frame@17c27000 {
Runmin Wang4f5985b2017-04-19 15:55:12 -0700667 frame-number = <3>;
Rishabh Bhatnagar5c1c1762018-05-29 17:04:46 -0700668 interrupts = <GIC_SPI 11 IRQ_TYPE_LEVEL_HIGH>;
669 reg = <0x17c27000 0x1000>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700670 status = "disabled";
671 };
672
Rishabh Bhatnagar5c1c1762018-05-29 17:04:46 -0700673 frame@17c29000 {
Runmin Wang4f5985b2017-04-19 15:55:12 -0700674 frame-number = <4>;
Rishabh Bhatnagar5c1c1762018-05-29 17:04:46 -0700675 interrupts = <GIC_SPI 12 IRQ_TYPE_LEVEL_HIGH>;
676 reg = <0x17c29000 0x1000>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700677 status = "disabled";
678 };
679
Maria Neptune5a1428b2018-08-29 13:25:19 -0700680 frame@17c2b000 {
Runmin Wang4f5985b2017-04-19 15:55:12 -0700681 frame-number = <5>;
Rishabh Bhatnagar5c1c1762018-05-29 17:04:46 -0700682 interrupts = <GIC_SPI 13 IRQ_TYPE_LEVEL_HIGH>;
683 reg = <0x17c2b000 0x1000>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700684 status = "disabled";
685 };
686
Rishabh Bhatnagar5c1c1762018-05-29 17:04:46 -0700687 frame@17c2d000 {
Runmin Wang4f5985b2017-04-19 15:55:12 -0700688 frame-number = <6>;
Rishabh Bhatnagar5c1c1762018-05-29 17:04:46 -0700689 interrupts = <GIC_SPI 14 IRQ_TYPE_LEVEL_HIGH>;
690 reg = <0x17c2d000 0x1000>;
Runmin Wang4f5985b2017-04-19 15:55:12 -0700691 status = "disabled";
692 };
693 };
Deepak Katragadda5bbf8142018-06-20 16:12:13 -0700694
Tingwei Zhang020594a2018-11-27 21:58:09 -0800695 jtag_mm0: jtagmm@7040000 {
696 compatible = "qcom,jtagv8-mm";
697 reg = <0x7040000 0x1000>;
698 reg-names = "etm-base";
699
700 clocks = <&clock_aop QDSS_CLK>;
701 clock-names = "core_clk";
702
703 qcom,coresight-jtagmm-cpu = <&CPU0>;
704 };
705
706 jtag_mm1: jtagmm@7140000 {
707 compatible = "qcom,jtagv8-mm";
708 reg = <0x7140000 0x1000>;
709 reg-names = "etm-base";
710
711 clocks = <&clock_aop QDSS_CLK>;
712 clock-names = "core_clk";
713
714 qcom,coresight-jtagmm-cpu = <&CPU1>;
715 };
716
717 jtag_mm2: jtagmm@7240000 {
718 compatible = "qcom,jtagv8-mm";
719 reg = <0x7240000 0x1000>;
720 reg-names = "etm-base";
721
722 clocks = <&clock_aop QDSS_CLK>;
723 clock-names = "core_clk";
724
725 qcom,coresight-jtagmm-cpu = <&CPU2>;
726 };
727
728 jtag_mm3: jtagmm@7340000 {
729 compatible = "qcom,jtagv8-mm";
730 reg = <0x7340000 0x1000>;
731 reg-names = "etm-base";
732
733 clocks = <&clock_aop QDSS_CLK>;
734 clock-names = "core_clk";
735
736 qcom,coresight-jtagmm-cpu = <&CPU3>;
737 };
738
739 jtag_mm4: jtagmm@7440000 {
740 compatible = "qcom,jtagv8-mm";
741 reg = <0x7440000 0x1000>;
742 reg-names = "etm-base";
743
744 clocks = <&clock_aop QDSS_CLK>;
745 clock-names = "core_clk";
746
747 qcom,coresight-jtagmm-cpu = <&CPU4>;
748 };
749
750 jtag_mm5: jtagmm@7540000 {
751 compatible = "qcom,jtagv8-mm";
752 reg = <0x7540000 0x1000>;
753 reg-names = "etm-base";
754
755 clocks = <&clock_aop QDSS_CLK>;
756 clock-names = "core_clk";
757
758 qcom,coresight-jtagmm-cpu = <&CPU5>;
759 };
760
761 jtag_mm6: jtagmm@7640000 {
762 compatible = "qcom,jtagv8-mm";
763 reg = <0x7640000 0x1000>;
764 reg-names = "etm-base";
765
766 clocks = <&clock_aop QDSS_CLK>;
767 clock-names = "core_clk";
768
769 qcom,coresight-jtagmm-cpu = <&CPU6>;
770 };
771
772 jtag_mm7: jtagmm@7740000 {
773 compatible = "qcom,jtagv8-mm";
774 reg = <0x7740000 0x1000>;
775 reg-names = "etm-base";
776
777 clocks = <&clock_aop QDSS_CLK>;
778 clock-names = "core_clk";
779
780 qcom,coresight-jtagmm-cpu = <&CPU7>;
781 };
782
David Dai3c427802018-10-17 14:40:08 -0700783 qcom,devfreq-l3 {
784 compatible = "qcom,devfreq-fw";
785 reg = <0x18590000 0x4>, <0x18590100 0xa0>, <0x18590320 0x4>;
786 reg-names = "en-base", "ftbl-base", "perf-base";
787
788 qcom,cpu0-l3 {
789 compatible = "qcom,devfreq-fw-voter";
790 };
791
792 qcom,cpu4-l3 {
793 compatible = "qcom,devfreq-fw-voter";
794 };
795 };
796
Chinmay Sawarkare5d4b862019-01-07 15:54:39 -0800797 venus_bus_cnoc_bw_table: bus-cnoc-bw-table {
798 compatible = "operating-points-v2";
799 BW_OPP_ENTRY( 200, 4);
800 };
801
Rama Aparna Mallavarapu5a7daf42019-01-14 22:08:20 -0800802 llcc_bw_opp_table: llcc-bw-opp-table {
803 compatible = "operating-points-v2";
804 BW_OPP_ENTRY( 150, 16); /* 2288 MB/s */
805 BW_OPP_ENTRY( 300, 16); /* 4577 MB/s */
806 BW_OPP_ENTRY( 466, 16); /* 7110 MB/s */
807 BW_OPP_ENTRY( 600, 16); /* 9155 MB/s */
808 BW_OPP_ENTRY( 806, 16); /* 12298 MB/s */
809 BW_OPP_ENTRY( 933, 16); /* 14236 MB/s */
810 BW_OPP_ENTRY( 1000, 16); /* 15258 MB/s */
811 };
812
813 ddr_bw_opp_table: ddr-bw-opp-table {
814 compatible = "operating-points-v2";
815 BW_OPP_ENTRY( 200, 4); /* 762 MB/s */
816 BW_OPP_ENTRY( 300, 4); /* 1144 MB/s */
817 BW_OPP_ENTRY( 451, 4); /* 1720 MB/s */
818 BW_OPP_ENTRY( 547, 4); /* 2086 MB/s */
819 BW_OPP_ENTRY( 681, 4); /* 2597 MB/s */
820 BW_OPP_ENTRY( 768, 4); /* 2929 MB/s */
821 BW_OPP_ENTRY( 1017, 4); /* 3879 MB/s */
822 BW_OPP_ENTRY( 1353, 4); /* 5161 MB/s */
823 BW_OPP_ENTRY( 1555, 4); /* 5931 MB/s */
824 BW_OPP_ENTRY( 2092, 4); /* 7980 MB/s */
825 BW_OPP_ENTRY( 2736, 4); /* 10437 MB/s */
826 };
827
828 suspendable_ddr_bw_opp_table: suspendable-ddr-bw-opp-table {
829 compatible = "operating-points-v2";
830 BW_OPP_ENTRY( 0, 4); /* 0 MB/s */
831 BW_OPP_ENTRY( 200, 4); /* 762 MB/s */
832 BW_OPP_ENTRY( 300, 4); /* 1144 MB/s */
833 BW_OPP_ENTRY( 451, 4); /* 1720 MB/s */
834 BW_OPP_ENTRY( 547, 4); /* 2086 MB/s */
835 BW_OPP_ENTRY( 681, 4); /* 2597 MB/s */
836 BW_OPP_ENTRY( 768, 4); /* 2929 MB/s */
837 BW_OPP_ENTRY( 1017, 4); /* 3879 MB/s */
838 BW_OPP_ENTRY( 1353, 4); /* 5161 MB/s */
839 BW_OPP_ENTRY( 1555, 4); /* 5931 MB/s */
840 BW_OPP_ENTRY( 2092, 4); /* 7980 MB/s */
841 BW_OPP_ENTRY( 2736, 4); /* 10437 MB/s */
842 };
843
Rishabh Bhatnagarf35ba022018-09-18 15:17:22 -0700844 qcom,msm-imem@146bf000 {
Rishabh Bhatnagar2b6a59c2018-09-06 11:06:16 -0700845 compatible = "qcom,msm-imem";
846 reg = <0x146bf000 0x1000>;
847 ranges = <0x0 0x146bf000 0x1000>;
848 #address-cells = <1>;
849 #size-cells = <1>;
850
Tingwei Zhangd9b535f2018-12-03 19:14:06 -0800851 mem_dump_table@10 {
852 compatible = "qcom,msm-imem-mem_dump_table";
853 reg = <0x10 0x8>;
854 };
855
Rishabh Bhatnagar2b6a59c2018-09-06 11:06:16 -0700856 restart_reason@65c {
857 compatible = "qcom,msm-imem-restart_reason";
Maria Neptune5a1428b2018-08-29 13:25:19 -0700858 reg = <0x65c 0x4>;
Rishabh Bhatnagar2b6a59c2018-09-06 11:06:16 -0700859 };
860
861 dload_type@1c {
862 compatible = "qcom,msm-imem-dload-type";
863 reg = <0x1c 0x4>;
864 };
865
866 boot_stats@6b0 {
867 compatible = "qcom,msm-imem-boot_stats";
Maria Neptune5a1428b2018-08-29 13:25:19 -0700868 reg = <0x6b0 0x20>;
Rishabh Bhatnagar2b6a59c2018-09-06 11:06:16 -0700869 };
870
871 kaslr_offset@6d0 {
872 compatible = "qcom,msm-imem-kaslr_offset";
Maria Neptune5a1428b2018-08-29 13:25:19 -0700873 reg = <0x6d0 0xc>;
Rishabh Bhatnagar2b6a59c2018-09-06 11:06:16 -0700874 };
875
876 pil@94c {
877 compatible = "qcom,msm-imem-pil";
Maria Neptune5a1428b2018-08-29 13:25:19 -0700878 reg = <0x94c 0xc8>;
Rishabh Bhatnagar2b6a59c2018-09-06 11:06:16 -0700879 };
880 };
881
Rishabh Bhatnagar811170f2018-11-09 13:44:32 -0800882 restart@c264000 {
883 compatible = "qcom,pshold";
884 reg = <0xc264000 0x4>,
885 <0x1fd3000 0x4>;
886 reg-names = "pshold-base", "tcsr-boot-misc-detect";
887 };
888
Rishabh Bhatnagar19ddb35e2018-09-18 15:53:03 -0700889 mdm0: qcom,mdm0 {
Rishabh Bhatnagar134ede82018-10-16 10:54:12 -0700890 compatible = "qcom,ext-sdx55m";
Rishabh Bhatnagar19ddb35e2018-09-18 15:53:03 -0700891 cell-index = <0>;
892 #address-cells = <0>;
893 interrupt-parent = <&mdm0>;
894 #interrupt-cells = <1>;
895 interrupt-map-mask = <0xffffffff>;
896 interrupt-names =
897 "err_fatal_irq",
898 "status_irq",
899 "mdm2ap_vddmin_irq";
900 /* modem attributes */
901 qcom,ramdump-delay-ms = <3000>;
902 qcom,ramdump-timeout-ms = <120000>;
903 qcom,vddmin-modes = "normal";
904 qcom,vddmin-drive-strength = <8>;
905 qcom,sfr-query;
906 qcom,sysmon-id = <20>;
907 qcom,ssctl-instance-id = <0x10>;
908 qcom,support-shutdown;
909 qcom,pil-force-shutdown;
910 qcom,esoc-skip-restart-for-mdm-crash;
911 pinctrl-names = "default", "mdm_active", "mdm_suspend";
912 pinctrl-0 = <&ap2mdm_pon_reset_default>;
913 pinctrl-1 = <&ap2mdm_active &mdm2ap_active>;
914 pinctrl-2 = <&ap2mdm_sleep &mdm2ap_sleep>;
915 interrupt-map = <0 &tlmm 1 0x3
916 1 &tlmm 3 0x3>;
917 qcom,mdm2ap-errfatal-gpio = <&tlmm 1 0x00>;
918 qcom,ap2mdm-errfatal-gpio = <&tlmm 57 0x00>;
919 qcom,mdm2ap-status-gpio = <&tlmm 3 0x00>;
920 qcom,ap2mdm-status-gpio = <&tlmm 56 0x00>;
Rishabh Bhatnagar2b66dc12018-10-18 10:36:27 -0700921 qcom,ap2mdm-soft-reset-gpio = <&tlmm 145 GPIO_ACTIVE_LOW>;
Rishabh Bhatnagar19ddb35e2018-09-18 15:53:03 -0700922 qcom,mdm-link-info = "0306_02.01.00";
923 status = "ok";
924 };
925
Lina Iyer8551c792018-06-21 16:06:53 -0600926 pdc: interrupt-controller@b220000 {
927 compatible = "qcom,kona-pdc";
928 reg = <0xb220000 0x30000>;
929 qcom,pdc-ranges = <0 480 29>, <42 522 52>, <94 609 30>;
930 #interrupt-cells = <2>;
931 interrupt-parent = <&intc>;
932 interrupt-controller;
933 };
934
David Collinsa6d833b2018-09-25 14:44:32 -0700935 clock_xo: bi_tcxo {
936 compatible = "fixed-clock";
937 #clock-cells = <0>;
938 clock-frequency = <19200000>;
939 clock-output-names = "bi_tcxo";
940 };
941
Vivek Aknurwar65bafd92018-11-01 17:27:53 -0700942 clocks {
943 sleep_clk: sleep-clk {
944 compatible = "fixed-clock";
945 clock-frequency = <32000>;
946 clock-output-names = "chip_sleep_clk";
947 #clock-cells = <1>;
948 };
949 };
950
Deepak Katragadda5bbf8142018-06-20 16:12:13 -0700951 clock_rpmh: qcom,rpmhclk {
952 compatible = "qcom,dummycc";
953 clock-output-names = "rpmh_clocks";
954 #clock-cells = <1>;
955 };
956
957 clock_aop: qcom,aopclk {
958 compatible = "qcom,dummycc";
959 clock-output-names = "qdss_clocks";
960 #clock-cells = <1>;
961 };
962
Vivek Aknurwar7e9ecb92018-09-07 14:27:58 -0700963 clock_gcc: qcom,gcc@100000 {
David Dai7e431ad2018-12-05 15:37:39 -0800964 compatible = "qcom,gcc-kona", "syscon";
Vivek Aknurwar7e9ecb92018-09-07 14:27:58 -0700965 reg = <0x100000 0x1f0000>;
966 reg-names = "cc_base";
967 vdd_cx-supply = <&VDD_CX_LEVEL>;
968 vdd_cx_ao-supply = <&VDD_CX_LEVEL_AO>;
969 vdd_mm-supply = <&VDD_MMCX_LEVEL>;
Deepak Katragadda5bbf8142018-06-20 16:12:13 -0700970 #clock-cells = <1>;
971 #reset-cells = <1>;
972 };
973
974 clock_npucc: qcom,npucc {
975 compatible = "qcom,dummycc";
976 clock-output-names = "npucc_clocks";
977 #clock-cells = <1>;
978 #reset-cells = <1>;
979 };
980
Vivek Aknurwar65bafd92018-11-01 17:27:53 -0700981 clock_videocc: qcom,videocc@abf0000 {
982 compatible = "qcom,videocc-kona", "syscon";
983 reg = <0xabf0000 0x10000>;
984 reg-names = "cc_base";
985 vdd_mx-supply = <&VDD_MX_LEVEL>;
986 vdd_mm-supply = <&VDD_MMCX_LEVEL>;
987 clock-names = "cfg_ahb_clk";
988 clocks = <&clock_gcc GCC_VIDEO_AHB_CLK>;
Deepak Katragadda5bbf8142018-06-20 16:12:13 -0700989 #clock-cells = <1>;
990 #reset-cells = <1>;
991 };
992
Vivek Aknurwar86452c02018-11-05 15:20:31 -0800993 clock_camcc: qcom,camcc@ad00000 {
994 compatible = "qcom,camcc-kona", "syscon";
995 reg = <0xad00000 0x10000>;
996 reg-names = "cc_base";
997 vdd_mx-supply = <&VDD_MX_LEVEL>;
998 vdd_mm-supply = <&VDD_MMCX_LEVEL>;
999 clock-names = "cfg_ahb_clk";
1000 clocks = <&clock_gcc GCC_CAMERA_AHB_CLK>;
Deepak Katragadda5bbf8142018-06-20 16:12:13 -07001001 #clock-cells = <1>;
1002 #reset-cells = <1>;
1003 };
1004
David Daidc93e482018-11-27 17:32:50 -08001005 clock_dispcc: qcom,dispcc@af00000 {
David Dai7e431ad2018-12-05 15:37:39 -08001006 compatible = "qcom,kona-dispcc", "syscon";
David Daidc93e482018-11-27 17:32:50 -08001007 reg = <0xaf00000 0x20000>;
1008 reg-names = "cc_base";
1009 vdd_mm-supply = <&VDD_MMCX_LEVEL>;
1010 clock-names = "cfg_ahb_clk";
1011 clocks = <&clock_gcc GCC_DISP_AHB_CLK>;
Deepak Katragadda5bbf8142018-06-20 16:12:13 -07001012 #clock-cells = <1>;
1013 #reset-cells = <1>;
1014 };
1015
Vivek Aknurwar31c2e0f22018-11-16 17:10:12 -08001016 clock_gpucc: qcom,gpucc@3d90000 {
1017 compatible = "qcom,gpucc-kona", "syscon";
1018 reg = <0x3d90000 0x9000>;
1019 reg-names = "cc_base";
1020 vdd_cx-supply = <&VDD_CX_LEVEL>;
1021 vdd_mx-supply = <&VDD_MX_LEVEL>;
Deepak Katragadda5bbf8142018-06-20 16:12:13 -07001022 #clock-cells = <1>;
1023 #reset-cells = <1>;
1024 };
1025
1026 clock_cpucc: qcom,cpucc {
1027 compatible = "qcom,dummycc";
1028 clock-output-names = "cpucc_clocks";
1029 #clock-cells = <1>;
1030 };
Raghavendra Rao Ananta02957962018-08-06 15:28:34 -07001031
David Dai7e431ad2018-12-05 15:37:39 -08001032 clock_debugcc: qcom,cc-debug {
1033 compatible = "qcom,kona-debugcc";
1034 qcom,gcc = <&clock_gcc>;
1035 qcom,videocc = <&clock_videocc>;
1036 qcom,dispcc = <&clock_dispcc>;
1037 qcom,camcc = <&clock_camcc>;
1038 qcom,gpucc = <&clock_gpucc>;
1039 clock-names = "xo_clk_src";
1040 clocks = <&clock_xo>;
1041 #clock-cells = <1>;
1042 };
1043
David Collinsa86302c2018-09-17 14:16:50 -07001044 /* GCC GDSCs */
1045 pcie_0_gdsc: qcom,gdsc@16b004 {
1046 compatible = "qcom,gdsc";
1047 reg = <0x16b004 0x4>;
1048 regulator-name = "pcie_0_gdsc";
1049 };
1050
1051 pcie_1_gdsc: qcom,gdsc@18d004 {
1052 compatible = "qcom,gdsc";
1053 reg = <0x18d004 0x4>;
1054 regulator-name = "pcie_1_gdsc";
1055 };
1056
1057 pcie_2_gdsc: qcom,gdsc@106004 {
1058 compatible = "qcom,gdsc";
1059 reg = <0x106004 0x4>;
1060 regulator-name = "pcie_2_gdsc";
1061 };
1062
1063 ufs_card_gdsc: qcom,gdsc@175004 {
1064 compatible = "qcom,gdsc";
1065 reg = <0x175004 0x4>;
1066 regulator-name = "ufs_card_gdsc";
1067 };
1068
1069 ufs_phy_gdsc: qcom,gdsc@177004 {
1070 compatible = "qcom,gdsc";
1071 reg = <0x177004 0x4>;
1072 regulator-name = "ufs_phy_gdsc";
1073 };
1074
1075 usb30_prim_gdsc: qcom,gdsc@10f004 {
1076 compatible = "qcom,gdsc";
1077 reg = <0x10f004 0x4>;
1078 regulator-name = "usb30_prim_gdsc";
1079 };
1080
1081 usb30_sec_gdsc: qcom,gdsc@110004 {
1082 compatible = "qcom,gdsc";
1083 reg = <0x110004 0x4>;
1084 regulator-name = "usb30_sec_gdsc";
1085 };
1086
1087 hlos1_vote_mmnoc_mmu_tbu_hf0_gdsc: qcom,gdsc@17d050 {
1088 compatible = "qcom,gdsc";
1089 reg = <0x17d050 0x4>;
1090 regulator-name = "hlos1_vote_mmnoc_mmu_tbu_hf0_gdsc";
1091 qcom,no-status-check-on-disable;
1092 qcom,gds-timeout = <500>;
1093 };
1094
1095 hlos1_vote_mmnoc_mmu_tbu_hf1_gdsc: qcom,gdsc@17d058 {
1096 compatible = "qcom,gdsc";
1097 reg = <0x17d058 0x4>;
1098 regulator-name = "hlos1_vote_mmnoc_mmu_tbu_hf1_gdsc";
1099 qcom,no-status-check-on-disable;
1100 qcom,gds-timeout = <500>;
1101 };
1102
1103 hlos1_vote_mmnoc_mmu_tbu_sf0_gdsc: qcom,gdsc@17d054 {
1104 compatible = "qcom,gdsc";
1105 reg = <0x17d054 0x4>;
1106 regulator-name = "hlos1_vote_mmnoc_mmu_tbu_sf0_gdsc";
1107 qcom,no-status-check-on-disable;
1108 qcom,gds-timeout = <500>;
1109 };
1110
1111 hlos1_vote_mmnoc_mmu_tbu_sf1_gdsc: qcom,gdsc@17d06c {
1112 compatible = "qcom,gdsc";
1113 reg = <0x17d06c 0x4>;
1114 regulator-name = "hlos1_vote_mmnoc_mmu_tbu_sf1_gdsc";
1115 qcom,no-status-check-on-disable;
1116 qcom,gds-timeout = <500>;
1117 };
1118
1119 /* CAM_CC GDSCs */
1120 bps_gdsc: qcom,gdsc@ad07004 {
1121 compatible = "qcom,gdsc";
1122 reg = <0xad07004 0x4>;
1123 regulator-name = "bps_gdsc";
1124 clock-names = "ahb_clk";
1125 clocks = <&clock_gcc GCC_CAMERA_AHB_CLK>;
1126 parent-supply = <&VDD_MMCX_LEVEL>;
1127 vdd_parent-supply = <&VDD_MMCX_LEVEL>;
1128 qcom,support-hw-trigger;
1129 };
1130
1131 ife_0_gdsc: qcom,gdsc@ad0a004 {
1132 compatible = "qcom,gdsc";
1133 reg = <0xad0a004 0x4>;
1134 regulator-name = "ife_0_gdsc";
1135 clock-names = "ahb_clk";
1136 clocks = <&clock_gcc GCC_CAMERA_AHB_CLK>;
1137 parent-supply = <&VDD_MMCX_LEVEL>;
1138 vdd_parent-supply = <&VDD_MMCX_LEVEL>;
1139 };
1140
1141 ife_1_gdsc: qcom,gdsc@ad0b004 {
1142 compatible = "qcom,gdsc";
1143 reg = <0xad0b004 0x4>;
1144 regulator-name = "ife_1_gdsc";
1145 clock-names = "ahb_clk";
1146 clocks = <&clock_gcc GCC_CAMERA_AHB_CLK>;
1147 parent-supply = <&VDD_MMCX_LEVEL>;
1148 vdd_parent-supply = <&VDD_MMCX_LEVEL>;
1149 };
1150
1151 ipe_0_gdsc: qcom,gdsc@ad08004 {
1152 compatible = "qcom,gdsc";
1153 reg = <0xad08004 0x4>;
1154 regulator-name = "ipe_0_gdsc";
1155 clock-names = "ahb_clk";
1156 clocks = <&clock_gcc GCC_CAMERA_AHB_CLK>;
1157 parent-supply = <&VDD_MMCX_LEVEL>;
1158 vdd_parent-supply = <&VDD_MMCX_LEVEL>;
1159 qcom,support-hw-trigger;
1160 };
1161
1162 sbi_gdsc: qcom,gdsc@ad09004 {
1163 compatible = "qcom,gdsc";
1164 reg = <0xad09004 0x4>;
1165 regulator-name = "sbi_gdsc";
1166 clock-names = "ahb_clk";
1167 clocks = <&clock_gcc GCC_CAMERA_AHB_CLK>;
1168 parent-supply = <&VDD_MMCX_LEVEL>;
1169 vdd_parent-supply = <&VDD_MMCX_LEVEL>;
1170 };
1171
1172 titan_top_gdsc: qcom,gdsc@ad0c144 {
1173 compatible = "qcom,gdsc";
1174 reg = <0xad0c144 0x4>;
1175 regulator-name = "titan_top_gdsc";
1176 clock-names = "ahb_clk";
1177 clocks = <&clock_gcc GCC_CAMERA_AHB_CLK>;
1178 parent-supply = <&VDD_MMCX_LEVEL>;
1179 vdd_parent-supply = <&VDD_MMCX_LEVEL>;
1180 };
1181
1182 /* DISP_CC GDSC */
1183 mdss_core_gdsc: qcom,gdsc@af03000 {
1184 compatible = "qcom,gdsc";
1185 reg = <0xaf03000 0x4>;
1186 regulator-name = "mdss_core_gdsc";
1187 clock-names = "ahb_clk";
1188 clocks = <&clock_gcc GCC_DISP_AHB_CLK>;
1189 parent-supply = <&VDD_MMCX_LEVEL>;
1190 vdd_parent-supply = <&VDD_MMCX_LEVEL>;
1191 qcom,support-hw-trigger;
1192 };
1193
1194 /* GPU_CC GDSCs */
1195 gpu_cx_hw_ctrl: syscon@3d91540 {
1196 compatible = "syscon";
1197 reg = <0x3d91540 0x4>;
1198 };
1199
1200 gpu_cx_gdsc: qcom,gdsc@3d9106c {
1201 compatible = "qcom,gdsc";
1202 reg = <0x3d9106c 0x4>;
1203 regulator-name = "gpu_cx_gdsc";
1204 hw-ctrl-addr = <&gpu_cx_hw_ctrl>;
1205 parent-supply = <&VDD_CX_LEVEL>;
1206 qcom,no-status-check-on-disable;
1207 qcom,clk-dis-wait-val = <8>;
1208 qcom,gds-timeout = <500>;
1209 };
1210
David Collinsd7eea142018-10-08 17:32:48 -07001211 gpu_gx_domain_addr: syscon@3d91508 {
David Collinsa86302c2018-09-17 14:16:50 -07001212 compatible = "syscon";
1213 reg = <0x3d91508 0x4>;
1214 };
1215
David Collinsd7eea142018-10-08 17:32:48 -07001216 gpu_gx_sw_reset: syscon@3d91008 {
David Collinsa86302c2018-09-17 14:16:50 -07001217 compatible = "syscon";
1218 reg = <0x3d91008 0x4>;
1219 };
1220
1221 gpu_gx_gdsc: qcom,gdsc@3d9100c {
1222 compatible = "qcom,gdsc";
1223 reg = <0x3d9100c 0x4>;
1224 regulator-name = "gpu_gx_gdsc";
1225 domain-addr = <&gpu_gx_domain_addr>;
1226 sw-reset = <&gpu_gx_sw_reset>;
1227 parent-supply = <&VDD_GFX_LEVEL>;
1228 vdd_parent-supply = <&VDD_GFX_LEVEL>;
1229 qcom,reset-aon-logic;
1230 };
1231
1232 /* NPU GDSC */
1233 npu_core_gdsc: qcom,gdsc@9981004 {
1234 compatible = "qcom,gdsc";
1235 reg = <0x9981004 0x4>;
1236 regulator-name = "npu_core_gdsc";
1237 clock-names = "ahb_clk";
1238 clocks = <&clock_gcc GCC_NPU_CFG_AHB_CLK>;
1239 };
1240
Jishnu Prakash793bf5b2018-11-09 16:28:55 +05301241 qcom,sps {
1242 compatible = "qcom,msm-sps-4k";
1243 qcom,pipe-attr-ee;
1244 };
1245
David Collinsa86302c2018-09-17 14:16:50 -07001246 /* VIDEO_CC GDSCs */
1247 mvs0_gdsc: qcom,gdsc@abf0d18 {
1248 compatible = "qcom,gdsc";
1249 reg = <0xabf0d18 0x4>;
1250 regulator-name = "mvs0_gdsc";
1251 clock-names = "ahb_clk";
1252 clocks = <&clock_gcc GCC_VIDEO_AHB_CLK>;
1253 parent-supply = <&VDD_MMCX_LEVEL>;
1254 vdd_parent-supply = <&VDD_MMCX_LEVEL>;
1255 };
1256
1257 mvs0c_gdsc: qcom,gdsc@abf0bf8 {
1258 compatible = "qcom,gdsc";
1259 reg = <0xabf0bf8 0x4>;
1260 regulator-name = "mvs0c_gdsc";
1261 clock-names = "ahb_clk";
1262 clocks = <&clock_gcc GCC_VIDEO_AHB_CLK>;
1263 parent-supply = <&VDD_MMCX_LEVEL>;
1264 vdd_parent-supply = <&VDD_MMCX_LEVEL>;
1265 };
1266
1267 mvs1_gdsc: qcom,gdsc@abf0d98 {
1268 compatible = "qcom,gdsc";
1269 reg = <0xabf0d98 0x4>;
1270 regulator-name = "mvs1_gdsc";
1271 clock-names = "ahb_clk";
1272 clocks = <&clock_gcc GCC_VIDEO_AHB_CLK>;
1273 parent-supply = <&VDD_MMCX_LEVEL>;
1274 vdd_parent-supply = <&VDD_MMCX_LEVEL>;
1275 };
1276
1277 mvs1c_gdsc: qcom,gdsc@abf0c98 {
1278 compatible = "qcom,gdsc";
1279 reg = <0xabf0c98 0x4>;
1280 regulator-name = "mvs1c_gdsc";
1281 clock-names = "ahb_clk";
1282 clocks = <&clock_gcc GCC_VIDEO_AHB_CLK>;
1283 parent-supply = <&VDD_MMCX_LEVEL>;
1284 vdd_parent-supply = <&VDD_MMCX_LEVEL>;
1285 };
1286
David Collinsc2c02f62018-11-05 16:23:24 -08001287 spmi_bus: qcom,spmi@c440000 {
1288 compatible = "qcom,spmi-pmic-arb";
1289 reg = <0xc440000 0x1100>,
1290 <0xc600000 0x2000000>,
1291 <0xe600000 0x100000>,
1292 <0xe700000 0xa0000>,
1293 <0xc40a000 0x26000>;
1294 reg-names = "core", "chnls", "obsrvr", "intr", "cnfg";
1295 interrupt-names = "periph_irq";
1296 interrupts = <GIC_SPI 481 IRQ_TYPE_LEVEL_HIGH>;
1297 qcom,ee = <0>;
1298 qcom,channel = <0>;
1299 #address-cells = <2>;
1300 #size-cells = <0>;
1301 interrupt-controller;
1302 #interrupt-cells = <4>;
1303 cell-index = <0>;
1304 };
1305
Can Guob04bed52018-07-10 19:27:32 -07001306 ufsphy_mem: ufsphy_mem@1d87000 {
1307 reg = <0x1d87000 0xe00>; /* PHY regs */
1308 reg-names = "phy_mem";
1309 #phy-cells = <0>;
1310
1311 lanes-per-direction = <2>;
1312
1313 clock-names = "ref_clk_src",
1314 "ref_clk",
1315 "ref_aux_clk";
1316 clocks = <&clock_rpmh RPMH_CXO_CLK>,
Vivek Aknurwarec5c93d2018-08-28 14:52:33 -07001317 <&clock_gcc GCC_UFS_1X_CLKREF_EN>,
Can Guob04bed52018-07-10 19:27:32 -07001318 <&clock_gcc GCC_UFS_PHY_PHY_AUX_CLK>;
1319
1320 status = "disabled";
1321 };
1322
1323 ufshc_mem: ufshc@1d84000 {
1324 compatible = "qcom,ufshc";
1325 reg = <0x1d84000 0x3000>;
1326 interrupts = <GIC_SPI 265 IRQ_TYPE_LEVEL_HIGH>;
1327 phys = <&ufsphy_mem>;
1328 phy-names = "ufsphy";
1329
1330 lanes-per-direction = <2>;
1331 dev-ref-clk-freq = <0>; /* 19.2 MHz */
1332
1333 clock-names =
1334 "core_clk",
1335 "bus_aggr_clk",
1336 "iface_clk",
1337 "core_clk_unipro",
1338 "core_clk_ice",
1339 "ref_clk",
1340 "tx_lane0_sync_clk",
1341 "rx_lane0_sync_clk",
1342 "rx_lane1_sync_clk";
1343 clocks =
1344 <&clock_gcc GCC_UFS_PHY_AXI_CLK>,
1345 <&clock_gcc GCC_AGGRE_UFS_PHY_AXI_CLK>,
1346 <&clock_gcc GCC_UFS_PHY_AHB_CLK>,
1347 <&clock_gcc GCC_UFS_PHY_UNIPRO_CORE_CLK>,
1348 <&clock_gcc GCC_UFS_PHY_ICE_CORE_CLK>,
1349 <&clock_rpmh RPMH_CXO_CLK>,
1350 <&clock_gcc GCC_UFS_PHY_TX_SYMBOL_0_CLK>,
1351 <&clock_gcc GCC_UFS_PHY_RX_SYMBOL_0_CLK>,
1352 <&clock_gcc GCC_UFS_PHY_RX_SYMBOL_1_CLK>;
1353 freq-table-hz =
1354 <37500000 300000000>,
1355 <0 0>,
1356 <0 0>,
1357 <37500000 300000000>,
1358 <75000000 300000000>,
1359 <0 0>,
1360 <0 0>,
1361 <0 0>,
1362 <0 0>;
1363
1364 qcom,msm-bus,name = "ufshc_mem";
1365 qcom,msm-bus,num-cases = <22>;
1366 qcom,msm-bus,num-paths = <2>;
1367 qcom,msm-bus,vectors-KBps =
1368 /*
1369 * During HS G3 UFS runs at nominal voltage corner, vote
1370 * higher bandwidth to push other buses in the data path
1371 * to run at nominal to achieve max throughput.
1372 * 4GBps pushes BIMC to run at nominal.
1373 * 200MBps pushes CNOC to run at nominal.
1374 * Vote for half of this bandwidth for HS G3 1-lane.
1375 * For max bandwidth, vote high enough to push the buses
1376 * to run in turbo voltage corner.
1377 */
1378 <123 512 0 0>, <1 757 0 0>, /* No vote */
1379 <123 512 922 0>, <1 757 1000 0>, /* PWM G1 */
1380 <123 512 1844 0>, <1 757 1000 0>, /* PWM G2 */
1381 <123 512 3688 0>, <1 757 1000 0>, /* PWM G3 */
1382 <123 512 7376 0>, <1 757 1000 0>, /* PWM G4 */
1383 <123 512 1844 0>, <1 757 1000 0>, /* PWM G1 L2 */
1384 <123 512 3688 0>, <1 757 1000 0>, /* PWM G2 L2 */
1385 <123 512 7376 0>, <1 757 1000 0>, /* PWM G3 L2 */
1386 <123 512 14752 0>, <1 757 1000 0>, /* PWM G4 L2 */
1387 <123 512 127796 0>, <1 757 1000 0>, /* HS G1 RA */
1388 <123 512 255591 0>, <1 757 1000 0>, /* HS G2 RA */
1389 <123 512 2097152 0>, <1 757 102400 0>, /* HS G3 RA */
1390 <123 512 255591 0>, <1 757 1000 0>, /* HS G1 RA L2 */
1391 <123 512 511181 0>, <1 757 1000 0>, /* HS G2 RA L2 */
1392 <123 512 4194304 0>, <1 757 204800 0>, /* HS G3 RA L2 */
1393 <123 512 149422 0>, <1 757 1000 0>, /* HS G1 RB */
1394 <123 512 298189 0>, <1 757 1000 0>, /* HS G2 RB */
1395 <123 512 2097152 0>, <1 757 102400 0>, /* HS G3 RB */
1396 <123 512 298189 0>, <1 757 1000 0>, /* HS G1 RB L2 */
1397 <123 512 596378 0>, <1 757 1000 0>, /* HS G2 RB L2 */
1398 /* As UFS working in HS G3 RB L2 mode, aggregated
1399 * bandwidth (AB) should take care of providing
1400 * optimum throughput requested. However, as tested,
1401 * in order to scale up CNOC clock, instantaneous
1402 * bindwidth (IB) needs to be given a proper value too.
1403 */
1404 <123 512 4194304 0>, <1 757 204800 409600>, /* HS G3 RB L2 */
1405 <123 512 7643136 0>, <1 757 307200 0>; /* Max. bandwidth */
1406
1407 qcom,bus-vector-names = "MIN",
1408 "PWM_G1_L1", "PWM_G2_L1", "PWM_G3_L1", "PWM_G4_L1",
1409 "PWM_G1_L2", "PWM_G2_L2", "PWM_G3_L2", "PWM_G4_L2",
1410 "HS_RA_G1_L1", "HS_RA_G2_L1", "HS_RA_G3_L1",
1411 "HS_RA_G1_L2", "HS_RA_G2_L2", "HS_RA_G3_L2",
1412 "HS_RB_G1_L1", "HS_RB_G2_L1", "HS_RB_G3_L1",
1413 "HS_RB_G1_L2", "HS_RB_G2_L2", "HS_RB_G3_L2",
1414 "MAX";
1415
1416 /* PM QoS */
1417 qcom,pm-qos-cpu-groups = <0x0f 0xf0>;
1418 qcom,pm-qos-cpu-group-latency-us = <44 44>;
1419 qcom,pm-qos-default-cpu = <0>;
1420
1421 pinctrl-names = "dev-reset-assert", "dev-reset-deassert";
1422 pinctrl-0 = <&ufs_dev_reset_assert>;
1423 pinctrl-1 = <&ufs_dev_reset_deassert>;
1424
1425 resets = <&clock_gcc GCC_UFS_PHY_BCR>;
1426 reset-names = "core_reset";
1427
1428 status = "disabled";
1429 };
1430
Raghavendra Rao Ananta02957962018-08-06 15:28:34 -07001431 ipcc_mproc: qcom,ipcc@408000 {
1432 compatible = "qcom,kona-ipcc";
1433 reg = <0x408000 0x1000>;
1434 interrupts = <GIC_SPI 229 IRQ_TYPE_LEVEL_HIGH>;
1435 interrupt-controller;
1436 #interrupt-cells = <3>;
1437 #mbox-cells = <2>;
1438 };
Lina Iyerea91c722018-06-20 14:58:05 -06001439
Raghavendra Rao Ananta5da54b32018-08-09 10:04:50 -07001440 ipcc_self_ping: ipcc-self-ping {
1441 compatible = "qcom,ipcc-self-ping";
1442 interrupts-extended = <&ipcc_mproc IPCC_CLIENT_APSS
1443 IPCC_MPROC_SIGNAL_SMP2P IRQ_TYPE_LEVEL_HIGH>;
1444 mboxes = <&ipcc_mproc IPCC_CLIENT_APSS IPCC_MPROC_SIGNAL_SMP2P>;
1445 };
1446
Maria Neptune5a1428b2018-08-29 13:25:19 -07001447 apps_rsc: rsc@18200000 {
Lina Iyerea91c722018-06-20 14:58:05 -06001448 label = "apps_rsc";
1449 compatible = "qcom,rpmh-rsc";
1450 reg = <0x18200000 0x10000>,
1451 <0x18210000 0x10000>,
1452 <0x18220000 0x10000>;
1453 reg-names = "drv-0", "drv-1", "drv-2";
1454 interrupts = <GIC_SPI 3 IRQ_TYPE_LEVEL_HIGH>,
1455 <GIC_SPI 4 IRQ_TYPE_LEVEL_HIGH>,
1456 <GIC_SPI 5 IRQ_TYPE_LEVEL_HIGH>;
1457 qcom,tcs-offset = <0xd00>;
1458 qcom,drv-id = <2>;
1459 qcom,tcs-config = <ACTIVE_TCS 2>,
1460 <SLEEP_TCS 3>,
1461 <WAKE_TCS 3>,
1462 <CONTROL_TCS 1>;
David Dai07c8d4e2018-10-09 14:22:06 -07001463
1464 msm_bus_apps_rsc {
1465 compatible = "qcom,msm-bus-rsc";
1466 qcom,msm-bus-id = <MSM_BUS_RSC_APPS>;
1467 };
Arjun Bagla76f02ef2018-09-19 10:00:29 -07001468
1469 system_pm {
1470 compatible = "qcom,system-pm";
1471 };
Lina Iyerea91c722018-06-20 14:58:05 -06001472 };
1473
1474 disp_rsc: rsc@af20000 {
1475 label = "disp_rsc";
1476 compatible = "qcom,rpmh-rsc";
1477 reg = <0xaf20000 0x10000>;
1478 reg-names = "drv-0";
1479 interrupts = <GIC_SPI 129 IRQ_TYPE_LEVEL_HIGH>;
1480 qcom,tcs-offset = <0x1c00>;
1481 qcom,drv-id = <0>;
1482 qcom,tcs-config = <ACTIVE_TCS 0>,
1483 <SLEEP_TCS 1>,
1484 <WAKE_TCS 1>,
1485 <CONTROL_TCS 0>;
1486 status = "disabled";
Dhaval Patelf92536a2018-10-24 13:19:15 -07001487
1488 sde_rsc_rpmh {
1489 compatible = "qcom,sde-rsc-rpmh";
1490 cell-index = <0>;
1491 status = "disabled";
1492 };
Lina Iyerea91c722018-06-20 14:58:05 -06001493 };
Chris Lew86f6bde2018-09-06 16:40:39 -07001494
1495 tcsr_mutex_block: syscon@1f40000 {
1496 compatible = "syscon";
1497 reg = <0x1f40000 0x20000>;
1498 };
1499
1500 tcsr_mutex: hwlock {
1501 compatible = "qcom,tcsr-mutex";
1502 syscon = <&tcsr_mutex_block 0 0x1000>;
1503 #hwlock-cells = <1>;
1504 };
1505
1506 smem: qcom,smem {
1507 compatible = "qcom,smem";
1508 memory-region = <&smem_mem>;
1509 hwlocks = <&tcsr_mutex 3>;
1510 };
Venkata Narendra Kumar Gutta1781e562018-10-09 14:44:10 -07001511
1512 kryo-erp {
1513 compatible = "arm,arm64-kryo-cpu-erp";
1514 interrupts = <GIC_PPI 0 IRQ_TYPE_LEVEL_HIGH>,
1515 <GIC_SPI 35 IRQ_TYPE_LEVEL_HIGH>;
1516 interrupt-names = "l1-l2-faultirq",
1517 "l3-scu-faultirq";
1518 };
Chris Lew3859b1b72018-09-25 16:54:52 -07001519
Chris Lew3b1f0982018-10-05 17:28:21 -07001520 sp_scsr: mailbox@188501c {
1521 compatible = "qcom,kona-spcs-global";
1522 reg = <0x188501c 0x4>;
1523
1524 #mbox-cells = <1>;
1525 };
1526
1527 sp_scsr_block: syscon@1880000 {
1528 compatible = "syscon";
1529 reg = <0x1880000 0x10000>;
1530 };
1531
1532 intsp: qcom,qsee_irq {
1533 compatible = "qcom,kona-qsee-irq";
1534
1535 syscon = <&sp_scsr_block>;
1536 interrupts = <0 348 IRQ_TYPE_LEVEL_HIGH>,
1537 <0 349 IRQ_TYPE_LEVEL_HIGH>;
1538
1539 interrupt-names = "sp_ipc0",
1540 "sp_ipc1";
1541
1542 interrupt-controller;
1543 #interrupt-cells = <3>;
1544 };
1545
1546 qcom,qsee_irq_bridge {
1547 compatible = "qcom,qsee-ipc-irq-bridge";
1548
1549 qcom,qsee-ipc-irq-spss {
1550 qcom,dev-name = "qsee_ipc_irq_spss";
1551 label = "spss";
1552 interrupt-parent = <&intsp>;
1553 interrupts = <1 0 IRQ_TYPE_LEVEL_HIGH>;
1554 };
1555 };
1556
Ghanim Fodi180e5aa2018-11-01 19:45:45 +02001557 qcom,msm_gsi {
1558 compatible = "qcom,msm_gsi";
1559 };
1560
1561 qcom,rmnet-ipa {
1562 compatible = "qcom,rmnet-ipa3";
1563 qcom,rmnet-ipa-ssr;
Ghanim Fodi180e5aa2018-11-01 19:45:45 +02001564 qcom,ipa-advertise-sg-support;
1565 qcom,ipa-napi-enable;
1566 };
1567
1568 qcom,ipa_fws {
1569 compatible = "qcom,pil-tz-generic";
1570 qcom,pas-id = <0xf>;
1571 qcom,firmware-name = "ipa_fws";
1572 qcom,pil-force-shutdown;
1573 memory-region = <&pil_ipa_fw_mem>;
1574 };
1575
1576 ipa_hw: qcom,ipa@1e00000 {
1577 compatible = "qcom,ipa";
1578 reg =
1579 <0x1e00000 0x84000>,
1580 <0x1e04000 0x23000>;
1581 reg-names = "ipa-base", "gsi-base";
1582 interrupts =
1583 <0 311 IRQ_TYPE_LEVEL_HIGH>,
1584 <0 432 IRQ_TYPE_LEVEL_HIGH>;
1585 interrupt-names = "ipa-irq", "gsi-irq";
1586 qcom,ipa-hw-ver = <17>; /* IPA core version = IPAv4.5 */
1587 qcom,ipa-hw-mode = <0>;
Ghanim Fodif8dcdbf2018-11-04 17:58:22 +02001588 qcom,platform-type = <2>; /* APQ platform */
Ghanim Fodi180e5aa2018-11-01 19:45:45 +02001589 qcom,ee = <0>;
1590 qcom,use-ipa-tethering-bridge;
1591 qcom,mhi-event-ring-id-limits = <9 11>; /* start and end */
1592 qcom,modem-cfg-emb-pipe-flt;
1593 qcom,use-ipa-pm;
1594 qcom,bandwidth-vote-for-ipa;
1595 qcom,use-64-bit-dma-mask;
1596 qcom,msm-bus,name = "ipa";
1597 qcom,msm-bus,num-cases = <5>;
1598 qcom,msm-bus,num-paths = <4>;
1599 qcom,msm-bus,vectors-KBps =
1600 /* No vote */
1601 <MSM_BUS_MASTER_IPA MSM_BUS_SLAVE_EBI_CH0 0 0>,
1602 <MSM_BUS_MASTER_IPA MSM_BUS_SLAVE_OCIMEM 0 0>,
1603 <MSM_BUS_MASTER_AMPSS_M0 MSM_BUS_SLAVE_IPA_CFG 0 0>,
1604 <MSM_BUS_MASTER_IPA_CORE MSM_BUS_SLAVE_IPA_CORE 0 0>,
1605
1606 /* SVS2 */
1607 <MSM_BUS_MASTER_IPA MSM_BUS_SLAVE_EBI_CH0 80000 600000>,
1608 <MSM_BUS_MASTER_IPA MSM_BUS_SLAVE_OCIMEM 80000 350000>,
1609 <MSM_BUS_MASTER_AMPSS_M0 MSM_BUS_SLAVE_IPA_CFG 40000 40000>,
1610 <MSM_BUS_MASTER_IPA_CORE MSM_BUS_SLAVE_IPA_CORE 0 125>,
1611
1612 /* SVS */
1613 <MSM_BUS_MASTER_IPA MSM_BUS_SLAVE_EBI_CH0 80000 640000>,
1614 <MSM_BUS_MASTER_IPA MSM_BUS_SLAVE_OCIMEM 80000 640000>,
1615 <MSM_BUS_MASTER_AMPSS_M0 MSM_BUS_SLAVE_IPA_CFG 80000 80000>,
1616 <MSM_BUS_MASTER_IPA_CORE MSM_BUS_SLAVE_IPA_CORE 0 250>,
1617
1618 /* NOMINAL */
1619 <MSM_BUS_MASTER_IPA MSM_BUS_SLAVE_EBI_CH0 206000 960000>,
1620 <MSM_BUS_MASTER_IPA MSM_BUS_SLAVE_OCIMEM 206000 960000>,
1621 <MSM_BUS_MASTER_AMPSS_M0 MSM_BUS_SLAVE_IPA_CFG 206000 160000>,
1622 <MSM_BUS_MASTER_IPA_CORE MSM_BUS_SLAVE_IPA_CORE 0 500>,
1623
1624 /* TURBO */
1625 <MSM_BUS_MASTER_IPA MSM_BUS_SLAVE_EBI_CH0 206000 3600000>,
1626 <MSM_BUS_MASTER_IPA MSM_BUS_SLAVE_OCIMEM 206000 3600000>,
1627 <MSM_BUS_MASTER_AMPSS_M0 MSM_BUS_SLAVE_IPA_CFG 206000 300000>,
1628 <MSM_BUS_MASTER_IPA_CORE MSM_BUS_SLAVE_IPA_CORE 0 600>;
1629
1630 qcom,bus-vector-names = "MIN", "SVS2", "SVS", "NOMINAL",
1631 "TURBO";
1632 qcom,throughput-threshold = <310 600 1000>;
1633 qcom,scaling-exceptions = <>;
1634 };
1635
1636 ipa_smmu_ap: ipa_smmu_ap {
1637 compatible = "qcom,ipa-smmu-ap-cb";
1638 iommus = <&apps_smmu 0x5C0 0x0>;
1639 qcom,iommu-dma = "bypass";
1640 };
1641
1642 ipa_smmu_wlan: ipa_smmu_wlan {
1643 compatible = "qcom,ipa-smmu-wlan-cb";
1644 iommus = <&apps_smmu 0x5C1 0x0>;
1645 qcom,iommu-dma = "bypass";
1646 };
1647
1648 ipa_smmu_uc: ipa_smmu_uc {
1649 compatible = "qcom,ipa-smmu-uc-cb";
1650 iommus = <&apps_smmu 0x5C2 0x0>;
1651 qcom,iommu-dma = "bypass";
1652 };
1653
Chris Lew3859b1b72018-09-25 16:54:52 -07001654 qcom,glink {
1655 compatible = "qcom,glink";
1656 #address-cells = <1>;
1657 #size-cells = <1>;
1658 ranges;
1659
Chris Lewb2da0482018-11-16 14:50:31 -08001660 glink_npu: npu {
1661 qcom,remote-pid = <10>;
1662 transport = "smem";
1663 mboxes = <&ipcc_mproc IPCC_CLIENT_NPU
1664 IPCC_MPROC_SIGNAL_GLINK_QMP>;
1665 mbox-names = "npu_smem";
1666 interrupt-parent = <&ipcc_mproc>;
1667 interrupts = <IPCC_CLIENT_NPU
1668 IPCC_MPROC_SIGNAL_GLINK_QMP
1669 IRQ_TYPE_EDGE_RISING>;
1670
1671 label = "npu";
1672 qcom,glink-label = "npu";
1673
1674 qcom,npu_qrtr {
Chris Lewc3861122018-12-14 18:26:01 -08001675 qcom,net-id = <1>;
Chris Lewb2da0482018-11-16 14:50:31 -08001676 qcom,glink-channels = "IPCRTR";
1677 qcom,intents = <0x800 5
1678 0x2000 3
1679 0x4400 2>;
1680 };
1681
1682 qcom,npu_glink_ssr {
1683 qcom,glink-channels = "glink_ssr";
1684 qcom,notify-edges = <&glink_cdsp>;
1685 };
1686 };
1687
Chris Lew3859b1b72018-09-25 16:54:52 -07001688 glink_adsp: adsp {
1689 qcom,remote-pid = <2>;
1690 transport = "smem";
1691 mboxes = <&ipcc_mproc IPCC_CLIENT_LPASS
1692 IPCC_MPROC_SIGNAL_GLINK_QMP>;
1693 mbox-names = "adsp_smem";
1694 interrupt-parent = <&ipcc_mproc>;
1695 interrupts = <IPCC_CLIENT_LPASS
1696 IPCC_MPROC_SIGNAL_GLINK_QMP
1697 IRQ_TYPE_EDGE_RISING>;
1698
1699 label = "adsp";
1700 qcom,glink-label = "lpass";
1701
1702 qcom,adsp_qrtr {
Chris Lewc3861122018-12-14 18:26:01 -08001703 qcom,net-id = <2>;
Chris Lew3859b1b72018-09-25 16:54:52 -07001704 qcom,glink-channels = "IPCRTR";
1705 qcom,intents = <0x800 5
1706 0x2000 3
1707 0x4400 2>;
1708 };
1709
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05301710 qcom,msm_fastrpc_rpmsg {
1711 compatible = "qcom,msm-fastrpc-rpmsg";
1712 qcom,glink-channels = "fastrpcglink-apps-dsp";
1713 qcom,intents = <0x64 64>;
1714 };
1715
Chris Lew3859b1b72018-09-25 16:54:52 -07001716 qcom,adsp_glink_ssr {
1717 qcom,glink-channels = "glink_ssr";
1718 qcom,notify-edges = <&glink_slpi>,
1719 <&glink_cdsp>;
1720 };
1721 };
1722
1723 glink_slpi: dsps {
1724 qcom,remote-pid = <3>;
1725 transport = "smem";
1726 mboxes = <&ipcc_mproc IPCC_CLIENT_SLPI
1727 IPCC_MPROC_SIGNAL_GLINK_QMP>;
1728 mbox-names = "dsps_smem";
1729 interrupt-parent = <&ipcc_mproc>;
1730 interrupts = <IPCC_CLIENT_SLPI
1731 IPCC_MPROC_SIGNAL_GLINK_QMP
1732 IRQ_TYPE_EDGE_RISING>;
1733
1734 label = "slpi";
1735 qcom,glink-label = "dsps";
1736
1737 qcom,slpi_qrtr {
Chris Lewc3861122018-12-14 18:26:01 -08001738 qcom,net-id = <2>;
Chris Lew3859b1b72018-09-25 16:54:52 -07001739 qcom,glink-channels = "IPCRTR";
1740 qcom,intents = <0x800 5
1741 0x2000 3
1742 0x4400 2>;
1743 };
1744
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05301745 qcom,msm_fastrpc_rpmsg {
1746 compatible = "qcom,msm-fastrpc-rpmsg";
1747 qcom,glink-channels = "fastrpcglink-apps-dsp";
1748 qcom,intents = <0x64 64>;
1749 };
1750
Chris Lew3859b1b72018-09-25 16:54:52 -07001751 qcom,slpi_glink_ssr {
1752 qcom,glink-channels = "glink_ssr";
1753 qcom,notify-edges = <&glink_adsp>,
1754 <&glink_cdsp>;
1755 };
1756 };
1757
1758 glink_cdsp: cdsp {
1759 qcom,remote-pid = <5>;
1760 transport = "smem";
1761 mboxes = <&ipcc_mproc IPCC_CLIENT_CDSP
1762 IPCC_MPROC_SIGNAL_GLINK_QMP>;
1763 mbox-names = "dsps_smem";
1764 interrupt-parent = <&ipcc_mproc>;
1765 interrupts = <IPCC_CLIENT_CDSP
1766 IPCC_MPROC_SIGNAL_GLINK_QMP
1767 IRQ_TYPE_EDGE_RISING>;
1768
1769 label = "cdsp";
1770 qcom,glink-label = "cdsp";
1771
1772 qcom,cdsp_qrtr {
Chris Lewc3861122018-12-14 18:26:01 -08001773 qcom,net-id = <1>;
Chris Lew3859b1b72018-09-25 16:54:52 -07001774 qcom,glink-channels = "IPCRTR";
1775 qcom,intents = <0x800 5
1776 0x2000 3
1777 0x4400 2>;
1778 };
1779
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05301780 qcom,msm_fastrpc_rpmsg {
1781 compatible = "qcom,msm-fastrpc-rpmsg";
1782 qcom,glink-channels = "fastrpcglink-apps-dsp";
1783 qcom,intents = <0x64 64>;
1784 };
1785
Chris Lew3859b1b72018-09-25 16:54:52 -07001786 qcom,cdsp_glink_ssr {
1787 qcom,glink-channels = "glink_ssr";
1788 qcom,notify-edges = <&glink_adsp>,
Chris Lewb2da0482018-11-16 14:50:31 -08001789 <&glink_slpi>,
1790 <&glink_npu>;
Chris Lew3859b1b72018-09-25 16:54:52 -07001791 };
1792 };
Chris Lew3b1f0982018-10-05 17:28:21 -07001793
1794 glink_spss: spss {
1795 qcom,remote-pid = <8>;
1796 transport = "spss";
1797 mboxes = <&sp_scsr 0>;
1798 mbox-names = "spss_spss";
1799 interrupt-parent = <&intsp>;
1800 interrupts = <0 0 IRQ_TYPE_LEVEL_HIGH>;
1801
1802 reg = <0x1885008 0x8>,
1803 <0x1885010 0x4>;
1804 reg-names = "qcom,spss-addr",
1805 "qcom,spss-size";
1806
1807 label = "spss";
1808 qcom,glink-label = "spss";
1809 };
Chris Lew3859b1b72018-09-25 16:54:52 -07001810 };
Bruce Levy5122a632018-09-25 15:51:37 -07001811
Chris Lew3cbe4032018-11-30 18:57:32 -08001812 qmp_aop: qcom,qmp-aop@c300000 {
1813 compatible = "qcom,qmp-mbox";
1814 mboxes = <&ipcc_mproc IPCC_CLIENT_AOP
1815 IPCC_MPROC_SIGNAL_GLINK_QMP>;
1816 mbox-names = "aop_qmp";
1817 interrupt-parent = <&ipcc_mproc>;
1818 interrupts = <IPCC_CLIENT_AOP
1819 IPCC_MPROC_SIGNAL_GLINK_QMP
1820 IRQ_TYPE_EDGE_RISING>;
1821 reg = <0xc300000 0x1000>;
1822 reg-names = "msgram";
1823
1824 label = "aop";
1825 qcom,early-boot;
1826 priority = <0>;
1827 mbox-desc-offset = <0x0>;
1828 #mbox-cells = <1>;
1829 };
1830
Bruce Levy5122a632018-09-25 15:51:37 -07001831 qcom,lpass@17300000 {
1832 compatible = "qcom,pil-tz-generic";
1833 reg = <0x17300000 0x00100>;
1834
1835 vdd_cx-supply = <&VDD_CX_LEVEL>;
1836 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 0>;
1837 qcom,proxy-reg-names = "vdd_cx";
1838
1839 clocks = <&clock_rpmh RPMH_CXO_CLK>;
1840 clock-names = "xo";
1841 qcom,proxy-clock-names = "xo";
1842
1843 qcom,pas-id = <1>;
1844 qcom,proxy-timeout-ms = <10000>;
1845 qcom,smem-id = <423>;
1846 qcom,sysmon-id = <1>;
1847 qcom,ssctl-instance-id = <0x14>;
1848 qcom,firmware-name = "adsp";
1849 memory-region = <&pil_adsp_mem>;
1850 qcom,complete-ramdump;
1851
1852 /* Inputs from lpass */
1853 interrupts-extended = <&pdc 96 IRQ_TYPE_LEVEL_HIGH>,
1854 <&adsp_smp2p_in 0 0>,
1855 <&adsp_smp2p_in 2 0>,
1856 <&adsp_smp2p_in 1 0>,
1857 <&adsp_smp2p_in 3 0>;
1858
1859 interrupt-names = "qcom,wdog",
1860 "qcom,err-fatal",
1861 "qcom,proxy-unvote",
1862 "qcom,err-ready",
1863 "qcom,stop-ack";
1864
1865 /* Outputs to lpass */
1866 qcom,smem-states = <&adsp_smp2p_out 0>;
1867 qcom,smem-state-names = "qcom,force-stop";
1868
1869 mbox-names = "adsp-pil";
1870 };
1871
1872 qcom,turing@8300000 {
1873 compatible = "qcom,pil-tz-generic";
1874 reg = <0x8300000 0x100000>;
1875
1876 vdd_cx-supply = <&VDD_CX_LEVEL>;
1877 qcom,proxy-reg-names = "vdd_cx";
1878 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
1879
1880 clocks = <&clock_rpmh RPMH_CXO_CLK>;
1881 clock-names = "xo";
1882 qcom,proxy-clock-names = "xo";
1883
1884 qcom,pas-id = <18>;
1885 qcom,proxy-timeout-ms = <10000>;
1886 qcom,smem-id = <601>;
1887 qcom,sysmon-id = <7>;
1888 qcom,ssctl-instance-id = <0x17>;
1889 qcom,firmware-name = "cdsp";
1890 memory-region = <&pil_cdsp_mem>;
1891 qcom,complete-ramdump;
1892
1893 qcom,msm-bus,name = "pil-cdsp";
1894 qcom,msm-bus,num-cases = <2>;
1895 qcom,msm-bus,num-paths = <1>;
1896 qcom,msm-bus,vectors-KBps =
1897 <154 10070 0 0>,
1898 <154 10070 0 1>;
1899
1900 /* Inputs from turing */
Bruce Levy821133c2018-11-29 11:34:45 -08001901 interrupts-extended = <&intc GIC_SPI 578 IRQ_TYPE_LEVEL_HIGH>,
Bruce Levy5122a632018-09-25 15:51:37 -07001902 <&cdsp_smp2p_in 0 0>,
1903 <&cdsp_smp2p_in 2 0>,
1904 <&cdsp_smp2p_in 1 0>,
1905 <&cdsp_smp2p_in 3 0>;
1906
1907 interrupt-names = "qcom,wdog",
1908 "qcom,err-fatal",
1909 "qcom,proxy-unvote",
1910 "qcom,err-ready",
1911 "qcom,stop-ack";
1912
1913 /* Outputs to turing */
1914 qcom,smem-states = <&cdsp_smp2p_out 0>;
1915 qcom,smem-state-names = "qcom,force-stop";
1916
1917 mbox-names = "cdsp-pil";
1918 };
Akshay Chandrashekhar Kalghatgif7905ad2018-11-08 16:30:42 -08001919
1920 qcom,venus@aab0000 {
1921 compatible = "qcom,pil-tz-generic";
1922 reg = <0xaab0000 0x2000>;
Chinmay Sawarkar2cfeca02018-11-15 17:59:36 -08001923
1924 vdd-supply = <&mvs0c_gdsc>;
1925 qcom,proxy-reg-names = "vdd";
1926 qcom,complete-ramdump;
1927
1928 clocks = <&clock_videocc VIDEO_CC_XO_CLK>,
1929 <&clock_videocc VIDEO_CC_MVS0C_CLK>,
1930 <&clock_videocc VIDEO_CC_AHB_CLK>;
1931 clock-names = "xo", "core", "ahb";
1932 qcom,proxy-clock-names = "xo", "core", "ahb";
1933
Akshay Chandrashekhar Kalghatgif7905ad2018-11-08 16:30:42 -08001934 qcom,core-freq = <200000000>;
1935 qcom,ahb-freq = <200000000>;
1936
1937 qcom,pas-id = <9>;
1938 qcom,msm-bus,name = "pil-venus";
1939 qcom,msm-bus,num-cases = <2>;
1940 qcom,msm-bus,num-paths = <1>;
1941 qcom,msm-bus,vectors-KBps =
1942 <63 512 0 0>,
1943 <63 512 0 304000>;
1944 qcom,proxy-timeout-ms = <100>;
1945 qcom,firmware-name = "venus";
1946 memory-region = <&pil_video_mem>;
1947 };
Tharun Kumar Merugub8d79dd2018-11-02 23:07:31 +05301948
Amir Samuelovf52db412019-01-08 09:30:58 +02001949 /* PIL spss node - for loading Secure Processor */
1950 qcom,spss@1880000 {
1951 compatible = "qcom,pil-tz-generic";
1952 reg = <0x188101c 0x4>,
1953 <0x1881024 0x4>,
1954 <0x1881028 0x4>,
1955 <0x188103c 0x4>,
1956 <0x1882014 0x4>;
1957 reg-names = "sp2soc_irq_status", "sp2soc_irq_clr",
1958 "sp2soc_irq_mask", "rmb_err", "rmb_err_spare2";
1959 interrupts = <0 352 1>;
1960
1961 vdd_cx-supply = <&VDD_CX_LEVEL>;
1962 qcom,proxy-reg-names = "vdd_cx";
1963 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
1964 vdd_mx-supply = <&VDD_MX_LEVEL>;
1965 vdd_mx-uV = <RPMH_REGULATOR_LEVEL_TURBO 100000>;
1966
1967 clocks = <&clock_rpmh RPMH_CXO_CLK>;
1968 clock-names = "xo";
1969 qcom,proxy-clock-names = "xo";
1970 qcom,pil-generic-irq-handler;
1971 status = "ok";
1972
1973 qcom,complete-ramdump;
1974
1975 qcom,pas-id = <14>;
1976 qcom,proxy-timeout-ms = <10000>;
1977 qcom,firmware-name = "spss";
1978 memory-region = <&pil_spss_mem>;
1979 qcom,spss-scsr-bits = <24 25>;
1980
1981 mbox-names = "spss-pil";
1982 };
1983
George Shen9c54c662018-12-26 15:50:11 -08001984 qcom,cvpss@abb0000 {
1985 compatible = "qcom,pil-tz-generic";
1986 reg = <0xabb0000 0x2000>;
1987 status = "ok";
1988 qcom,pas-id = <25>;
1989 qcom,firmware-name = "cvpss";
1990
1991 memory-region = <&pil_cvp_mem>;
1992 };
1993
Jilai Wangd20a5292018-12-04 11:05:10 -05001994 qcom,npu@9800000 {
1995 compatible = "qcom,pil-tz-generic";
1996 reg = <0x9800000 0x800000>;
1997
1998 status = "ok";
1999 qcom,pas-id = <23>;
2000 qcom,firmware-name = "npu";
2001 memory-region = <&pil_npu_mem>;
2002 };
2003
Tharun Kumar Merugub8d79dd2018-11-02 23:07:31 +05302004 qcom,msm-cdsp-loader {
2005 compatible = "qcom,cdsp-loader";
2006 qcom,proc-img-to-load = "cdsp";
2007 };
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05302008
2009 qcom,msm-adsprpc-mem {
2010 compatible = "qcom,msm-adsprpc-mem-region";
2011 memory-region = <&adsp_mem>;
2012 };
2013
2014 msm_fastrpc: qcom,msm_fastrpc {
2015 compatible = "qcom,msm-fastrpc-compute";
2016 qcom,fastrpc-adsp-audio-pdr;
2017 qcom,rpc-latency-us = <235>;
2018
2019 qcom,msm_fastrpc_compute_cb1 {
2020 compatible = "qcom,msm-fastrpc-compute-cb";
2021 label = "cdsprpc-smd";
2022 iommus = <&apps_smmu 0x1001 0x0460>;
Tharun Kumar Meruguaf4c92f2018-11-16 05:12:16 +05302023 dma-ranges = <0x80000000 0x80000000 0x78000000>;
2024 qcom,iommu-faults = "stall-disable";
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05302025 dma-coherent;
2026 };
2027
2028 qcom,msm_fastrpc_compute_cb2 {
2029 compatible = "qcom,msm-fastrpc-compute-cb";
2030 label = "cdsprpc-smd";
2031 iommus = <&apps_smmu 0x1002 0x0460>;
Tharun Kumar Meruguaf4c92f2018-11-16 05:12:16 +05302032 dma-ranges = <0x80000000 0x80000000 0x78000000>;
2033 qcom,iommu-faults = "stall-disable";
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05302034 dma-coherent;
2035 };
2036
2037 qcom,msm_fastrpc_compute_cb3 {
2038 compatible = "qcom,msm-fastrpc-compute-cb";
2039 label = "cdsprpc-smd";
2040 iommus = <&apps_smmu 0x1003 0x0460>;
Tharun Kumar Meruguaf4c92f2018-11-16 05:12:16 +05302041 dma-ranges = <0x80000000 0x80000000 0x78000000>;
2042 qcom,iommu-faults = "stall-disable";
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05302043 dma-coherent;
2044 };
2045
2046 qcom,msm_fastrpc_compute_cb4 {
2047 compatible = "qcom,msm-fastrpc-compute-cb";
2048 label = "cdsprpc-smd";
2049 iommus = <&apps_smmu 0x1004 0x0460>;
Tharun Kumar Meruguaf4c92f2018-11-16 05:12:16 +05302050 dma-ranges = <0x80000000 0x80000000 0x78000000>;
2051 qcom,iommu-faults = "stall-disable";
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05302052 dma-coherent;
2053 };
2054
2055 qcom,msm_fastrpc_compute_cb5 {
2056 compatible = "qcom,msm-fastrpc-compute-cb";
2057 label = "cdsprpc-smd";
2058 iommus = <&apps_smmu 0x1005 0x0460>;
Tharun Kumar Meruguaf4c92f2018-11-16 05:12:16 +05302059 dma-ranges = <0x80000000 0x80000000 0x78000000>;
2060 qcom,iommu-faults = "stall-disable";
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05302061 dma-coherent;
2062 };
2063
2064 qcom,msm_fastrpc_compute_cb6 {
2065 compatible = "qcom,msm-fastrpc-compute-cb";
2066 label = "cdsprpc-smd";
2067 iommus = <&apps_smmu 0x1006 0x0460>;
Tharun Kumar Meruguaf4c92f2018-11-16 05:12:16 +05302068 dma-ranges = <0x80000000 0x80000000 0x78000000>;
2069 qcom,iommu-faults = "stall-disable";
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05302070 dma-coherent;
2071 };
2072
2073 qcom,msm_fastrpc_compute_cb7 {
2074 compatible = "qcom,msm-fastrpc-compute-cb";
2075 label = "cdsprpc-smd";
2076 iommus = <&apps_smmu 0x1007 0x0460>;
Tharun Kumar Meruguaf4c92f2018-11-16 05:12:16 +05302077 dma-ranges = <0x80000000 0x80000000 0x78000000>;
2078 qcom,iommu-faults = "stall-disable";
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05302079 dma-coherent;
2080 };
2081
2082 qcom,msm_fastrpc_compute_cb8 {
2083 compatible = "qcom,msm-fastrpc-compute-cb";
2084 label = "cdsprpc-smd";
2085 iommus = <&apps_smmu 0x1008 0x0460>;
Tharun Kumar Meruguaf4c92f2018-11-16 05:12:16 +05302086 dma-ranges = <0x80000000 0x80000000 0x78000000>;
2087 qcom,iommu-faults = "stall-disable";
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05302088 dma-coherent;
2089 };
2090
2091 qcom,msm_fastrpc_compute_cb9 {
2092 compatible = "qcom,msm-fastrpc-compute-cb";
2093 label = "cdsprpc-smd";
2094 qcom,secure-context-bank;
2095 iommus = <&apps_smmu 0x1009 0x0460>;
Tharun Kumar Meruguaf4c92f2018-11-16 05:12:16 +05302096 dma-ranges = <0x60000000 0x60000000 0x78000000>;
2097 qcom,iommu-faults = "stall-disable";
2098 qcom,iommu-vmid = <0xA>; /* VMID_CP_PIXEL */
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05302099 dma-coherent;
2100 };
2101
2102 qcom,msm_fastrpc_compute_cb10 {
2103 compatible = "qcom,msm-fastrpc-compute-cb";
2104 label = "adsprpc-smd";
2105 iommus = <&apps_smmu 0x1803 0x0>;
Tharun Kumar Meruguaf4c92f2018-11-16 05:12:16 +05302106 dma-ranges = <0x80000000 0x80000000 0x78000000>;
2107 qcom,iommu-faults = "stall-disable";
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05302108 dma-coherent;
2109 };
2110
2111 qcom,msm_fastrpc_compute_cb11 {
2112 compatible = "qcom,msm-fastrpc-compute-cb";
2113 label = "adsprpc-smd";
2114 iommus = <&apps_smmu 0x1804 0x0>;
Tharun Kumar Meruguaf4c92f2018-11-16 05:12:16 +05302115 dma-ranges = <0x80000000 0x80000000 0x78000000>;
2116 qcom,iommu-faults = "stall-disable";
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05302117 dma-coherent;
2118 };
2119
2120 qcom,msm_fastrpc_compute_cb12 {
2121 compatible = "qcom,msm-fastrpc-compute-cb";
2122 label = "adsprpc-smd";
2123 iommus = <&apps_smmu 0x1805 0x0>;
Tharun Kumar Meruguaf4c92f2018-11-16 05:12:16 +05302124 dma-ranges = <0x80000000 0x80000000 0x78000000>;
2125 qcom,iommu-faults = "stall-disable";
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05302126 dma-coherent;
2127 };
2128
2129 qcom,msm_fastrpc_compute_cb13 {
2130 compatible = "qcom,msm-fastrpc-compute-cb";
2131 label = "sdsprpc-smd";
2132 iommus = <&apps_smmu 0x0541 0x0>;
Tharun Kumar Meruguaf4c92f2018-11-16 05:12:16 +05302133 dma-ranges = <0x80000000 0x80000000 0x78000000>;
2134 qcom,iommu-faults = "stall-disable";
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05302135 dma-coherent;
2136 };
2137
2138 qcom,msm_fastrpc_compute_cb14 {
2139 compatible = "qcom,msm-fastrpc-compute-cb";
2140 label = "sdsprpc-smd";
2141 iommus = <&apps_smmu 0x0542 0x0>;
Tharun Kumar Meruguaf4c92f2018-11-16 05:12:16 +05302142 dma-ranges = <0x80000000 0x80000000 0x78000000>;
2143 qcom,iommu-faults = "stall-disable";
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05302144 dma-coherent;
2145 };
2146
2147 qcom,msm_fastrpc_compute_cb15 {
2148 compatible = "qcom,msm-fastrpc-compute-cb";
2149 label = "sdsprpc-smd";
2150 iommus = <&apps_smmu 0x0543 0x0>;
Tharun Kumar Meruguaf4c92f2018-11-16 05:12:16 +05302151 dma-ranges = <0x80000000 0x80000000 0x78000000>;
2152 qcom,iommu-faults = "stall-disable";
Tharun Kumar Merugu027438b2018-11-09 03:24:57 +05302153 shared-cb = <4>;
2154 dma-coherent;
2155 };
2156 };
Shaikh Shadulbfdfdda2018-11-14 15:36:21 +05302157
Tingwei Zhangd9b535f2018-12-03 19:14:06 -08002158 mem_dump {
2159 compatible = "qcom,mem-dump";
2160 memory-region = <&dump_mem>;
2161
2162 rpmh {
2163 qcom,dump-size = <0x2000000>;
2164 qcom,dump-id = <0xec>;
2165 };
2166
2167 rpm_sw {
2168 qcom,dump-size = <0x28000>;
2169 qcom,dump-id = <0xea>;
2170 };
2171
2172 pmic {
2173 qcom,dump-size = <0x80000>;
2174 qcom,dump-id = <0xe4>;
2175 };
2176
2177 fcm {
2178 qcom,dump-size = <0x8400>;
2179 qcom,dump-id = <0xee>;
2180 };
2181
2182 etf_swao {
2183 qcom,dump-size = <0x10000>;
2184 qcom,dump-id = <0xf1>;
2185 };
2186
2187 etr_reg {
2188 qcom,dump-size = <0x1000>;
2189 qcom,dump-id = <0x100>;
2190 };
2191
2192 etfswao_reg {
2193 qcom,dump-size = <0x1000>;
2194 qcom,dump-id = <0x102>;
2195 };
2196
2197 misc_data {
2198 qcom,dump-size = <0x1000>;
2199 qcom,dump-id = <0xe8>;
2200 };
2201 };
2202
Shaikh Shadulbfdfdda2018-11-14 15:36:21 +05302203 qcom,ssc@5c00000 {
2204 compatible = "qcom,pil-tz-generic";
2205 reg = <0x5c00000 0x4000>;
2206
2207 vdd_cx-supply = <&VDD_CX_LEVEL>;
2208 qcom,vdd_cx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 0>;
2209 vdd_mx-supply = <&VDD_MX_LEVEL>;
2210 qcom,vdd_mx-uV-uA = <RPMH_REGULATOR_LEVEL_TURBO 0>;
2211
2212 qcom,proxy-reg-names = "vdd_cx", "vdd_mx";
2213 qcom,keep-proxy-regs-on;
2214
2215 clocks = <&clock_rpmh RPMH_CXO_CLK>;
2216 clock-names = "xo";
2217 qcom,proxy-clock-names = "xo";
2218
2219 qcom,pas-id = <12>;
2220 qcom,proxy-timeout-ms = <10000>;
2221 qcom,smem-id = <424>;
2222 qcom,sysmon-id = <3>;
2223 qcom,ssctl-instance-id = <0x16>;
2224 qcom,firmware-name = "slpi";
2225 status = "ok";
2226 memory-region = <&pil_slpi_mem>;
2227 qcom,complete-ramdump;
2228
2229 /* Inputs from ssc */
2230 interrupts-extended = <&pdc 9 IRQ_TYPE_LEVEL_HIGH>,
2231 <&dsps_smp2p_in 0 0>,
2232 <&dsps_smp2p_in 2 0>,
2233 <&dsps_smp2p_in 1 0>,
2234 <&dsps_smp2p_in 3 0>;
2235
2236 interrupt-names = "qcom,wdog",
2237 "qcom,err-fatal",
2238 "qcom,proxy-unvote",
2239 "qcom,err-ready",
2240 "qcom,stop-ack";
2241
2242 /* Outputs to ssc */
2243 qcom,smem-states = <&dsps_smp2p_out 0>;
2244 qcom,smem-state-names = "qcom,force-stop";
2245
2246 mbox-names = "slpi-pil";
2247 };
2248
2249 ssc_sensors: qcom,msm-ssc-sensors {
2250 compatible = "qcom,msm-ssc-sensors";
2251 status = "ok";
2252 qcom,firmware-name = "slpi";
2253 };
Siddartha Mohanadoss42c8d782018-12-21 14:20:33 -08002254
2255 tsens0: tsens@c222000 {
2256 compatible = "qcom,tsens24xx";
2257 reg = <0xc222000 0x4>,
2258 <0xc263000 0x1ff>;
2259 reg-names = "tsens_srot_physical",
2260 "tsens_tm_physical";
Siddartha Mohanadoss404a89a2019-01-04 15:29:48 -08002261 interrupts = <GIC_SPI 506 IRQ_TYPE_LEVEL_HIGH>,
2262 <GIC_SPI 508 IRQ_TYPE_LEVEL_HIGH>;
Siddartha Mohanadoss42c8d782018-12-21 14:20:33 -08002263 interrupt-names = "tsens-upper-lower", "tsens-critical";
2264 #thermal-sensor-cells = <1>;
2265 };
2266
2267 tsens1: tsens@c223000 {
2268 compatible = "qcom,tsens24xx";
2269 reg = <0xc223000 0x4>,
2270 <0xc265000 0x1ff>;
2271 reg-names = "tsens_srot_physical",
2272 "tsens_tm_physical";
Siddartha Mohanadoss404a89a2019-01-04 15:29:48 -08002273 interrupts = <GIC_SPI 507 IRQ_TYPE_LEVEL_HIGH>,
2274 <GIC_SPI 509 IRQ_TYPE_LEVEL_HIGH>;
Siddartha Mohanadoss42c8d782018-12-21 14:20:33 -08002275 interrupt-names = "tsens-upper-lower", "tsens-critical";
2276 #thermal-sensor-cells = <1>;
2277 };
Rishabh Bhatnagarf7a853a2018-06-28 14:14:54 -07002278
2279 qcom,msm-rtb {
2280 compatible = "qcom,msm-rtb";
2281 qcom,rtb-size = <0x100000>;
2282 };
2283
2284 qcom,mpm2-sleep-counter@c221000 {
2285 compatible = "qcom,mpm2-sleep-counter";
2286 reg = <0xc221000 0x1000>;
2287 clock-frequency = <32768>;
2288 };
Venkata Narendra Kumar Guttab0205a92018-09-11 13:40:34 -07002289
2290 cpuss_dump {
2291 compatible = "qcom,cpuss-dump";
2292
2293 qcom,l1_i_cache0 {
2294 qcom,dump-node = <&L1_I_0>;
2295 qcom,dump-id = <0x60>;
2296 };
2297
2298 qcom,l1_i_cache1 {
2299 qcom,dump-node = <&L1_I_100>;
2300 qcom,dump-id = <0x61>;
2301 };
2302
2303 qcom,l1_i_cache2 {
2304 qcom,dump-node = <&L1_I_200>;
2305 qcom,dump-id = <0x62>;
2306 };
2307
2308 qcom,l1_i_cache3 {
2309 qcom,dump-node = <&L1_I_300>;
2310 qcom,dump-id = <0x63>;
2311 };
2312
2313 qcom,l1_i_cache100 {
2314 qcom,dump-node = <&L1_I_400>;
2315 qcom,dump-id = <0x64>;
2316 };
2317
2318 qcom,l1_i_cache101 {
2319 qcom,dump-node = <&L1_I_500>;
2320 qcom,dump-id = <0x65>;
2321 };
2322
2323 qcom,l1_i_cache102 {
2324 qcom,dump-node = <&L1_I_600>;
2325 qcom,dump-id = <0x66>;
2326 };
2327
2328 qcom,l1_i_cache103 {
2329 qcom,dump-node = <&L1_I_700>;
2330 qcom,dump-id = <0x67>;
2331 };
2332
2333 qcom,l1_d_cache0 {
2334 qcom,dump-node = <&L1_D_0>;
2335 qcom,dump-id = <0x80>;
2336 };
2337
2338 qcom,l1_d_cache1 {
2339 qcom,dump-node = <&L1_D_100>;
2340 qcom,dump-id = <0x81>;
2341 };
2342
2343 qcom,l1_d_cache2 {
2344 qcom,dump-node = <&L1_D_200>;
2345 qcom,dump-id = <0x82>;
2346 };
2347
2348 qcom,l1_d_cache3 {
2349 qcom,dump-node = <&L1_D_300>;
2350 qcom,dump-id = <0x83>;
2351 };
2352
2353 qcom,l1_d_cache100 {
2354 qcom,dump-node = <&L1_D_400>;
2355 qcom,dump-id = <0x84>;
2356 };
2357
2358 qcom,l1_d_cache101 {
2359 qcom,dump-node = <&L1_D_500>;
2360 qcom,dump-id = <0x85>;
2361 };
2362
2363 qcom,l1_d_cache102 {
2364 qcom,dump-node = <&L1_D_600>;
2365 qcom,dump-id = <0x86>;
2366 };
2367
2368 qcom,l1_d_cache103 {
2369 qcom,dump-node = <&L1_D_700>;
2370 qcom,dump-id = <0x87>;
2371 };
2372
2373 qcom,l1_i_tlb_dump400 {
2374 qcom,dump-node = <&L1_ITLB_400>;
2375 qcom,dump-id = <0x24>;
2376 };
2377
2378 qcom,l1_i_tlb_dump500 {
2379 qcom,dump-node = <&L1_ITLB_500>;
2380 qcom,dump-id = <0x25>;
2381 };
2382
2383 qcom,l1_i_tlb_dump600 {
2384 qcom,dump-node = <&L1_ITLB_600>;
2385 qcom,dump-id = <0x26>;
2386 };
2387
2388 qcom,l1_i_tlb_dump700 {
2389 qcom,dump-node = <&L1_ITLB_700>;
2390 qcom,dump-id = <0x27>;
2391 };
2392
2393 qcom,l1_d_tlb_dump400 {
2394 qcom,dump-node = <&L1_DTLB_400>;
2395 qcom,dump-id = <0x44>;
2396 };
2397
2398 qcom,l1_d_tlb_dump500 {
2399 qcom,dump-node = <&L1_DTLB_500>;
2400 qcom,dump-id = <0x45>;
2401 };
2402
2403 qcom,l1_d_tlb_dump600 {
2404 qcom,dump-node = <&L1_DTLB_600>;
2405 qcom,dump-id = <0x46>;
2406 };
2407
2408 qcom,l1_d_tlb_dump700 {
2409 qcom,dump-node = <&L1_DTLB_700>;
2410 qcom,dump-id = <0x47>;
2411 };
2412
2413 qcom,l2_cache_dump400 {
2414 qcom,dump-node = <&L2_4>;
2415 qcom,dump-id = <0xc4>;
2416 };
2417
2418 qcom,l2_cache_dump500 {
2419 qcom,dump-node = <&L2_5>;
2420 qcom,dump-id = <0xc5>;
2421 };
2422
2423 qcom,l2_cache_dump600 {
2424 qcom,dump-node = <&L2_6>;
2425 qcom,dump-id = <0xc6>;
2426 };
2427
2428 qcom,l2_cache_dump700 {
2429 qcom,dump-node = <&L2_7>;
2430 qcom,dump-id = <0xc7>;
2431 };
2432
2433 qcom,l2_tlb_dump0 {
2434 qcom,dump-node = <&L2_TLB_0>;
2435 qcom,dump-id = <0x120>;
2436 };
2437
2438 qcom,l2_tlb_dump100 {
2439 qcom,dump-node = <&L2_TLB_100>;
2440 qcom,dump-id = <0x121>;
2441 };
2442
2443 qcom,l2_tlb_dump200 {
2444 qcom,dump-node = <&L2_TLB_200>;
2445 qcom,dump-id = <0x122>;
2446 };
2447
2448 qcom,l2_tlb_dump300 {
2449 qcom,dump-node = <&L2_TLB_300>;
2450 qcom,dump-id = <0x123>;
2451 };
2452
2453 qcom,l2_tlb_dump400 {
2454 qcom,dump-node = <&L2_TLB_400>;
2455 qcom,dump-id = <0x124>;
2456 };
2457
2458 qcom,l2_tlb_dump500 {
2459 qcom,dump-node = <&L2_TLB_500>;
2460 qcom,dump-id = <0x125>;
2461 };
2462
2463 qcom,l2_tlb_dump600 {
2464 qcom,dump-node = <&L2_TLB_600>;
2465 qcom,dump-id = <0x126>;
2466 };
2467
2468 qcom,l2_tlb_dump700 {
2469 qcom,dump-node = <&L2_TLB_700>;
2470 qcom,dump-id = <0x127>;
2471 };
2472 };
Runmin Wang4f5985b2017-04-19 15:55:12 -07002473};
Swathi Sridhar4008eb42018-07-17 15:34:46 -07002474
David Collins61d237d2019-01-03 16:01:15 -08002475#include "kona-regulators.dtsi"
David Daib1d68482018-10-01 19:40:35 -07002476#include "kona-bus.dtsi"
Swathi Sridharbbbc80b2018-07-13 10:02:08 -07002477#include "kona-ion.dtsi"
Tony Truongc972c642018-09-12 10:03:51 -07002478#include "kona-pcie.dtsi"
Sujeev Dias5399e552018-09-18 17:57:54 -07002479#include "kona-mhi.dtsi"
Swathi Sridhar4008eb42018-07-17 15:34:46 -07002480#include "msm-arm-smmu-kona.dtsi"
Rishabh Bhatnagara740b0e2018-07-20 15:08:35 -07002481#include "kona-pinctrl.dtsi"
Chris Lew86f6bde2018-09-06 16:40:39 -07002482#include "kona-smp2p.dtsi"
Hemant Kumar5f58bad2018-08-31 14:25:23 -07002483#include "kona-usb.dtsi"
Tingwei Zhang564fa692018-11-28 00:31:17 -08002484#include "kona-coresight.dtsi"
Samantha Tran7e309f02018-08-31 17:23:00 -07002485#include "kona-sde.dtsi"
Satya Rama Aditya Pinapala09600b32018-10-29 10:52:37 -07002486#include "kona-sde-pll.dtsi"
Samantha Tran7e309f02018-08-31 17:23:00 -07002487#include "kona-sde-display.dtsi"
Vignesh Kulothungand728f712018-10-26 17:49:46 -07002488#include "kona-audio.dtsi"
Mukund Atred454ec92018-11-05 15:32:16 -08002489
Arjun Bagla76f02ef2018-09-19 10:00:29 -07002490#include "kona-pm.dtsi"
Mukund Atred454ec92018-11-05 15:32:16 -08002491
2492#include "kona-camera.dtsi"
Vipin Deep Kaur9a2c13d2018-12-19 18:38:46 +05302493#include "kona-qupv3.dtsi"
Siddartha Mohanadoss42c8d782018-12-21 14:20:33 -08002494#include "kona-thermal.dtsi"
Chinmay Sawarkar83d01b42018-12-14 12:34:50 -08002495#include "kona-vidc.dtsi"
George Shen9c54c662018-12-26 15:50:11 -08002496#include "kona-cvp.dtsi"