blob: facc5d79647dbddae3169e8dc672deb9bcca6b95 [file] [log] [blame]
Pierre Ossmand129bce2006-03-24 03:18:17 -08001/*
Pierre Ossman70f10482007-07-11 20:04:50 +02002 * linux/drivers/mmc/host/sdhci.h - Secure Digital Host Controller Interface driver
Pierre Ossmand129bce2006-03-24 03:18:17 -08003 *
Giuseppe Cavallaro1978fda2010-09-28 10:41:29 +02004 * Header file for Host Controller registers and I/O accessors.
5 *
Pierre Ossmanb69c9052008-03-08 23:44:25 +01006 * Copyright (C) 2005-2008 Pierre Ossman, All Rights Reserved.
Pierre Ossmand129bce2006-03-24 03:18:17 -08007 *
8 * This program is free software; you can redistribute it and/or modify
Pierre Ossman643f7202006-09-30 23:27:52 -07009 * it under the terms of the GNU General Public License as published by
10 * the Free Software Foundation; either version 2 of the License, or (at
11 * your option) any later version.
Pierre Ossmand129bce2006-03-24 03:18:17 -080012 */
Giuseppe Cavallaro1978fda2010-09-28 10:41:29 +020013#ifndef __SDHCI_HW_H
14#define __SDHCI_HW_H
Pierre Ossmand129bce2006-03-24 03:18:17 -080015
Andrew Morton0c7ad102008-07-25 19:44:35 -070016#include <linux/scatterlist.h>
Anton Vorontsov4e4141a2009-03-17 00:13:46 +030017#include <linux/compiler.h>
18#include <linux/types.h>
19#include <linux/io.h>
Sahitya Tummalac6f48d42013-03-10 07:03:17 +053020#include <linux/pm_qos.h>
Sahitya Tummala4c196de2014-10-31 14:00:12 +053021#include <linux/ratelimit.h>
Ulf Hansson83f13cc2015-03-04 10:19:14 +010022#include <linux/mmc/host.h>
Giuseppe Cavallaro1978fda2010-09-28 10:41:29 +020023
Pierre Ossmand129bce2006-03-24 03:18:17 -080024/*
Pierre Ossmand129bce2006-03-24 03:18:17 -080025 * Controller registers
26 */
27
28#define SDHCI_DMA_ADDRESS 0x00
Andrei Warkentin8edf63712011-05-23 15:06:39 -050029#define SDHCI_ARGUMENT2 SDHCI_DMA_ADDRESS
Pierre Ossmand129bce2006-03-24 03:18:17 -080030
31#define SDHCI_BLOCK_SIZE 0x04
Pierre Ossmanbab76962006-07-02 16:51:35 +010032#define SDHCI_MAKE_BLKSZ(dma, blksz) (((dma & 0x7) << 12) | (blksz & 0xFFF))
Pierre Ossmand129bce2006-03-24 03:18:17 -080033
34#define SDHCI_BLOCK_COUNT 0x06
35
36#define SDHCI_ARGUMENT 0x08
37
38#define SDHCI_TRANSFER_MODE 0x0C
39#define SDHCI_TRNS_DMA 0x01
40#define SDHCI_TRNS_BLK_CNT_EN 0x02
Andrei Warkentine89d4562011-05-23 15:06:37 -050041#define SDHCI_TRNS_AUTO_CMD12 0x04
Andrei Warkentin8edf63712011-05-23 15:06:39 -050042#define SDHCI_TRNS_AUTO_CMD23 0x08
Pierre Ossmand129bce2006-03-24 03:18:17 -080043#define SDHCI_TRNS_READ 0x10
44#define SDHCI_TRNS_MULTI 0x20
45
46#define SDHCI_COMMAND 0x0E
47#define SDHCI_CMD_RESP_MASK 0x03
48#define SDHCI_CMD_CRC 0x08
49#define SDHCI_CMD_INDEX 0x10
50#define SDHCI_CMD_DATA 0x20
Richard Zhu574e3f52011-03-21 13:22:14 +080051#define SDHCI_CMD_ABORTCMD 0xC0
Pierre Ossmand129bce2006-03-24 03:18:17 -080052
53#define SDHCI_CMD_RESP_NONE 0x00
54#define SDHCI_CMD_RESP_LONG 0x01
55#define SDHCI_CMD_RESP_SHORT 0x02
56#define SDHCI_CMD_RESP_SHORT_BUSY 0x03
57
58#define SDHCI_MAKE_CMD(c, f) (((c & 0xff) << 8) | (f & 0xff))
Aries Lee22113ef2010-12-15 08:14:24 +010059#define SDHCI_GET_CMD(c) ((c>>8) & 0x3f)
Pierre Ossmand129bce2006-03-24 03:18:17 -080060
61#define SDHCI_RESPONSE 0x10
62
63#define SDHCI_BUFFER 0x20
64
65#define SDHCI_PRESENT_STATE 0x24
66#define SDHCI_CMD_INHIBIT 0x00000001
67#define SDHCI_DATA_INHIBIT 0x00000002
68#define SDHCI_DOING_WRITE 0x00000100
69#define SDHCI_DOING_READ 0x00000200
70#define SDHCI_SPACE_AVAILABLE 0x00000400
71#define SDHCI_DATA_AVAILABLE 0x00000800
72#define SDHCI_CARD_PRESENT 0x00010000
73#define SDHCI_WRITE_PROTECT 0x00080000
Arindam Nathf2119df2011-05-05 12:18:57 +053074#define SDHCI_DATA_LVL_MASK 0x00F00000
75#define SDHCI_DATA_LVL_SHIFT 20
Yi Sun7756a96d2014-09-09 02:13:59 +000076#define SDHCI_DATA_0_LVL_MASK 0x00100000
Michael Walleb0921d52016-11-15 11:13:16 +010077#define SDHCI_CMD_LVL 0x01000000
Pierre Ossmand129bce2006-03-24 03:18:17 -080078
Arindam Nathd6d50a12011-05-05 12:18:59 +053079#define SDHCI_HOST_CONTROL 0x28
Pierre Ossmand129bce2006-03-24 03:18:17 -080080#define SDHCI_CTRL_LED 0x01
81#define SDHCI_CTRL_4BITBUS 0x02
Pierre Ossman077df882006-11-08 23:06:35 +010082#define SDHCI_CTRL_HISPD 0x04
Pierre Ossman2134a922008-06-28 18:28:51 +020083#define SDHCI_CTRL_DMA_MASK 0x18
84#define SDHCI_CTRL_SDMA 0x00
85#define SDHCI_CTRL_ADMA1 0x08
86#define SDHCI_CTRL_ADMA32 0x10
87#define SDHCI_CTRL_ADMA64 0x18
Philip Rakity15ec4462010-11-19 16:48:39 -050088#define SDHCI_CTRL_8BITBUS 0x20
Zach Brown3794c542016-09-16 10:01:42 -050089#define SDHCI_CTRL_CDTEST_INS 0x40
90#define SDHCI_CTRL_CDTEST_EN 0x80
Pierre Ossmand129bce2006-03-24 03:18:17 -080091
92#define SDHCI_POWER_CONTROL 0x29
Pierre Ossman146ad662006-06-30 02:22:23 -070093#define SDHCI_POWER_ON 0x01
94#define SDHCI_POWER_180 0x0A
95#define SDHCI_POWER_300 0x0C
96#define SDHCI_POWER_330 0x0E
Pierre Ossmand129bce2006-03-24 03:18:17 -080097
98#define SDHCI_BLOCK_GAP_CONTROL 0x2A
99
Nicolas Pitre2df3b712007-09-29 10:46:20 -0400100#define SDHCI_WAKE_UP_CONTROL 0x2B
Daniel Drake5f619702010-11-04 22:20:39 +0000101#define SDHCI_WAKE_ON_INT 0x01
102#define SDHCI_WAKE_ON_INSERT 0x02
103#define SDHCI_WAKE_ON_REMOVE 0x04
Pierre Ossmand129bce2006-03-24 03:18:17 -0800104
105#define SDHCI_CLOCK_CONTROL 0x2C
106#define SDHCI_DIVIDER_SHIFT 8
Zhangfei Gao85105c52010-08-06 07:10:01 +0800107#define SDHCI_DIVIDER_HI_SHIFT 6
108#define SDHCI_DIV_MASK 0xFF
109#define SDHCI_DIV_MASK_LEN 8
110#define SDHCI_DIV_HI_MASK 0x300
Arindam Nathc3ed3872011-05-05 12:19:06 +0530111#define SDHCI_PROG_CLOCK_MODE 0x0020
Pierre Ossmand129bce2006-03-24 03:18:17 -0800112#define SDHCI_CLOCK_CARD_EN 0x0004
113#define SDHCI_CLOCK_INT_STABLE 0x0002
114#define SDHCI_CLOCK_INT_EN 0x0001
115
116#define SDHCI_TIMEOUT_CONTROL 0x2E
117
118#define SDHCI_SOFTWARE_RESET 0x2F
119#define SDHCI_RESET_ALL 0x01
120#define SDHCI_RESET_CMD 0x02
121#define SDHCI_RESET_DATA 0x04
122
123#define SDHCI_INT_STATUS 0x30
124#define SDHCI_INT_ENABLE 0x34
125#define SDHCI_SIGNAL_ENABLE 0x38
126#define SDHCI_INT_RESPONSE 0x00000001
127#define SDHCI_INT_DATA_END 0x00000002
Haijun Zhanga4071fb2012-12-04 10:41:28 +0800128#define SDHCI_INT_BLK_GAP 0x00000004
Pierre Ossmand129bce2006-03-24 03:18:17 -0800129#define SDHCI_INT_DMA_END 0x00000008
Pierre Ossmana406f5a2006-07-02 16:50:59 +0100130#define SDHCI_INT_SPACE_AVAIL 0x00000010
131#define SDHCI_INT_DATA_AVAIL 0x00000020
Pierre Ossmand129bce2006-03-24 03:18:17 -0800132#define SDHCI_INT_CARD_INSERT 0x00000040
133#define SDHCI_INT_CARD_REMOVE 0x00000080
134#define SDHCI_INT_CARD_INT 0x00000100
Dong Aishengf37b20e2016-07-12 15:46:17 +0800135#define SDHCI_INT_RETUNE 0x00001000
Pierre Ossman964f9ce2007-07-20 18:20:36 +0200136#define SDHCI_INT_ERROR 0x00008000
Pierre Ossmand129bce2006-03-24 03:18:17 -0800137#define SDHCI_INT_TIMEOUT 0x00010000
138#define SDHCI_INT_CRC 0x00020000
139#define SDHCI_INT_END_BIT 0x00040000
140#define SDHCI_INT_INDEX 0x00080000
141#define SDHCI_INT_DATA_TIMEOUT 0x00100000
142#define SDHCI_INT_DATA_CRC 0x00200000
143#define SDHCI_INT_DATA_END_BIT 0x00400000
144#define SDHCI_INT_BUS_POWER 0x00800000
Asutosh Das09f36d02013-07-23 16:20:34 +0530145#define SDHCI_INT_AUTO_CMD_ERR 0x01000000
Pierre Ossman2134a922008-06-28 18:28:51 +0200146#define SDHCI_INT_ADMA_ERROR 0x02000000
Pierre Ossmand129bce2006-03-24 03:18:17 -0800147
148#define SDHCI_INT_NORMAL_MASK 0x00007FFF
149#define SDHCI_INT_ERROR_MASK 0xFFFF8000
150
151#define SDHCI_INT_CMD_MASK (SDHCI_INT_RESPONSE | SDHCI_INT_TIMEOUT | \
Asutosh Das09f36d02013-07-23 16:20:34 +0530152 SDHCI_INT_CRC | SDHCI_INT_END_BIT | SDHCI_INT_INDEX | \
153 SDHCI_INT_AUTO_CMD_ERR)
154
Pierre Ossmand129bce2006-03-24 03:18:17 -0800155#define SDHCI_INT_DATA_MASK (SDHCI_INT_DATA_END | SDHCI_INT_DMA_END | \
Pierre Ossmana406f5a2006-07-02 16:50:59 +0100156 SDHCI_INT_DATA_AVAIL | SDHCI_INT_SPACE_AVAIL | \
Pierre Ossmand129bce2006-03-24 03:18:17 -0800157 SDHCI_INT_DATA_TIMEOUT | SDHCI_INT_DATA_CRC | \
Haijun Zhanga4071fb2012-12-04 10:41:28 +0800158 SDHCI_INT_DATA_END_BIT | SDHCI_INT_ADMA_ERROR | \
159 SDHCI_INT_BLK_GAP)
Anton Vorontsov7260cf52009-03-17 00:13:48 +0300160#define SDHCI_INT_ALL_MASK ((unsigned int)-1)
Pierre Ossmand129bce2006-03-24 03:18:17 -0800161
Asutosh Das09f36d02013-07-23 16:20:34 +0530162#define SDHCI_AUTO_CMD_ERR 0x3C
163#define SDHCI_AUTO_CMD12_NOT_EXEC 0x0001
164#define SDHCI_AUTO_CMD_TIMEOUT_ERR 0x0002
165#define SDHCI_AUTO_CMD_CRC_ERR 0x0004
166#define SDHCI_AUTO_CMD_ENDBIT_ERR 0x0008
167#define SDHCI_AUTO_CMD_INDEX_ERR 0x0010
168#define SDHCI_AUTO_CMD12_NOT_ISSUED 0x0080
Pierre Ossmand129bce2006-03-24 03:18:17 -0800169
Arindam Nathf2119df2011-05-05 12:18:57 +0530170#define SDHCI_HOST_CONTROL2 0x3E
Arindam Nath49c468f2011-05-05 12:19:01 +0530171#define SDHCI_CTRL_UHS_MASK 0x0007
172#define SDHCI_CTRL_UHS_SDR12 0x0000
173#define SDHCI_CTRL_UHS_SDR25 0x0001
174#define SDHCI_CTRL_UHS_SDR50 0x0002
175#define SDHCI_CTRL_UHS_SDR104 0x0003
176#define SDHCI_CTRL_UHS_DDR50 0x0004
Adrian Huntere9fb05d2014-11-06 15:19:06 +0200177#define SDHCI_CTRL_HS400 0x0005 /* Non-standard */
Arindam Nathf2119df2011-05-05 12:18:57 +0530178#define SDHCI_CTRL_VDD_180 0x0008
Arindam Nathd6d50a12011-05-05 12:18:59 +0530179#define SDHCI_CTRL_DRV_TYPE_MASK 0x0030
180#define SDHCI_CTRL_DRV_TYPE_B 0x0000
181#define SDHCI_CTRL_DRV_TYPE_A 0x0010
182#define SDHCI_CTRL_DRV_TYPE_C 0x0020
183#define SDHCI_CTRL_DRV_TYPE_D 0x0030
Arindam Nathb513ea22011-05-05 12:19:04 +0530184#define SDHCI_CTRL_EXEC_TUNING 0x0040
185#define SDHCI_CTRL_TUNED_CLK 0x0080
Arindam Nathd6d50a12011-05-05 12:18:59 +0530186#define SDHCI_CTRL_PRESET_VAL_ENABLE 0x8000
Pierre Ossmand129bce2006-03-24 03:18:17 -0800187
188#define SDHCI_CAPABILITIES 0x40
Pierre Ossman1c8cde92006-06-30 02:22:25 -0700189#define SDHCI_TIMEOUT_CLK_MASK 0x0000003F
190#define SDHCI_TIMEOUT_CLK_SHIFT 0
191#define SDHCI_TIMEOUT_CLK_UNIT 0x00000080
Pierre Ossmand129bce2006-03-24 03:18:17 -0800192#define SDHCI_CLOCK_BASE_MASK 0x00003F00
Zhangfei Gaoc4687d52010-08-20 14:02:36 -0400193#define SDHCI_CLOCK_V3_BASE_MASK 0x0000FF00
Pierre Ossmand129bce2006-03-24 03:18:17 -0800194#define SDHCI_CLOCK_BASE_SHIFT 8
Pierre Ossman1d676e02006-07-02 16:52:10 +0100195#define SDHCI_MAX_BLOCK_MASK 0x00030000
196#define SDHCI_MAX_BLOCK_SHIFT 16
Philip Rakity15ec4462010-11-19 16:48:39 -0500197#define SDHCI_CAN_DO_8BIT 0x00040000
Pierre Ossman2134a922008-06-28 18:28:51 +0200198#define SDHCI_CAN_DO_ADMA2 0x00080000
199#define SDHCI_CAN_DO_ADMA1 0x00100000
Pierre Ossman077df882006-11-08 23:06:35 +0100200#define SDHCI_CAN_DO_HISPD 0x00200000
Richard Röjforsa13abc72009-09-22 16:45:30 -0700201#define SDHCI_CAN_DO_SDMA 0x00400000
Stefan Wahrene71d4b82016-07-02 19:23:13 +0000202#define SDHCI_CAN_DO_SUSPEND 0x00800000
Pierre Ossman146ad662006-06-30 02:22:23 -0700203#define SDHCI_CAN_VDD_330 0x01000000
204#define SDHCI_CAN_VDD_300 0x02000000
205#define SDHCI_CAN_VDD_180 0x04000000
Pierre Ossman2134a922008-06-28 18:28:51 +0200206#define SDHCI_CAN_64BIT 0x10000000
Pierre Ossmand129bce2006-03-24 03:18:17 -0800207
Arindam Nathf2119df2011-05-05 12:18:57 +0530208#define SDHCI_SUPPORT_SDR50 0x00000001
209#define SDHCI_SUPPORT_SDR104 0x00000002
210#define SDHCI_SUPPORT_DDR50 0x00000004
Arindam Nathd6d50a12011-05-05 12:18:59 +0530211#define SDHCI_DRIVER_TYPE_A 0x00000010
212#define SDHCI_DRIVER_TYPE_C 0x00000020
213#define SDHCI_DRIVER_TYPE_D 0x00000040
Arindam Nathcf2b5ee2011-05-05 12:19:07 +0530214#define SDHCI_RETUNING_TIMER_COUNT_MASK 0x00000F00
215#define SDHCI_RETUNING_TIMER_COUNT_SHIFT 8
216#define SDHCI_USE_SDR50_TUNING 0x00002000
217#define SDHCI_RETUNING_MODE_MASK 0x0000C000
218#define SDHCI_RETUNING_MODE_SHIFT 14
Arindam Nathc3ed3872011-05-05 12:19:06 +0530219#define SDHCI_CLOCK_MUL_MASK 0x00FF0000
220#define SDHCI_CLOCK_MUL_SHIFT 16
Adrian Huntere9fb05d2014-11-06 15:19:06 +0200221#define SDHCI_SUPPORT_HS400 0x80000000 /* Non-standard */
Arindam Nathf2119df2011-05-05 12:18:57 +0530222
Philip Rakitye8120ad2010-11-30 00:55:23 -0500223#define SDHCI_CAPABILITIES_1 0x44
Pierre Ossmand129bce2006-03-24 03:18:17 -0800224
Arindam Nathf2119df2011-05-05 12:18:57 +0530225#define SDHCI_MAX_CURRENT 0x48
Philip Rakitybad37e12012-05-27 18:36:44 -0700226#define SDHCI_MAX_CURRENT_LIMIT 0xFF
Arindam Nathf2119df2011-05-05 12:18:57 +0530227#define SDHCI_MAX_CURRENT_330_MASK 0x0000FF
228#define SDHCI_MAX_CURRENT_330_SHIFT 0
229#define SDHCI_MAX_CURRENT_300_MASK 0x00FF00
230#define SDHCI_MAX_CURRENT_300_SHIFT 8
231#define SDHCI_MAX_CURRENT_180_MASK 0xFF0000
232#define SDHCI_MAX_CURRENT_180_SHIFT 16
233#define SDHCI_MAX_CURRENT_MULTIPLIER 4
Pierre Ossmand129bce2006-03-24 03:18:17 -0800234
235/* 4C-4F reserved for more max current */
236
Pierre Ossman2134a922008-06-28 18:28:51 +0200237#define SDHCI_SET_ACMD12_ERROR 0x50
238#define SDHCI_SET_INT_ERROR 0x52
239
240#define SDHCI_ADMA_ERROR 0x54
241
242/* 55-57 reserved */
243
244#define SDHCI_ADMA_ADDRESS 0x58
Adrian Huntere57a5f62014-11-04 12:42:46 +0200245#define SDHCI_ADMA_ADDRESS_HI 0x5C
Pierre Ossman2134a922008-06-28 18:28:51 +0200246
247/* 60-FB reserved */
Pierre Ossmand129bce2006-03-24 03:18:17 -0800248
Kevin Liu52983382013-01-31 11:31:37 +0800249#define SDHCI_PRESET_FOR_SDR12 0x66
250#define SDHCI_PRESET_FOR_SDR25 0x68
251#define SDHCI_PRESET_FOR_SDR50 0x6A
252#define SDHCI_PRESET_FOR_SDR104 0x6C
253#define SDHCI_PRESET_FOR_DDR50 0x6E
Adrian Huntere9fb05d2014-11-06 15:19:06 +0200254#define SDHCI_PRESET_FOR_HS400 0x74 /* Non-standard */
Kevin Liu52983382013-01-31 11:31:37 +0800255#define SDHCI_PRESET_DRV_MASK 0xC000
256#define SDHCI_PRESET_DRV_SHIFT 14
257#define SDHCI_PRESET_CLKGEN_SEL_MASK 0x400
258#define SDHCI_PRESET_CLKGEN_SEL_SHIFT 10
259#define SDHCI_PRESET_SDCLK_FREQ_MASK 0x3FF
260#define SDHCI_PRESET_SDCLK_FREQ_SHIFT 0
261
Pierre Ossmand129bce2006-03-24 03:18:17 -0800262#define SDHCI_SLOT_INT_STATUS 0xFC
263
264#define SDHCI_HOST_VERSION 0xFE
Pierre Ossman4a965502006-06-30 02:22:29 -0700265#define SDHCI_VENDOR_VER_MASK 0xFF00
266#define SDHCI_VENDOR_VER_SHIFT 8
267#define SDHCI_SPEC_VER_MASK 0x00FF
268#define SDHCI_SPEC_VER_SHIFT 0
Pierre Ossman2134a922008-06-28 18:28:51 +0200269#define SDHCI_SPEC_100 0
270#define SDHCI_SPEC_200 1
Zhangfei Gao85105c52010-08-06 07:10:01 +0800271#define SDHCI_SPEC_300 2
Pierre Ossmand129bce2006-03-24 03:18:17 -0800272
Zhangfei Gao03975262010-09-20 15:15:18 -0400273/*
274 * End of controller registers.
275 */
276
277#define SDHCI_MAX_DIV_SPEC_200 256
278#define SDHCI_MAX_DIV_SPEC_300 2046
279
Mikko Vinnif6a03cb2011-04-12 09:36:18 -0400280/*
281 * Host SDMA buffer boundary. Valid values from 4K to 512K in powers of 2.
282 */
283#define SDHCI_DEFAULT_BOUNDARY_SIZE (512 * 1024)
284#define SDHCI_DEFAULT_BOUNDARY_ARG (ilog2(SDHCI_DEFAULT_BOUNDARY_SIZE) - 12)
285
Adrian Hunter739d46d2014-11-04 12:42:44 +0200286/* ADMA2 32-bit DMA descriptor size */
287#define SDHCI_ADMA2_32_DESC_SZ 8
288
Adrian Hunter05452302014-11-04 12:42:45 +0200289/* ADMA2 32-bit descriptor */
290struct sdhci_adma2_32_desc {
291 __le16 cmd;
292 __le16 len;
293 __le32 addr;
Adrian Hunter04a5ae62015-11-26 14:00:49 +0200294} __packed __aligned(4);
295
296/* ADMA2 data alignment */
297#define SDHCI_ADMA2_ALIGN 4
298#define SDHCI_ADMA2_MASK (SDHCI_ADMA2_ALIGN - 1)
299
300/*
301 * ADMA2 descriptor alignment. Some controllers (e.g. Intel) require 8 byte
302 * alignment for the descriptor table even in 32-bit DMA mode. Memory
303 * allocation is at least 8 byte aligned anyway, so just stipulate 8 always.
304 */
305#define SDHCI_ADMA2_DESC_ALIGN 8
Adrian Hunter05452302014-11-04 12:42:45 +0200306
Adrian Huntere57a5f62014-11-04 12:42:46 +0200307/* ADMA2 64-bit DMA descriptor size */
308#define SDHCI_ADMA2_64_DESC_SZ 12
309
Adrian Huntere57a5f62014-11-04 12:42:46 +0200310/*
311 * ADMA2 64-bit descriptor. Note 12-byte descriptor can't always be 8-byte
312 * aligned.
313 */
314struct sdhci_adma2_64_desc {
315 __le16 cmd;
316 __le16 len;
317 __le32 addr_lo;
318 __le32 addr_hi;
319} __packed __aligned(4);
320
Adrian Hunter739d46d2014-11-04 12:42:44 +0200321#define ADMA2_TRAN_VALID 0x21
322#define ADMA2_NOP_END_VALID 0x3
323#define ADMA2_END 0x2
324
Adrian Hunter4fb213f2014-11-04 12:42:43 +0200325/*
326 * Maximum segments assuming a 512KiB maximum requisition size and a minimum
327 * 4KiB page size.
328 */
329#define SDHCI_MAX_SEGS 128
330
Adrian Hunter4e9f8fe2016-06-29 16:24:34 +0300331/* Allow for a a command request and a data request at the same time */
332#define SDHCI_MAX_MRQS 2
333
Haibo Chend31911b2015-08-25 10:02:11 +0800334enum sdhci_cookie {
335 COOKIE_UNMAPPED,
Russell King94538e52016-01-26 13:40:37 +0000336 COOKIE_PRE_MAPPED, /* mapped by sdhci_pre_req() */
337 COOKIE_MAPPED, /* mapped by sdhci_prepare_data() */
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100338};
339
Sujit Reddy Thumma360bbf42013-06-19 20:15:37 +0530340enum sdhci_power_policy {
341 SDHCI_PERFORMANCE_MODE,
342 SDHCI_POWER_SAVE_MODE,
343};
344
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100345struct sdhci_host {
346 /* Data set by hardware interface driver */
347 const char *hw_name; /* Hardware bus name */
348
349 unsigned int quirks; /* Deviations from spec. */
350
351/* Controller doesn't honor resets unless we touch the clock register */
352#define SDHCI_QUIRK_CLOCK_BEFORE_RESET (1<<0)
353/* Controller has bad caps bits, but really supports DMA */
354#define SDHCI_QUIRK_FORCE_DMA (1<<1)
355/* Controller doesn't like to be reset when there is no card inserted. */
356#define SDHCI_QUIRK_NO_CARD_NO_RESET (1<<2)
357/* Controller doesn't like clearing the power reg before a change */
358#define SDHCI_QUIRK_SINGLE_POWER_WRITE (1<<3)
359/* Controller has flaky internal state so reset it on each ios change */
360#define SDHCI_QUIRK_RESET_CMD_DATA_ON_IOS (1<<4)
361/* Controller has an unusable DMA engine */
362#define SDHCI_QUIRK_BROKEN_DMA (1<<5)
363/* Controller has an unusable ADMA engine */
364#define SDHCI_QUIRK_BROKEN_ADMA (1<<6)
365/* Controller can only DMA from 32-bit aligned addresses */
366#define SDHCI_QUIRK_32BIT_DMA_ADDR (1<<7)
367/* Controller can only DMA chunk sizes that are a multiple of 32 bits */
368#define SDHCI_QUIRK_32BIT_DMA_SIZE (1<<8)
369/* Controller can only ADMA chunks that are a multiple of 32 bits */
370#define SDHCI_QUIRK_32BIT_ADMA_SIZE (1<<9)
371/* Controller needs to be reset after each request to stay stable */
372#define SDHCI_QUIRK_RESET_AFTER_REQUEST (1<<10)
373/* Controller needs voltage and power writes to happen separately */
374#define SDHCI_QUIRK_NO_SIMULT_VDD_AND_POWER (1<<11)
375/* Controller provides an incorrect timeout value for transfers */
376#define SDHCI_QUIRK_BROKEN_TIMEOUT_VAL (1<<12)
377/* Controller has an issue with buffer bits for small transfers */
378#define SDHCI_QUIRK_BROKEN_SMALL_PIO (1<<13)
379/* Controller does not provide transfer-complete interrupt when not busy */
380#define SDHCI_QUIRK_NO_BUSY_IRQ (1<<14)
381/* Controller has unreliable card detection */
382#define SDHCI_QUIRK_BROKEN_CARD_DETECTION (1<<15)
383/* Controller reports inverted write-protect state */
384#define SDHCI_QUIRK_INVERTED_WRITE_PROTECT (1<<16)
385/* Controller does not like fast PIO transfers */
386#define SDHCI_QUIRK_PIO_NEEDS_DELAY (1<<18)
387/* Controller has to be forced to use block size of 2048 bytes */
388#define SDHCI_QUIRK_FORCE_BLK_SZ_2048 (1<<20)
389/* Controller cannot do multi-block transfers */
390#define SDHCI_QUIRK_NO_MULTIBLOCK (1<<21)
391/* Controller can only handle 1-bit data transfers */
392#define SDHCI_QUIRK_FORCE_1_BIT_DATA (1<<22)
393/* Controller needs 10ms delay between applying power and clock */
394#define SDHCI_QUIRK_DELAY_AFTER_POWER (1<<23)
395/* Controller uses SDCLK instead of TMCLK for data timeouts */
396#define SDHCI_QUIRK_DATA_TIMEOUT_USES_SDCLK (1<<24)
397/* Controller reports wrong base clock capability */
398#define SDHCI_QUIRK_CAP_CLOCK_BASE_BROKEN (1<<25)
399/* Controller cannot support End Attribute in NOP ADMA descriptor */
400#define SDHCI_QUIRK_NO_ENDATTR_IN_NOPDESC (1<<26)
401/* Controller is missing device caps. Use caps provided by host */
402#define SDHCI_QUIRK_MISSING_CAPS (1<<27)
403/* Controller uses Auto CMD12 command to stop the transfer */
404#define SDHCI_QUIRK_MULTIBLOCK_READ_ACMD12 (1<<28)
405/* Controller doesn't have HISPD bit field in HI-SPEED SD card */
406#define SDHCI_QUIRK_NO_HISPD_BIT (1<<29)
407/* Controller treats ADMA descriptors with length 0000h incorrectly */
408#define SDHCI_QUIRK_BROKEN_ADMA_ZEROLEN_DESC (1<<30)
409/* The read-only detection via SDHCI_PRESENT_STATE register is unstable */
410#define SDHCI_QUIRK_UNSTABLE_RO_DETECT (1<<31)
411
412 unsigned int quirks2; /* More deviations from spec. */
413
414#define SDHCI_QUIRK2_HOST_OFF_CARD_ON (1<<0)
415#define SDHCI_QUIRK2_HOST_NO_CMD23 (1<<1)
416/* The system physically doesn't support 1.8v, even if the host does */
417#define SDHCI_QUIRK2_NO_1_8_V (1<<2)
418#define SDHCI_QUIRK2_PRESET_VALUE_BROKEN (1<<3)
419#define SDHCI_QUIRK2_CARD_ON_NEEDS_BUS_ON (1<<4)
420/* Controller has a non-standard host control register */
421#define SDHCI_QUIRK2_BROKEN_HOST_CONTROL (1<<5)
422/* Controller does not support HS200 */
423#define SDHCI_QUIRK2_BROKEN_HS200 (1<<6)
424/* Controller does not support DDR50 */
425#define SDHCI_QUIRK2_BROKEN_DDR50 (1<<7)
426/* Stop command (CMD12) can set Transfer Complete when not using MMC_RSP_BUSY */
427#define SDHCI_QUIRK2_STOP_WITH_TC (1<<8)
428/* Controller does not support 64-bit DMA */
429#define SDHCI_QUIRK2_BROKEN_64_BIT_DMA (1<<9)
430/* need clear transfer mode register before send cmd */
431#define SDHCI_QUIRK2_CLEAR_TRANSFERMODE_REG_BEFORE_CMD (1<<10)
432/* Capability register bit-63 indicates HS400 support */
433#define SDHCI_QUIRK2_CAPS_BIT63_FOR_HS400 (1<<11)
434/* forced tuned clock */
435#define SDHCI_QUIRK2_TUNING_WORK_AROUND (1<<12)
436/* disable the block count for single block transactions */
437#define SDHCI_QUIRK2_SUPPORT_SINGLE (1<<13)
438/* Controller broken with using ACMD23 */
439#define SDHCI_QUIRK2_ACMD23_BROKEN (1<<14)
Suneel Garapatid1955c32015-06-09 13:01:50 +0530440/* Broken Clock divider zero in controller */
441#define SDHCI_QUIRK2_CLOCK_DIV_ZERO_BROKEN (1<<15)
Venkat Gopalakrishnana58f91f2012-09-17 16:00:15 -0700442/*
443 * Read Transfer Active/ Write Transfer Active may be not
444 * de-asserted after end of transaction. Issue reset for DAT line.
445 */
446#define SDHCI_QUIRK2_RDWR_TX_ACTIVE_EOT (1<<17)
447/*
448 * Slow interrupt clearance at 400KHz may cause
449 * host controller driver interrupt handler to
450 * be called twice.
451*/
452#define SDHCI_QUIRK2_SLOW_INT_CLR (1<<18)
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100453
Venkat Gopalakrishnand6f9e5b2014-12-16 15:07:12 -0800454/*
455 * If the base clock can be scalable, then there should be no further
456 * clock dividing as the input clock itself will be scaled down to
457 * required frequency.
458 */
Sahitya Tummala22dd3362013-02-28 19:50:51 +0530459#define SDHCI_QUIRK2_ALWAYS_USE_BASE_CLOCK (1<<19)
460
Sahitya Tummala87d43942013-04-12 11:49:11 +0530461/*
462 * Ignore data timeout error for R1B commands as there will be no
463 * data associated and the busy timeout value for these commands
464 * could be lager than the maximum timeout value that controller
465 * can handle.
466 */
467#define SDHCI_QUIRK2_IGNORE_DATATOUT_FOR_R1BCMD (1<<21)
468
Sahitya Tummala314162c2013-04-12 12:11:20 +0530469/*
470 * The preset value registers are not properly initialized by
471 * some hardware and hence preset value must not be enabled for
472 * such controllers.
473 */
474#define SDHCI_QUIRK2_BROKEN_PRESET_VALUE (1<<22)
Sahitya Tummala7c9780d2013-04-12 11:59:25 +0530475/*
476 * Some controllers define the usage of 0xF in data timeout counter
477 * register (0x2E) which is actually a reserved bit as per
478 * specification.
479 */
480#define SDHCI_QUIRK2_USE_RESERVED_MAX_TIMEOUT (1<<23)
Sahitya Tummalaa5733ab52013-06-10 16:32:51 +0530481/*
482 * This is applicable for controllers that advertize timeout clock
483 * value in capabilities register (bit 5-0) as just 50MHz whereas the
484 * base clock frequency is 200MHz. So, the controller internally
485 * multiplies the value in timeout control register by 4 with the
486 * assumption that driver always uses fixed timeout clock value from
487 * capabilities register to calculate the timeout. But when the driver
488 * uses SDHCI_QUIRK2_ALWAYS_USE_BASE_CLOCK base clock frequency is directly
489 * controller by driver and it's rate varies upto max. 200MHz. This new quirk
490 * will be used in such cases to avoid controller mulplication when timeout is
491 * calculated based on the base clock.
492 */
493#define SDHCI_QUIRK2_DIVIDE_TOUT_BY_4 (1 << 23)
Sahitya Tummala314162c2013-04-12 12:11:20 +0530494
Asutosh Das214b9662013-06-13 14:27:42 +0530495/*
496 * Some SDHC controllers are unable to handle data-end bit error in
497 * 1-bit mode of SDIO.
498 */
499#define SDHCI_QUIRK2_IGN_DATA_END_BIT_ERROR (1<<24)
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100500 int irq; /* Device IRQ */
501 void __iomem *ioaddr; /* Mapped address */
502
503 const struct sdhci_ops *ops; /* Low level hw interface */
504
505 /* Internal data */
506 struct mmc_host *mmc; /* MMC structure */
Adrian Hunterbf60e592016-02-09 16:12:35 +0200507 struct mmc_host_ops mmc_host_ops; /* MMC host ops */
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100508 u64 dma_mask; /* custom DMA mask */
509
Masahiro Yamada74479c52016-04-14 13:19:40 +0900510#if IS_ENABLED(CONFIG_LEDS_CLASS)
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100511 struct led_classdev led; /* LED control */
512 char led_name[32];
513#endif
514
515 spinlock_t lock; /* Mutex */
516
517 int flags; /* Host attributes */
518#define SDHCI_USE_SDMA (1<<0) /* Host is SDMA capable */
519#define SDHCI_USE_ADMA (1<<1) /* Host is ADMA capable */
520#define SDHCI_REQ_USE_DMA (1<<2) /* Use DMA for this req. */
521#define SDHCI_DEVICE_DEAD (1<<3) /* Device unresponsive */
522#define SDHCI_SDR50_NEEDS_TUNING (1<<4) /* SDR50 needs tuning */
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100523#define SDHCI_AUTO_CMD12 (1<<6) /* Auto CMD12 support */
524#define SDHCI_AUTO_CMD23 (1<<7) /* Auto CMD23 support */
525#define SDHCI_PV_ENABLED (1<<8) /* Preset value enabled */
526#define SDHCI_SDIO_IRQ_ENABLED (1<<9) /* SDIO irq enabled */
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100527#define SDHCI_USE_64_BIT_DMA (1<<12) /* Use 64-bit DMA */
528#define SDHCI_HS400_TUNING (1<<13) /* Tuning for HS400 */
Adrian Hunter8cb851a2016-06-29 16:24:16 +0300529#define SDHCI_SIGNALING_330 (1<<14) /* Host is capable of 3.3V signaling */
530#define SDHCI_SIGNALING_180 (1<<15) /* Host is capable of 1.8V signaling */
531#define SDHCI_SIGNALING_120 (1<<16) /* Host is capable of 1.2V signaling */
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100532
533 unsigned int version; /* SDHCI spec. version */
534
535 unsigned int max_clk; /* Max possible freq (MHz) */
536 unsigned int timeout_clk; /* Timeout freq (KHz) */
537 unsigned int clk_mul; /* Clock Muliplier value */
538
539 unsigned int clock; /* Current clock (MHz) */
540 u8 pwr; /* Current voltage */
541
542 bool runtime_suspended; /* Host is runtime suspended */
543 bool bus_on; /* Bus power prevents runtime suspend */
544 bool preset_enabled; /* Preset is enabled */
Adrian Huntered1563d2016-06-29 16:24:29 +0300545 bool pending_reset; /* Cmd/data reset is pending */
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100546
Adrian Hunter4e9f8fe2016-06-29 16:24:34 +0300547 struct mmc_request *mrqs_done[SDHCI_MAX_MRQS]; /* Requests done */
Sahitya Tummala8a3e8182013-03-10 14:12:52 +0530548 struct mmc_request *mrq; /* Current request */
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100549 struct mmc_command *cmd; /* Current command */
Adrian Hunter7c89a3d2016-06-29 16:24:23 +0300550 struct mmc_command *data_cmd; /* Current data command */
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100551 struct mmc_data *data; /* Current data request */
552 unsigned int data_early:1; /* Data finished before cmd */
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100553
554 struct sg_mapping_iter sg_miter; /* SG state for PIO */
555 unsigned int blocks; /* remaining PIO blocks */
556
557 int sg_count; /* Mapped sg entries */
558
559 void *adma_table; /* ADMA descriptor table */
560 void *align_buffer; /* Bounce buffer */
561
562 size_t adma_table_sz; /* ADMA descriptor table size */
563 size_t align_buffer_sz; /* Bounce buffer size */
564
Asutosh Dasaafcad42013-01-10 21:05:49 +0530565 unsigned int adma_desc_sz; /* ADMA descriptor table size */
566 unsigned int align_buf_sz; /* Bounce buffer size */
567 unsigned int adma_max_desc; /* Max ADMA descriptos (max sg segments) */
568
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100569 dma_addr_t adma_addr; /* Mapped ADMA descr. table */
570 dma_addr_t align_addr; /* Mapped bounce buffer */
571
572 unsigned int desc_sz; /* ADMA descriptor size */
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100573
574 struct tasklet_struct finish_tasklet; /* Tasklet structures */
575
576 struct timer_list timer; /* Timer for timeouts */
Adrian Hunterd7422fb2016-06-29 16:24:33 +0300577 struct timer_list data_timer; /* Timer for data timeouts */
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100578
Adrian Hunter28da3582016-06-29 16:24:17 +0300579 u32 caps; /* CAPABILITY_0 */
580 u32 caps1; /* CAPABILITY_1 */
Adrian Hunter6132a3b2016-06-29 16:24:18 +0300581 bool read_caps; /* Capability flags have been read */
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100582
583 unsigned int ocr_avail_sdio; /* OCR bit masks */
584 unsigned int ocr_avail_sd;
585 unsigned int ocr_avail_mmc;
586 u32 ocr_mask; /* available voltages */
587
588 unsigned timing; /* Current timing */
589
590 u32 thread_isr;
591
592 /* cached registers */
593 u32 ier;
594
595 wait_queue_head_t buf_ready_int; /* Waitqueue for Buffer Read Ready interrupt */
596 unsigned int tuning_done; /* Condition flag set when CMD19 succeeds */
597
598 unsigned int tuning_count; /* Timer count for re-tuning */
599 unsigned int tuning_mode; /* Re-tuning mode supported by host */
600#define SDHCI_TUNING_MODE_1 0
Dong Aishengf37b20e2016-07-12 15:46:17 +0800601#define SDHCI_TUNING_MODE_2 1
602#define SDHCI_TUNING_MODE_3 2
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100603
Sahitya Tummalac6f48d42013-03-10 07:03:17 +0530604 unsigned int cpu_dma_latency_us;
605 struct pm_qos_request pm_qos_req_dma;
Sahitya Tummala16dabee2013-04-08 12:53:44 +0530606 ktime_t data_start_time;
Sahitya Tummalac6f48d42013-03-10 07:03:17 +0530607
Sujit Reddy Thumma360bbf42013-06-19 20:15:37 +0530608 unsigned int pm_qos_timeout_us; /* timeout for PM QoS request */
Sujit Reddy Thummafb644882013-06-19 20:25:38 +0530609 struct device_attribute pm_qos_tout;
610
Sujit Reddy Thumma360bbf42013-06-19 20:15:37 +0530611 enum sdhci_power_policy power_policy;
612
Sahitya Tummala9e7fadb2013-08-07 18:40:29 +0530613 u32 auto_cmd_err_sts;
Sahitya Tummala4c196de2014-10-31 14:00:12 +0530614 struct ratelimit_state dbg_dump_rs;
Ulf Hansson83f13cc2015-03-04 10:19:14 +0100615 unsigned long private[0] ____cacheline_aligned;
616};
617
Pierre Ossmanb8c86fc2008-03-18 17:35:49 +0100618struct sdhci_ops {
Anton Vorontsov4e4141a2009-03-17 00:13:46 +0300619#ifdef CONFIG_MMC_SDHCI_IO_ACCESSORS
Matt Flemingdc297c92010-05-26 14:42:03 -0700620 u32 (*read_l)(struct sdhci_host *host, int reg);
621 u16 (*read_w)(struct sdhci_host *host, int reg);
622 u8 (*read_b)(struct sdhci_host *host, int reg);
623 void (*write_l)(struct sdhci_host *host, u32 val, int reg);
624 void (*write_w)(struct sdhci_host *host, u16 val, int reg);
625 void (*write_b)(struct sdhci_host *host, u8 val, int reg);
Anton Vorontsov4e4141a2009-03-17 00:13:46 +0300626#endif
627
Anton Vorontsov81146342009-03-17 00:13:59 +0300628 void (*set_clock)(struct sdhci_host *host, unsigned int clock);
Adrian Hunter1dceb042016-03-29 12:45:43 +0300629 void (*set_power)(struct sdhci_host *host, unsigned char mode,
630 unsigned short vdd);
Anton Vorontsov81146342009-03-17 00:13:59 +0300631
Pierre Ossmanb8c86fc2008-03-18 17:35:49 +0100632 int (*enable_dma)(struct sdhci_host *host);
Ben Dooks4240ff02009-03-17 00:13:57 +0300633 unsigned int (*get_max_clock)(struct sdhci_host *host);
Anton Vorontsova9e58f22009-07-29 15:04:16 -0700634 unsigned int (*get_min_clock)(struct sdhci_host *host);
Ben Dooks4240ff02009-03-17 00:13:57 +0300635 unsigned int (*get_timeout_clock)(struct sdhci_host *host);
Aisheng Donga6ff5ae2014-08-27 15:26:27 +0800636 unsigned int (*get_max_timeout_count)(struct sdhci_host *host);
Aisheng Dongb45e6682014-08-27 15:26:29 +0800637 void (*set_timeout)(struct sdhci_host *host,
638 struct mmc_command *cmd);
Russell King2317f562014-04-25 12:57:07 +0100639 void (*set_bus_width)(struct sdhci_host *host, int width);
Philip Rakity643a81f2010-09-23 08:24:32 -0700640 void (*platform_send_init_74_clocks)(struct sdhci_host *host,
641 u8 power_mode);
Wolfram Sang2dfb5792010-10-15 12:21:01 +0200642 unsigned int (*get_ro)(struct sdhci_host *host);
Russell King03231f92014-04-25 12:57:12 +0100643 void (*reset)(struct sdhci_host *host, u8 mask);
Dong Aisheng45251812013-09-13 19:11:30 +0800644 int (*platform_execute_tuning)(struct sdhci_host *host, u32 opcode);
Russell King13e64502014-04-25 12:59:20 +0100645 void (*set_uhs_signaling)(struct sdhci_host *host, unsigned int uhs);
Adrian Hunter20758b62011-08-29 16:42:12 +0300646 void (*hw_reset)(struct sdhci_host *host);
Haijun Zhanga4071fb2012-12-04 10:41:28 +0800647 void (*adma_workaround)(struct sdhci_host *host, u32 intmask);
Asutosh Das648f9d12013-01-10 21:11:04 +0530648 unsigned int (*get_max_segments)(void);
Sahitya Tummala1f52eaa2013-03-20 19:24:01 +0530649#define REQ_BUS_OFF (1 << 0)
650#define REQ_BUS_ON (1 << 1)
651#define REQ_IO_LOW (1 << 2)
652#define REQ_IO_HIGH (1 << 3)
Christian Daudt722e1282013-06-20 14:26:36 -0700653 void (*card_event)(struct sdhci_host *host);
Sahitya Tummala8a3e8182013-03-10 14:12:52 +0530654 void (*platform_bus_voting)(struct sdhci_host *host, u32 enable);
Venkat Gopalakrishnan7944a372012-09-11 16:13:31 -0700655 void (*toggle_cdr)(struct sdhci_host *host, bool enable);
Sahitya Tummala1f52eaa2013-03-20 19:24:01 +0530656 void (*check_power_status)(struct sdhci_host *host, u32 req_type);
Asutosh Dasb58499d2013-07-30 19:07:29 +0530657 int (*config_auto_tuning_cmd)(struct sdhci_host *host,
658 bool enable,
659 u32 type);
Asutosh Das30ec5992013-11-08 12:31:48 +0530660 int (*enable_controller_clock)(struct sdhci_host *host);
Sahitya Tummala91d315e2013-08-02 09:17:54 +0530661 void (*dump_vendor_regs)(struct sdhci_host *host);
Vincent Yang9d967a62015-01-20 16:05:15 +0800662 void (*voltage_switch)(struct sdhci_host *host);
Adrian Huntercb849642015-02-06 14:12:59 +0200663 int (*select_drive_strength)(struct sdhci_host *host,
664 struct mmc_card *card,
665 unsigned int max_dtr, int host_drv,
666 int card_drv, int *drv_type);
Pierre Ossmand129bce2006-03-24 03:18:17 -0800667};
Pierre Ossmanb8c86fc2008-03-18 17:35:49 +0100668
Anton Vorontsov4e4141a2009-03-17 00:13:46 +0300669#ifdef CONFIG_MMC_SDHCI_IO_ACCESSORS
670
671static inline void sdhci_writel(struct sdhci_host *host, u32 val, int reg)
672{
Matt Flemingdc297c92010-05-26 14:42:03 -0700673 if (unlikely(host->ops->write_l))
674 host->ops->write_l(host, val, reg);
Anton Vorontsov4e4141a2009-03-17 00:13:46 +0300675 else
676 writel(val, host->ioaddr + reg);
677}
678
679static inline void sdhci_writew(struct sdhci_host *host, u16 val, int reg)
680{
Matt Flemingdc297c92010-05-26 14:42:03 -0700681 if (unlikely(host->ops->write_w))
682 host->ops->write_w(host, val, reg);
Anton Vorontsov4e4141a2009-03-17 00:13:46 +0300683 else
684 writew(val, host->ioaddr + reg);
685}
686
687static inline void sdhci_writeb(struct sdhci_host *host, u8 val, int reg)
688{
Matt Flemingdc297c92010-05-26 14:42:03 -0700689 if (unlikely(host->ops->write_b))
690 host->ops->write_b(host, val, reg);
Anton Vorontsov4e4141a2009-03-17 00:13:46 +0300691 else
692 writeb(val, host->ioaddr + reg);
693}
694
695static inline u32 sdhci_readl(struct sdhci_host *host, int reg)
696{
Matt Flemingdc297c92010-05-26 14:42:03 -0700697 if (unlikely(host->ops->read_l))
698 return host->ops->read_l(host, reg);
Anton Vorontsov4e4141a2009-03-17 00:13:46 +0300699 else
700 return readl(host->ioaddr + reg);
701}
702
703static inline u16 sdhci_readw(struct sdhci_host *host, int reg)
704{
Matt Flemingdc297c92010-05-26 14:42:03 -0700705 if (unlikely(host->ops->read_w))
706 return host->ops->read_w(host, reg);
Anton Vorontsov4e4141a2009-03-17 00:13:46 +0300707 else
708 return readw(host->ioaddr + reg);
709}
710
711static inline u8 sdhci_readb(struct sdhci_host *host, int reg)
712{
Matt Flemingdc297c92010-05-26 14:42:03 -0700713 if (unlikely(host->ops->read_b))
714 return host->ops->read_b(host, reg);
Anton Vorontsov4e4141a2009-03-17 00:13:46 +0300715 else
716 return readb(host->ioaddr + reg);
717}
718
719#else
720
721static inline void sdhci_writel(struct sdhci_host *host, u32 val, int reg)
722{
723 writel(val, host->ioaddr + reg);
724}
725
726static inline void sdhci_writew(struct sdhci_host *host, u16 val, int reg)
727{
728 writew(val, host->ioaddr + reg);
729}
730
731static inline void sdhci_writeb(struct sdhci_host *host, u8 val, int reg)
732{
733 writeb(val, host->ioaddr + reg);
734}
735
736static inline u32 sdhci_readl(struct sdhci_host *host, int reg)
737{
738 return readl(host->ioaddr + reg);
739}
740
741static inline u16 sdhci_readw(struct sdhci_host *host, int reg)
742{
743 return readw(host->ioaddr + reg);
744}
745
746static inline u8 sdhci_readb(struct sdhci_host *host, int reg)
747{
748 return readb(host->ioaddr + reg);
749}
750
751#endif /* CONFIG_MMC_SDHCI_IO_ACCESSORS */
Pierre Ossmanb8c86fc2008-03-18 17:35:49 +0100752
753extern struct sdhci_host *sdhci_alloc_host(struct device *dev,
754 size_t priv_size);
755extern void sdhci_free_host(struct sdhci_host *host);
756
757static inline void *sdhci_priv(struct sdhci_host *host)
758{
759 return (void *)host->private;
760}
761
Marek Szyprowski17866e12010-08-10 18:01:58 -0700762extern void sdhci_card_detect(struct sdhci_host *host);
Adrian Hunter6132a3b2016-06-29 16:24:18 +0300763extern void __sdhci_read_caps(struct sdhci_host *host, u16 *ver, u32 *caps,
764 u32 *caps1);
Adrian Hunter52f53362016-06-29 16:24:15 +0300765extern int sdhci_setup_host(struct sdhci_host *host);
766extern int __sdhci_add_host(struct sdhci_host *host);
Pierre Ossmanb8c86fc2008-03-18 17:35:49 +0100767extern int sdhci_add_host(struct sdhci_host *host);
Pierre Ossman1e728592008-04-16 19:13:13 +0200768extern void sdhci_remove_host(struct sdhci_host *host, int dead);
Dong Aishengc0e551292013-09-13 19:11:31 +0800769extern void sdhci_send_command(struct sdhci_host *host,
770 struct mmc_command *cmd);
Pierre Ossmanb8c86fc2008-03-18 17:35:49 +0100771
Adrian Hunter6132a3b2016-06-29 16:24:18 +0300772static inline void sdhci_read_caps(struct sdhci_host *host)
773{
774 __sdhci_read_caps(host, NULL, NULL, NULL);
775}
776
Russell Kingbe138552014-04-25 12:55:56 +0100777static inline bool sdhci_sdio_irq_enabled(struct sdhci_host *host)
778{
779 return !!(host->flags & SDHCI_SDIO_IRQ_ENABLED);
780}
781
Ludovic Desrochesfb9ee042016-04-07 11:13:08 +0200782u16 sdhci_calc_clk(struct sdhci_host *host, unsigned int clock,
783 unsigned int *actual_clock);
Russell King17710592014-04-25 12:58:55 +0100784void sdhci_set_clock(struct sdhci_host *host, unsigned int clock);
Adrian Hunter1dceb042016-03-29 12:45:43 +0300785void sdhci_set_power(struct sdhci_host *host, unsigned char mode,
786 unsigned short vdd);
Adrian Hunter606d3132016-10-05 12:11:22 +0300787void sdhci_set_power_noreg(struct sdhci_host *host, unsigned char mode,
788 unsigned short vdd);
Russell King2317f562014-04-25 12:57:07 +0100789void sdhci_set_bus_width(struct sdhci_host *host, int width);
Russell King03231f92014-04-25 12:57:12 +0100790void sdhci_reset(struct sdhci_host *host, u8 mask);
Russell King96d7b782014-04-25 12:59:26 +0100791void sdhci_set_uhs_signaling(struct sdhci_host *host, unsigned timing);
Russell King2317f562014-04-25 12:57:07 +0100792
Pierre Ossmanb8c86fc2008-03-18 17:35:49 +0100793#ifdef CONFIG_PM
Manuel Lauss29495aa2011-11-03 11:09:45 +0100794extern int sdhci_suspend_host(struct sdhci_host *host);
Pierre Ossmanb8c86fc2008-03-18 17:35:49 +0100795extern int sdhci_resume_host(struct sdhci_host *host);
Daniel Drake5f619702010-11-04 22:20:39 +0000796extern void sdhci_enable_irq_wakeups(struct sdhci_host *host);
Adrian Hunter66fd8ad2011-10-03 15:33:34 +0300797extern int sdhci_runtime_suspend_host(struct sdhci_host *host);
798extern int sdhci_runtime_resume_host(struct sdhci_host *host);
799#endif
800
Giuseppe Cavallaro1978fda2010-09-28 10:41:29 +0200801#endif /* __SDHCI_HW_H */