blob: 9da5ecb41f0b79441ec118d56a68bac04102798a [file] [log] [blame]
Linus Torvalds1da177e2005-04-16 15:20:36 -07001/*
2 * File: msi.c
3 * Purpose: PCI Message Signaled Interrupt (MSI)
4 *
5 * Copyright (C) 2003-2004 Intel
6 * Copyright (C) Tom Long Nguyen (tom.l.nguyen@intel.com)
Christoph Hellwigaff17162016-07-12 18:20:17 +09007 * Copyright (C) 2016 Christoph Hellwig.
Linus Torvalds1da177e2005-04-16 15:20:36 -07008 */
9
Eric W. Biederman1ce03372006-10-04 02:16:41 -070010#include <linux/err.h>
Linus Torvalds1da177e2005-04-16 15:20:36 -070011#include <linux/mm.h>
12#include <linux/irq.h>
13#include <linux/interrupt.h>
Paul Gortmaker363c75d2011-05-27 09:37:25 -040014#include <linux/export.h>
Linus Torvalds1da177e2005-04-16 15:20:36 -070015#include <linux/ioport.h>
Linus Torvalds1da177e2005-04-16 15:20:36 -070016#include <linux/pci.h>
17#include <linux/proc_fs.h>
Eric W. Biederman3b7d1922006-10-04 02:16:59 -070018#include <linux/msi.h>
Dan Williams4fdadeb2007-04-26 18:21:38 -070019#include <linux/smp.h>
Hidetoshi Seto500559a2009-08-10 10:14:15 +090020#include <linux/errno.h>
21#include <linux/io.h>
Tejun Heo5a0e3ad2010-03-24 17:04:11 +090022#include <linux/slab.h>
Jiang Liu3878eae2014-11-11 21:02:18 +080023#include <linux/irqdomain.h>
David Daneyb6eec9b2015-10-08 15:10:49 -070024#include <linux/of_irq.h>
Linus Torvalds1da177e2005-04-16 15:20:36 -070025
26#include "pci.h"
Linus Torvalds1da177e2005-04-16 15:20:36 -070027
Linus Torvalds1da177e2005-04-16 15:20:36 -070028static int pci_msi_enable = 1;
Yijing Wang38737d82014-10-27 10:44:36 +080029int pci_msi_ignore_mask;
Linus Torvalds1da177e2005-04-16 15:20:36 -070030
Bjorn Helgaas527eee22013-04-17 17:44:48 -060031#define msix_table_size(flags) ((flags & PCI_MSIX_FLAGS_QSIZE) + 1)
32
Jiang Liu8e047ad2014-11-15 22:24:07 +080033#ifdef CONFIG_PCI_MSI_IRQ_DOMAIN
34static struct irq_domain *pci_msi_default_domain;
35static DEFINE_MUTEX(pci_msi_domain_lock);
36
37struct irq_domain * __weak arch_get_pci_msi_domain(struct pci_dev *dev)
38{
39 return pci_msi_default_domain;
40}
41
Marc Zyngier020c3122014-11-15 10:49:12 +000042static struct irq_domain *pci_msi_get_domain(struct pci_dev *dev)
43{
Marc Zyngierd8a1cb72015-07-28 14:46:14 +010044 struct irq_domain *domain;
Marc Zyngier020c3122014-11-15 10:49:12 +000045
Marc Zyngierd8a1cb72015-07-28 14:46:14 +010046 domain = dev_get_msi_domain(&dev->dev);
47 if (domain)
48 return domain;
Marc Zyngier020c3122014-11-15 10:49:12 +000049
Marc Zyngierd8a1cb72015-07-28 14:46:14 +010050 return arch_get_pci_msi_domain(dev);
Marc Zyngier020c3122014-11-15 10:49:12 +000051}
52
Jiang Liu8e047ad2014-11-15 22:24:07 +080053static int pci_msi_setup_msi_irqs(struct pci_dev *dev, int nvec, int type)
54{
55 struct irq_domain *domain;
56
Marc Zyngier020c3122014-11-15 10:49:12 +000057 domain = pci_msi_get_domain(dev);
Marc Zyngier3845d292015-12-04 10:28:14 -060058 if (domain && irq_domain_is_hierarchy(domain))
Jiang Liu8e047ad2014-11-15 22:24:07 +080059 return pci_msi_domain_alloc_irqs(domain, dev, nvec, type);
60
61 return arch_setup_msi_irqs(dev, nvec, type);
62}
63
64static void pci_msi_teardown_msi_irqs(struct pci_dev *dev)
65{
66 struct irq_domain *domain;
67
Marc Zyngier020c3122014-11-15 10:49:12 +000068 domain = pci_msi_get_domain(dev);
Marc Zyngier3845d292015-12-04 10:28:14 -060069 if (domain && irq_domain_is_hierarchy(domain))
Jiang Liu8e047ad2014-11-15 22:24:07 +080070 pci_msi_domain_free_irqs(domain, dev);
71 else
72 arch_teardown_msi_irqs(dev);
73}
74#else
75#define pci_msi_setup_msi_irqs arch_setup_msi_irqs
76#define pci_msi_teardown_msi_irqs arch_teardown_msi_irqs
77#endif
Bjorn Helgaas527eee22013-04-17 17:44:48 -060078
Adrian Bunk6a9e7f22007-12-11 23:19:41 +010079/* Arch hooks */
80
Thomas Petazzoni4287d822013-08-09 22:27:06 +020081int __weak arch_setup_msi_irq(struct pci_dev *dev, struct msi_desc *desc)
82{
Lorenzo Pieralisi2291ec02015-08-03 22:04:06 -050083 struct msi_controller *chip = dev->bus->msi;
Thierry Reding0cbdcfc2013-08-09 22:27:08 +020084 int err;
85
86 if (!chip || !chip->setup_irq)
87 return -EINVAL;
88
89 err = chip->setup_irq(chip, dev, desc);
90 if (err < 0)
91 return err;
92
93 irq_set_chip_data(desc->irq, chip);
94
95 return 0;
Thomas Petazzoni4287d822013-08-09 22:27:06 +020096}
97
98void __weak arch_teardown_msi_irq(unsigned int irq)
99{
Yijing Wangc2791b82014-11-11 17:45:45 -0700100 struct msi_controller *chip = irq_get_chip_data(irq);
Thierry Reding0cbdcfc2013-08-09 22:27:08 +0200101
102 if (!chip || !chip->teardown_irq)
103 return;
104
105 chip->teardown_irq(chip, irq);
Thomas Petazzoni4287d822013-08-09 22:27:06 +0200106}
107
Thomas Petazzoni4287d822013-08-09 22:27:06 +0200108int __weak arch_setup_msi_irqs(struct pci_dev *dev, int nvec, int type)
Adrian Bunk6a9e7f22007-12-11 23:19:41 +0100109{
Lucas Stach339e5b42015-09-18 13:58:34 -0500110 struct msi_controller *chip = dev->bus->msi;
Adrian Bunk6a9e7f22007-12-11 23:19:41 +0100111 struct msi_desc *entry;
112 int ret;
113
Lucas Stach339e5b42015-09-18 13:58:34 -0500114 if (chip && chip->setup_irqs)
115 return chip->setup_irqs(chip, dev, nvec, type);
Matthew Wilcox1c8d7b02009-03-17 08:54:10 -0400116 /*
117 * If an architecture wants to support multiple MSI, it needs to
118 * override arch_setup_msi_irqs()
119 */
120 if (type == PCI_CAP_ID_MSI && nvec > 1)
121 return 1;
122
Jiang Liu5004e982015-07-09 16:00:41 +0800123 for_each_pci_msi_entry(entry, dev) {
Adrian Bunk6a9e7f22007-12-11 23:19:41 +0100124 ret = arch_setup_msi_irq(dev, entry);
Michael Ellermanb5fbf532009-02-11 22:27:02 +1100125 if (ret < 0)
Adrian Bunk6a9e7f22007-12-11 23:19:41 +0100126 return ret;
Michael Ellermanb5fbf532009-02-11 22:27:02 +1100127 if (ret > 0)
128 return -ENOSPC;
Adrian Bunk6a9e7f22007-12-11 23:19:41 +0100129 }
130
131 return 0;
132}
133
Thomas Petazzoni4287d822013-08-09 22:27:06 +0200134/*
135 * We have a default implementation available as a separate non-weak
136 * function, as it is used by the Xen x86 PCI code
137 */
Thomas Gleixner1525bf02010-10-06 16:05:35 -0400138void default_teardown_msi_irqs(struct pci_dev *dev)
Adrian Bunk6a9e7f22007-12-11 23:19:41 +0100139{
Jiang Liu63a7b172014-11-06 22:20:32 +0800140 int i;
Adrian Bunk6a9e7f22007-12-11 23:19:41 +0100141 struct msi_desc *entry;
142
Jiang Liu5004e982015-07-09 16:00:41 +0800143 for_each_pci_msi_entry(entry, dev)
Jiang Liu63a7b172014-11-06 22:20:32 +0800144 if (entry->irq)
145 for (i = 0; i < entry->nvec_used; i++)
146 arch_teardown_msi_irq(entry->irq + i);
Adrian Bunk6a9e7f22007-12-11 23:19:41 +0100147}
148
Thomas Petazzoni4287d822013-08-09 22:27:06 +0200149void __weak arch_teardown_msi_irqs(struct pci_dev *dev)
150{
151 return default_teardown_msi_irqs(dev);
152}
Konrad Rzeszutek Wilk76ccc292011-12-16 17:38:18 -0500153
DuanZhenzhongac8344c2013-12-04 13:09:16 +0800154static void default_restore_msi_irq(struct pci_dev *dev, int irq)
Konrad Rzeszutek Wilk76ccc292011-12-16 17:38:18 -0500155{
156 struct msi_desc *entry;
157
158 entry = NULL;
159 if (dev->msix_enabled) {
Jiang Liu5004e982015-07-09 16:00:41 +0800160 for_each_pci_msi_entry(entry, dev) {
Konrad Rzeszutek Wilk76ccc292011-12-16 17:38:18 -0500161 if (irq == entry->irq)
162 break;
163 }
164 } else if (dev->msi_enabled) {
165 entry = irq_get_msi_desc(irq);
166 }
167
168 if (entry)
Jiang Liu83a18912014-11-09 23:10:34 +0800169 __pci_write_msi_msg(entry, &entry->msg);
Konrad Rzeszutek Wilk76ccc292011-12-16 17:38:18 -0500170}
Thomas Petazzoni4287d822013-08-09 22:27:06 +0200171
DuanZhenzhongac8344c2013-12-04 13:09:16 +0800172void __weak arch_restore_msi_irqs(struct pci_dev *dev)
Thomas Petazzoni4287d822013-08-09 22:27:06 +0200173{
DuanZhenzhongac8344c2013-12-04 13:09:16 +0800174 return default_restore_msi_irqs(dev);
Thomas Petazzoni4287d822013-08-09 22:27:06 +0200175}
Konrad Rzeszutek Wilk76ccc292011-12-16 17:38:18 -0500176
Matthew Wilcoxbffac3c2009-01-21 19:19:19 -0500177static inline __attribute_const__ u32 msi_mask(unsigned x)
178{
Matthew Wilcox0b49ec32009-02-08 20:27:47 -0700179 /* Don't shift by >= width of type */
180 if (x >= 5)
181 return 0xffffffff;
182 return (1 << (1 << x)) - 1;
Matthew Wilcoxbffac3c2009-01-21 19:19:19 -0500183}
184
Matthew Wilcoxce6fce42008-07-25 15:42:58 -0600185/*
186 * PCI 2.3 does not specify mask bits for each MSI interrupt. Attempting to
187 * mask all MSI interrupts by clearing the MSI enable bit does not work
188 * reliably as devices without an INTx disable bit will then generate a
189 * level IRQ which will never be cleared.
Matthew Wilcoxce6fce42008-07-25 15:42:58 -0600190 */
Thomas Gleixner23ed8d52014-11-23 11:55:58 +0100191u32 __pci_msi_desc_mask_irq(struct msi_desc *desc, u32 mask, u32 flag)
Linus Torvalds1da177e2005-04-16 15:20:36 -0700192{
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400193 u32 mask_bits = desc->masked;
Linus Torvalds1da177e2005-04-16 15:20:36 -0700194
Yijing Wang38737d82014-10-27 10:44:36 +0800195 if (pci_msi_ignore_mask || !desc->msi_attrib.maskbit)
Hidetoshi Seto12abb8b2009-06-24 12:08:09 +0900196 return 0;
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400197
198 mask_bits &= ~mask;
199 mask_bits |= flag;
Jiang Liue39758e2015-07-09 16:00:43 +0800200 pci_write_config_dword(msi_desc_to_pci_dev(desc), desc->mask_pos,
201 mask_bits);
Hidetoshi Seto12abb8b2009-06-24 12:08:09 +0900202
203 return mask_bits;
204}
205
206static void msi_mask_irq(struct msi_desc *desc, u32 mask, u32 flag)
207{
Thomas Gleixner23ed8d52014-11-23 11:55:58 +0100208 desc->masked = __pci_msi_desc_mask_irq(desc, mask, flag);
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400209}
210
Christoph Hellwig5eb6d662016-07-12 18:20:14 +0900211static void __iomem *pci_msix_desc_addr(struct msi_desc *desc)
212{
213 return desc->mask_base +
214 desc->msi_attrib.entry_nr * PCI_MSIX_ENTRY_SIZE;
215}
216
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400217/*
218 * This internal function does not flush PCI writes to the device.
219 * All users must ensure that they read from the device before either
220 * assuming that the device state is up to date, or returning out of this
221 * file. This saves a few milliseconds when initialising devices with lots
222 * of MSI-X interrupts.
223 */
Thomas Gleixner23ed8d52014-11-23 11:55:58 +0100224u32 __pci_msix_desc_mask_irq(struct msi_desc *desc, u32 flag)
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400225{
226 u32 mask_bits = desc->masked;
Yijing Wang38737d82014-10-27 10:44:36 +0800227
228 if (pci_msi_ignore_mask)
229 return 0;
230
Sheng Yang8d805282010-11-11 15:46:55 +0800231 mask_bits &= ~PCI_MSIX_ENTRY_CTRL_MASKBIT;
232 if (flag)
233 mask_bits |= PCI_MSIX_ENTRY_CTRL_MASKBIT;
Christoph Hellwig5eb6d662016-07-12 18:20:14 +0900234 writel(mask_bits, pci_msix_desc_addr(desc) + PCI_MSIX_ENTRY_VECTOR_CTRL);
Hidetoshi Seto12abb8b2009-06-24 12:08:09 +0900235
236 return mask_bits;
237}
238
239static void msix_mask_irq(struct msi_desc *desc, u32 flag)
240{
Thomas Gleixner23ed8d52014-11-23 11:55:58 +0100241 desc->masked = __pci_msix_desc_mask_irq(desc, flag);
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400242}
243
Thomas Gleixner1c9db522010-09-28 16:46:51 +0200244static void msi_set_mask_bit(struct irq_data *data, u32 flag)
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400245{
Jiang Liuc391f262015-06-01 16:05:41 +0800246 struct msi_desc *desc = irq_data_get_msi_desc(data);
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400247
248 if (desc->msi_attrib.is_msix) {
249 msix_mask_irq(desc, flag);
250 readl(desc->mask_base); /* Flush write to device */
Matthew Wilcox24d27552009-03-17 08:54:06 -0400251 } else {
Yijing Wanga281b782014-07-08 10:08:55 +0800252 unsigned offset = data->irq - desc->irq;
Matthew Wilcox1c8d7b02009-03-17 08:54:10 -0400253 msi_mask_irq(desc, 1 << offset, flag << offset);
Linus Torvalds1da177e2005-04-16 15:20:36 -0700254 }
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400255}
256
Thomas Gleixner23ed8d52014-11-23 11:55:58 +0100257/**
258 * pci_msi_mask_irq - Generic irq chip callback to mask PCI/MSI interrupts
259 * @data: pointer to irqdata associated to that interrupt
260 */
261void pci_msi_mask_irq(struct irq_data *data)
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400262{
Thomas Gleixner1c9db522010-09-28 16:46:51 +0200263 msi_set_mask_bit(data, 1);
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400264}
Jake Oshinsa4289dc2015-12-10 17:52:59 +0000265EXPORT_SYMBOL_GPL(pci_msi_mask_irq);
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400266
Thomas Gleixner23ed8d52014-11-23 11:55:58 +0100267/**
268 * pci_msi_unmask_irq - Generic irq chip callback to unmask PCI/MSI interrupts
269 * @data: pointer to irqdata associated to that interrupt
270 */
271void pci_msi_unmask_irq(struct irq_data *data)
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400272{
Thomas Gleixner1c9db522010-09-28 16:46:51 +0200273 msi_set_mask_bit(data, 0);
Linus Torvalds1da177e2005-04-16 15:20:36 -0700274}
Jake Oshinsa4289dc2015-12-10 17:52:59 +0000275EXPORT_SYMBOL_GPL(pci_msi_unmask_irq);
Linus Torvalds1da177e2005-04-16 15:20:36 -0700276
DuanZhenzhongac8344c2013-12-04 13:09:16 +0800277void default_restore_msi_irqs(struct pci_dev *dev)
278{
279 struct msi_desc *entry;
280
Jiang Liu5004e982015-07-09 16:00:41 +0800281 for_each_pci_msi_entry(entry, dev)
DuanZhenzhongac8344c2013-12-04 13:09:16 +0800282 default_restore_msi_irq(dev, entry->irq);
DuanZhenzhongac8344c2013-12-04 13:09:16 +0800283}
284
Jiang Liu891d4a42014-11-09 23:10:33 +0800285void __pci_read_msi_msg(struct msi_desc *entry, struct msi_msg *msg)
Eric W. Biederman0366f8f2006-10-04 02:16:33 -0700286{
Jiang Liue39758e2015-07-09 16:00:43 +0800287 struct pci_dev *dev = msi_desc_to_pci_dev(entry);
288
289 BUG_ON(dev->current_state != PCI_D0);
Eric W. Biederman0366f8f2006-10-04 02:16:33 -0700290
Ben Hutchings30da5522010-07-23 14:56:28 +0100291 if (entry->msi_attrib.is_msix) {
Christoph Hellwig5eb6d662016-07-12 18:20:14 +0900292 void __iomem *base = pci_msix_desc_addr(entry);
Ben Hutchings30da5522010-07-23 14:56:28 +0100293
294 msg->address_lo = readl(base + PCI_MSIX_ENTRY_LOWER_ADDR);
295 msg->address_hi = readl(base + PCI_MSIX_ENTRY_UPPER_ADDR);
296 msg->data = readl(base + PCI_MSIX_ENTRY_DATA);
297 } else {
Bjorn Helgaasf5322162013-04-17 17:34:36 -0600298 int pos = dev->msi_cap;
Ben Hutchings30da5522010-07-23 14:56:28 +0100299 u16 data;
300
Bjorn Helgaas9925ad02013-04-17 17:39:57 -0600301 pci_read_config_dword(dev, pos + PCI_MSI_ADDRESS_LO,
302 &msg->address_lo);
Ben Hutchings30da5522010-07-23 14:56:28 +0100303 if (entry->msi_attrib.is_64) {
Bjorn Helgaas9925ad02013-04-17 17:39:57 -0600304 pci_read_config_dword(dev, pos + PCI_MSI_ADDRESS_HI,
305 &msg->address_hi);
Bjorn Helgaas2f221342013-04-17 17:41:13 -0600306 pci_read_config_word(dev, pos + PCI_MSI_DATA_64, &data);
Ben Hutchings30da5522010-07-23 14:56:28 +0100307 } else {
308 msg->address_hi = 0;
Bjorn Helgaas2f221342013-04-17 17:41:13 -0600309 pci_read_config_word(dev, pos + PCI_MSI_DATA_32, &data);
Ben Hutchings30da5522010-07-23 14:56:28 +0100310 }
311 msg->data = data;
312 }
Eric W. Biederman0366f8f2006-10-04 02:16:33 -0700313}
314
Jiang Liu83a18912014-11-09 23:10:34 +0800315void __pci_write_msi_msg(struct msi_desc *entry, struct msi_msg *msg)
Yinghai Lu3145e942008-12-05 18:58:34 -0800316{
Jiang Liue39758e2015-07-09 16:00:43 +0800317 struct pci_dev *dev = msi_desc_to_pci_dev(entry);
318
319 if (dev->current_state != PCI_D0) {
Ben Hutchingsfcd097f2010-06-17 20:16:36 +0100320 /* Don't touch the hardware now */
321 } else if (entry->msi_attrib.is_msix) {
Christoph Hellwig5eb6d662016-07-12 18:20:14 +0900322 void __iomem *base = pci_msix_desc_addr(entry);
Matthew Wilcox24d27552009-03-17 08:54:06 -0400323
Hidetoshi Seto2c21fd42009-06-23 17:40:04 +0900324 writel(msg->address_lo, base + PCI_MSIX_ENTRY_LOWER_ADDR);
325 writel(msg->address_hi, base + PCI_MSIX_ENTRY_UPPER_ADDR);
326 writel(msg->data, base + PCI_MSIX_ENTRY_DATA);
Matthew Wilcox24d27552009-03-17 08:54:06 -0400327 } else {
Bjorn Helgaasf5322162013-04-17 17:34:36 -0600328 int pos = dev->msi_cap;
Matthew Wilcox1c8d7b02009-03-17 08:54:10 -0400329 u16 msgctl;
330
Bjorn Helgaasf84ecd22013-04-17 17:38:32 -0600331 pci_read_config_word(dev, pos + PCI_MSI_FLAGS, &msgctl);
Matthew Wilcox1c8d7b02009-03-17 08:54:10 -0400332 msgctl &= ~PCI_MSI_FLAGS_QSIZE;
333 msgctl |= entry->msi_attrib.multiple << 4;
Bjorn Helgaasf84ecd22013-04-17 17:38:32 -0600334 pci_write_config_word(dev, pos + PCI_MSI_FLAGS, msgctl);
Eric W. Biederman0366f8f2006-10-04 02:16:33 -0700335
Bjorn Helgaas9925ad02013-04-17 17:39:57 -0600336 pci_write_config_dword(dev, pos + PCI_MSI_ADDRESS_LO,
337 msg->address_lo);
Eric W. Biederman0366f8f2006-10-04 02:16:33 -0700338 if (entry->msi_attrib.is_64) {
Bjorn Helgaas9925ad02013-04-17 17:39:57 -0600339 pci_write_config_dword(dev, pos + PCI_MSI_ADDRESS_HI,
340 msg->address_hi);
Bjorn Helgaas2f221342013-04-17 17:41:13 -0600341 pci_write_config_word(dev, pos + PCI_MSI_DATA_64,
342 msg->data);
Eric W. Biederman0366f8f2006-10-04 02:16:33 -0700343 } else {
Bjorn Helgaas2f221342013-04-17 17:41:13 -0600344 pci_write_config_word(dev, pos + PCI_MSI_DATA_32,
345 msg->data);
Eric W. Biederman0366f8f2006-10-04 02:16:33 -0700346 }
Eric W. Biederman0366f8f2006-10-04 02:16:33 -0700347 }
Eric W. Biederman392ee1e2007-03-08 13:04:57 -0700348 entry->msg = *msg;
Eric W. Biederman0366f8f2006-10-04 02:16:33 -0700349}
350
Jiang Liu83a18912014-11-09 23:10:34 +0800351void pci_write_msi_msg(unsigned int irq, struct msi_msg *msg)
Yinghai Lu3145e942008-12-05 18:58:34 -0800352{
Thomas Gleixnerdced35a2011-03-28 17:49:12 +0200353 struct msi_desc *entry = irq_get_msi_desc(irq);
Yinghai Lu3145e942008-12-05 18:58:34 -0800354
Jiang Liu83a18912014-11-09 23:10:34 +0800355 __pci_write_msi_msg(entry, msg);
Yinghai Lu3145e942008-12-05 18:58:34 -0800356}
Jiang Liu83a18912014-11-09 23:10:34 +0800357EXPORT_SYMBOL_GPL(pci_write_msi_msg);
Yinghai Lu3145e942008-12-05 18:58:34 -0800358
Hidetoshi Setof56e4482009-08-06 11:32:51 +0900359static void free_msi_irqs(struct pci_dev *dev)
360{
Jiang Liu5004e982015-07-09 16:00:41 +0800361 struct list_head *msi_list = dev_to_msi_list(&dev->dev);
Hidetoshi Setof56e4482009-08-06 11:32:51 +0900362 struct msi_desc *entry, *tmp;
Greg Kroah-Hartman1c51b502013-12-19 12:30:17 -0800363 struct attribute **msi_attrs;
364 struct device_attribute *dev_attr;
Jiang Liu63a7b172014-11-06 22:20:32 +0800365 int i, count = 0;
Hidetoshi Setof56e4482009-08-06 11:32:51 +0900366
Jiang Liu5004e982015-07-09 16:00:41 +0800367 for_each_pci_msi_entry(entry, dev)
Jiang Liu63a7b172014-11-06 22:20:32 +0800368 if (entry->irq)
369 for (i = 0; i < entry->nvec_used; i++)
370 BUG_ON(irq_has_action(entry->irq + i));
Hidetoshi Setof56e4482009-08-06 11:32:51 +0900371
Jiang Liu8e047ad2014-11-15 22:24:07 +0800372 pci_msi_teardown_msi_irqs(dev);
Hidetoshi Setof56e4482009-08-06 11:32:51 +0900373
Jiang Liu5004e982015-07-09 16:00:41 +0800374 list_for_each_entry_safe(entry, tmp, msi_list, list) {
Hidetoshi Setof56e4482009-08-06 11:32:51 +0900375 if (entry->msi_attrib.is_msix) {
Jiang Liu5004e982015-07-09 16:00:41 +0800376 if (list_is_last(&entry->list, msi_list))
Hidetoshi Setof56e4482009-08-06 11:32:51 +0900377 iounmap(entry->mask_base);
378 }
Neil Horman424eb392012-01-03 10:29:54 -0500379
Hidetoshi Setof56e4482009-08-06 11:32:51 +0900380 list_del(&entry->list);
381 kfree(entry);
382 }
Greg Kroah-Hartman1c51b502013-12-19 12:30:17 -0800383
384 if (dev->msi_irq_groups) {
385 sysfs_remove_groups(&dev->dev.kobj, dev->msi_irq_groups);
386 msi_attrs = dev->msi_irq_groups[0]->attrs;
Alexei Starovoitovb701c0b2014-06-04 15:49:50 -0700387 while (msi_attrs[count]) {
Greg Kroah-Hartman1c51b502013-12-19 12:30:17 -0800388 dev_attr = container_of(msi_attrs[count],
389 struct device_attribute, attr);
390 kfree(dev_attr->attr.name);
391 kfree(dev_attr);
392 ++count;
393 }
394 kfree(msi_attrs);
395 kfree(dev->msi_irq_groups[0]);
396 kfree(dev->msi_irq_groups);
397 dev->msi_irq_groups = NULL;
398 }
Hidetoshi Setof56e4482009-08-06 11:32:51 +0900399}
Satoru Takeuchic54c1872007-01-18 13:50:05 +0900400
David Millerba698ad2007-10-25 01:16:30 -0700401static void pci_intx_for_msi(struct pci_dev *dev, int enable)
402{
403 if (!(dev->dev_flags & PCI_DEV_FLAGS_MSI_INTX_DISABLE_BUG))
404 pci_intx(dev, enable);
405}
406
Michael Ellerman8fed4b62007-01-25 19:34:08 +1100407static void __pci_restore_msi_state(struct pci_dev *dev)
Shaohua Li41017f02006-02-08 17:11:38 +0800408{
Shaohua Li41017f02006-02-08 17:11:38 +0800409 u16 control;
Eric W. Biederman392ee1e2007-03-08 13:04:57 -0700410 struct msi_desc *entry;
Shaohua Li41017f02006-02-08 17:11:38 +0800411
Eric W. Biedermanb1cbf4e2007-03-05 00:30:10 -0800412 if (!dev->msi_enabled)
413 return;
414
Thomas Gleixnerdced35a2011-03-28 17:49:12 +0200415 entry = irq_get_msi_desc(dev->irq);
Shaohua Li41017f02006-02-08 17:11:38 +0800416
David Millerba698ad2007-10-25 01:16:30 -0700417 pci_intx_for_msi(dev, 0);
Michael S. Tsirkin61b64ab2015-05-07 09:52:21 -0500418 pci_msi_set_enable(dev, 0);
DuanZhenzhongac8344c2013-12-04 13:09:16 +0800419 arch_restore_msi_irqs(dev);
Eric W. Biederman392ee1e2007-03-08 13:04:57 -0700420
Bjorn Helgaasf5322162013-04-17 17:34:36 -0600421 pci_read_config_word(dev, dev->msi_cap + PCI_MSI_FLAGS, &control);
Yijing Wang31ea5d42014-06-19 16:30:30 +0800422 msi_mask_irq(entry, msi_mask(entry->msi_attrib.multi_cap),
423 entry->masked);
Jesse Barnesabad2ec2008-08-07 08:52:37 -0700424 control &= ~PCI_MSI_FLAGS_QSIZE;
Matthew Wilcox1c8d7b02009-03-17 08:54:10 -0400425 control |= (entry->msi_attrib.multiple << 4) | PCI_MSI_FLAGS_ENABLE;
Bjorn Helgaasf5322162013-04-17 17:34:36 -0600426 pci_write_config_word(dev, dev->msi_cap + PCI_MSI_FLAGS, control);
Michael Ellerman8fed4b62007-01-25 19:34:08 +1100427}
428
429static void __pci_restore_msix_state(struct pci_dev *dev)
Shaohua Li41017f02006-02-08 17:11:38 +0800430{
Shaohua Li41017f02006-02-08 17:11:38 +0800431 struct msi_desc *entry;
Shaohua Li41017f02006-02-08 17:11:38 +0800432
Eric W. Biedermanded86d82007-01-28 12:42:52 -0700433 if (!dev->msix_enabled)
434 return;
Jiang Liu5004e982015-07-09 16:00:41 +0800435 BUG_ON(list_empty(dev_to_msi_list(&dev->dev)));
Eric W. Biedermanded86d82007-01-28 12:42:52 -0700436
Shaohua Li41017f02006-02-08 17:11:38 +0800437 /* route the table */
David Millerba698ad2007-10-25 01:16:30 -0700438 pci_intx_for_msi(dev, 0);
Michael S. Tsirkin61b64ab2015-05-07 09:52:21 -0500439 pci_msix_clear_and_set_ctrl(dev, 0,
Yijing Wang66f0d0c2014-06-19 16:29:53 +0800440 PCI_MSIX_FLAGS_ENABLE | PCI_MSIX_FLAGS_MASKALL);
Shaohua Li41017f02006-02-08 17:11:38 +0800441
DuanZhenzhongac8344c2013-12-04 13:09:16 +0800442 arch_restore_msi_irqs(dev);
Jiang Liu5004e982015-07-09 16:00:41 +0800443 for_each_pci_msi_entry(entry, dev)
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400444 msix_mask_irq(entry, entry->masked);
Shaohua Li41017f02006-02-08 17:11:38 +0800445
Michael S. Tsirkin61b64ab2015-05-07 09:52:21 -0500446 pci_msix_clear_and_set_ctrl(dev, PCI_MSIX_FLAGS_MASKALL, 0);
Shaohua Li41017f02006-02-08 17:11:38 +0800447}
Michael Ellerman8fed4b62007-01-25 19:34:08 +1100448
449void pci_restore_msi_state(struct pci_dev *dev)
450{
451 __pci_restore_msi_state(dev);
452 __pci_restore_msix_state(dev);
453}
Linas Vepstas94688cf2007-11-07 15:43:59 -0600454EXPORT_SYMBOL_GPL(pci_restore_msi_state);
Shaohua Li41017f02006-02-08 17:11:38 +0800455
Greg Kroah-Hartman1c51b502013-12-19 12:30:17 -0800456static ssize_t msi_mode_show(struct device *dev, struct device_attribute *attr,
Neil Hormanda8d1c82011-10-06 14:08:18 -0400457 char *buf)
458{
Greg Kroah-Hartman1c51b502013-12-19 12:30:17 -0800459 struct msi_desc *entry;
460 unsigned long irq;
461 int retval;
462
463 retval = kstrtoul(attr->attr.name, 10, &irq);
464 if (retval)
465 return retval;
466
Yijing Wange11ece52014-07-08 10:09:19 +0800467 entry = irq_get_msi_desc(irq);
468 if (entry)
469 return sprintf(buf, "%s\n",
470 entry->msi_attrib.is_msix ? "msix" : "msi");
471
Greg Kroah-Hartman1c51b502013-12-19 12:30:17 -0800472 return -ENODEV;
Neil Hormanda8d1c82011-10-06 14:08:18 -0400473}
474
Neil Hormanda8d1c82011-10-06 14:08:18 -0400475static int populate_msi_sysfs(struct pci_dev *pdev)
476{
Greg Kroah-Hartman1c51b502013-12-19 12:30:17 -0800477 struct attribute **msi_attrs;
478 struct attribute *msi_attr;
479 struct device_attribute *msi_dev_attr;
480 struct attribute_group *msi_irq_group;
481 const struct attribute_group **msi_irq_groups;
Neil Hormanda8d1c82011-10-06 14:08:18 -0400482 struct msi_desc *entry;
Greg Kroah-Hartman1c51b502013-12-19 12:30:17 -0800483 int ret = -ENOMEM;
484 int num_msi = 0;
Neil Hormanda8d1c82011-10-06 14:08:18 -0400485 int count = 0;
Romain Bezuta8676062015-09-24 01:31:16 +0200486 int i;
Neil Hormanda8d1c82011-10-06 14:08:18 -0400487
Greg Kroah-Hartman1c51b502013-12-19 12:30:17 -0800488 /* Determine how many msi entries we have */
Jiang Liu5004e982015-07-09 16:00:41 +0800489 for_each_pci_msi_entry(entry, pdev)
Romain Bezuta8676062015-09-24 01:31:16 +0200490 num_msi += entry->nvec_used;
Greg Kroah-Hartman1c51b502013-12-19 12:30:17 -0800491 if (!num_msi)
492 return 0;
493
494 /* Dynamically create the MSI attributes for the PCI device */
495 msi_attrs = kzalloc(sizeof(void *) * (num_msi + 1), GFP_KERNEL);
496 if (!msi_attrs)
497 return -ENOMEM;
Jiang Liu5004e982015-07-09 16:00:41 +0800498 for_each_pci_msi_entry(entry, pdev) {
Romain Bezuta8676062015-09-24 01:31:16 +0200499 for (i = 0; i < entry->nvec_used; i++) {
500 msi_dev_attr = kzalloc(sizeof(*msi_dev_attr), GFP_KERNEL);
501 if (!msi_dev_attr)
502 goto error_attrs;
503 msi_attrs[count] = &msi_dev_attr->attr;
Greg Kroah-Hartman86bb4f62014-02-13 10:47:20 -0700504
Romain Bezuta8676062015-09-24 01:31:16 +0200505 sysfs_attr_init(&msi_dev_attr->attr);
506 msi_dev_attr->attr.name = kasprintf(GFP_KERNEL, "%d",
507 entry->irq + i);
508 if (!msi_dev_attr->attr.name)
509 goto error_attrs;
510 msi_dev_attr->attr.mode = S_IRUGO;
511 msi_dev_attr->show = msi_mode_show;
512 ++count;
513 }
Greg Kroah-Hartman1c51b502013-12-19 12:30:17 -0800514 }
515
516 msi_irq_group = kzalloc(sizeof(*msi_irq_group), GFP_KERNEL);
517 if (!msi_irq_group)
518 goto error_attrs;
519 msi_irq_group->name = "msi_irqs";
520 msi_irq_group->attrs = msi_attrs;
521
522 msi_irq_groups = kzalloc(sizeof(void *) * 2, GFP_KERNEL);
523 if (!msi_irq_groups)
524 goto error_irq_group;
525 msi_irq_groups[0] = msi_irq_group;
526
527 ret = sysfs_create_groups(&pdev->dev.kobj, msi_irq_groups);
528 if (ret)
529 goto error_irq_groups;
530 pdev->msi_irq_groups = msi_irq_groups;
Neil Hormanda8d1c82011-10-06 14:08:18 -0400531
532 return 0;
533
Greg Kroah-Hartman1c51b502013-12-19 12:30:17 -0800534error_irq_groups:
535 kfree(msi_irq_groups);
536error_irq_group:
537 kfree(msi_irq_group);
538error_attrs:
539 count = 0;
540 msi_attr = msi_attrs[count];
541 while (msi_attr) {
542 msi_dev_attr = container_of(msi_attr, struct device_attribute, attr);
543 kfree(msi_attr->name);
544 kfree(msi_dev_attr);
545 ++count;
546 msi_attr = msi_attrs[count];
Neil Hormanda8d1c82011-10-06 14:08:18 -0400547 }
Greg Kroah-Hartman29237752014-02-13 10:47:35 -0700548 kfree(msi_attrs);
Neil Hormanda8d1c82011-10-06 14:08:18 -0400549 return ret;
550}
551
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200552static struct msi_desc *
553msi_setup_entry(struct pci_dev *dev, int nvec, bool affinity)
Yijing Wangd873b4d2014-07-08 10:07:23 +0800554{
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200555 struct cpumask *masks = NULL;
Yijing Wangd873b4d2014-07-08 10:07:23 +0800556 struct msi_desc *entry;
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200557 u16 control;
558
559 if (affinity) {
560 masks = irq_create_affinity_masks(dev->irq_affinity, nvec);
561 if (!masks)
562 pr_err("Unable to allocate affinity masks, ignoring\n");
563 }
Yijing Wangd873b4d2014-07-08 10:07:23 +0800564
565 /* MSI Entry Initialization */
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200566 entry = alloc_msi_entry(&dev->dev, nvec, masks);
Yijing Wangd873b4d2014-07-08 10:07:23 +0800567 if (!entry)
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200568 goto out;
Yijing Wangd873b4d2014-07-08 10:07:23 +0800569
570 pci_read_config_word(dev, dev->msi_cap + PCI_MSI_FLAGS, &control);
571
572 entry->msi_attrib.is_msix = 0;
573 entry->msi_attrib.is_64 = !!(control & PCI_MSI_FLAGS_64BIT);
574 entry->msi_attrib.entry_nr = 0;
575 entry->msi_attrib.maskbit = !!(control & PCI_MSI_FLAGS_MASKBIT);
576 entry->msi_attrib.default_irq = dev->irq; /* Save IOAPIC IRQ */
Yijing Wangd873b4d2014-07-08 10:07:23 +0800577 entry->msi_attrib.multi_cap = (control & PCI_MSI_FLAGS_QMASK) >> 1;
Jiang Liu63a7b172014-11-06 22:20:32 +0800578 entry->msi_attrib.multiple = ilog2(__roundup_pow_of_two(nvec));
Yijing Wangd873b4d2014-07-08 10:07:23 +0800579
580 if (control & PCI_MSI_FLAGS_64BIT)
581 entry->mask_pos = dev->msi_cap + PCI_MSI_MASK_64;
582 else
583 entry->mask_pos = dev->msi_cap + PCI_MSI_MASK_32;
584
585 /* Save the initial mask status */
586 if (entry->msi_attrib.maskbit)
587 pci_read_config_dword(dev, entry->mask_pos, &entry->masked);
588
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200589out:
590 kfree(masks);
Yijing Wangd873b4d2014-07-08 10:07:23 +0800591 return entry;
592}
593
Benjamin Herrenschmidtf144d142014-10-03 15:13:24 +1000594static int msi_verify_entries(struct pci_dev *dev)
595{
596 struct msi_desc *entry;
597
Jiang Liu5004e982015-07-09 16:00:41 +0800598 for_each_pci_msi_entry(entry, dev) {
Benjamin Herrenschmidtf144d142014-10-03 15:13:24 +1000599 if (!dev->no_64bit_msi || !entry->msg.address_hi)
600 continue;
601 dev_err(&dev->dev, "Device has broken 64-bit MSI but arch"
602 " tried to assign one above 4G\n");
603 return -EIO;
604 }
605 return 0;
606}
607
Linus Torvalds1da177e2005-04-16 15:20:36 -0700608/**
609 * msi_capability_init - configure device's MSI capability structure
610 * @dev: pointer to the pci_dev data structure of MSI device function
Matthew Wilcox1c8d7b02009-03-17 08:54:10 -0400611 * @nvec: number of interrupts to allocate
Linus Torvalds1da177e2005-04-16 15:20:36 -0700612 *
Matthew Wilcox1c8d7b02009-03-17 08:54:10 -0400613 * Setup the MSI capability structure of the device with the requested
614 * number of interrupts. A return value of zero indicates the successful
615 * setup of an entry with the new MSI irq. A negative return value indicates
616 * an error, and a positive return value indicates the number of interrupts
617 * which could have been allocated.
618 */
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200619static int msi_capability_init(struct pci_dev *dev, int nvec, bool affinity)
Linus Torvalds1da177e2005-04-16 15:20:36 -0700620{
621 struct msi_desc *entry;
Gavin Shanf4651362013-04-04 16:54:32 +0000622 int ret;
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400623 unsigned mask;
Linus Torvalds1da177e2005-04-16 15:20:36 -0700624
Michael S. Tsirkin61b64ab2015-05-07 09:52:21 -0500625 pci_msi_set_enable(dev, 0); /* Disable MSI during set up */
Matthew Wilcox110828c2009-06-16 06:31:45 -0600626
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200627 entry = msi_setup_entry(dev, nvec, affinity);
Eric W. Biedermanf7feaca2007-01-28 12:56:37 -0700628 if (!entry)
629 return -ENOMEM;
Eric W. Biederman1ce03372006-10-04 02:16:41 -0700630
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400631 /* All MSIs are unmasked by default, Mask them all */
Yijing Wang31ea5d42014-06-19 16:30:30 +0800632 mask = msi_mask(entry->msi_attrib.multi_cap);
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400633 msi_mask_irq(entry, mask, mask);
634
Jiang Liu5004e982015-07-09 16:00:41 +0800635 list_add_tail(&entry->list, dev_to_msi_list(&dev->dev));
Michael Ellerman9c831332007-04-18 19:39:21 +1000636
Linus Torvalds1da177e2005-04-16 15:20:36 -0700637 /* Configure MSI capability structure */
Jiang Liu8e047ad2014-11-15 22:24:07 +0800638 ret = pci_msi_setup_msi_irqs(dev, nvec, PCI_CAP_ID_MSI);
Michael Ellerman7fe37302007-04-18 19:39:21 +1000639 if (ret) {
Hidetoshi Seto7ba19302009-06-23 17:39:27 +0900640 msi_mask_irq(entry, mask, ~mask);
Hidetoshi Setof56e4482009-08-06 11:32:51 +0900641 free_msi_irqs(dev);
Michael Ellerman7fe37302007-04-18 19:39:21 +1000642 return ret;
Mark Maulefd58e552006-04-10 21:17:48 -0500643 }
Eric W. Biedermanf7feaca2007-01-28 12:56:37 -0700644
Benjamin Herrenschmidtf144d142014-10-03 15:13:24 +1000645 ret = msi_verify_entries(dev);
646 if (ret) {
647 msi_mask_irq(entry, mask, ~mask);
648 free_msi_irqs(dev);
649 return ret;
650 }
651
Neil Hormanda8d1c82011-10-06 14:08:18 -0400652 ret = populate_msi_sysfs(dev);
653 if (ret) {
654 msi_mask_irq(entry, mask, ~mask);
655 free_msi_irqs(dev);
656 return ret;
657 }
658
Linus Torvalds1da177e2005-04-16 15:20:36 -0700659 /* Set MSI enabled bits */
David Millerba698ad2007-10-25 01:16:30 -0700660 pci_intx_for_msi(dev, 0);
Michael S. Tsirkin61b64ab2015-05-07 09:52:21 -0500661 pci_msi_set_enable(dev, 1);
Eric W. Biedermanb1cbf4e2007-03-05 00:30:10 -0800662 dev->msi_enabled = 1;
Linus Torvalds1da177e2005-04-16 15:20:36 -0700663
Jiang Liu5f226992015-07-30 14:00:08 -0500664 pcibios_free_irq(dev);
Michael Ellerman7fe37302007-04-18 19:39:21 +1000665 dev->irq = entry->irq;
Linus Torvalds1da177e2005-04-16 15:20:36 -0700666 return 0;
667}
668
Gavin Shan520fe9d2013-04-04 16:54:33 +0000669static void __iomem *msix_map_region(struct pci_dev *dev, unsigned nr_entries)
Hidetoshi Seto5a05a9d2009-08-06 11:34:34 +0900670{
Kenji Kaneshige4302e0f2010-06-17 10:42:44 +0900671 resource_size_t phys_addr;
Hidetoshi Seto5a05a9d2009-08-06 11:34:34 +0900672 u32 table_offset;
Yijing Wang6a878e52015-01-28 09:52:17 +0800673 unsigned long flags;
Hidetoshi Seto5a05a9d2009-08-06 11:34:34 +0900674 u8 bir;
675
Bjorn Helgaas909094c2013-04-17 17:43:40 -0600676 pci_read_config_dword(dev, dev->msix_cap + PCI_MSIX_TABLE,
677 &table_offset);
Bjorn Helgaas4d187602013-04-17 18:10:07 -0600678 bir = (u8)(table_offset & PCI_MSIX_TABLE_BIR);
Yijing Wang6a878e52015-01-28 09:52:17 +0800679 flags = pci_resource_flags(dev, bir);
680 if (!flags || (flags & IORESOURCE_UNSET))
681 return NULL;
682
Bjorn Helgaas4d187602013-04-17 18:10:07 -0600683 table_offset &= PCI_MSIX_TABLE_OFFSET;
Hidetoshi Seto5a05a9d2009-08-06 11:34:34 +0900684 phys_addr = pci_resource_start(dev, bir) + table_offset;
685
686 return ioremap_nocache(phys_addr, nr_entries * PCI_MSIX_ENTRY_SIZE);
687}
688
Gavin Shan520fe9d2013-04-04 16:54:33 +0000689static int msix_setup_entries(struct pci_dev *dev, void __iomem *base,
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200690 struct msix_entry *entries, int nvec,
691 bool affinity)
Hidetoshi Setod9d70702009-08-06 11:35:48 +0900692{
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200693 struct cpumask *curmsk, *masks = NULL;
Hidetoshi Setod9d70702009-08-06 11:35:48 +0900694 struct msi_desc *entry;
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200695 int ret, i;
Hidetoshi Setod9d70702009-08-06 11:35:48 +0900696
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200697 if (affinity) {
698 masks = irq_create_affinity_masks(dev->irq_affinity, nvec);
699 if (!masks)
700 pr_err("Unable to allocate affinity masks, ignoring\n");
701 }
Christoph Hellwig4ef33682016-07-12 18:20:18 +0900702
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200703 for (i = 0, curmsk = masks; i < nvec; i++) {
704 entry = alloc_msi_entry(&dev->dev, 1, curmsk);
Hidetoshi Setod9d70702009-08-06 11:35:48 +0900705 if (!entry) {
706 if (!i)
707 iounmap(base);
708 else
709 free_msi_irqs(dev);
710 /* No enough memory. Don't try again */
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200711 ret = -ENOMEM;
712 goto out;
Hidetoshi Setod9d70702009-08-06 11:35:48 +0900713 }
714
715 entry->msi_attrib.is_msix = 1;
716 entry->msi_attrib.is_64 = 1;
Christoph Hellwig3ac020e2016-07-12 18:20:16 +0900717 if (entries)
718 entry->msi_attrib.entry_nr = entries[i].entry;
719 else
720 entry->msi_attrib.entry_nr = i;
Hidetoshi Setod9d70702009-08-06 11:35:48 +0900721 entry->msi_attrib.default_irq = dev->irq;
Hidetoshi Setod9d70702009-08-06 11:35:48 +0900722 entry->mask_base = base;
723
Jiang Liu5004e982015-07-09 16:00:41 +0800724 list_add_tail(&entry->list, dev_to_msi_list(&dev->dev));
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200725 if (masks)
726 curmsk++;
Hidetoshi Setod9d70702009-08-06 11:35:48 +0900727 }
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200728 ret = 0;
729out:
730 kfree(masks);
Hidetoshi Setod9d70702009-08-06 11:35:48 +0900731 return 0;
732}
733
Hidetoshi Seto75cb3422009-08-06 11:35:10 +0900734static void msix_program_entries(struct pci_dev *dev,
Gavin Shan520fe9d2013-04-04 16:54:33 +0000735 struct msix_entry *entries)
Hidetoshi Seto75cb3422009-08-06 11:35:10 +0900736{
737 struct msi_desc *entry;
738 int i = 0;
739
Jiang Liu5004e982015-07-09 16:00:41 +0800740 for_each_pci_msi_entry(entry, dev) {
Christoph Hellwig3ac020e2016-07-12 18:20:16 +0900741 if (entries)
742 entries[i++].vector = entry->irq;
Christoph Hellwig12eb21d2016-07-12 18:20:15 +0900743 entry->masked = readl(pci_msix_desc_addr(entry) +
744 PCI_MSIX_ENTRY_VECTOR_CTRL);
Hidetoshi Seto75cb3422009-08-06 11:35:10 +0900745 msix_mask_irq(entry, 1);
Hidetoshi Seto75cb3422009-08-06 11:35:10 +0900746 }
747}
748
Linus Torvalds1da177e2005-04-16 15:20:36 -0700749/**
750 * msix_capability_init - configure device's MSI-X capability
751 * @dev: pointer to the pci_dev data structure of MSI-X device function
Randy Dunlap8f7020d2005-10-23 11:57:38 -0700752 * @entries: pointer to an array of struct msix_entry entries
753 * @nvec: number of @entries
Linus Torvalds1da177e2005-04-16 15:20:36 -0700754 *
Steven Coleeaae4b32005-05-03 18:38:30 -0600755 * Setup the MSI-X capability structure of device function with a
Eric W. Biederman1ce03372006-10-04 02:16:41 -0700756 * single MSI-X irq. A return of zero indicates the successful setup of
757 * requested MSI-X entries with allocated irqs or non-zero for otherwise.
Linus Torvalds1da177e2005-04-16 15:20:36 -0700758 **/
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200759static int msix_capability_init(struct pci_dev *dev, struct msix_entry *entries,
760 int nvec, bool affinity)
Linus Torvalds1da177e2005-04-16 15:20:36 -0700761{
Gavin Shan520fe9d2013-04-04 16:54:33 +0000762 int ret;
Hidetoshi Seto5a05a9d2009-08-06 11:34:34 +0900763 u16 control;
Linus Torvalds1da177e2005-04-16 15:20:36 -0700764 void __iomem *base;
765
Matthew Wilcoxf5982822009-06-18 19:15:59 -0700766 /* Ensure MSI-X is disabled while it is set up */
Michael S. Tsirkin61b64ab2015-05-07 09:52:21 -0500767 pci_msix_clear_and_set_ctrl(dev, PCI_MSIX_FLAGS_ENABLE, 0);
Matthew Wilcoxf5982822009-06-18 19:15:59 -0700768
Yijing Wang66f0d0c2014-06-19 16:29:53 +0800769 pci_read_config_word(dev, dev->msix_cap + PCI_MSIX_FLAGS, &control);
Linus Torvalds1da177e2005-04-16 15:20:36 -0700770 /* Request & Map MSI-X table region */
Bjorn Helgaas527eee22013-04-17 17:44:48 -0600771 base = msix_map_region(dev, msix_table_size(control));
Hidetoshi Seto5a05a9d2009-08-06 11:34:34 +0900772 if (!base)
Linus Torvalds1da177e2005-04-16 15:20:36 -0700773 return -ENOMEM;
774
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200775 ret = msix_setup_entries(dev, base, entries, nvec, affinity);
Hidetoshi Setod9d70702009-08-06 11:35:48 +0900776 if (ret)
777 return ret;
Michael Ellerman9c831332007-04-18 19:39:21 +1000778
Jiang Liu8e047ad2014-11-15 22:24:07 +0800779 ret = pci_msi_setup_msi_irqs(dev, nvec, PCI_CAP_ID_MSIX);
Hidetoshi Seto583871d2009-08-06 11:33:39 +0900780 if (ret)
Alexander Gordeev2adc7902013-12-16 09:34:56 +0100781 goto out_avail;
Michael Ellerman9c831332007-04-18 19:39:21 +1000782
Benjamin Herrenschmidtf144d142014-10-03 15:13:24 +1000783 /* Check if all MSI entries honor device restrictions */
784 ret = msi_verify_entries(dev);
785 if (ret)
786 goto out_free;
787
Matthew Wilcoxf5982822009-06-18 19:15:59 -0700788 /*
789 * Some devices require MSI-X to be enabled before we can touch the
790 * MSI-X registers. We need to mask all the vectors to prevent
791 * interrupts coming in before they're fully set up.
792 */
Michael S. Tsirkin61b64ab2015-05-07 09:52:21 -0500793 pci_msix_clear_and_set_ctrl(dev, 0,
Yijing Wang66f0d0c2014-06-19 16:29:53 +0800794 PCI_MSIX_FLAGS_MASKALL | PCI_MSIX_FLAGS_ENABLE);
Matthew Wilcoxf5982822009-06-18 19:15:59 -0700795
Hidetoshi Seto75cb3422009-08-06 11:35:10 +0900796 msix_program_entries(dev, entries);
Matthew Wilcoxf5982822009-06-18 19:15:59 -0700797
Neil Hormanda8d1c82011-10-06 14:08:18 -0400798 ret = populate_msi_sysfs(dev);
Alexander Gordeev2adc7902013-12-16 09:34:56 +0100799 if (ret)
800 goto out_free;
Neil Hormanda8d1c82011-10-06 14:08:18 -0400801
Matthew Wilcoxf5982822009-06-18 19:15:59 -0700802 /* Set MSI-X enabled bits and unmask the function */
David Millerba698ad2007-10-25 01:16:30 -0700803 pci_intx_for_msi(dev, 0);
Eric W. Biedermanb1cbf4e2007-03-05 00:30:10 -0800804 dev->msix_enabled = 1;
Michael S. Tsirkin61b64ab2015-05-07 09:52:21 -0500805 pci_msix_clear_and_set_ctrl(dev, PCI_MSIX_FLAGS_MASKALL, 0);
Matthew Wilcox8d181012009-05-08 07:13:33 -0600806
Jiang Liu5f226992015-07-30 14:00:08 -0500807 pcibios_free_irq(dev);
Linus Torvalds1da177e2005-04-16 15:20:36 -0700808 return 0;
Hidetoshi Seto583871d2009-08-06 11:33:39 +0900809
Alexander Gordeev2adc7902013-12-16 09:34:56 +0100810out_avail:
Hidetoshi Seto583871d2009-08-06 11:33:39 +0900811 if (ret < 0) {
812 /*
813 * If we had some success, report the number of irqs
814 * we succeeded in setting up.
815 */
Hidetoshi Setod9d70702009-08-06 11:35:48 +0900816 struct msi_desc *entry;
Hidetoshi Seto583871d2009-08-06 11:33:39 +0900817 int avail = 0;
818
Jiang Liu5004e982015-07-09 16:00:41 +0800819 for_each_pci_msi_entry(entry, dev) {
Hidetoshi Seto583871d2009-08-06 11:33:39 +0900820 if (entry->irq != 0)
821 avail++;
822 }
823 if (avail != 0)
824 ret = avail;
825 }
826
Alexander Gordeev2adc7902013-12-16 09:34:56 +0100827out_free:
Hidetoshi Seto583871d2009-08-06 11:33:39 +0900828 free_msi_irqs(dev);
829
830 return ret;
Linus Torvalds1da177e2005-04-16 15:20:36 -0700831}
832
833/**
Alexander Gordeeva06cd742014-09-23 12:45:58 -0600834 * pci_msi_supported - check whether MSI may be enabled on a device
Brice Goglin24334a12006-08-31 01:55:07 -0400835 * @dev: pointer to the pci_dev data structure of MSI device function
Michael Ellermanc9953a72007-04-05 17:19:08 +1000836 * @nvec: how many MSIs have been requested ?
Brice Goglin24334a12006-08-31 01:55:07 -0400837 *
Bjorn Helgaasf7625982013-11-14 11:28:18 -0700838 * Look at global flags, the device itself, and its parent buses
Michael Ellerman17bbc122007-04-05 17:19:07 +1000839 * to determine if MSI/-X are supported for the device. If MSI/-X is
Alexander Gordeeva06cd742014-09-23 12:45:58 -0600840 * supported return 1, else return 0.
Brice Goglin24334a12006-08-31 01:55:07 -0400841 **/
Alexander Gordeeva06cd742014-09-23 12:45:58 -0600842static int pci_msi_supported(struct pci_dev *dev, int nvec)
Brice Goglin24334a12006-08-31 01:55:07 -0400843{
844 struct pci_bus *bus;
845
Brice Goglin0306ebf2006-10-05 10:24:31 +0200846 /* MSI must be globally enabled and supported by the device */
Alexander Gordeev27e20602014-09-23 14:25:11 -0600847 if (!pci_msi_enable)
Alexander Gordeeva06cd742014-09-23 12:45:58 -0600848 return 0;
Alexander Gordeev27e20602014-09-23 14:25:11 -0600849
850 if (!dev || dev->no_msi || dev->current_state != PCI_D0)
Alexander Gordeeva06cd742014-09-23 12:45:58 -0600851 return 0;
Brice Goglin24334a12006-08-31 01:55:07 -0400852
Michael Ellerman314e77b2007-04-05 17:19:12 +1000853 /*
854 * You can't ask to have 0 or less MSIs configured.
855 * a) it's stupid ..
856 * b) the list manipulation code assumes nvec >= 1.
857 */
858 if (nvec < 1)
Alexander Gordeeva06cd742014-09-23 12:45:58 -0600859 return 0;
Michael Ellerman314e77b2007-04-05 17:19:12 +1000860
Hidetoshi Seto500559a2009-08-10 10:14:15 +0900861 /*
862 * Any bridge which does NOT route MSI transactions from its
863 * secondary bus to its primary bus must set NO_MSI flag on
Brice Goglin0306ebf2006-10-05 10:24:31 +0200864 * the secondary pci_bus.
865 * We expect only arch-specific PCI host bus controller driver
866 * or quirks for specific PCI bridges to be setting NO_MSI.
867 */
Brice Goglin24334a12006-08-31 01:55:07 -0400868 for (bus = dev->bus; bus; bus = bus->parent)
869 if (bus->bus_flags & PCI_BUS_FLAGS_NO_MSI)
Alexander Gordeeva06cd742014-09-23 12:45:58 -0600870 return 0;
Brice Goglin24334a12006-08-31 01:55:07 -0400871
Alexander Gordeeva06cd742014-09-23 12:45:58 -0600872 return 1;
Brice Goglin24334a12006-08-31 01:55:07 -0400873}
874
875/**
Alexander Gordeevd1ac1d22013-12-30 08:28:13 +0100876 * pci_msi_vec_count - Return the number of MSI vectors a device can send
877 * @dev: device to report about
878 *
879 * This function returns the number of MSI vectors a device requested via
880 * Multiple Message Capable register. It returns a negative errno if the
881 * device is not capable sending MSI interrupts. Otherwise, the call succeeds
882 * and returns a power of two, up to a maximum of 2^5 (32), according to the
883 * MSI specification.
884 **/
885int pci_msi_vec_count(struct pci_dev *dev)
886{
887 int ret;
888 u16 msgctl;
889
890 if (!dev->msi_cap)
891 return -EINVAL;
892
893 pci_read_config_word(dev, dev->msi_cap + PCI_MSI_FLAGS, &msgctl);
894 ret = 1 << ((msgctl & PCI_MSI_FLAGS_QMASK) >> 1);
895
896 return ret;
897}
898EXPORT_SYMBOL(pci_msi_vec_count);
899
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400900void pci_msi_shutdown(struct pci_dev *dev)
Linus Torvalds1da177e2005-04-16 15:20:36 -0700901{
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400902 struct msi_desc *desc;
903 u32 mask;
Linus Torvalds1da177e2005-04-16 15:20:36 -0700904
Michael Ellerman128bc5f2007-03-22 21:51:39 +1100905 if (!pci_msi_enable || !dev || !dev->msi_enabled)
Eric W. Biedermanded86d82007-01-28 12:42:52 -0700906 return;
907
Jiang Liu5004e982015-07-09 16:00:41 +0800908 BUG_ON(list_empty(dev_to_msi_list(&dev->dev)));
Jiang Liu4a7cc832015-07-09 16:00:44 +0800909 desc = first_pci_msi_entry(dev);
Matthew Wilcox110828c2009-06-16 06:31:45 -0600910
Michael S. Tsirkin61b64ab2015-05-07 09:52:21 -0500911 pci_msi_set_enable(dev, 0);
David Millerba698ad2007-10-25 01:16:30 -0700912 pci_intx_for_msi(dev, 1);
Eric W. Biedermanb1cbf4e2007-03-05 00:30:10 -0800913 dev->msi_enabled = 0;
Eric W. Biederman7bd007e2006-10-04 02:16:31 -0700914
Hidetoshi Seto12abb8b2009-06-24 12:08:09 +0900915 /* Return the device with MSI unmasked as initial states */
Yijing Wang31ea5d42014-06-19 16:30:30 +0800916 mask = msi_mask(desc->msi_attrib.multi_cap);
Hidetoshi Seto12abb8b2009-06-24 12:08:09 +0900917 /* Keep cached state to be restored */
Thomas Gleixner23ed8d52014-11-23 11:55:58 +0100918 __pci_msi_desc_mask_irq(desc, mask, ~mask);
Michael Ellermane387b9e2007-03-22 21:51:27 +1100919
920 /* Restore dev->irq to its default pin-assertion irq */
Matthew Wilcoxf2440d92009-03-17 08:54:09 -0400921 dev->irq = desc->msi_attrib.default_irq;
Jiang Liu5f226992015-07-30 14:00:08 -0500922 pcibios_alloc_irq(dev);
Yinghai Lud52877c2008-04-23 14:58:09 -0700923}
Matthew Wilcox24d27552009-03-17 08:54:06 -0400924
Hidetoshi Seto500559a2009-08-10 10:14:15 +0900925void pci_disable_msi(struct pci_dev *dev)
Yinghai Lud52877c2008-04-23 14:58:09 -0700926{
Yinghai Lud52877c2008-04-23 14:58:09 -0700927 if (!pci_msi_enable || !dev || !dev->msi_enabled)
928 return;
929
930 pci_msi_shutdown(dev);
Hidetoshi Setof56e4482009-08-06 11:32:51 +0900931 free_msi_irqs(dev);
Linus Torvalds1da177e2005-04-16 15:20:36 -0700932}
Michael Ellerman4cc086f2007-03-22 21:51:34 +1100933EXPORT_SYMBOL(pci_disable_msi);
Linus Torvalds1da177e2005-04-16 15:20:36 -0700934
Linus Torvalds1da177e2005-04-16 15:20:36 -0700935/**
Alexander Gordeevff1aa432013-12-30 08:28:15 +0100936 * pci_msix_vec_count - return the number of device's MSI-X table entries
Rafael J. Wysockia52e2e32009-01-24 00:21:14 +0100937 * @dev: pointer to the pci_dev data structure of MSI-X device function
Alexander Gordeevff1aa432013-12-30 08:28:15 +0100938 * This function returns the number of device's MSI-X table entries and
939 * therefore the number of MSI-X vectors device is capable of sending.
940 * It returns a negative errno if the device is not capable of sending MSI-X
941 * interrupts.
942 **/
943int pci_msix_vec_count(struct pci_dev *dev)
Rafael J. Wysockia52e2e32009-01-24 00:21:14 +0100944{
Rafael J. Wysockia52e2e32009-01-24 00:21:14 +0100945 u16 control;
946
Gavin Shan520fe9d2013-04-04 16:54:33 +0000947 if (!dev->msix_cap)
Alexander Gordeevff1aa432013-12-30 08:28:15 +0100948 return -EINVAL;
Rafael J. Wysockia52e2e32009-01-24 00:21:14 +0100949
Bjorn Helgaasf84ecd22013-04-17 17:38:32 -0600950 pci_read_config_word(dev, dev->msix_cap + PCI_MSIX_FLAGS, &control);
Bjorn Helgaas527eee22013-04-17 17:44:48 -0600951 return msix_table_size(control);
Rafael J. Wysockia52e2e32009-01-24 00:21:14 +0100952}
Alexander Gordeevff1aa432013-12-30 08:28:15 +0100953EXPORT_SYMBOL(pci_msix_vec_count);
Rafael J. Wysockia52e2e32009-01-24 00:21:14 +0100954
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200955static int __pci_enable_msix(struct pci_dev *dev, struct msix_entry *entries,
956 int nvec, bool affinity)
Linus Torvalds1da177e2005-04-16 15:20:36 -0700957{
Bjorn Helgaas5ec09402014-09-23 14:38:28 -0600958 int nr_entries;
Eric W. Biedermanded86d82007-01-28 12:42:52 -0700959 int i, j;
Linus Torvalds1da177e2005-04-16 15:20:36 -0700960
Alexander Gordeeva06cd742014-09-23 12:45:58 -0600961 if (!pci_msi_supported(dev, nvec))
962 return -EINVAL;
Michael Ellermanc9953a72007-04-05 17:19:08 +1000963
Alexander Gordeevff1aa432013-12-30 08:28:15 +0100964 nr_entries = pci_msix_vec_count(dev);
965 if (nr_entries < 0)
966 return nr_entries;
Linus Torvalds1da177e2005-04-16 15:20:36 -0700967 if (nvec > nr_entries)
Michael S. Tsirkin57fbf522009-05-07 11:28:41 +0300968 return nr_entries;
Linus Torvalds1da177e2005-04-16 15:20:36 -0700969
Christoph Hellwig3ac020e2016-07-12 18:20:16 +0900970 if (entries) {
971 /* Check for any invalid entries */
972 for (i = 0; i < nvec; i++) {
973 if (entries[i].entry >= nr_entries)
974 return -EINVAL; /* invalid entry */
975 for (j = i + 1; j < nvec; j++) {
976 if (entries[i].entry == entries[j].entry)
977 return -EINVAL; /* duplicate entry */
978 }
Linus Torvalds1da177e2005-04-16 15:20:36 -0700979 }
980 }
Eric W. Biedermanded86d82007-01-28 12:42:52 -0700981 WARN_ON(!!dev->msix_enabled);
Eric W. Biederman7bd007e2006-10-04 02:16:31 -0700982
Eric W. Biederman1ce03372006-10-04 02:16:41 -0700983 /* Check whether driver already requested for MSI irq */
Hidetoshi Seto500559a2009-08-10 10:14:15 +0900984 if (dev->msi_enabled) {
Ryan Desfosses227f0642014-04-18 20:13:50 -0400985 dev_info(&dev->dev, "can't enable MSI-X (MSI IRQ already assigned)\n");
Linus Torvalds1da177e2005-04-16 15:20:36 -0700986 return -EINVAL;
987 }
Thomas Gleixnere75eafb2016-09-14 16:18:49 +0200988 return msix_capability_init(dev, entries, nvec, affinity);
989}
990
991/**
992 * pci_enable_msix - configure device's MSI-X capability structure
993 * @dev: pointer to the pci_dev data structure of MSI-X device function
994 * @entries: pointer to an array of MSI-X entries (optional)
995 * @nvec: number of MSI-X irqs requested for allocation by device driver
996 *
997 * Setup the MSI-X capability structure of device function with the number
998 * of requested irqs upon its software driver call to request for
999 * MSI-X mode enabled on its hardware device function. A return of zero
1000 * indicates the successful configuration of MSI-X capability structure
1001 * with new allocated MSI-X irqs. A return of < 0 indicates a failure.
1002 * Or a return of > 0 indicates that driver request is exceeding the number
1003 * of irqs or MSI-X vectors available. Driver should use the returned value to
1004 * re-send its request.
1005 **/
1006int pci_enable_msix(struct pci_dev *dev, struct msix_entry *entries, int nvec)
1007{
1008 return __pci_enable_msix(dev, entries, nvec, false);
Linus Torvalds1da177e2005-04-16 15:20:36 -07001009}
Michael Ellerman4cc086f2007-03-22 21:51:34 +11001010EXPORT_SYMBOL(pci_enable_msix);
Linus Torvalds1da177e2005-04-16 15:20:36 -07001011
Hidetoshi Seto500559a2009-08-10 10:14:15 +09001012void pci_msix_shutdown(struct pci_dev *dev)
Michael Ellermanfc4afc72007-03-22 21:51:33 +11001013{
Hidetoshi Seto12abb8b2009-06-24 12:08:09 +09001014 struct msi_desc *entry;
1015
Michael Ellerman128bc5f2007-03-22 21:51:39 +11001016 if (!pci_msi_enable || !dev || !dev->msix_enabled)
Eric W. Biedermanded86d82007-01-28 12:42:52 -07001017 return;
1018
Hidetoshi Seto12abb8b2009-06-24 12:08:09 +09001019 /* Return the device with MSI-X masked as initial states */
Jiang Liu5004e982015-07-09 16:00:41 +08001020 for_each_pci_msi_entry(entry, dev) {
Hidetoshi Seto12abb8b2009-06-24 12:08:09 +09001021 /* Keep cached states to be restored */
Thomas Gleixner23ed8d52014-11-23 11:55:58 +01001022 __pci_msix_desc_mask_irq(entry, 1);
Hidetoshi Seto12abb8b2009-06-24 12:08:09 +09001023 }
1024
Michael S. Tsirkin61b64ab2015-05-07 09:52:21 -05001025 pci_msix_clear_and_set_ctrl(dev, PCI_MSIX_FLAGS_ENABLE, 0);
David Millerba698ad2007-10-25 01:16:30 -07001026 pci_intx_for_msi(dev, 1);
Eric W. Biedermanb1cbf4e2007-03-05 00:30:10 -08001027 dev->msix_enabled = 0;
Jiang Liu5f226992015-07-30 14:00:08 -05001028 pcibios_alloc_irq(dev);
Yinghai Lud52877c2008-04-23 14:58:09 -07001029}
Hidetoshi Setoc9018512009-08-06 11:31:27 +09001030
Hidetoshi Seto500559a2009-08-10 10:14:15 +09001031void pci_disable_msix(struct pci_dev *dev)
Yinghai Lud52877c2008-04-23 14:58:09 -07001032{
1033 if (!pci_msi_enable || !dev || !dev->msix_enabled)
1034 return;
1035
1036 pci_msix_shutdown(dev);
Hidetoshi Setof56e4482009-08-06 11:32:51 +09001037 free_msi_irqs(dev);
Linus Torvalds1da177e2005-04-16 15:20:36 -07001038}
Michael Ellerman4cc086f2007-03-22 21:51:34 +11001039EXPORT_SYMBOL(pci_disable_msix);
Linus Torvalds1da177e2005-04-16 15:20:36 -07001040
Matthew Wilcox309e57d2006-03-05 22:33:34 -07001041void pci_no_msi(void)
1042{
1043 pci_msi_enable = 0;
1044}
Michael Ellermanc9953a72007-04-05 17:19:08 +10001045
Andrew Patterson07ae95f2008-11-10 15:31:05 -07001046/**
1047 * pci_msi_enabled - is MSI enabled?
1048 *
1049 * Returns true if MSI has not been disabled by the command-line option
1050 * pci=nomsi.
1051 **/
1052int pci_msi_enabled(void)
1053{
1054 return pci_msi_enable;
1055}
1056EXPORT_SYMBOL(pci_msi_enabled);
1057
Christoph Hellwig4ef33682016-07-12 18:20:18 +09001058static int __pci_enable_msi_range(struct pci_dev *dev, int minvec, int maxvec,
1059 unsigned int flags)
Alexander Gordeev302a2522013-12-30 08:28:16 +01001060{
Thomas Gleixnere75eafb2016-09-14 16:18:49 +02001061 bool affinity = flags & PCI_IRQ_AFFINITY;
Alexander Gordeev034cd972014-04-14 15:28:35 +02001062 int nvec;
Alexander Gordeev302a2522013-12-30 08:28:16 +01001063 int rc;
1064
Alexander Gordeeva06cd742014-09-23 12:45:58 -06001065 if (!pci_msi_supported(dev, minvec))
1066 return -EINVAL;
Alexander Gordeev034cd972014-04-14 15:28:35 +02001067
1068 WARN_ON(!!dev->msi_enabled);
1069
1070 /* Check whether driver already requested MSI-X irqs */
1071 if (dev->msix_enabled) {
1072 dev_info(&dev->dev,
1073 "can't enable MSI (MSI-X already enabled)\n");
1074 return -EINVAL;
1075 }
1076
Alexander Gordeev302a2522013-12-30 08:28:16 +01001077 if (maxvec < minvec)
1078 return -ERANGE;
1079
Alexander Gordeev034cd972014-04-14 15:28:35 +02001080 nvec = pci_msi_vec_count(dev);
1081 if (nvec < 0)
1082 return nvec;
Christoph Hellwig4ef33682016-07-12 18:20:18 +09001083 if (nvec < minvec)
Alexander Gordeev034cd972014-04-14 15:28:35 +02001084 return -EINVAL;
Christoph Hellwig4ef33682016-07-12 18:20:18 +09001085
1086 if (nvec > maxvec)
Alexander Gordeev034cd972014-04-14 15:28:35 +02001087 nvec = maxvec;
1088
Christoph Hellwig4ef33682016-07-12 18:20:18 +09001089 for (;;) {
Thomas Gleixnere75eafb2016-09-14 16:18:49 +02001090 if (affinity) {
1091 nvec = irq_calc_affinity_vectors(dev->irq_affinity,
1092 nvec);
Christoph Hellwig4ef33682016-07-12 18:20:18 +09001093 if (nvec < minvec)
Alexander Gordeev302a2522013-12-30 08:28:16 +01001094 return -ENOSPC;
Alexander Gordeev302a2522013-12-30 08:28:16 +01001095 }
Alexander Gordeev302a2522013-12-30 08:28:16 +01001096
Thomas Gleixnere75eafb2016-09-14 16:18:49 +02001097 rc = msi_capability_init(dev, nvec, affinity);
Christoph Hellwig4ef33682016-07-12 18:20:18 +09001098 if (rc == 0)
1099 return nvec;
1100
Christoph Hellwig4ef33682016-07-12 18:20:18 +09001101 if (rc < 0)
1102 return rc;
1103 if (rc < minvec)
1104 return -ENOSPC;
1105
1106 nvec = rc;
1107 }
1108}
1109
1110/**
1111 * pci_enable_msi_range - configure device's MSI capability structure
1112 * @dev: device to configure
1113 * @minvec: minimal number of interrupts to configure
1114 * @maxvec: maximum number of interrupts to configure
1115 *
1116 * This function tries to allocate a maximum possible number of interrupts in a
1117 * range between @minvec and @maxvec. It returns a negative errno if an error
1118 * occurs. If it succeeds, it returns the actual number of interrupts allocated
1119 * and updates the @dev's irq member to the lowest new interrupt number;
1120 * the other interrupt numbers allocated to this device are consecutive.
1121 **/
1122int pci_enable_msi_range(struct pci_dev *dev, int minvec, int maxvec)
1123{
Christoph Hellwig4fe0d152016-08-11 07:11:04 -07001124 return __pci_enable_msi_range(dev, minvec, maxvec, 0);
Alexander Gordeev302a2522013-12-30 08:28:16 +01001125}
1126EXPORT_SYMBOL(pci_enable_msi_range);
1127
Christoph Hellwig4ef33682016-07-12 18:20:18 +09001128static int __pci_enable_msix_range(struct pci_dev *dev,
1129 struct msix_entry *entries, int minvec, int maxvec,
1130 unsigned int flags)
1131{
Thomas Gleixnere75eafb2016-09-14 16:18:49 +02001132 bool affinity = flags & PCI_IRQ_AFFINITY;
1133 int rc, nvec = maxvec;
Christoph Hellwig4ef33682016-07-12 18:20:18 +09001134
1135 if (maxvec < minvec)
1136 return -ERANGE;
1137
1138 for (;;) {
Thomas Gleixnere75eafb2016-09-14 16:18:49 +02001139 if (affinity) {
1140 nvec = irq_calc_affinity_vectors(dev->irq_affinity,
1141 nvec);
Christoph Hellwig4ef33682016-07-12 18:20:18 +09001142 if (nvec < minvec)
1143 return -ENOSPC;
1144 }
1145
Thomas Gleixnere75eafb2016-09-14 16:18:49 +02001146 rc = __pci_enable_msix(dev, entries, nvec, affinity);
Christoph Hellwig4ef33682016-07-12 18:20:18 +09001147 if (rc == 0)
1148 return nvec;
1149
Christoph Hellwig4ef33682016-07-12 18:20:18 +09001150 if (rc < 0)
1151 return rc;
1152 if (rc < minvec)
1153 return -ENOSPC;
1154
1155 nvec = rc;
1156 }
1157}
1158
Alexander Gordeev302a2522013-12-30 08:28:16 +01001159/**
1160 * pci_enable_msix_range - configure device's MSI-X capability structure
1161 * @dev: pointer to the pci_dev data structure of MSI-X device function
1162 * @entries: pointer to an array of MSI-X entries
1163 * @minvec: minimum number of MSI-X irqs requested
1164 * @maxvec: maximum number of MSI-X irqs requested
1165 *
1166 * Setup the MSI-X capability structure of device function with a maximum
1167 * possible number of interrupts in the range between @minvec and @maxvec
1168 * upon its software driver call to request for MSI-X mode enabled on its
1169 * hardware device function. It returns a negative errno if an error occurs.
1170 * If it succeeds, it returns the actual number of interrupts allocated and
1171 * indicates the successful configuration of MSI-X capability structure
1172 * with new allocated MSI-X interrupts.
1173 **/
1174int pci_enable_msix_range(struct pci_dev *dev, struct msix_entry *entries,
Christoph Hellwig4ef33682016-07-12 18:20:18 +09001175 int minvec, int maxvec)
Alexander Gordeev302a2522013-12-30 08:28:16 +01001176{
Christoph Hellwig4fe0d152016-08-11 07:11:04 -07001177 return __pci_enable_msix_range(dev, entries, minvec, maxvec, 0);
Alexander Gordeev302a2522013-12-30 08:28:16 +01001178}
1179EXPORT_SYMBOL(pci_enable_msix_range);
Jiang Liu3878eae2014-11-11 21:02:18 +08001180
Christoph Hellwigaff17162016-07-12 18:20:17 +09001181/**
1182 * pci_alloc_irq_vectors - allocate multiple IRQs for a device
1183 * @dev: PCI device to operate on
1184 * @min_vecs: minimum number of vectors required (must be >= 1)
1185 * @max_vecs: maximum (desired) number of vectors
1186 * @flags: flags or quirks for the allocation
1187 *
1188 * Allocate up to @max_vecs interrupt vectors for @dev, using MSI-X or MSI
1189 * vectors if available, and fall back to a single legacy vector
1190 * if neither is available. Return the number of vectors allocated,
1191 * (which might be smaller than @max_vecs) if successful, or a negative
1192 * error code on error. If less than @min_vecs interrupt vectors are
1193 * available for @dev the function will fail with -ENOSPC.
1194 *
1195 * To get the Linux IRQ number used for a vector that can be passed to
1196 * request_irq() use the pci_irq_vector() helper.
1197 */
1198int pci_alloc_irq_vectors(struct pci_dev *dev, unsigned int min_vecs,
1199 unsigned int max_vecs, unsigned int flags)
1200{
1201 int vecs = -ENOSPC;
1202
Christoph Hellwig4fe0d152016-08-11 07:11:04 -07001203 if (flags & PCI_IRQ_MSIX) {
Christoph Hellwig4ef33682016-07-12 18:20:18 +09001204 vecs = __pci_enable_msix_range(dev, NULL, min_vecs, max_vecs,
1205 flags);
Christoph Hellwigaff17162016-07-12 18:20:17 +09001206 if (vecs > 0)
1207 return vecs;
1208 }
1209
Christoph Hellwig4fe0d152016-08-11 07:11:04 -07001210 if (flags & PCI_IRQ_MSI) {
Christoph Hellwig4ef33682016-07-12 18:20:18 +09001211 vecs = __pci_enable_msi_range(dev, min_vecs, max_vecs, flags);
Christoph Hellwigaff17162016-07-12 18:20:17 +09001212 if (vecs > 0)
1213 return vecs;
1214 }
1215
1216 /* use legacy irq if allowed */
Christoph Hellwig5d0bdf22016-08-11 07:11:05 -07001217 if ((flags & PCI_IRQ_LEGACY) && min_vecs == 1) {
1218 pci_intx(dev, 1);
Christoph Hellwigaff17162016-07-12 18:20:17 +09001219 return 1;
Christoph Hellwig5d0bdf22016-08-11 07:11:05 -07001220 }
1221
Christoph Hellwigaff17162016-07-12 18:20:17 +09001222 return vecs;
1223}
1224EXPORT_SYMBOL(pci_alloc_irq_vectors);
1225
1226/**
1227 * pci_free_irq_vectors - free previously allocated IRQs for a device
1228 * @dev: PCI device to operate on
1229 *
1230 * Undoes the allocations and enabling in pci_alloc_irq_vectors().
1231 */
1232void pci_free_irq_vectors(struct pci_dev *dev)
1233{
1234 pci_disable_msix(dev);
1235 pci_disable_msi(dev);
1236}
1237EXPORT_SYMBOL(pci_free_irq_vectors);
1238
1239/**
1240 * pci_irq_vector - return Linux IRQ number of a device vector
1241 * @dev: PCI device to operate on
1242 * @nr: device-relative interrupt vector index (0-based).
1243 */
1244int pci_irq_vector(struct pci_dev *dev, unsigned int nr)
1245{
1246 if (dev->msix_enabled) {
1247 struct msi_desc *entry;
1248 int i = 0;
1249
1250 for_each_pci_msi_entry(entry, dev) {
1251 if (i == nr)
1252 return entry->irq;
1253 i++;
1254 }
1255 WARN_ON_ONCE(1);
1256 return -EINVAL;
1257 }
1258
1259 if (dev->msi_enabled) {
1260 struct msi_desc *entry = first_pci_msi_entry(dev);
1261
1262 if (WARN_ON_ONCE(nr >= entry->nvec_used))
1263 return -EINVAL;
1264 } else {
1265 if (WARN_ON_ONCE(nr > 0))
1266 return -EINVAL;
1267 }
1268
1269 return dev->irq + nr;
1270}
1271EXPORT_SYMBOL(pci_irq_vector);
1272
Thomas Gleixneree8d41e2016-09-14 16:18:51 +02001273/**
1274 * pci_irq_get_affinity - return the affinity of a particular msi vector
1275 * @dev: PCI device to operate on
1276 * @nr: device-relative interrupt vector index (0-based).
1277 */
1278const struct cpumask *pci_irq_get_affinity(struct pci_dev *dev, int nr)
1279{
1280 if (dev->msix_enabled) {
1281 struct msi_desc *entry;
1282 int i = 0;
1283
1284 for_each_pci_msi_entry(entry, dev) {
1285 if (i == nr)
1286 return entry->affinity;
1287 i++;
1288 }
1289 WARN_ON_ONCE(1);
1290 return NULL;
1291 } else if (dev->msi_enabled) {
1292 struct msi_desc *entry = first_pci_msi_entry(dev);
1293
1294 if (WARN_ON_ONCE(!entry || nr >= entry->nvec_used))
1295 return NULL;
1296
1297 return &entry->affinity[nr];
1298 } else {
1299 return cpu_possible_mask;
1300 }
1301}
1302EXPORT_SYMBOL(pci_irq_get_affinity);
1303
Jiang Liu25a98bd2015-07-09 16:00:45 +08001304struct pci_dev *msi_desc_to_pci_dev(struct msi_desc *desc)
1305{
1306 return to_pci_dev(desc->dev);
1307}
Jake Oshinsa4289dc2015-12-10 17:52:59 +00001308EXPORT_SYMBOL(msi_desc_to_pci_dev);
Jiang Liu25a98bd2015-07-09 16:00:45 +08001309
Jiang Liuc179c9b2015-07-09 16:00:36 +08001310void *msi_desc_to_pci_sysdata(struct msi_desc *desc)
1311{
1312 struct pci_dev *dev = msi_desc_to_pci_dev(desc);
1313
1314 return dev->bus->sysdata;
1315}
1316EXPORT_SYMBOL_GPL(msi_desc_to_pci_sysdata);
1317
Jiang Liu3878eae2014-11-11 21:02:18 +08001318#ifdef CONFIG_PCI_MSI_IRQ_DOMAIN
1319/**
1320 * pci_msi_domain_write_msg - Helper to write MSI message to PCI config space
1321 * @irq_data: Pointer to interrupt data of the MSI interrupt
1322 * @msg: Pointer to the message
1323 */
1324void pci_msi_domain_write_msg(struct irq_data *irq_data, struct msi_msg *msg)
1325{
Jiang Liu507a8832015-06-01 16:05:42 +08001326 struct msi_desc *desc = irq_data_get_msi_desc(irq_data);
Jiang Liu3878eae2014-11-11 21:02:18 +08001327
1328 /*
1329 * For MSI-X desc->irq is always equal to irq_data->irq. For
1330 * MSI only the first interrupt of MULTI MSI passes the test.
1331 */
1332 if (desc->irq == irq_data->irq)
1333 __pci_write_msi_msg(desc, msg);
1334}
1335
1336/**
1337 * pci_msi_domain_calc_hwirq - Generate a unique ID for an MSI source
1338 * @dev: Pointer to the PCI device
1339 * @desc: Pointer to the msi descriptor
1340 *
1341 * The ID number is only used within the irqdomain.
1342 */
1343irq_hw_number_t pci_msi_domain_calc_hwirq(struct pci_dev *dev,
1344 struct msi_desc *desc)
1345{
1346 return (irq_hw_number_t)desc->msi_attrib.entry_nr |
1347 PCI_DEVID(dev->bus->number, dev->devfn) << 11 |
1348 (pci_domain_nr(dev->bus) & 0xFFFFFFFF) << 27;
1349}
1350
1351static inline bool pci_msi_desc_is_multi_msi(struct msi_desc *desc)
1352{
1353 return !desc->msi_attrib.is_msix && desc->nvec_used > 1;
1354}
1355
1356/**
1357 * pci_msi_domain_check_cap - Verify that @domain supports the capabilities for @dev
1358 * @domain: The interrupt domain to check
1359 * @info: The domain info for verification
1360 * @dev: The device to check
1361 *
1362 * Returns:
1363 * 0 if the functionality is supported
1364 * 1 if Multi MSI is requested, but the domain does not support it
1365 * -ENOTSUPP otherwise
1366 */
1367int pci_msi_domain_check_cap(struct irq_domain *domain,
1368 struct msi_domain_info *info, struct device *dev)
1369{
1370 struct msi_desc *desc = first_pci_msi_entry(to_pci_dev(dev));
1371
1372 /* Special handling to support pci_enable_msi_range() */
1373 if (pci_msi_desc_is_multi_msi(desc) &&
1374 !(info->flags & MSI_FLAG_MULTI_PCI_MSI))
1375 return 1;
1376 else if (desc->msi_attrib.is_msix && !(info->flags & MSI_FLAG_PCI_MSIX))
1377 return -ENOTSUPP;
1378
1379 return 0;
1380}
1381
1382static int pci_msi_domain_handle_error(struct irq_domain *domain,
1383 struct msi_desc *desc, int error)
1384{
1385 /* Special handling to support pci_enable_msi_range() */
1386 if (pci_msi_desc_is_multi_msi(desc) && error == -ENOSPC)
1387 return 1;
1388
1389 return error;
1390}
1391
1392#ifdef GENERIC_MSI_DOMAIN_OPS
1393static void pci_msi_domain_set_desc(msi_alloc_info_t *arg,
1394 struct msi_desc *desc)
1395{
1396 arg->desc = desc;
1397 arg->hwirq = pci_msi_domain_calc_hwirq(msi_desc_to_pci_dev(desc),
1398 desc);
1399}
1400#else
1401#define pci_msi_domain_set_desc NULL
1402#endif
1403
1404static struct msi_domain_ops pci_msi_domain_ops_default = {
1405 .set_desc = pci_msi_domain_set_desc,
1406 .msi_check = pci_msi_domain_check_cap,
1407 .handle_error = pci_msi_domain_handle_error,
1408};
1409
1410static void pci_msi_domain_update_dom_ops(struct msi_domain_info *info)
1411{
1412 struct msi_domain_ops *ops = info->ops;
1413
1414 if (ops == NULL) {
1415 info->ops = &pci_msi_domain_ops_default;
1416 } else {
1417 if (ops->set_desc == NULL)
1418 ops->set_desc = pci_msi_domain_set_desc;
1419 if (ops->msi_check == NULL)
1420 ops->msi_check = pci_msi_domain_check_cap;
1421 if (ops->handle_error == NULL)
1422 ops->handle_error = pci_msi_domain_handle_error;
1423 }
1424}
1425
1426static void pci_msi_domain_update_chip_ops(struct msi_domain_info *info)
1427{
1428 struct irq_chip *chip = info->chip;
1429
1430 BUG_ON(!chip);
1431 if (!chip->irq_write_msi_msg)
1432 chip->irq_write_msi_msg = pci_msi_domain_write_msg;
Marc Zyngier0701c532015-10-13 19:14:45 +01001433 if (!chip->irq_mask)
1434 chip->irq_mask = pci_msi_mask_irq;
1435 if (!chip->irq_unmask)
1436 chip->irq_unmask = pci_msi_unmask_irq;
Jiang Liu3878eae2014-11-11 21:02:18 +08001437}
1438
1439/**
Marc Zyngierbe5436c2015-10-13 12:51:44 +01001440 * pci_msi_create_irq_domain - Create a MSI interrupt domain
1441 * @fwnode: Optional fwnode of the interrupt controller
Jiang Liu3878eae2014-11-11 21:02:18 +08001442 * @info: MSI domain info
1443 * @parent: Parent irq domain
1444 *
1445 * Updates the domain and chip ops and creates a MSI interrupt domain.
1446 *
1447 * Returns:
1448 * A domain pointer or NULL in case of failure.
1449 */
Marc Zyngierbe5436c2015-10-13 12:51:44 +01001450struct irq_domain *pci_msi_create_irq_domain(struct fwnode_handle *fwnode,
Jiang Liu3878eae2014-11-11 21:02:18 +08001451 struct msi_domain_info *info,
1452 struct irq_domain *parent)
1453{
Marc Zyngier03808392015-07-28 14:46:09 +01001454 struct irq_domain *domain;
1455
Jiang Liu3878eae2014-11-11 21:02:18 +08001456 if (info->flags & MSI_FLAG_USE_DEF_DOM_OPS)
1457 pci_msi_domain_update_dom_ops(info);
1458 if (info->flags & MSI_FLAG_USE_DEF_CHIP_OPS)
1459 pci_msi_domain_update_chip_ops(info);
1460
Marc Zyngierf3b09462016-07-13 17:18:33 +01001461 info->flags |= MSI_FLAG_ACTIVATE_EARLY;
1462
Marc Zyngierbe5436c2015-10-13 12:51:44 +01001463 domain = msi_create_irq_domain(fwnode, info, parent);
Marc Zyngier03808392015-07-28 14:46:09 +01001464 if (!domain)
1465 return NULL;
1466
1467 domain->bus_token = DOMAIN_BUS_PCI_MSI;
1468 return domain;
Jiang Liu3878eae2014-11-11 21:02:18 +08001469}
Jake Oshinsa4289dc2015-12-10 17:52:59 +00001470EXPORT_SYMBOL_GPL(pci_msi_create_irq_domain);
Jiang Liu3878eae2014-11-11 21:02:18 +08001471
1472/**
1473 * pci_msi_domain_alloc_irqs - Allocate interrupts for @dev in @domain
1474 * @domain: The interrupt domain to allocate from
1475 * @dev: The device for which to allocate
1476 * @nvec: The number of interrupts to allocate
1477 * @type: Unused to allow simpler migration from the arch_XXX interfaces
1478 *
1479 * Returns:
1480 * A virtual interrupt number or an error code in case of failure
1481 */
1482int pci_msi_domain_alloc_irqs(struct irq_domain *domain, struct pci_dev *dev,
1483 int nvec, int type)
1484{
1485 return msi_domain_alloc_irqs(domain, &dev->dev, nvec);
1486}
1487
1488/**
1489 * pci_msi_domain_free_irqs - Free interrupts for @dev in @domain
1490 * @domain: The interrupt domain
1491 * @dev: The device for which to free interrupts
1492 */
1493void pci_msi_domain_free_irqs(struct irq_domain *domain, struct pci_dev *dev)
1494{
1495 msi_domain_free_irqs(domain, &dev->dev);
1496}
Jiang Liu8e047ad2014-11-15 22:24:07 +08001497
1498/**
1499 * pci_msi_create_default_irq_domain - Create a default MSI interrupt domain
Marc Zyngierbe5436c2015-10-13 12:51:44 +01001500 * @fwnode: Optional fwnode of the interrupt controller
Jiang Liu8e047ad2014-11-15 22:24:07 +08001501 * @info: MSI domain info
1502 * @parent: Parent irq domain
1503 *
1504 * Returns: A domain pointer or NULL in case of failure. If successful
1505 * the default PCI/MSI irqdomain pointer is updated.
1506 */
Marc Zyngierbe5436c2015-10-13 12:51:44 +01001507struct irq_domain *pci_msi_create_default_irq_domain(struct fwnode_handle *fwnode,
Jiang Liu8e047ad2014-11-15 22:24:07 +08001508 struct msi_domain_info *info, struct irq_domain *parent)
1509{
1510 struct irq_domain *domain;
1511
1512 mutex_lock(&pci_msi_domain_lock);
1513 if (pci_msi_default_domain) {
1514 pr_err("PCI: default irq domain for PCI MSI has already been created.\n");
1515 domain = NULL;
1516 } else {
Marc Zyngierbe5436c2015-10-13 12:51:44 +01001517 domain = pci_msi_create_irq_domain(fwnode, info, parent);
Jiang Liu8e047ad2014-11-15 22:24:07 +08001518 pci_msi_default_domain = domain;
1519 }
1520 mutex_unlock(&pci_msi_domain_lock);
1521
1522 return domain;
1523}
David Daneyb6eec9b2015-10-08 15:10:49 -07001524
1525static int get_msi_id_cb(struct pci_dev *pdev, u16 alias, void *data)
1526{
1527 u32 *pa = data;
1528
1529 *pa = alias;
1530 return 0;
1531}
1532/**
1533 * pci_msi_domain_get_msi_rid - Get the MSI requester id (RID)
1534 * @domain: The interrupt domain
1535 * @pdev: The PCI device.
1536 *
1537 * The RID for a device is formed from the alias, with a firmware
1538 * supplied mapping applied
1539 *
1540 * Returns: The RID.
1541 */
1542u32 pci_msi_domain_get_msi_rid(struct irq_domain *domain, struct pci_dev *pdev)
1543{
1544 struct device_node *of_node;
1545 u32 rid = 0;
1546
1547 pci_for_each_dma_alias(pdev, get_msi_id_cb, &rid);
1548
1549 of_node = irq_domain_get_of_node(domain);
1550 if (of_node)
1551 rid = of_msi_map_rid(&pdev->dev, of_node, rid);
1552
1553 return rid;
1554}
Marc Zyngier54fa97e2015-10-02 14:43:06 +01001555
1556/**
1557 * pci_msi_get_device_domain - Get the MSI domain for a given PCI device
1558 * @pdev: The PCI device
1559 *
1560 * Use the firmware data to find a device-specific MSI domain
1561 * (i.e. not one that is ste as a default).
1562 *
1563 * Returns: The coresponding MSI domain or NULL if none has been found.
1564 */
1565struct irq_domain *pci_msi_get_device_domain(struct pci_dev *pdev)
1566{
1567 u32 rid = 0;
1568
1569 pci_for_each_dma_alias(pdev, get_msi_id_cb, &rid);
1570 return of_msi_map_get_device_domain(&pdev->dev, rid);
1571}
Jiang Liu3878eae2014-11-11 21:02:18 +08001572#endif /* CONFIG_PCI_MSI_IRQ_DOMAIN */